From cdb1b96c9b1dc181702aaae8580c4794b749ca8f Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Fri, 22 Oct 2021 17:36:10 +0530 Subject: [PATCH 01/10] Shifted the run.sh file to main directory --- fpga/run.sh => run.sh | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename fpga/run.sh => run.sh (100%) mode change 100755 => 100644 diff --git a/fpga/run.sh b/run.sh old mode 100755 new mode 100644 similarity index 100% rename from fpga/run.sh rename to run.sh From 7dc8bc1c59b83352392215118ed1818b3bb564d3 Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Fri, 22 Oct 2021 18:11:35 +0530 Subject: [PATCH 02/10] Updated Shell Script to work outside the fpga directory --- examples/.Xil/top_propImpl.xdc | 394 ++++++++++++++++++++++++++------- run.sh | 33 +-- 2 files changed, 329 insertions(+), 98 deletions(-) diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index 2bbec23..136c368 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,145 +1,371 @@ -set_property SRC_FILE_INFO {cfile:/home/atom/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_basys3.xdc rfile:../../fpga/constraints/fpga_lab_constr_basys3.xdc id:1} [current_design] +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_edge_artix-7.xdc rfile:../../fpga/constraints/fpga_lab_constr_edge_artix-7.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W5 [get_ports clk] +set_property -dict { PACKAGE_PIN N11 IOSTANDARD LVCMOS33 } [get_ports { clk }]; +set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L5 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }];#LSB set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V17 [get_ports {sw[0]}] +set_property -dict { PACKAGE_PIN L4 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] +set_property -dict { PACKAGE_PIN M4 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V16 [get_ports {sw[1]}] +set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] +set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W16 [get_ports {sw[2]}] +set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}] +set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W17 [get_ports {sw[3]}] +set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}] +set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports { sw[8] }]; set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W15 [get_ports {sw[4]}] +set_property -dict { PACKAGE_PIN P4 IOSTANDARD LVCMOS33 } [get_ports { sw[9] }]; set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V15 [get_ports {sw[5]}] +set_property -dict { PACKAGE_PIN R8 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}] +set_property -dict { PACKAGE_PIN N6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W14 [get_ports {sw[6]}] +set_property -dict { PACKAGE_PIN T7 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}] +set_property -dict { PACKAGE_PIN P8 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W13 [get_ports {sw[7]}] -set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}] -set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V2 [get_ports {sw[8]}] +set_property -dict { PACKAGE_PIN M6 IOSTANDARD LVCMOS33 } [get_ports { reset }];#MSB set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}] +set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { led[0] }];#LSB set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T3 [get_ports {sw[9]}] +set_property -dict { PACKAGE_PIN H3 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}] +set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T2 [get_ports {sw[10]}] +set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}] +set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R3 [get_ports {sw[11]}] +set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}] +set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W2 [get_ports {sw[12]}] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}] +set_property -dict { PACKAGE_PIN K5 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U1 [get_ports {sw[13]}] +set_property -dict { PACKAGE_PIN P6 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}] +set_property -dict { PACKAGE_PIN R7 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T1 [get_ports {sw[14]}] +set_property -dict { PACKAGE_PIN R6 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}] +set_property -dict { PACKAGE_PIN T5 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R2 [get_ports {reset}] +set_property -dict { PACKAGE_PIN R5 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; +set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; +set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { led[15] }];#MSB set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U16 [get_ports {led[0]}] +set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[0]}]; #Button-top +set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[1]}]; #Button-bottom set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN E19 [get_ports {led[1]}] +set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[2]}]; #Button-left +set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[3]}]; #Button-right set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U19 [get_ports {led[2]}] -set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V19 [get_ports {led[3]}] +set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[4]}]; #Button-center +set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F2 IOSTANDARD LVCMOS33 } [get_ports {digit[3]}]; #MSB set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W18 [get_ports {led[4]}] +set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports {digit[2]}]; +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G5 IOSTANDARD LVCMOS33 } [get_ports {digit[1]}]; set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U15 [get_ports {led[5]}] +set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports {digit[0]}]; #LSB set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U14 [get_ports {led[6]}] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {sseg[6]}];#A +set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports {sseg[5]}];#B set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V14 [get_ports {led[7]}] +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports {sseg[4]}];#C +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports {sseg[3]}];#D set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V13 [get_ports {led[8]}] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports {sseg[2]}];#E +set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports {sseg[1]}];#F set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V3 [get_ports {led[9]}] -set_property src_info {type:XDC file:1 line:66 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W3 [get_ports {led[10]}] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {sseg[0]}];#G +set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {dp}];#DP set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U3 [get_ports {led[11]}] -set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN P3 [get_ports {led[12]}] +set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_txd }]; +set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_rxd }]; set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN N3 [get_ports {led[13]}] -set_property src_info {type:XDC file:1 line:74 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN P1 [get_ports {led[14]}] +set_property -dict { PACKAGE_PIN K12 IOSTANDARD LVCMOS33 } [get_ports {Buzzer}]; +set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports {SCK}]; set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN L1 [get_ports {led[15]}] +set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports {CS}]; +set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports {MOSI}]; +set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[0]}]; set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W7 [get_ports {sseg[6]}] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[1]}]; set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[6]}] +set_property -dict { PACKAGE_PIN B7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[2]}]; set_property src_info {type:XDC file:1 line:83 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W6 [get_ports {sseg[5]}] +set_property -dict { PACKAGE_PIN E3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_p}]; set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[5]}] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[0]}]; set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U8 [get_ports {sseg[4]}] +set_property -dict { PACKAGE_PIN B5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[1]}]; set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[4]}] +set_property -dict { PACKAGE_PIN A7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[2]}]; set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V8 [get_ports {sseg[3]}] -set_property src_info {type:XDC file:1 line:88 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[3]}] -set_property src_info {type:XDC file:1 line:89 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U5 [get_ports {sseg[2]}] +set_property -dict { PACKAGE_PIN D3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_n}]; set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[2]}] +set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {data[7]}]; set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V5 [get_ports {sseg[1]}] +set_property -dict { PACKAGE_PIN M5 IOSTANDARD LVCMOS33 } [get_ports {data[6]}]; set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[1]}] +set_property -dict { PACKAGE_PIN N4 IOSTANDARD LVCMOS33 } [get_ports {data[5]}]; set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U7 [get_ports {sseg[0]}] +set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {data[4]}]; set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {sseg[0]}] +set_property -dict { PACKAGE_PIN R1 IOSTANDARD LVCMOS33 } [get_ports {data[3]}]; +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {data[2]}]; set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V7 [get_ports dp] +set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {data[1]}]; set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports dp] +set_property -dict { PACKAGE_PIN T4 IOSTANDARD LVCMOS33 } [get_ports {data[0]}]; +set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {lcd_e}]; set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U2 [get_ports {digit[0]}] -set_property src_info {type:XDC file:1 line:100 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {digit[0]}] -set_property src_info {type:XDC file:1 line:101 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U4 [get_ports {digit[1]}] -set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {digit[1]}] +set_property -dict { PACKAGE_PIN P5 IOSTANDARD LVCMOS33 } [get_ports {lcd_rs}]; set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V4 [get_ports {digit[2]}] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[0] }]; set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {digit[2]}] +set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[1] }]; set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W4 [get_ports {digit[3]}] +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[2] }]; set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports {digit[3]}] +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[3] }]; +set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[4] }]; +set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[5] }]; +set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[6] }]; +set_property src_info {type:XDC file:1 line:110 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[7] }]; +set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[8] }]; +set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[9] }]; +set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[10] }]; +set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[11] }]; +set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[12] }]; +set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[13] }]; +set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[14] }]; +set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[15] }];#MSB +set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[0] }];#LSB +set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[1] }]; +set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[2] }]; +set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[3] }]; +set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[4] }]; +set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[5] }]; +set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[6] }]; +set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[7] }]; +set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[8] }]; +set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[9] }]; +set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[10] }]; +set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[11] }]; +set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[12] }]; +set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[0] }]; +set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[1] }]; +set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[0] }]; +set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[1] }]; +set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { sdram_clk }]; +set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { sdram_cke }]; +set_property src_info {type:XDC file:1 line:143 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { sdram_cs_n }]; +set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sdram_we_n }]; +set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports { sdram_cas_n }]; +set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { sdram_ras_n }]; +set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports {tft_sck}]; +set_property src_info {type:XDC file:1 line:150 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports {tft_sdi}]; +set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports {tft_dc}]; +set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N9 IOSTANDARD LVCMOS33 } [get_ports {tft_reset}]; +set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P9 IOSTANDARD LVCMOS33 } [get_ports {tft_cs}]; +set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_txd}]; +set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_rxd}]; +set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { wifi_txd }]; +set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { wifi_rxd }]; +set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {ov7670_sioc}]; +set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {ov7670_siod}]; +set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {ov7670_vsync}]; +set_property src_info {type:XDC file:1 line:167 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {ov7670_href}]; +set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {ov7670_pclk}]; +set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {ov7670_xclk}]; +set_property src_info {type:XDC file:1 line:170 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[7]}]; +set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[6]}]; +set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[5]}]; +set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[4]}]; +set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[3]}]; +set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[2]}]; +set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[1]}]; +set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[0]}]; +set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33} [get_ports {ov7670_reset}]; +set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33} [get_ports {ov7670_pwdn}]; +set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {pin5}]; +set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {pin6}]; +set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {pin7}]; +set_property src_info {type:XDC file:1 line:189 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {pin8}]; +set_property src_info {type:XDC file:1 line:190 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {pin9}]; +set_property src_info {type:XDC file:1 line:191 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {pin10}]; +set_property src_info {type:XDC file:1 line:192 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {pin11}]; +set_property src_info {type:XDC file:1 line:193 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {pin12}]; +set_property src_info {type:XDC file:1 line:194 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {pin13}]; +set_property src_info {type:XDC file:1 line:195 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {pin14}]; +set_property src_info {type:XDC file:1 line:196 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {pin15}]; +set_property src_info {type:XDC file:1 line:197 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {pin16}]; +set_property src_info {type:XDC file:1 line:198 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {pin17}]; +set_property src_info {type:XDC file:1 line:199 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {pin18}]; +set_property src_info {type:XDC file:1 line:200 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports {pin19}]; +set_property src_info {type:XDC file:1 line:201 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports {pin20}]; +set_property src_info {type:XDC file:1 line:204 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports {vga_hsync}]; +set_property src_info {type:XDC file:1 line:205 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports {vga_vsync}]; +set_property src_info {type:XDC file:1 line:206 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports {vga_r[0]}]; +set_property src_info {type:XDC file:1 line:207 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F12 IOSTANDARD LVCMOS33 } [get_ports {vga_r[1]}]; +set_property src_info {type:XDC file:1 line:208 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports {vga_r[2]}]; +set_property src_info {type:XDC file:1 line:209 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports {vga_r[3]}]; +set_property src_info {type:XDC file:1 line:210 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D16 IOSTANDARD LVCMOS33 } [get_ports {vga_g[0]}]; +set_property src_info {type:XDC file:1 line:211 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[1]}]; +set_property src_info {type:XDC file:1 line:212 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[2]}]; +set_property src_info {type:XDC file:1 line:213 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H11 IOSTANDARD LVCMOS33 } [get_ports {vga_g[3]}]; +set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[0]}]; +set_property src_info {type:XDC file:1 line:215 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[1]}]; +set_property src_info {type:XDC file:1 line:216 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H13 IOSTANDARD LVCMOS33 } [get_ports {vga_b[2]}]; +set_property src_info {type:XDC file:1 line:217 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports {vga_b[3]}]; +set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D6 IOSTANDARD LVCMOS33 } [get_ports {sd_cclk}]; +set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports {sd_cd}]}]; +set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports {sd_cmd}]}]; +set_property src_info {type:XDC file:1 line:223 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports {sd_d[0]}]; +set_property src_info {type:XDC file:1 line:224 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports {sd_d[1]}]; +set_property src_info {type:XDC file:1 line:225 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports {sd_d[2]}]; +set_property src_info {type:XDC file:1 line:226 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports {sd_d[3]}]; +set_property src_info {type:XDC file:1 line:229 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C3 IOSTANDARD LVCMOS33 } [get_ports {vauxp6}]; +set_property src_info {type:XDC file:1 line:230 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports {vauxn6}]; +set_property src_info {type:XDC file:1 line:231 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports {vauxp14}]; +set_property src_info {type:XDC file:1 line:232 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A2 IOSTANDARD LVCMOS33 } [get_ports {vauxn14}]; +set_property src_info {type:XDC file:1 line:233 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports {vauxp7}] ; +set_property src_info {type:XDC file:1 line:234 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports {vauxn7}]; +set_property src_info {type:XDC file:1 line:235 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports {vauxp15}]; +set_property src_info {type:XDC file:1 line:236 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D1 IOSTANDARD LVCMOS33 } [get_ports {vauxn15}]; +set_property src_info {type:XDC file:1 line:239 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G11 IOSTANDARD LVCMOS33 } [get_ports { Audio_L }]; +set_property src_info {type:XDC file:1 line:240 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { Audio_R }]; diff --git a/run.sh b/run.sh index bf4015a..5bbfa4f 100644 --- a/run.sh +++ b/run.sh @@ -1,11 +1,10 @@ -# shell script to run complete FPGA flow +shell script to run complete FPGA flow echo "================================================" echo "WELCOME TO VIRTUAL FPGA LAB" echo "================================================" -#path=$(pwd) +# path=$(pwd) shell_path=$(cd "$(dirname "$0")" && pwd) - echo "================================================" echo "GOING TO THE REQUIRED DIRECTORY" echo "================================================" @@ -20,27 +19,32 @@ echo "WHICH BOARD YOU WANT TO USE (basys3, edge_artix-7, zedboard)" read -p "IF YOU HAVE A DIFFERENT BOARD , WRITE THE PART NO: " board echo "================================================" + if [ "$board" == "basys3" ]; then partname="xc7a35tcpg236-1" - cons_name="$shell_path/constraints/fpga_lab_constr_$board.xdc" + cons_name="$shell_path/fpga/constraints/fpga_lab_constr_$board.xdc" elif [ "$board" == "edge_artix-7" ]; then partname="xc7a35tftg256-1" - cons_name="$shell_path/constraints/fpga_lab_constr_$board.xdc" + cons_name="$shell_path/fpga/constraints/fpga_lab_constr_$board.xdc" elif [ "$board" == "zedboard" ]; then partname="xc7z020clg484-1" - cons_name="$shell_path/constraints/fpga_lab_constr_$board.xdc" + cons_name="$shell_path/fpga/constraints/fpga_lab_constr_$board.xdc" else partname=$board cons_name="${filename}_$board.xdc" fi -echo "================================================" -echo "DELETING THE PREVIOUS BUILD FOLDER" -rm -R out_"${filename}_$partname" -echo "================================================" +cd examples +# out=out_"${filename}_$partname" +if [ -d $out_"${filename}_$partname" ]; then + echo "================================================" + echo "DELETING THE PREVIOUS BUILD FOLDER" + rm -R out_"${filename}_$partname" + echo "================================================" +fi # Give the respective tlv file as top. For eg, for counter test case give it as counter.tlv echo "================================================" @@ -82,12 +86,13 @@ echo "================================================" echo "SOURCING VIVADO" echo "================================================" -cd vivado +cd Vivado source Vivado/2020.2/settings64.sh cd cd "$path" -rm vivado* -rm usage_* -vivado -mode batch -source "$shell_path"/run.tcl + +vivado -mode batch -source "$shell_path"/fpga/run.tcl rm -f tmp.txt +rm vivado* +rm usage_* From 4ab91833edc3be85a1195bf7b30b5aa94a2f6ffa Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Fri, 22 Oct 2021 18:11:51 +0530 Subject: [PATCH 03/10] Added .gitignore --- .gitignore | 1 + 1 file changed, 1 insertion(+) create mode 100644 .gitignore diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..f6c6aea --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +**/[Oo]ut_* \ No newline at end of file From ddf5a4e20e518a2974e194a68a790528e9d565db Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Fri, 29 Oct 2021 23:52:47 +0530 Subject: [PATCH 04/10] Support for Artix-7 100T FPGA --- examples/.Xil/top_propImpl.xdc | 434 ++++++++++------------ examples/led_counter_xc7a100tcsg324-1.xdc | 188 ++++++++++ 2 files changed, 381 insertions(+), 241 deletions(-) create mode 100644 examples/led_counter_xc7a100tcsg324-1.xdc diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index 136c368..0d93659 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,371 +1,323 @@ -set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_edge_artix-7.xdc rfile:../../fpga/constraints/fpga_lab_constr_edge_artix-7.xdc id:1} [current_design] -set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N11 IOSTANDARD LVCMOS33 } [get_ports { clk }]; +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/examples/led_counter_xc7a100tcsg324-1.xdc rfile:../led_counter_xc7a100tcsg324-1.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk +set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { reset }]; #IO_L24N_T3_RS0_15 Sch=sw[0] set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L5 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }];#LSB +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L4 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; +set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M4 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; +set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; +set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; +set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; +set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports { sw[8] }]; +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P4 IOSTANDARD LVCMOS33 } [get_ports { sw[9] }]; +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R8 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; +set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; +set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T7 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; +set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P8 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; -set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M6 IOSTANDARD LVCMOS33 } [get_ports { reset }];#MSB +set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { led[0] }];#LSB +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H3 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K5 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P6 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R7 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; +set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R6 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T5 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; +set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R5 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; -set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; +set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { led[15] }];#MSB +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b +set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[0]}]; #Button-top +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[1]}]; #Button-bottom +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_G }]; #IO_0_14 Sch=led17_g set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[2]}]; #Button-left -set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[3]}]; #Button-right +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[4]}]; #Button-center +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb +set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F2 IOSTANDARD LVCMOS33 } [get_ports {digit[3]}]; #MSB +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports {digit[2]}]; +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G5 IOSTANDARD LVCMOS33 } [get_ports {digit[1]}]; +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports {digit[0]}]; #LSB +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg +set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {sseg[6]}];#A +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports {sseg[5]}];#B +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports {sseg[4]}];#C +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports {sseg[3]}];#D +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports {sseg[2]}];#E +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports {sseg[1]}];#F +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {sseg[0]}];#G +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {dp}];#DP +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] +set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_txd }]; +set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_rxd }]; +set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu +set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl +set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K12 IOSTANDARD LVCMOS33 } [get_ports {Buzzer}]; +set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports {SCK}]; +set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports {CS}]; +set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports {MOSI}]; +set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] +set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] +set_property src_info {type:XDC file:1 line:79 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[0]}]; +set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[1]}]; +set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[2]}]; -set_property src_info {type:XDC file:1 line:83 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_p}]; +set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[0]}]; +set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[1]}]; +set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[2]}]; +set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_n}]; +set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] +set_property src_info {type:XDC file:1 line:88 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] +set_property src_info {type:XDC file:1 line:89 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {data[7]}]; +set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M5 IOSTANDARD LVCMOS33 } [get_ports {data[6]}]; -set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N4 IOSTANDARD LVCMOS33 } [get_ports {data[5]}]; +set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {data[4]}]; +set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R1 IOSTANDARD LVCMOS33 } [get_ports {data[3]}]; +set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {data[2]}]; +set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {data[1]}]; +set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T4 IOSTANDARD LVCMOS33 } [get_ports {data[0]}]; +set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {lcd_e}]; +set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P5 IOSTANDARD LVCMOS33 } [get_ports {lcd_rs}]; +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] +set_property src_info {type:XDC file:1 line:100 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] +set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[0] }]; +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[1] }]; +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[2] }]; +set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[3] }]; +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[4] }]; +set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[5] }]; +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[6] }]; -set_property src_info {type:XDC file:1 line:110 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[7] }]; +set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[8] }]; +set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[9] }]; +set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[10] }]; +set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[11] }]; +set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[12] }]; +set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[13] }]; +set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[14] }]; +set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[15] }];#MSB +set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[0] }];#LSB +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[1] }]; +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[2] }]; +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[3] }]; +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[4] }]; +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[5] }]; +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[6] }]; +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[7] }]; +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[8] }]; +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[9] }]; +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[10] }]; +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[11] }]; +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[12] }]; +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs +set_property src_info {type:XDC file:1 line:133 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[0] }]; +set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[1] }]; +set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd +set_property src_info {type:XDC file:1 line:137 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[0] }]; +set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[1] }]; +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] +set_property src_info {type:XDC file:1 line:140 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { sdram_clk }]; +set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { sdram_cke }]; -set_property src_info {type:XDC file:1 line:143 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { sdram_cs_n }]; +set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sdram_we_n }]; +set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports { sdram_cas_n }]; +set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { sdram_ras_n }]; +set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk +set_property src_info {type:XDC file:1 line:147 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn +set_property src_info {type:XDC file:1 line:148 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports {tft_sck}]; -set_property src_info {type:XDC file:1 line:150 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports {tft_sdi}]; +set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports {tft_dc}]; +set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N9 IOSTANDARD LVCMOS33 } [get_ports {tft_reset}]; +set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P9 IOSTANDARD LVCMOS33 } [get_ports {tft_cs}]; +set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int +set_property src_info {type:XDC file:1 line:154 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_txd}]; +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_rxd}]; +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data +set_property src_info {type:XDC file:1 line:158 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { wifi_txd }]; +set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { wifi_rxd }]; +set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd +set_property src_info {type:XDC file:1 line:163 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {ov7670_sioc}]; +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {ov7670_siod}]; +set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {ov7670_vsync}]; -set_property src_info {type:XDC file:1 line:167 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {ov7670_href}]; +set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {ov7670_pclk}]; +set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {ov7670_xclk}]; -set_property src_info {type:XDC file:1 line:170 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[7]}]; +set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[6]}]; +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[5]}]; +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[4]}]; +set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[3]}]; +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[2]}]; +set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[1]}]; +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[0]}]; +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33} [get_ports {ov7670_reset}]; +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33} [get_ports {ov7670_pwdn}]; +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] +set_property src_info {type:XDC file:1 line:180 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] +set_property src_info {type:XDC file:1 line:181 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk +set_property src_info {type:XDC file:1 line:182 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn +set_property src_info {type:XDC file:1 line:184 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] +set_property src_info {type:XDC file:1 line:185 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {pin5}]; +set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {pin6}]; +set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {pin7}]; -set_property src_info {type:XDC file:1 line:189 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {pin8}]; -set_property src_info {type:XDC file:1 line:190 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {pin9}]; -set_property src_info {type:XDC file:1 line:191 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {pin10}]; -set_property src_info {type:XDC file:1 line:192 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {pin11}]; -set_property src_info {type:XDC file:1 line:193 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {pin12}]; -set_property src_info {type:XDC file:1 line:194 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {pin13}]; -set_property src_info {type:XDC file:1 line:195 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {pin14}]; -set_property src_info {type:XDC file:1 line:196 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {pin15}]; -set_property src_info {type:XDC file:1 line:197 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {pin16}]; -set_property src_info {type:XDC file:1 line:198 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {pin17}]; -set_property src_info {type:XDC file:1 line:199 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {pin18}]; -set_property src_info {type:XDC file:1 line:200 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports {pin19}]; -set_property src_info {type:XDC file:1 line:201 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports {pin20}]; -set_property src_info {type:XDC file:1 line:204 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports {vga_hsync}]; -set_property src_info {type:XDC file:1 line:205 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports {vga_vsync}]; -set_property src_info {type:XDC file:1 line:206 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports {vga_r[0]}]; -set_property src_info {type:XDC file:1 line:207 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F12 IOSTANDARD LVCMOS33 } [get_ports {vga_r[1]}]; -set_property src_info {type:XDC file:1 line:208 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports {vga_r[2]}]; -set_property src_info {type:XDC file:1 line:209 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports {vga_r[3]}]; -set_property src_info {type:XDC file:1 line:210 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D16 IOSTANDARD LVCMOS33 } [get_ports {vga_g[0]}]; -set_property src_info {type:XDC file:1 line:211 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[1]}]; -set_property src_info {type:XDC file:1 line:212 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[2]}]; -set_property src_info {type:XDC file:1 line:213 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H11 IOSTANDARD LVCMOS33 } [get_ports {vga_g[3]}]; -set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[0]}]; -set_property src_info {type:XDC file:1 line:215 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[1]}]; -set_property src_info {type:XDC file:1 line:216 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H13 IOSTANDARD LVCMOS33 } [get_ports {vga_b[2]}]; -set_property src_info {type:XDC file:1 line:217 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports {vga_b[3]}]; -set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D6 IOSTANDARD LVCMOS33 } [get_ports {sd_cclk}]; -set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports {sd_cd}]}]; -set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports {sd_cmd}]}]; -set_property src_info {type:XDC file:1 line:223 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports {sd_d[0]}]; -set_property src_info {type:XDC file:1 line:224 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports {sd_d[1]}]; -set_property src_info {type:XDC file:1 line:225 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports {sd_d[2]}]; -set_property src_info {type:XDC file:1 line:226 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports {sd_d[3]}]; -set_property src_info {type:XDC file:1 line:229 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C3 IOSTANDARD LVCMOS33 } [get_ports {vauxp6}]; -set_property src_info {type:XDC file:1 line:230 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports {vauxn6}]; -set_property src_info {type:XDC file:1 line:231 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports {vauxp14}]; -set_property src_info {type:XDC file:1 line:232 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A2 IOSTANDARD LVCMOS33 } [get_ports {vauxn14}]; -set_property src_info {type:XDC file:1 line:233 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports {vauxp7}] ; -set_property src_info {type:XDC file:1 line:234 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports {vauxn7}]; -set_property src_info {type:XDC file:1 line:235 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports {vauxp15}]; -set_property src_info {type:XDC file:1 line:236 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D1 IOSTANDARD LVCMOS33 } [get_ports {vauxn15}]; -set_property src_info {type:XDC file:1 line:239 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G11 IOSTANDARD LVCMOS33 } [get_ports { Audio_L }]; -set_property src_info {type:XDC file:1 line:240 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { Audio_R }]; +set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn diff --git a/examples/led_counter_xc7a100tcsg324-1.xdc b/examples/led_counter_xc7a100tcsg324-1.xdc new file mode 100644 index 0000000..e852a2c --- /dev/null +++ b/examples/led_counter_xc7a100tcsg324-1.xdc @@ -0,0 +1,188 @@ +# This file is a general .xdc for the Nexys A7-100T +# To use it in a project: +# - uncomment the lines corresponding to used pins +# - rename the used ports (in each line, after get_ports) according to the top level signal names in the project +# Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {clk}]; +#Switches +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { reset }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] +set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +# leds +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] +# RGB leds +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g +set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_G }]; #IO_0_14 Sch=led17_g +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r +#7 segment display +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg +set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] +#Buttons +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn +set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc +set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu +set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr +set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd +#Pmod Headers +#Pmod Header JA +set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] +set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] +set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] +set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] +set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] +set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] +set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] +set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] +#Pmod Header JB +set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] +set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] +set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] +set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] +set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] +set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] +set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] +set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] +#Pmod Header JC +set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] +set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] +set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] +set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] +set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] +set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] +set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] +#Pmod Header JD +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] +set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] +set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] +set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] +#Pmod Header JXADC +set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] +set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] +set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] +set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] +set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] +set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] +set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] +set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] +#VGA Connector +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs +#Micro SD Connector +set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset +set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd +set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck +set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] +set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] +set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] +set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] +#Accelerometer +set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso +set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi +set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk +set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn +set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] +set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] +#Temperature Sensor +set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl +set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda +set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int +set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct +#Omnidirectional Microphone +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data +set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel +#PWM Audio Amplifier +set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm +set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd +#USB-RS232 Interface +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts +set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts +#USB HID (PS/2) +set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk +set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data +#SMSC Ethernet PHY +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio +set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv +set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] +set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk +set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn +#Quad SPI Flash +set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] +set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] +set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] +set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] +set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn \ No newline at end of file From b7b8f3e13abdc7bd00a810b85526ddc6128a7427 Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 00:41:38 +0530 Subject: [PATCH 05/10] Streamlined the Output Filed Structure The current Structure is:- Virtual-FPGA-Lab -> examples -> out -> Design_Name -> Boards --- examples/.Xil/top_propImpl.xdc | 342 +------ .../xc7a35tcpg236-1/clock_constraints.xdc | 3 + .../includes/proj_default/clk_gate.sv | 38 + .../includes/proj_default/sp_default.vh | 8 + .../includes/proj_verilog/clk_gate.v | 39 + .../includes/proj_verilog/sp_verilog.vh | 65 ++ .../xc7a35tcpg236-1/includes/pseudo_rand.tlv | 69 ++ .../xc7a35tcpg236-1/includes/rw_lib.vh | 1 + .../includes/sandhost/README.txt | 1 + .../includes/sandhost/sqrt32.v | 13 + .../xc7a35tcpg236-1/includes/sandhost/tb.sv | 76 ++ .../xc7a35tcpg236-1/includes/sandpiper.vh | 71 ++ .../xc7a35tcpg236-1/includes/sandpiper_gen.vh | 4 + .../includes/simple_bypass_fifo.sv | 98 ++ .../led_counter/xc7a35tcpg236-1/led_counter.v | 345 +++++++ .../xc7a35tcpg236-1/led_counter/fpga_impl.xdc | 485 +++++++++ .../led_counter/fpga_impl_netlist.v | 928 +++++++++++++++++ .../led_counter/led_counter.bit | Bin 0 -> 2192111 bytes .../led_counter/place/post_place.dcp | Bin 0 -> 168011 bytes .../reports/post_place_timing_summary.rpt | 347 +++++++ .../led_counter/route/post_route.dcp | Bin 0 -> 177555 bytes .../led_counter/route/reports/clock_util.rpt | 160 +++ .../route/reports/post_imp_drc.rpt | 68 ++ .../route/reports/post_route_power.rpt | 146 +++ .../route/reports/post_route_timing.rpt | 119 +++ .../reports/post_route_timing_summary.rpt | 345 +++++++ .../route/reports/post_route_util.rpt | 210 ++++ .../led_counter/syn/post_synth.dcp | Bin 0 -> 143621 bytes .../syn/reports/post_synth_power.rpt | 146 +++ .../syn/reports/post_synth_timing_summary.rpt | 346 +++++++ .../xc7a35tcpg236-1/led_counter_gen.v | 81 ++ .../xc7a35tftg256-1/clock_constraints.xdc | 3 + .../includes/proj_default/clk_gate.sv | 38 + .../includes/proj_default/sp_default.vh | 8 + .../includes/proj_verilog/clk_gate.v | 39 + .../includes/proj_verilog/sp_verilog.vh | 65 ++ .../xc7a35tftg256-1/includes/pseudo_rand.tlv | 69 ++ .../xc7a35tftg256-1/includes/rw_lib.vh | 1 + .../includes/sandhost/README.txt | 1 + .../includes/sandhost/sqrt32.v | 13 + .../xc7a35tftg256-1/includes/sandhost/tb.sv | 76 ++ .../xc7a35tftg256-1/includes/sandpiper.vh | 71 ++ .../xc7a35tftg256-1/includes/sandpiper_gen.vh | 4 + .../includes/simple_bypass_fifo.sv | 98 ++ .../led_counter/xc7a35tftg256-1/led_counter.v | 345 +++++++ .../xc7a35tftg256-1/led_counter/fpga_impl.xdc | 356 +++++++ .../led_counter/fpga_impl_netlist.v | 931 ++++++++++++++++++ .../led_counter/led_counter.bit | Bin 0 -> 2192111 bytes .../led_counter/place/post_place.dcp | Bin 0 -> 166806 bytes .../reports/post_place_timing_summary.rpt | 338 +++++++ .../led_counter/route/post_route.dcp | Bin 0 -> 176103 bytes .../led_counter/route/reports/clock_util.rpt | 146 +++ .../route/reports/post_imp_drc.rpt | 68 ++ .../route/reports/post_route_power.rpt | 146 +++ .../route/reports/post_route_timing.rpt | 119 +++ .../reports/post_route_timing_summary.rpt | 336 +++++++ .../route/reports/post_route_util.rpt | 207 ++++ .../led_counter/syn/post_synth.dcp | Bin 0 -> 142372 bytes .../syn/reports/post_synth_power.rpt | 146 +++ .../syn/reports/post_synth_timing_summary.rpt | 346 +++++++ .../xc7a35tftg256-1/led_counter_gen.v | 81 ++ .../xc7z020clg484-1/clock_constraints.xdc | 3 + .../includes/proj_default/clk_gate.sv | 38 + .../includes/proj_default/sp_default.vh | 8 + .../includes/proj_verilog/clk_gate.v | 39 + .../includes/proj_verilog/sp_verilog.vh | 65 ++ .../xc7z020clg484-1/includes/pseudo_rand.tlv | 69 ++ .../xc7z020clg484-1/includes/rw_lib.vh | 1 + .../includes/sandhost/README.txt | 1 + .../includes/sandhost/sqrt32.v | 13 + .../xc7z020clg484-1/includes/sandhost/tb.sv | 76 ++ .../xc7z020clg484-1/includes/sandpiper.vh | 71 ++ .../xc7z020clg484-1/includes/sandpiper_gen.vh | 4 + .../includes/simple_bypass_fifo.sv | 98 ++ .../led_counter/xc7z020clg484-1/led_counter.v | 345 +++++++ .../xc7z020clg484-1/led_counter/fpga_impl.xdc | 630 ++++++++++++ .../led_counter/fpga_impl_netlist.v | 926 +++++++++++++++++ .../led_counter/place/post_place.dcp | Bin 0 -> 262589 bytes .../reports/post_place_timing_summary.rpt | 338 +++++++ .../led_counter/route/post_route.dcp | Bin 0 -> 271301 bytes .../led_counter/route/reports/clock_util.rpt | 160 +++ .../route/reports/post_imp_drc.rpt | 78 ++ .../route/reports/post_route_power.rpt | 153 +++ .../route/reports/post_route_timing.rpt | 119 +++ .../reports/post_route_timing_summary.rpt | 342 +++++++ .../route/reports/post_route_util.rpt | 207 ++++ .../led_counter/syn/post_synth.dcp | Bin 0 -> 238027 bytes .../syn/reports/post_synth_power.rpt | 153 +++ .../syn/reports/post_synth_timing_summary.rpt | 346 +++++++ .../xc7z020clg484-1/led_counter_gen.v | 81 ++ fpga/run.tcl | 17 +- run.sh | 18 +- 92 files changed, 12678 insertions(+), 326 deletions(-) create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/clock_constraints.xdc create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/clk_gate.sv create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/sp_default.vh create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/clk_gate.v create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/sp_verilog.vh create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/pseudo_rand.tlv create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/rw_lib.vh create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/README.txt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/sqrt32.v create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/tb.sv create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper.vh create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper_gen.vh create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/includes/simple_bypass_fifo.sv create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter.v create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl.xdc create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl_netlist.v create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/led_counter.bit create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/post_place.dcp create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/reports/post_place_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/post_route.dcp create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/post_synth.dcp create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tcpg236-1/led_counter_gen.v create mode 100644 examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh create mode 100644 examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter.v create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/place/post_place.dcp create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/place/reports/post_place_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/post_route.dcp create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v create mode 100644 examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh create mode 100644 examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter.v create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/place/post_place.dcp create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/post_route.dcp create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/clock_util.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_imp_drc.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_power.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_util.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/syn/post_synth.dcp create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_power.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_timing_summary.rpt create mode 100644 examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index 0d93659..d0a972d 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,323 +1,37 @@ -set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/examples/led_counter_xc7a100tcsg324-1.xdc rfile:../led_counter_xc7a100tcsg324-1.xdc id:1} [current_design] -set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk -set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { reset }]; #IO_L24N_T3_RS0_15 Sch=sw[0] -set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] -set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] -set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] -set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] -set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] -set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] -set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] -set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] -set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] -set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] -set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] -set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] -set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] -set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] -set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] -set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] -set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] -set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] -set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] -set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] -set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] -set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] -set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] -set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] -set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] -set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] -set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] -set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] -set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] -set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] -set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] -set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b -set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g -set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r -set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b -set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_G }]; #IO_0_14 Sch=led17_g -set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r -set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca -set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb -set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc -set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd -set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce -set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf -set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg -set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] -set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] -set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn -set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc -set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu -set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl -set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr -set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd -set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] -set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] -set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] -set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] -set_property src_info {type:XDC file:1 line:79 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] -set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] -set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_zedboard.xdc rfile:../../fpga/constraints/fpga_lab_constr_zedboard.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] -set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] -set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] -set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] -set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] -set_property src_info {type:XDC file:1 line:88 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] -set_property src_info {type:XDC file:1 line:89 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] -set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] -set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] -set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] -set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] -set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] -set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] -set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] -set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] -set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] -set_property src_info {type:XDC file:1 line:100 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] -set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] -set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] -set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] -set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] -set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] -set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] -set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] -set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] -set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] -set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] -set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] -set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] -set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] -set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] -set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] -set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] -set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] -set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] -set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] -set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] -set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] -set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] -set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] -set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] -set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] -set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] -set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] -set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] -set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs -set_property src_info {type:XDC file:1 line:133 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs -set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset -set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd -set_property src_info {type:XDC file:1 line:137 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck -set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd -set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] -set_property src_info {type:XDC file:1 line:140 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] -set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] -set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] -set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso -set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi -set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk -set_property src_info {type:XDC file:1 line:147 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn -set_property src_info {type:XDC file:1 line:148 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] -set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] -set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl -set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda -set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int -set_property src_info {type:XDC file:1 line:154 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct -set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk -set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data -set_property src_info {type:XDC file:1 line:158 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel -set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm -set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd -set_property src_info {type:XDC file:1 line:163 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out -set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts -set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts -set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk -set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data -set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc -set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio -set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn -set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv +set_property PACKAGE_PIN Y9 [get_ports {clk}]; # "GCLK" set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr +set_property PACKAGE_PIN T22 [get_ports {led[0]}]; # "led0" set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] +set_property PACKAGE_PIN T21 [get_ports {led[1]}]; # "led1" set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] +set_property PACKAGE_PIN U22 [get_ports {led[2]}]; # "led2" set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen +set_property PACKAGE_PIN U21 [get_ports {led[3]}]; # "led3" set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] +set_property PACKAGE_PIN V22 [get_ports {led[4]}]; # "led4" set_property src_info {type:XDC file:1 line:180 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] +set_property PACKAGE_PIN W22 [get_ports {led[5]}]; # "led5" set_property src_info {type:XDC file:1 line:181 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk +set_property PACKAGE_PIN U19 [get_ports {led[6]}]; # "led6" set_property src_info {type:XDC file:1 line:182 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn -set_property src_info {type:XDC file:1 line:184 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -set_property src_info {type:XDC file:1 line:185 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] -set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn +set_property PACKAGE_PIN U14 [get_ports {led[7]}]; # "led7" +set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L16 [get_ports {OTG_VBUSOC}]; # "OTG-VBUSOC" +set_property src_info {type:XDC file:1 line:219 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN H15 [get_ports {XADC_GIO0}]; # "XADC-GIO0" +set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN R15 [get_ports {XADC_GIO1}]; # "XADC-GIO1" +set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN K15 [get_ports {XADC_GIO2}]; # "XADC-GIO2" +set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN J15 [get_ports {XADC_GIO3}]; # "XADC-GIO3" +set_property src_info {type:XDC file:1 line:237 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN F22 [get_ports {reset}]; # "SW0" +set_property src_info {type:XDC file:1 line:362 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; +set_property src_info {type:XDC file:1 line:370 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 35]]; +set_property src_info {type:XDC file:1 line:375 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]]; diff --git a/examples/out/led_counter/xc7a35tcpg236-1/clock_constraints.xdc b/examples/out/led_counter/xc7a35tcpg236-1/clock_constraints.xdc new file mode 100644 index 0000000..414fe4b --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/clock_constraints.xdc @@ -0,0 +1,3 @@ +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/clk_gate.sv b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/clk_gate.sv new file mode 100644 index 0000000..e028887 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/clk_gate.sv @@ -0,0 +1,38 @@ +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Clock gate module used by SandPiper default project. + +// Note: No X injection for X on free_clk.) +module clk_gate (output logic gated_clk, input logic free_clk, func_en, pwr_en, gating_override); + logic clk_en; + logic latched_clk_en /*verilator clock_enable*/; + always_comb clk_en = func_en & (pwr_en | gating_override); + always_latch if (~free_clk) latched_clk_en <= clk_en; + // latched_clk_en <= (~free_clk) ? clk_en : latched_clk_en; + always_comb gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/sp_default.vh b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/sp_default.vh new file mode 100644 index 0000000..a733969 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_default/sp_default.vh @@ -0,0 +1,8 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/clk_gate.v b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/clk_gate.v new file mode 100644 index 0000000..5afd28f --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/clk_gate.v @@ -0,0 +1,39 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +`include "sp_verilog.vh" + + +// Clock gate module used by SandPiper default project. + +module clk_gate (output gated_clk, input free_clk, func_en, pwr_en, gating_override); + wire clk_en; + reg latched_clk_en /*verilator clock_enable*/; + assign clk_en = func_en & (pwr_en | gating_override); + `TLV_BLATCH(latched_clk_en, clk_en, free_clk) + assign gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/sp_verilog.vh b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/sp_verilog.vh new file mode 100644 index 0000000..0c28412 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/proj_verilog/sp_verilog.vh @@ -0,0 +1,65 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +// Latch macros. Inject 'x in simulation for clk === 'x. + +// A-phase latch. +`ifdef SP_PHYS +`define TLV_LATCH(in, out, clk) \ +always @ (in, clk) begin \ + if (clk === 1'b1) \ + out <= in; \ + else if (clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_LATCH(in, out, clk) always @ (in, clk) if (clk == 1'b1) out <= in; +`endif // SP_PHYS + +// B-phase latch. +`ifdef SP_PHYS +`define TLV_BLATCH(out, in, clk) \ +always @ (in, clk) begin \ + if (!clk === 1'b1) \ + out <= in; \ + else if (!clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_BLATCH(out, in, clk) always @ (in, clk) if (!clk == 1'b1) out <= in; +`endif // SP_PHYS + + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/pseudo_rand.tlv b/examples/out/led_counter/xc7a35tcpg236-1/includes/pseudo_rand.tlv new file mode 100644 index 0000000..cb0d614 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/pseudo_rand.tlv @@ -0,0 +1,69 @@ +\m4_TLV_version 1b: tl-x.org +\SV +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +module pseudo_rand + #(parameter WIDTH=257) // Random vector width, to a max of 257. + (input logic clk, + input logic reset, + output logic [WIDTH-1:0] rand_vect + ); + +// Currently, this implements a Galois LFSR. +// TODO: It should be XORed with something else so it doesn't just shift. +// Using polynomials with maximal number of taps would have less regular shifting behavior. + +// Bits are numbered in the reverse of the traditional order. This puts the taps in the lower bit positions. + +// Choose optimal parameters for given WIDTH. +localparam LFSR_WIDTH = + (WIDTH <= 64) ? 64 : + (WIDTH <= 128) ? 128 : + (WIDTH <= 257) ? 257 : 0; // 257 enables a large non-power of two for replication on an irregular boundary. +// Polynomial source: http://www.eej.ulst.ac.uk/~ian/modules/EEE515/files/old_files/lfsr/lfsr_table.pdf +localparam [LFSR_WIDTH-1:0] LFSR_POLY = {{(LFSR_WIDTH-8){1'b0}}, + (LFSR_WIDTH == 64) ? 8'b00011011 : + (LFSR_WIDTH == 128) ? 8'b10000111 : + (LFSR_WIDTH == 257) ? 8'b11000101 : 8'b0}; + +bit [256:0] SEED = 257'h0_7163e168_713d5431_6684e132_5cd84848_f3048b46_76874654_0c45f864_04e4684a; + + + +\TLV + |default + @0 + $reset = reset; + @1 + $lfsr[LFSR_WIDTH-1:0] = $reset ? *SEED : {$lfsr#+1[LFSR_WIDTH-2:0], 1'b0} ^ ({LFSR_WIDTH{$lfsr#+1[LFSR_WIDTH-1]}} & *LFSR_POLY); + @2 + *rand_vect = $lfsr[WIDTH-1:0]; + +\SV + +endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/rw_lib.vh b/examples/out/led_counter/xc7a35tcpg236-1/includes/rw_lib.vh new file mode 100644 index 0000000..39d5cd5 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/rw_lib.vh @@ -0,0 +1 @@ +`define RW_ZX(in, width) {{width-$width(in){1'b0}}, in} diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/README.txt b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/README.txt new file mode 100644 index 0000000..1816fee --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/README.txt @@ -0,0 +1 @@ +Veriog include files that are available only within Makerchip. diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/sqrt32.v b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/sqrt32.v new file mode 100644 index 0000000..23e5dbc --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/sqrt32.v @@ -0,0 +1,13 @@ +// A non-synthesizable Verilog-2005 sqrt function for tutorials. +`ifndef RW_NON_SYNTH_SQRT +`define RW_NON_SYNTH_SQRT + +function [31:0] sqrt; + input [31:0] a; + + /* verilator lint_off REALCVT */ + sqrt = $sqrt(a); + /* verilator lint_on REALCVT */ +endfunction + +`endif diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/tb.sv b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/tb.sv new file mode 100644 index 0000000..187fa4f --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandhost/tb.sv @@ -0,0 +1,76 @@ +// Provides clk and reset to design.tlv. +// Instantiates design as design(.*) so additional inputs and outputs can be added. +// Ends simulation on max cycles argument below, or assertion of success signal. +// Additional testbench functionality can be added here, or within design using TLV. +// See: "top_module_tlv.m4" for definition. + +// ------------------------------------------------------------------- +// Expanded from instantiation: m4_top_module_inst(m4_name, m4_max_cycles) +// + +module tb(); + +logic clk, reset; // Generated in this module for DUT. +logic passed, failed; // Returned from DUT to this module. Passed must assert before + // max cycles, without failed having asserted. Failed can be undriven. +logic [15:0] cyc_cnt; + + +// Instantiate main module. +top top(.*); + + +// Clock +initial begin + clk = 1'b1; + forever #5 clk = ~clk; +end + + +// Run +initial begin + + //`ifdef DUMP_ON + $dumpfile("top.vcd"); + $dumpvars(0, clk, reset, passed, failed, cyc_cnt, top.DEBUG_SIGS); + $dumpon; + //`endif + + reset = 1'b1; + #55; + reset = 1'b0; + + // Run + + cyc_cnt = '0; + for (int cyc = 0; cyc < 100; cyc++) begin + // Failed + if (failed === 1'b1) begin + FAILED: assert(1'b1) begin + $display("Failed!!! Error condition asserted."); + $finish; + end + end + + // Success + if (passed) begin + SUCCESS: assert(1'b1) begin + $display("Success!!!"); + $finish; + end + end + + #10; + + cyc_cnt++; + end + + // Fail + DIE: assert (1'b1) begin + $error("Failed!!! Test did not complete within m4_max_cycles time."); + $finish; + end + +end + +endmodule // life_tb diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper.vh b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper.vh new file mode 100644 index 0000000..26d3f19 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper.vh @@ -0,0 +1,71 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Project-independent SandPiper header file. + +`ifndef SANDPIPER_VH +`define SANDPIPER_VH + + +// Note, these have no SP prefix, so collisions are possible. + + +`ifdef WHEN + // Make sure user definition does not collide. + !!!ERROR: WHEN macro already defined +`else + `ifdef SP_PHYS + // Phys compilation disabled X-injection. + `define WHEN(valid_sig) + `else + // Inject X. + `define WHEN(valid_sig) !valid_sig ? 'x : + `endif +`endif + + +// SandPiper does not generate set/reset flops. Reset is implemented as combinational +// logic, and it is up to synthesis to infer set/reset flops when possible. +//`ifdef RESET +// // Make sure user definition does not collide. +// !!!ERROR: RESET macro already defined +//`else +// `define RESET(i, reset) ((reset) ? '0 : i) +//`endif +// +//`ifdef SET +// // Make sure user definition does not collide. +// !!!ERROR: SET macro already defined +//`else +// `define SET(i, set) ((set) ? '1 : i) +//`endif + +// Since SandPiper required use of all signals, this is useful to create a +// bogus use and keep SandPiper happy when a signal, by intent, has no uses. +`define BOGUS_USE(ignore) + +`endif // SANDPIPER_VH diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper_gen.vh b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper_gen.vh new file mode 100644 index 0000000..d063661 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/sandpiper_gen.vh @@ -0,0 +1,4 @@ +// This just verifies that sandpiper.vh has been included. +`ifndef SANDPIPER_VH + !!!ERROR: SandPiper project's sp_.vh file must include sandpiper.vh. +`endif diff --git a/examples/out/led_counter/xc7a35tcpg236-1/includes/simple_bypass_fifo.sv b/examples/out/led_counter/xc7a35tcpg236-1/includes/simple_bypass_fifo.sv new file mode 100644 index 0000000..601c655 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/includes/simple_bypass_fifo.sv @@ -0,0 +1,98 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +`include "rw_lib.vh" + +// A simple implementation of a FIFO with bypass. +// Head is stored outside of the FIFO array. +// When the FIFO is empty, input goes straight through mux to output. +module simple_bypass_fifo( + input logic clk, + input logic reset, + input logic push, + input logic [WIDTH-1:0] data_in, // Timed with push. + input logic pop, // May pop in same cycle as push to empty FIFO. + output logic [WIDTH-1:0] data_out, // Same cycle as pop. + output logic [$clog2(DEPTH+1)-1:0] cnt // Reflecting push/pop last cycle. 0..DEPTH. +); + parameter WIDTH = 8; + parameter DEPTH = 8; + + logic [$clog2(DEPTH)-1:0] next_head, tail; + logic [WIDTH-1:0] arr [DEPTH-1:0], arr_out, head_data; + logic cnt_zero_or_one, cnt_zero, cnt_one; + logic push_arr, push_head, pop_from_arr, popped_from_arr; + + always_ff @(posedge clk) begin + if (reset) begin + tail <= {$clog2(DEPTH){1'b0}}; + next_head <= {$clog2(DEPTH){1'b0}}; + cnt <= {$clog2(DEPTH+1){1'b0}}; + end else begin + if (push_arr + ) begin + arr[tail] <= data_in; + tail <= tail + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (pop) begin + arr_out <= arr[next_head]; + next_head <= next_head + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (push ^ pop) begin + cnt <= cnt + (push ? {{$clog2(DEPTH+1)-1{1'b0}}, 1'b1} /* 1 */ : {$clog2(DEPTH+1){1'b1}} /* -1 */); + end + end + end + always_comb begin + // Control signals + + // These are timed with cnt (cycle after push/pop) + cnt_zero_or_one = (cnt >> 1) == {$clog2(DEPTH+1){1'b0}}; + cnt_zero = cnt_zero_or_one && ~cnt[0]; + cnt_one = cnt_zero_or_one && cnt[0]; + + // These are timed with push/pop + // Cases in which a push would not got into array. + push_arr = push && !(cnt_zero || (cnt_zero_or_one && pop)); + push_head = push && (pop ? cnt_one : cnt_zero); + pop_from_arr = pop && !cnt_zero_or_one; + + // Output data + data_out = cnt_zero ? data_in : head_data; + end + + // Head + always_ff @(posedge clk) begin + popped_from_arr <= pop_from_arr; + if (push_head) begin + head_data <= data_in; + end else if (popped_from_arr) begin + head_data <= arr_out; + end + end +endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter.v b/examples/out/led_counter/xc7a35tcpg236-1/led_counter.v new file mode 100644 index 0000000..88607c6 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter.v @@ -0,0 +1,345 @@ +//_\TLV_version 1d: tl-x.org, generated by SandPiper(TM) 1.11-2021/01/28-beta +`include "sp_verilog.vh" //_\SV + // Included URL: "https://raw.githubusercontent.com/BalaDhinesh/Virtual-FPGA-Lab/main/tlv_lib/fpga_includes.tlv" +//_\SV + + + + + module top(input clk, input reset, output reg [15:0] led); + + +`include "led_counter_gen.v" +generate //_\TLV + //_|led_pipe + //_@0 + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 3 // Instantiated from led_counter.tlv, 15 as: m4+fpga_refresh($refresh, m4_ifelse(M4_MAKERCHIP, 1, 1, 50000000)) + /* verilator lint_off UNSIGNED */ + assign LED_PIPE_rst1_a0 = reset; + assign LED_PIPE_count1_a0[31:0] = (LED_PIPE_count1_a1[31:0] >= 50000000 - 1) | LED_PIPE_rst1_a1 ? 1'b0 : LED_PIPE_count1_a1[31:0] + 1 ; + assign LED_PIPE_refresh_a0 = (LED_PIPE_count1_a0 == 50000000 - 1) ? 1'b1 : 1'b0 ; + + //_\end_source + assign LED_PIPE_reset_a0 = reset; + //_?$refresh + assign LED_PIPE_Leds_n1[15:0] = LED_PIPE_reset_a0 ? 1 : LED_PIPE_Leds_a0+1; + /*SV_plus*/ + always@(posedge clk) begin + led = LED_PIPE_Leds_a0; + end + // M4_BOARD numbering + // 1 - Zedboard + // 2 - Artix-7 + // 3 - Basys3 + // 4 - Icebreaker + // 5 - Nexys + + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 32 // Instantiated from led_counter.tlv, 30 as: m4+fpga_init() + //m4+osfpga_logo() + //_|fpga_init_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 245 // Instantiated from led_counter.tlv, 31 as: m4+fpga_led(*led) + //_|led_pipe_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source +endgenerate +//_\SV + endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl.xdc b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl.xdc new file mode 100644 index 0000000..0a8d588 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl.xdc @@ -0,0 +1,485 @@ + +#################################################################################### +# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' +# Command Used: write_xdc -no_fixed_only -force ./out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl.xdc +#################################################################################### + + +#################################################################################### +# Constraints from file : 'fpga_lab_constr_basys3.xdc' +#################################################################################### + +## This file is a general .xdc for the Basys3 rev B board +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +# Clock signal +set_property PACKAGE_PIN W5 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports clk] + +# Switches +set_property PACKAGE_PIN R2 [get_ports reset] +set_property IOSTANDARD LVCMOS33 [get_ports reset] + + +# LEDs +set_property PACKAGE_PIN U16 [get_ports {led[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] +set_property PACKAGE_PIN E19 [get_ports {led[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] +set_property PACKAGE_PIN U19 [get_ports {led[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] +set_property PACKAGE_PIN V19 [get_ports {led[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}] +set_property PACKAGE_PIN W18 [get_ports {led[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}] +set_property PACKAGE_PIN U15 [get_ports {led[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}] +set_property PACKAGE_PIN U14 [get_ports {led[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}] +set_property PACKAGE_PIN V14 [get_ports {led[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}] +set_property PACKAGE_PIN V13 [get_ports {led[8]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}] +set_property PACKAGE_PIN V3 [get_ports {led[9]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}] +set_property PACKAGE_PIN W3 [get_ports {led[10]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}] +set_property PACKAGE_PIN U3 [get_ports {led[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}] +set_property PACKAGE_PIN P3 [get_ports {led[12]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}] +set_property PACKAGE_PIN N3 [get_ports {led[13]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}] +set_property PACKAGE_PIN P1 [get_ports {led[14]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}] +set_property PACKAGE_PIN L1 [get_ports {led[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}] + + +#7 segment display + + + + +##Buttons +#set_property PACKAGE_PIN U18 [get_ports btnC] +#set_property IOSTANDARD LVCMOS33 [get_ports btnC] +#set_property PACKAGE_PIN T18 [get_ports btnU] +#set_property IOSTANDARD LVCMOS33 [get_ports btnU] +#set_property PACKAGE_PIN W19 [get_ports btnL] +#set_property IOSTANDARD LVCMOS33 [get_ports btnL] +#set_property PACKAGE_PIN T17 [get_ports btnR] +#set_property IOSTANDARD LVCMOS33 [get_ports btnR] +#set_property PACKAGE_PIN U17 [get_ports btnD] +#set_property IOSTANDARD LVCMOS33 [get_ports btnD] + + + +##Pmod Header JA +##Sch name = JA1 +#set_property PACKAGE_PIN J1 [get_ports {JA[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}] +##Sch name = JA2 +#set_property PACKAGE_PIN L2 [get_ports {JA[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}] +##Sch name = JA3 +#set_property PACKAGE_PIN J2 [get_ports {JA[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}] +##Sch name = JA4 +#set_property PACKAGE_PIN G2 [get_ports {JA[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}] +##Sch name = JA7 +#set_property PACKAGE_PIN H1 [get_ports {JA[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}] +##Sch name = JA8 +#set_property PACKAGE_PIN K2 [get_ports {JA[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}] +##Sch name = JA9 +#set_property PACKAGE_PIN H2 [get_ports {JA[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}] +##Sch name = JA10 +#set_property PACKAGE_PIN G3 [get_ports {JA[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}] + + + +##Pmod Header JB +##Sch name = JB1 +#set_property PACKAGE_PIN A14 [get_ports {JB[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}] +##Sch name = JB2 +#set_property PACKAGE_PIN A16 [get_ports {JB[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}] +##Sch name = JB3 +#set_property PACKAGE_PIN B15 [get_ports {JB[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}] +##Sch name = JB4 +#set_property PACKAGE_PIN B16 [get_ports {JB[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}] +##Sch name = JB7 +#set_property PACKAGE_PIN A15 [get_ports {JB[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}] +##Sch name = JB8 +#set_property PACKAGE_PIN A17 [get_ports {JB[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}] +##Sch name = JB9 +#set_property PACKAGE_PIN C15 [get_ports {JB[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}] +##Sch name = JB10 +#set_property PACKAGE_PIN C16 [get_ports {JB[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}] + + + +##Pmod Header JC +##Sch name = JC1 +#set_property PACKAGE_PIN K17 [get_ports {JC[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}] +##Sch name = JC2 +#set_property PACKAGE_PIN M18 [get_ports {JC[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}] +##Sch name = JC3 +#set_property PACKAGE_PIN N17 [get_ports {JC[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}] +##Sch name = JC4 +#set_property PACKAGE_PIN P18 [get_ports {JC[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}] +##Sch name = JC7 +#set_property PACKAGE_PIN L17 [get_ports {JC[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}] +##Sch name = JC8 +#set_property PACKAGE_PIN M19 [get_ports {JC[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}] +##Sch name = JC9 +#set_property PACKAGE_PIN P17 [get_ports {JC[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}] +##Sch name = JC10 +#set_property PACKAGE_PIN R18 [get_ports {JC[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}] + + +#Pmod Header JXADC +#Sch name = XA1_P +#set_property PACKAGE_PIN J3 [get_ports {vauxp6}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxp6}] +#Sch name = XA2_P +#set_property PACKAGE_PIN L3 [get_ports {vauxp14}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxp14}] +#Sch name = XA3_P +#set_property PACKAGE_PIN M2 [get_ports {vauxp7}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxp7}] +#Sch name = XA4_P +#set_property PACKAGE_PIN N2 [get_ports {vauxp15}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxp15}] +#Sch name = XA1_N +#set_property PACKAGE_PIN K3 [get_ports {vauxn6}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxn6}] +#Sch name = XA2_N +#set_property PACKAGE_PIN M3 [get_ports {vauxn14}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxn14}] +#Sch name = XA3_N +#set_property PACKAGE_PIN M1 [get_ports {vauxn7}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxn7}] +#Sch name = XA4_N +#set_property PACKAGE_PIN N1 [get_ports {vauxn15}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vauxn15}] + + + +##VGA Connector +#set_property PACKAGE_PIN G19 [get_ports {vga_r[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[0]}] +#set_property PACKAGE_PIN H19 [get_ports {vga_r[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[1]}] +#set_property PACKAGE_PIN J19 [get_ports {vga_r[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[2]}] +#set_property PACKAGE_PIN N19 [get_ports {vga_r[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[3]}] +#set_property PACKAGE_PIN N18 [get_ports {vga_b[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[0]}] +#set_property PACKAGE_PIN L18 [get_ports {vga_b[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[1]}] +#set_property PACKAGE_PIN K18 [get_ports {vga_b[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[2]}] +#set_property PACKAGE_PIN J18 [get_ports {vga_b[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[3]}] +#set_property PACKAGE_PIN J17 [get_ports {vga_g[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[0]}] +#set_property PACKAGE_PIN H17 [get_ports {vga_g[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[1]}] +#set_property PACKAGE_PIN G17 [get_ports {vga_g[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[2]}] +#set_property PACKAGE_PIN D17 [get_ports {vga_g[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[3]}] +#set_property PACKAGE_PIN P19 [get_ports vga_hsync] +#set_property IOSTANDARD LVCMOS33 [get_ports vga_hsync] +#set_property PACKAGE_PIN R19 [get_ports vga_vsync] +#set_property IOSTANDARD LVCMOS33 [get_ports vga_vsync] + + +##USB-RS232 Interface +#set_property PACKAGE_PIN B18 [get_ports RsRx] +#set_property IOSTANDARD LVCMOS33 [get_ports RsRx] +#set_property PACKAGE_PIN A18 [get_ports RsTx] +#set_property IOSTANDARD LVCMOS33 [get_ports RsTx] + + +##USB HID (PS/2) +#set_property PACKAGE_PIN C17 [get_ports PS2Clk] +#set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] +#set_property PULLUP true [get_ports PS2Clk] +#set_property PACKAGE_PIN B17 [get_ports PS2Data] +#set_property IOSTANDARD LVCMOS33 [get_ports PS2Data] +#set_property PULLUP true [get_ports PS2Data] + + +##Quad SPI Flash +##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the +##STARTUPE2 primitive. +#set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}] +#set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}] +#set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}] +#set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}] +#set_property PACKAGE_PIN K19 [get_ports QspiCSn] +#set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn] + + + +#################################################################################### +# Constraints from file : 'clock_constraints.xdc' +#################################################################################### + +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] + + +# Vivado Generated physical constraints + +set_property BEL A6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property BEL C6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] +set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] +set_property BEL INBUF_EN [get_cells clk_IBUF_inst] +set_property BEL C6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property BEL AFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] +set_property BEL AFF [get_cells {led_reg[0]}] +set_property BEL AFF [get_cells {led_reg[10]}] +set_property BEL BFF [get_cells {led_reg[11]}] +set_property BEL AFF [get_cells {led_reg[12]}] +set_property BEL AFF [get_cells {led_reg[13]}] +set_property BEL BFF [get_cells {led_reg[14]}] +set_property BEL CFF [get_cells {led_reg[15]}] +set_property BEL BFF [get_cells {led_reg[1]}] +set_property BEL AFF [get_cells {led_reg[2]}] +set_property BEL AFF [get_cells {led_reg[3]}] +set_property BEL BFF [get_cells {led_reg[4]}] +set_property BEL CFF [get_cells {led_reg[5]}] +set_property BEL AFF [get_cells {led_reg[6]}] +set_property BEL BFF [get_cells {led_reg[7]}] +set_property BEL CFF [get_cells {led_reg[8]}] +set_property BEL CFF [get_cells {led_reg[9]}] +set_property BEL INBUF_EN [get_cells reset_IBUF_inst] +set_property LOC SLICE_X64Y46 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property LOC SLICE_X64Y46 [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property LOC SLICE_X63Y48 [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property LOC SLICE_X63Y48 [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property LOC SLICE_X63Y48 [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property LOC SLICE_X63Y48 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property LOC SLICE_X63Y49 [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property LOC SLICE_X63Y49 [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property LOC SLICE_X63Y49 [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property LOC SLICE_X63Y49 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property LOC SLICE_X63Y46 [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property LOC SLICE_X63Y46 [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property LOC SLICE_X63Y46 [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property LOC SLICE_X63Y46 [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property LOC SLICE_X63Y46 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property LOC SLICE_X63Y47 [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property LOC SLICE_X63Y47 [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property LOC SLICE_X63Y47 [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property LOC SLICE_X63Y47 [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property LOC SLICE_X63Y47 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property LOC SLICE_X63Y48 [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property LOC SLICE_X63Y45 [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property LOC SLICE_X64Y48 [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property LOC SLICE_X63Y45 [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property LOC SLICE_X63Y44 [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property LOC SLICE_X63Y44 [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property LOC SLICE_X62Y46 [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property LOC SLICE_X62Y46 [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property LOC SLICE_X62Y46 [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property LOC SLICE_X62Y46 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property LOC SLICE_X62Y47 [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property LOC SLICE_X62Y47 [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property LOC SLICE_X62Y47 [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property LOC SLICE_X62Y47 [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property LOC SLICE_X62Y47 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property LOC SLICE_X62Y48 [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property LOC SLICE_X62Y48 [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property LOC SLICE_X62Y48 [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property LOC SLICE_X62Y44 [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property LOC SLICE_X62Y48 [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property LOC SLICE_X62Y48 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property LOC SLICE_X62Y49 [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property LOC SLICE_X62Y49 [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property LOC SLICE_X62Y49 [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property LOC SLICE_X62Y49 [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property LOC SLICE_X62Y49 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property LOC SLICE_X62Y50 [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property LOC SLICE_X62Y50 [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property LOC SLICE_X62Y50 [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property LOC SLICE_X62Y50 [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property LOC SLICE_X62Y50 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property LOC SLICE_X62Y51 [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property LOC SLICE_X62Y44 [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property LOC SLICE_X62Y51 [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property LOC SLICE_X62Y51 [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property LOC SLICE_X62Y51 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property LOC SLICE_X62Y44 [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property LOC SLICE_X62Y44 [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property LOC SLICE_X62Y44 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property LOC SLICE_X62Y45 [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property LOC SLICE_X62Y45 [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property LOC SLICE_X62Y45 [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property LOC SLICE_X62Y45 [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property LOC SLICE_X62Y45 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property LOC SLICE_X62Y46 [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property LOC SLICE_X64Y48 [get_cells LED_PIPE_rst1_a1_reg] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] +set_property LOC SLICE_X64Y46 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property LOC SLICE_X63Y50 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property LOC SLICE_X65Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property LOC SLICE_X65Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property LOC SLICE_X63Y45 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property LOC SLICE_X64Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property LOC SLICE_X64Y47 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property LOC SLICE_X63Y45 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property LOC SLICE_X60Y46 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property LOC SLICE_X65Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property LOC SLICE_X64Y47 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property LOC SLICE_X64Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property LOC SLICE_X65Y46 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property LOC SLICE_X65Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property LOC SLICE_X64Y47 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property LOC SLICE_X65Y48 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property LOC SLICE_X62Y43 [get_cells {led_reg[0]}] +set_property LOC SLICE_X65Y47 [get_cells {led_reg[10]}] +set_property LOC SLICE_X65Y47 [get_cells {led_reg[11]}] +set_property LOC SLICE_X64Y52 [get_cells {led_reg[12]}] +set_property LOC SLICE_X64Y54 [get_cells {led_reg[13]}] +set_property LOC SLICE_X64Y52 [get_cells {led_reg[14]}] +set_property LOC SLICE_X64Y52 [get_cells {led_reg[15]}] +set_property LOC SLICE_X62Y43 [get_cells {led_reg[1]}] +set_property LOC SLICE_X62Y40 [get_cells {led_reg[2]}] +set_property LOC SLICE_X60Y46 [get_cells {led_reg[3]}] +set_property LOC SLICE_X60Y46 [get_cells {led_reg[4]}] +set_property LOC SLICE_X62Y43 [get_cells {led_reg[5]}] +set_property LOC SLICE_X63Y45 [get_cells {led_reg[6]}] +set_property LOC SLICE_X63Y45 [get_cells {led_reg[7]}] +set_property LOC SLICE_X63Y45 [get_cells {led_reg[8]}] +set_property LOC SLICE_X65Y47 [get_cells {led_reg[9]}] + +# Vivado Generated miscellaneous constraints + +#revert back to original instance +current_instance -quiet diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl_netlist.v b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl_netlist.v new file mode 100644 index 0000000..5d08011 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl_netlist.v @@ -0,0 +1,928 @@ +// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +// Date : Sat Oct 30 00:35:12 2021 +// Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +// Command : write_verilog -force ./out/led_counter/xc7a35tcpg236-1/led_counter/fpga_impl_netlist.v +// Design : top +// Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an +// IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input +// design files. +// Device : xc7a35tcpg236-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module clk_gate + (\LED_PIPE_count1_a1_reg[11] , + \LED_PIPE_count1_a1_reg[24] , + \LED_PIPE_count1_a1_reg[28] , + CLK, + LED_PIPE_count1_a1, + O, + latched_clk_en_reg_i_6_0, + latched_clk_en_reg_i_3_0, + latched_clk_en_reg_i_6_1, + latched_clk_en_reg_i_3_1, + latched_clk_en_reg_i_3_2, + latched_clk_en_reg_i_3_3, + latched_clk_en_reg_i_3_4, + LED_PIPE_rst1_a1, + clk_IBUF, + clk_IBUF_BUFG); + output \LED_PIPE_count1_a1_reg[11] ; + output \LED_PIPE_count1_a1_reg[24] ; + output \LED_PIPE_count1_a1_reg[28] ; + output CLK; + input [25:0]LED_PIPE_count1_a1; + input [3:0]O; + input [3:0]latched_clk_en_reg_i_6_0; + input [3:0]latched_clk_en_reg_i_3_0; + input [3:0]latched_clk_en_reg_i_6_1; + input [3:0]latched_clk_en_reg_i_3_1; + input [3:0]latched_clk_en_reg_i_3_2; + input [3:0]latched_clk_en_reg_i_3_3; + input [2:0]latched_clk_en_reg_i_3_4; + input LED_PIPE_rst1_a1; + input clk_IBUF; + input clk_IBUF_BUFG; + + wire CLK; + wire GND_1; + wire [25:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1_reg[11] ; + wire \LED_PIPE_count1_a1_reg[24] ; + wire \LED_PIPE_count1_a1_reg[28] ; + wire LED_PIPE_refresh_a0; + wire LED_PIPE_rst1_a1; + wire [3:0]O; + wire VCC_1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire latched_clk_en; + wire latched_clk_en_reg_i_10_n_0; + wire latched_clk_en_reg_i_11_n_0; + wire latched_clk_en_reg_i_12_n_0; + wire latched_clk_en_reg_i_13_n_0; + wire latched_clk_en_reg_i_14_n_0; + wire [3:0]latched_clk_en_reg_i_3_0; + wire [3:0]latched_clk_en_reg_i_3_1; + wire [3:0]latched_clk_en_reg_i_3_2; + wire [3:0]latched_clk_en_reg_i_3_3; + wire [2:0]latched_clk_en_reg_i_3_4; + wire latched_clk_en_reg_i_3_n_0; + wire [3:0]latched_clk_en_reg_i_6_0; + wire [3:0]latched_clk_en_reg_i_6_1; + wire latched_clk_en_reg_i_6_n_0; + wire latched_clk_en_reg_i_7_n_0; + wire latched_clk_en_reg_i_8_n_0; + wire latched_clk_en_reg_i_9_n_0; + + GND GND + (.G(GND_1)); + LUT2 #( + .INIT(4'h8)) + \LED_PIPE_Leds_a0[15]_i_2 + (.I0(latched_clk_en), + .I1(clk_IBUF), + .O(CLK)); + LUT6 #( + .INIT(64'h0000000000000001)) + \LED_PIPE_count1_a1[31]_i_3 + (.I0(LED_PIPE_count1_a1[22]), + .I1(LED_PIPE_count1_a1[23]), + .I2(LED_PIPE_count1_a1[20]), + .I3(LED_PIPE_count1_a1[21]), + .I4(LED_PIPE_count1_a1[25]), + .I5(LED_PIPE_count1_a1[24]), + .O(\LED_PIPE_count1_a1_reg[28] )); + VCC VCC + (.P(VCC_1)); + (* OPT_MODIFIED = "MLO" *) + (* XILINX_LEGACY_PRIM = "LD" *) + LDCE #( + .INIT(1'b0), + .IS_G_INVERTED(1'b1)) + latched_clk_en_reg + (.CLR(GND_1), + .D(LED_PIPE_refresh_a0), + .G(clk_IBUF_BUFG), + .GE(VCC_1), + .Q(latched_clk_en)); + LUT4 #( + .INIT(16'hA800)) + latched_clk_en_reg_i_1 + (.I0(latched_clk_en_reg_i_3_n_0), + .I1(\LED_PIPE_count1_a1_reg[11] ), + .I2(\LED_PIPE_count1_a1_reg[24] ), + .I3(latched_clk_en_reg_i_6_n_0), + .O(LED_PIPE_refresh_a0)); + LUT4 #( + .INIT(16'h0001)) + latched_clk_en_reg_i_10 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(latched_clk_en_reg_i_10_n_0)); + LUT4 #( + .INIT(16'h7FFF)) + latched_clk_en_reg_i_11 + (.I0(LED_PIPE_count1_a1[14]), + .I1(LED_PIPE_count1_a1[13]), + .I2(LED_PIPE_count1_a1[16]), + .I3(LED_PIPE_count1_a1[15]), + .O(latched_clk_en_reg_i_11_n_0)); + LUT6 #( + .INIT(64'h15555555FFFFFFFF)) + latched_clk_en_reg_i_12 + (.I0(LED_PIPE_count1_a1[10]), + .I1(LED_PIPE_count1_a1[7]), + .I2(LED_PIPE_count1_a1[6]), + .I3(LED_PIPE_count1_a1[9]), + .I4(LED_PIPE_count1_a1[8]), + .I5(LED_PIPE_count1_a1[11]), + .O(latched_clk_en_reg_i_12_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_13 + (.I0(latched_clk_en_reg_i_6_1[1]), + .I1(latched_clk_en_reg_i_6_1[2]), + .I2(O[3]), + .I3(latched_clk_en_reg_i_6_1[0]), + .I4(latched_clk_en_reg_i_6_0[0]), + .I5(latched_clk_en_reg_i_6_1[3]), + .O(latched_clk_en_reg_i_13_n_0)); + LUT6 #( + .INIT(64'h0008000000000000)) + latched_clk_en_reg_i_14 + (.I0(latched_clk_en_reg_i_6_0[3]), + .I1(latched_clk_en_reg_i_3_0[0]), + .I2(latched_clk_en_reg_i_6_0[1]), + .I3(latched_clk_en_reg_i_6_0[2]), + .I4(latched_clk_en_reg_i_3_0[2]), + .I5(latched_clk_en_reg_i_3_0[1]), + .O(latched_clk_en_reg_i_14_n_0)); + LUT4 #( + .INIT(16'h8000)) + latched_clk_en_reg_i_3 + (.I0(latched_clk_en_reg_i_7_n_0), + .I1(\LED_PIPE_count1_a1_reg[28] ), + .I2(latched_clk_en_reg_i_8_n_0), + .I3(latched_clk_en_reg_i_9_n_0), + .O(latched_clk_en_reg_i_3_n_0)); + LUT5 #( + .INIT(32'h00010000)) + latched_clk_en_reg_i_4 + (.I0(LED_PIPE_count1_a1[5]), + .I1(LED_PIPE_count1_a1[10]), + .I2(LED_PIPE_count1_a1[12]), + .I3(LED_PIPE_count1_a1[18]), + .I4(latched_clk_en_reg_i_10_n_0), + .O(\LED_PIPE_count1_a1_reg[11] )); + LUT6 #( + .INIT(64'h45455545FFFFFFFF)) + latched_clk_en_reg_i_5 + (.I0(LED_PIPE_count1_a1[18]), + .I1(latched_clk_en_reg_i_11_n_0), + .I2(LED_PIPE_count1_a1[17]), + .I3(latched_clk_en_reg_i_12_n_0), + .I4(LED_PIPE_count1_a1[12]), + .I5(LED_PIPE_count1_a1[19]), + .O(\LED_PIPE_count1_a1_reg[24] )); + LUT5 #( + .INIT(32'h80000000)) + latched_clk_en_reg_i_6 + (.I0(latched_clk_en_reg_i_13_n_0), + .I1(O[2]), + .I2(O[1]), + .I3(O[0]), + .I4(latched_clk_en_reg_i_14_n_0), + .O(latched_clk_en_reg_i_6_n_0)); + LUT6 #( + .INIT(64'h0000000000000001)) + latched_clk_en_reg_i_7 + (.I0(latched_clk_en_reg_i_3_4[1]), + .I1(latched_clk_en_reg_i_3_4[2]), + .I2(latched_clk_en_reg_i_3_3[3]), + .I3(latched_clk_en_reg_i_3_4[0]), + .I4(LED_PIPE_count1_a1[0]), + .I5(LED_PIPE_rst1_a1), + .O(latched_clk_en_reg_i_7_n_0)); + LUT6 #( + .INIT(64'h0020000000000000)) + latched_clk_en_reg_i_8 + (.I0(latched_clk_en_reg_i_3_1[2]), + .I1(latched_clk_en_reg_i_3_1[1]), + .I2(latched_clk_en_reg_i_3_1[0]), + .I3(latched_clk_en_reg_i_3_0[3]), + .I4(latched_clk_en_reg_i_3_2[0]), + .I5(latched_clk_en_reg_i_3_1[3]), + .O(latched_clk_en_reg_i_8_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_9 + (.I0(latched_clk_en_reg_i_3_3[0]), + .I1(latched_clk_en_reg_i_3_2[3]), + .I2(latched_clk_en_reg_i_3_2[1]), + .I3(latched_clk_en_reg_i_3_2[2]), + .I4(latched_clk_en_reg_i_3_3[2]), + .I5(latched_clk_en_reg_i_3_3[1]), + .O(latched_clk_en_reg_i_9_n_0)); +endmodule + +(* ECO_CHECKSUM = "96723c4c" *) +(* STRUCTURAL_NETLIST = "yes" *) +module top + (clk, + reset, + led); + input clk; + input reset; + output [15:0]led; + + wire \ ; + wire \ ; + wire [15:0]LED_PIPE_Leds_a0; + wire \LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ; + wire [15:0]LED_PIPE_Leds_n10_in; + wire [31:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1[0]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_4_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_5_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_5 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_6 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_7 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_7 ; + wire LED_PIPE_rst1_a1; + wire clk; + wire clkF_LED_PIPE_refresh_a1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire gen_clkF_LED_PIPE_refresh_a1_n_0; + wire gen_clkF_LED_PIPE_refresh_a1_n_1; + wire gen_clkF_LED_PIPE_refresh_a1_n_2; + wire [15:0]led; + wire [15:0]led_OBUF; + wire reset; + wire reset_IBUF; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED ; + + GND GND + (.G(\ )); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_Leds_a0[0]_i_1 + (.I0(LED_PIPE_Leds_a0[0]), + .O(LED_PIPE_Leds_n10_in[0])); + FDSE \LED_PIPE_Leds_a0_reg[0] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[0]), + .Q(LED_PIPE_Leds_a0[0]), + .S(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[10] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[10]), + .Q(LED_PIPE_Leds_a0[10]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[11] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[11]), + .Q(LED_PIPE_Leds_a0[11]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[12] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[12]), + .Q(LED_PIPE_Leds_a0[12]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[12]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[12:9]), + .S(LED_PIPE_Leds_a0[12:9])); + FDRE \LED_PIPE_Leds_a0_reg[13] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[13]), + .Q(LED_PIPE_Leds_a0[13]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[14] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[14]), + .Q(LED_PIPE_Leds_a0[14]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[15] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[15]), + .Q(LED_PIPE_Leds_a0[15]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[15]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[15:13]), + .S({\ ,LED_PIPE_Leds_a0[15:13]})); + FDRE \LED_PIPE_Leds_a0_reg[1] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[1]), + .Q(LED_PIPE_Leds_a0[1]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[2] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[2]), + .Q(LED_PIPE_Leds_a0[2]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[3] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[3]), + .Q(LED_PIPE_Leds_a0[3]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[4] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[4]), + .Q(LED_PIPE_Leds_a0[4]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_Leds_a0[0]), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[4:1]), + .S(LED_PIPE_Leds_a0[4:1])); + FDRE \LED_PIPE_Leds_a0_reg[5] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[5]), + .Q(LED_PIPE_Leds_a0[5]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[6] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[6]), + .Q(LED_PIPE_Leds_a0[6]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[7] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[7]), + .Q(LED_PIPE_Leds_a0[7]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[8] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[8]), + .Q(LED_PIPE_Leds_a0[8]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[8]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[8:5]), + .S(LED_PIPE_Leds_a0[8:5])); + FDRE \LED_PIPE_Leds_a0_reg[9] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[9]), + .Q(LED_PIPE_Leds_a0[9]), + .R(reset_IBUF)); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_count1_a1[0]_i_1 + (.I0(LED_PIPE_count1_a1[0]), + .O(\LED_PIPE_count1_a1[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hBBBFBFBF)) + \LED_PIPE_count1_a1[31]_i_1 + (.I0(LED_PIPE_rst1_a1), + .I1(gen_clkF_LED_PIPE_refresh_a1_n_2), + .I2(gen_clkF_LED_PIPE_refresh_a1_n_1), + .I3(gen_clkF_LED_PIPE_refresh_a1_n_0), + .I4(\LED_PIPE_count1_a1[31]_i_4_n_0 ), + .O(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + LUT4 #( + .INIT(16'hBFFF)) + \LED_PIPE_count1_a1[31]_i_4 + (.I0(\LED_PIPE_count1_a1[31]_i_5_n_0 ), + .I1(LED_PIPE_count1_a1[0]), + .I2(LED_PIPE_count1_a1[5]), + .I3(LED_PIPE_count1_a1[6]), + .O(\LED_PIPE_count1_a1[31]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \LED_PIPE_count1_a1[31]_i_5 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(\LED_PIPE_count1_a1[31]_i_5_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1[0]_i_1_n_0 ), + .Q(LED_PIPE_count1_a1[0]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[10]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[11]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[12]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[12]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[12:9])); + FDRE \LED_PIPE_count1_a1_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[13]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[14]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[15]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[16] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[16]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[16]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[16:13])); + FDRE \LED_PIPE_count1_a1_reg[17] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[17]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[18] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[18]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[19] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[19]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[1]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[20] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[20]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[20]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[20:17])); + FDRE \LED_PIPE_count1_a1_reg[21] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[21]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[22] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[22]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[23] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[23]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[24] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[24]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[24]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[24:21])); + FDRE \LED_PIPE_count1_a1_reg[25] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[25]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[26] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[26]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[27] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[27]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[28] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[28]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[28]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[28:25])); + FDRE \LED_PIPE_count1_a1_reg[29] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_7 ), + .Q(LED_PIPE_count1_a1[29]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[2]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[30] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ), + .Q(LED_PIPE_count1_a1[30]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[31] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ), + .Q(LED_PIPE_count1_a1[31]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[31]_i_2 + (.CI(\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .S({\ ,LED_PIPE_count1_a1[31:29]})); + FDRE \LED_PIPE_count1_a1_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[3]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[4]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_count1_a1[0]), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[4:1])); + FDRE \LED_PIPE_count1_a1_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[5]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[6]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[7]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[8]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[8]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[8:5])); + FDRE \LED_PIPE_count1_a1_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[9]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE LED_PIPE_rst1_a1_reg + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(reset_IBUF), + .Q(LED_PIPE_rst1_a1), + .R(\ )); + VCC VCC + (.P(\ )); + BUFG clk_IBUF_BUFG_inst + (.I(clk_IBUF), + .O(clk_IBUF_BUFG)); + IBUF clk_IBUF_inst + (.I(clk), + .O(clk_IBUF)); + clk_gate gen_clkF_LED_PIPE_refresh_a1 + (.CLK(clkF_LED_PIPE_refresh_a1), + .LED_PIPE_count1_a1({LED_PIPE_count1_a1[31:7],LED_PIPE_count1_a1[0]}), + .\LED_PIPE_count1_a1_reg[11] (gen_clkF_LED_PIPE_refresh_a1_n_0), + .\LED_PIPE_count1_a1_reg[24] (gen_clkF_LED_PIPE_refresh_a1_n_1), + .\LED_PIPE_count1_a1_reg[28] (gen_clkF_LED_PIPE_refresh_a1_n_2), + .LED_PIPE_rst1_a1(LED_PIPE_rst1_a1), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .clk_IBUF(clk_IBUF), + .clk_IBUF_BUFG(clk_IBUF_BUFG), + .latched_clk_en_reg_i_3_0({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .latched_clk_en_reg_i_3_1({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .latched_clk_en_reg_i_3_2({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .latched_clk_en_reg_i_3_3({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .latched_clk_en_reg_i_3_4({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .latched_clk_en_reg_i_6_0({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .latched_clk_en_reg_i_6_1({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 })); + OBUF \led_OBUF[0]_inst + (.I(led_OBUF[0]), + .O(led[0])); + OBUF \led_OBUF[10]_inst + (.I(led_OBUF[10]), + .O(led[10])); + OBUF \led_OBUF[11]_inst + (.I(led_OBUF[11]), + .O(led[11])); + OBUF \led_OBUF[12]_inst + (.I(led_OBUF[12]), + .O(led[12])); + OBUF \led_OBUF[13]_inst + (.I(led_OBUF[13]), + .O(led[13])); + OBUF \led_OBUF[14]_inst + (.I(led_OBUF[14]), + .O(led[14])); + OBUF \led_OBUF[15]_inst + (.I(led_OBUF[15]), + .O(led[15])); + OBUF \led_OBUF[1]_inst + (.I(led_OBUF[1]), + .O(led[1])); + OBUF \led_OBUF[2]_inst + (.I(led_OBUF[2]), + .O(led[2])); + OBUF \led_OBUF[3]_inst + (.I(led_OBUF[3]), + .O(led[3])); + OBUF \led_OBUF[4]_inst + (.I(led_OBUF[4]), + .O(led[4])); + OBUF \led_OBUF[5]_inst + (.I(led_OBUF[5]), + .O(led[5])); + OBUF \led_OBUF[6]_inst + (.I(led_OBUF[6]), + .O(led[6])); + OBUF \led_OBUF[7]_inst + (.I(led_OBUF[7]), + .O(led[7])); + OBUF \led_OBUF[8]_inst + (.I(led_OBUF[8]), + .O(led[8])); + OBUF \led_OBUF[9]_inst + (.I(led_OBUF[9]), + .O(led[9])); + FDRE \led_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[0]), + .Q(led_OBUF[0]), + .R(\ )); + FDRE \led_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[10]), + .Q(led_OBUF[10]), + .R(\ )); + FDRE \led_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[11]), + .Q(led_OBUF[11]), + .R(\ )); + FDRE \led_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[12]), + .Q(led_OBUF[12]), + .R(\ )); + FDRE \led_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[13]), + .Q(led_OBUF[13]), + .R(\ )); + FDRE \led_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[14]), + .Q(led_OBUF[14]), + .R(\ )); + FDRE \led_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[15]), + .Q(led_OBUF[15]), + .R(\ )); + FDRE \led_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[1]), + .Q(led_OBUF[1]), + .R(\ )); + FDRE \led_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[2]), + .Q(led_OBUF[2]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1247" *) + FDRE \led_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[3]), + .Q(led_OBUF[3]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1187" *) + FDRE \led_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[4]), + .Q(led_OBUF[4]), + .R(\ )); + FDRE \led_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[5]), + .Q(led_OBUF[5]), + .R(\ )); + FDRE \led_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[6]), + .Q(led_OBUF[6]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "965" *) + FDRE \led_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[7]), + .Q(led_OBUF[7]), + .R(\ )); + FDRE \led_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[8]), + .Q(led_OBUF[8]), + .R(\ )); + FDRE \led_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[9]), + .Q(led_OBUF[9]), + .R(\ )); + IBUF reset_IBUF_inst + (.I(reset), + .O(reset_IBUF)); +endmodule diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/led_counter.bit b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/led_counter.bit new file mode 100644 index 0000000000000000000000000000000000000000..9680ef063f859055fb0a80ef82f7702c96eb3e70 GIT binary patch literal 2192111 zcmeI*eb6NNT@dh|dFHu&cC)uTd(U1j+1%ZBHsOeG$zF~GivVfPGv;EDqa*6i(AhLx95ML-H3Q8%V6idof5-|QjL9C7J)&rn{d{-#t%v|6aep&u_bX=9%v2iOybpe)wM$b>0?z*8AS`^y}X8P49f~ zlTUoX^{;rtXnEaR-}&Be`r7w=!xy~z`m3+M^3~DXqw}Bpw#Q!czIQzRHLrf`wb47G z7le#QU-jtqS3Pz;`qR(@W;vDbXwV~Uw_M2 z$06~0H|~U0SVzNkln&N|yy5nlY$FV0KXq|`m((rc=Y@StRaY02_y z7jMj6_PwXp9;1o`2sBQh>^ZZ(1KfLEePi2LWXZ-_uB741-&sjBwP_?>9!V2^{mmfy z2OeHN2ss2!Cg6H_N1*?93xspl(mPNm=d+~d2>tdQClmad z+b;|6@O{g2q!Xw{pzPBx)%03zD|>IMw!NLV*7!456dgXXbHz#n=r!gJ)aAqOzhHS@ zBXjyuyg2S9$%_l`G`08cop!JD)(gaiMwk{VwYx$O{POPl)-wSD1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNC92!U=q40E*FSj=zSSj(Z|y$=jp-)JA3jDJbnjlLUl z?}JN5)wtxOaKz^emt0h^ct6A1Wy?p=0??;hgrX;BhLNQ(qOC2*!}(PyN{rt=;bdhSg`iR!oT-U5|xK9djO{;CCZkM zq8GomY@M>@OAg^=#n(Kso~+ZVYwvwo)h+I>y|_@KBlovX0_+O|olmI!{>N$`p#TXGAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5;&oe3Ot!(9@cUU#_OSr`>`{N!g<&`2$scqF=!#c?oPcTe_!+-^to7kAse z-j4|MU;L3HY0XV&%-yHQ6Ys^x=gZx?KytQC&pIr<6>{sYC+cwTWhbg$tIFAPa|cm8 zcz&+@Gj#PC%HiGm#B$O|@_V>D;kuHXw6R^*|M6XRUUlDZoIDq_r0M$KRUbtUynIRU zjZ97(8TEY7hkt55rK$U!aE#iN&!uGxgbKhCLT`zu~9e>EXO0N)8UfxvLxAoTSqk4ck8anT7?tG~7W$QGo8@ud%Ut>d`3;f!C_5!#Q#ht;r!AHvBxw)Y= zb~oA2UUzl6!>lDRD1|^R)}o8k&?gH17GZ_g*!h zVxIs30tB`v@Ob=auYbOOEnA15t%jGzOFs$&6(4VNP~GBiSrW{xc_am=SYQk6Bn6#hs8dxRYz@XOZ*!ODpd_ z9zOTy(OnYw>CX~t+D_}XT~StiN`zl9$R^RPv85{{2>zwqc4SD8tyS=s(q)GVOt z!ik4XrPZ~#rIz|{+EPb5=_c7puP5#F@ZX=bg?iPGkAyS6;*WBYBPHsV(0fJQB3d_| z@N@bo`x?H1U);(de{oigQd{`C>(-bze*rf2a(J9qLFmm*8laEm^Vyj>PLkS>?sAKM-ZeZ@=E=u1G@nu1{T6-pn;SoyZ)7(PH*q=q z)h0$Q=C%l=4H?MgFKI}iYlNAEI@wp_mK%+WxM;vh(Smg=x7ViMJyQRTYpqsY+5i z1PBlyK%iCvPelFfGp+k3K@IjNyS3fwPdyD#+aT8pEPS|_-LjH@)|j<1e+$c6?QXu( znIGJIA9^4_fB*pk1PBmly}R1usy%ac9FR`S)?M3009C7PA1ShS@mtz?mfP( zp7cY2Knn$Co+R4l`0oGIHhbH2U-70p*h>3n-#Y20As_in0=Crvfw;;TcXsZ2;RmW5 zhPDWl6lloF`QbNC#?_FJCjr|cK!5-N0t5&UAV7cs0RjXF5Fjus5TE@=vkCSH5FkK+ z0D&oiBKOxNp?vn0FG;?!WS!+_U-^>c8%x$%e)g3wNxpH+I+Qv0ACAdilojgKdMW-K zb6nSPJn&cQ8rc@&N<$ynSH7hA#%|a9U$fi(EAPGd2iQBiFJ;x3&-~R@CFB#RNTB%f zQoO@76wSW!CCxXMth4;=D__!l(r;*d+HO4NQMGe?wOKErnYTvUto0N?GJu0QM H`L=MF6|o%b!oF# ze{*S8H5uJ%nkm@If+QDEz6Y7i~^m;}>PuJMHjxz2iHL(Y^R? zHtO^=4!!7@KM!o6i0{)QUTpZ!XNq zq|ff1uv7UmX}|KOHAsK}0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009Ec5J;O5W`QUVUtge*A_UeFNY|=W$4ZWq zI?jri2oN|$0`ZEmuF79geS6st+}K`UTk5Gl>SunvrS8Yw%=e$~j|(i@IQPGnA#b1Je;*UC^Lpp2gzJVtM=!L zSH8P;|1Wue<+1Fp!QP-t>y|*?VS=kOIre$k}^68*XJouh{O9xx= z;CuEh9dB)CW7uCj?rgX}-d(tH<>JER9Vf;+?qBx=2oNA}dIgdw>Lk$DE!||qo^C|E#5ED;0cSa+5f$N>xBJQ zUwvd6--)95R`tzmkpKY#1PBlyK!5-N0t5&UsDVJQ1~F6;!rrSZX{JGq#I=w0@(FL5}K0UqH?Cqr4;g6$toQx@K5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009DX0`c5_-FAE5TepbBa~cKKe}ZZ|T9?0P zJN@jUmvk4MR?z3+PgKx|CI}E9K!5-N0t8OAK+@3B`&)nwoj73=AV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!8Bq1-fMee9@z2Yc#I> z-hzk+PVs__ENNN#lHx^^7sau#?)}d%Ox|T;;n;T>WQo2VC&jQjS+Qk*r*T<&v8GR6 zs)onO$p@tc0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAVA`NW;?uMw5wWaqOc00IOE)LNkEb1et&uJtek zM}PnU0y`FPJ=yUD=$-%p0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5)0B7u0xSeK)lxr7C~$%3?oCv~fcKVH(vvh*dzk0kH^k0VJE zb}Vq^6RQJAR~KnoiB1&(Z|d)$bibvF=(I5{aMHPY;CrT9v%L#}bgt90ubNA+OMn0Y z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FpTA zfytATldTn_y?A>?f#zfv9&_D?wD)M;BP<+WCC?}p?yYFSNmP@q6?GrHv!Z}(0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAkZ9vytIspO%2oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB=C_3&fl5prZDBUtCc`njx@;K*jf(uMt79Dwp3} ztejE=2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&U*r7nJ|L)Dfw`^V}-h3B&Xud!#{_f4P=PUcSHxIn5@6Go->>=G&M-}z6 z_ungONHe7c;w3c>{@aoa$pi=xAV7csftm{>HIHvTyu;7Tr`RVzfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PB~25FektUhd?{$;sA=macqyMFH6a zb||osXZ$8lPENK~jPcyBttcRy009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0yPtew-Zm{=NT_Nx1E5F>m{DeEFAl6HG6Fm z*vNB?M}Z1I&sc}!WX_~;>_>+!g#ZBp1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0Rj_&c(S?CtrvdrMw{0-fsG2xJ>$2rzV3PR z#+ueJ0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV6SC0{5-#=l-eRu)MAN zGFAq)q`*|DOG<1wc}u^wz5j{HI1ZkjY*(?Bo~xpjNgJQMce35$t!JYB7LU%*2oNAZ zfIy7|;w{H=&*^wAGq1X(SGDuq7TfRrWU+EBD^=>P&bHsTb$_n@+PCW;q6i5PAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oPwX zKzw}6g`d~E`1!{-B=>{@g=b>Y&zWDJY}-1Sz{2MqCr?gJwpMiWqF-B4KsEsa1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXX zAP|>`v0&N4Pto<#5}j|SXyMqm6OLZ$BCzn;#3jKMEL-?_#$LLlqunJJj(v9_>X`rm z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z0D;pW5YG&9c5f!@_RO9g0;ft~_PNK|y%`9{$qa`b0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7+9?p17*?6GgJ!?9MB}?D z>hCq~#=UX99`iKfk+GC1n^o>Vb)<>9CHQ-db(<0K5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyFc64G4u?Q+qcDmVm zFP>-II{9pe3!X$=`aI)&*%v-P+m<~71PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyKwz=JmG51go~6div*c_*AoqUJ|6AU8US?Qgc5ittIRx4xkl#1l zeY)tF@)||UD@T9;0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oN|<;M~H@e&OG2zp%PQ0;fXY+&3&9X0kY0YDEI^f_Cz>{m(y|ndcqN z*-6T&JG)+a&tm6vakA763gk~G-uwK~PV&5?IXg*N`nOzm5=}*&9%p>;v5Gp;41tyj zq*LQL`z2F5HVF_Q&=7&kw^j#w+-ro@wab@C%2#Q8jdW@Rt|wC%HQ98W)MO%rL4W`O z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5(@7P#m3@+$H2tOYsc zN-tDqz_i~s=w1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RkljUUKi^3UNrzz7{QUNX)+SCC)dj3A9w; z1?LwJEPFa}*%ONMdCSTzZdob;0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV8oRf#d$J!>S(QRRw19%axv~YKOp%1x|9Dl)F#3TmP-=E8F-|odn8aAeQF5cU7`z_c#{IT^0 zkv*Nb>G+aT{wE^ z%E?@gs~sPgc_gn~>8yHN=i~^Dgq;iAcYAXolza5BT&#_)I2@oJafB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+0D&NI^^Y}$VQ?ozepAuPyN{YOs)ccW-NMuwCO$G9)A(+? z>BP2dU;VtMj3izjXqMHYBj>*RmJfB)IT>Y{B^eezdW^(nTB(28{s-zGb03*w;GJHG{kF)|wS7sCM)ubbO=9@!74(z3^Dwhti9BgM;Gbqk|(m zYbC>=JEL{JKTK`>_Y1GA(-7t_3gi7{hg3)pbJSV-*ms=O_W;7=4+=QmGOeWR5ZzP3uD=-E-P`K;yZjRv#D#vlHd zTkNbx;-&vJbsF4c9C3pLKZSqKm~^#ZSLkU%_s zVcejg%ZJ*3w0xc2)QAV&o`%<5{j0kXF5hdIlemA-KLwN0pgBp?aPB$vEmWU(R&3WAwUUB6gp&S{71Ol5CxcIWowqJScj+M$uHAtX| z0$=gDyNY^n5?XNMNuGZ0@vznD?Tyy)!C?QM4~P9Yxf%U@*gqe29{KPvJ^GGajidU0 zAN+~x+bq`NvKs;N^dF6HWDUJ(xbB|+(J0&R9{fmjBaWiICx0}0TO9QtIT)tzJsC1v zvh4lL?gkPs?z)?$Pvs5vheg91l)3jP`EI@*mTU6&Zgg&H@Y@_o*>008xT!lNvXkEA z#5dW>iZy-Nd-v`}kSy-Hm!&Vso0*qxn4{q|b*HCmajVTqO%E0$W)WI@W zqPe>d?daNA=(DM8BC@Z18J)Y*c#@o5N7{2O84k4nu6)3CS|`)NbgvKhI!Wj8Y^yW) zdyM_4^Hey)^}0#;K7DNoI}KkRzEaBpWdHQD3-@r{O}aP3U8ygPE_Lt8Zclx7bRCJq zbtf6zuG$}*%W654@^ z|7A~@hIKYmVQ$ip)eQ$t`fSwgM!!2NAJ(l}9)3f8hSOUd%B3jF7=HD$^;4tENwz&W zkg~k&uxZJ1;rG>XAcKP<3#=QZ+3H}l4o66~pN3}`v*RSoA1&jJJfXqsOZ7T;O-ttU z5XIdzx)ojP-s%2WHVM}buB81aj=J%+^cCT37T@Z2;`7rro4);`S`8r0q6zL{-HAGv zdbgu~ryKQqVZR^Jvsc2mL)PWEpInM=hB7zPo1IQ4yjcnL+Ok}FrhX$y2O%Y+z&@Hy zQkaZnl#s8ZyuhejdsdfTQ{S)^iZ+M0IIrpU1C?HtzM( z?A2G?3ni2Ax+)Iq@Iib$Sa&+}>p^ZSzFqjX+74qlC%x!$?_BhF=bku;(h%#JD9Iw; z+fRn;Gud9$In$)yhcO6w`L_%IX?fm`a?*6r@s034G3|Cc&t-GbdwHCMcZr?wqu?8H zcJ%bR*>MuqgZ)sUJE+u(Z}{Y6?@ZHM-@gCcr#|@I(ZBlek39EW zdh6!RXTB?})0;QHYq(Cs`cAmKg#E>hH8J(Vr-=_Jp3!UK>gOkb5}Qdet2FwHFMH`)$ZnE@p*If+~R$Y z$G_C;pYLCLJbpA=KeB4QyKz+A^S$3+b&Dn1TljOG@N=y!y&FD+ztRiqKNo#xwtmC= zqURobb1!=2ebMbB>yZCQiGCGbSKzpF)uLBl>-M8waRGBu+-h4T&B?Z;i}!PC=cM;j z#gU@4MA^!VzAEOXzs9D^yB%NNx1sWGrJAg34dQJM45f%%k_MtCF_U2eCq>Ax87sJI;`{0AQw~a zElzGx>O6-~74jr0vzM{=;vsTSQS3{>zr(_rd1$xAB!ddAvpUMNE(I>Q7Ja z)nfiD5|W2YtKO*mvwT$bUx&9x*=cKhpo}8U z(pt4#_@NpN=Xl-WTx3tBWLxPd?pxVTxb410OFyaYUD#CCi#WR)KI)8P%y zUA^HY{ex+?PRUE`1CygbUi-q}lD(ajE!8`;y2dGfJ!o0WNShxgo- zl#1g}VQ{Dnewh8t!3V-eDREk|l_nIg?Xz=7@xm`J=yb+&(2JvMkB5tY`<9D;p>bmx zz9JsIjgP|*!P>T5z1@@%jiw?^52DWr*ZHXT)xAfqMcubICH^+X8TY&4*W7iY%i$N_ zg_pzKH)d~Fp8owU9BTgunlh+Y^zS>!t|uQn*ZD}V)Bn)B-~Wb3u6^t4zOIEgdHvbu z%t>~f?57_&xA!?OJm_~n_WlpO^6gK5;d`4BfAKgk{>|cL>s2xh56RanqN<{)>1V&i zhPM|b#R@j7)YbbM6YtR~~onJ)_kq*7ZWU_`~bf+eNL9W%mw*YslT-3F~m2eC)UG z{@=Tw-bEyv?XyYu3+Hn(SO=ERd?w7vTQ=*ni`J($+;;1JuG2P!cwLM zWvdogaGcz2<8kuvk5w&KX?t&sD{ZbBja~SMHI8$?jjyU!dq4E^tIKS>MEIe*Wg^h2 z(LEBSrly6TFXpqdcO&20;rh7A$UahBxisd((v>603S9j}p<-JpwD2wY!o(0xx|NW2 z(#h3d-$}PCJ59$WCR?MVglRbzcwl zvvXIr#Dnkc{C4%J#*J&)yU4~3WLdx${?4+TdZf4U+*A))Q4kNzb`s`-WPpZ=SfqccObqneXkdAdonGt=N}RUF}u(yS^X zpFkx77vE4xGuv$>*=~2+?rravH{WB`r^K0Sdv6f`@cZV6vXveVK2y4zv~-QE!g{q9 zbd@YfYgf_>KEL#M(y}!M$4Tj0M|(*|Ni)aE(PE8H>3`prhY&C7Z{>@zsY=P~4|C zU8BXy7Y?Yc$KI81EljYmV7f4MJ~19Aq~k3c%LJ~@UE`OPt7H1)0IXwlVj@6ba{||+ zCjH&H%{kPo<%|C(k0(jOR`ykJNy6W&OtL>+xoUYL+7D&3ri+zd)!rz-Y`g`VtwFJ? zzd8=$PWZJFx5j%#HoAABYpcs$i@NFXp{io#SGPDUai?^=MO#*m009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!8AN1+GU?=gB7@dsiGr zn0;N3(oR^No7&#XwtdydU^GYj!#RpOd;dI}w~vN-+wWYD?u^z^=QsZTw|zLDw^5yY W^L^|3%%{097yb?SH_(KC1OFf8f4DaQ literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/post_place.dcp b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/post_place.dcp new file mode 100644 index 0000000000000000000000000000000000000000..76052cb55d89f57124a55c01f0f3072f2f9f2de7 GIT binary patch literal 168011 zcmY(pbBrcV&@DW+wPRy<^o(uWwr$(CZ5unbZO`o3&)C-e-7jD6oA-}Or@Bs`bf=O| zrA{eGgF|3|fPlb&s6yx|GiKjxREV(dWgVQUkcB5R*5fE_aTRIlM^ zg-qhJ&Jk-P9yY>?1{Ff$CXZ#7?xi|SssZ!;5_=&FR;zXOl&dK0?KFew0sEV#N zO`)n*Qa)l~mh14|R8nHF_okaIVIT~rHA5k)6~i|(z3#X_5Y1jj00!VZ>?olb^{~QT z{8H5)sq=odJveuRo(?;Lalk8pTsMT^`3Lp{`dgn!^~#Y4GTRn{MO4|2Q4lz^9_2C0 zY>6%+=)Gc!=)*SZEeX(d+B(I_MJ@0ZT*K>wS*D-D3$t|cvHHHts6C>EQ z`Fr`)u{HGZ750`X3LnndG`d0axcF(DTYSs2;KYC=J|a%wbWJU~q_pH0t>_SJm_p-#2c=@(!u+zmgY^nW7ni2LiJApZ(wDx!60< zo0^!}rn@R&=)GPG;-Z#thft*1o$Z8*CS0ebU1MOVaJik;m3HBY=x~)_8JVVsm|5!1qA>VfZ3&Q~Uh%Q|G;Kd*6ycbLYi*((*F}eMFqMSZMKGzs!KRA99ql+0# zTtD8|&xOsI$q3z*zqyC=Xp{QG?0Kq4kGs4y*~}HP%c3I4E)>X|lH>TKW-%Ayi+)iDumC|MV@~qBbdm?K%wVDFmT>3x`bs$Ba$Cph$4IpT*@%kDw^Bp3BZfTK zf!yX?T-1e5b!l2KWeDV51M=%#EumxWY7ZEBA|RQuj13wNx8CcO`UbG-V$N+r@gvL4 zM*%ngBAAs>!Q8-No*6<+eEf9O3uyH{Ki@^;b&X{aB6yYuRWIFAtfX+HJ=dcI; z4Aeh9RqD|!E(+qBt_?)^E_ro4cz+?D!mnw8MWv;g6aSWgB~2JZsfXW-g)ZGUa7A0J zP!7PFXZrJFo&#k)nae*(&r&TqxG?SQn0~LaTdbV-Ms6!QmsC#G`A$H9t-0Gh`P#^b z%XZjhmAJj6O?jA&nf2X2R@LGie_R7wuNVw%(sI;3I|-5rXqM~BI=&#{%<#d?oP#IP z={Xnb83J8?*?3W6Kj3=6%?^i$pX9xtLjv@zDvE_XDCc$=smQT9kA9i;5ctp2v0pQ# z!LNXbA6R_rxE9z58;dj&jc&bJt#h=hRI{DjR{ScESBZzf_}uxudHs*t+O5A|tND{y zi$dJG4%fZ>SGnx>te{47jX{_Q(CDkx!ntj1pLpK$c1H2q#`je#)`WcJwd>&{_ScRw zcz+Oh*Gy>1JFJyNXuKugQEKLalN{~7A=-jC z-nB_~O);AaSOAIl;c1XV8B&eShJC}hJMj}Uy5>F6L|jm(BHidU%XvBxKj)=Oh0t`d zTs#}?e(8{Zsn?`>#_}jPl?J(Bt8`$Zh{I=F9ihz=J@qYxHm9K?@J?324W4N=j+60l z@yrLG;9Nh{DA4AU{blhqwpx+xD)$B9*m_zAn8USNptID_6+THY&^6A^Oa^%4FAHJJ zSq!Q)DnO9ybwRR?z>nlV9}vc&Mp| zEYdUyiwnJQ;W{CP{F5Z_D4!DFRuHgVqDT|z<;De1=^OnP+qz2MWq*2bc&J^(pSee| zLRHDT7|YL2``&&f<0HR~tf7F{|Di;f)Z}lGotQm zw*Et#R)w%0oT)vmwLETmOtoLbXf=0ag>kq8_}WW5yXTNvtWz%+z*_ko}+MGM5)jJs80t zk4Ys2doT{#H0&l>5-x``mKRRJ8!`AS4oHv9RdkR93pnyIpoU8l!%PYv1W?JCXx}60 zM6W>8Q+lKjFWfSi+jxT7qsjkvHzik+Pgf#p%QemlL73G_%Y5HbhVLIOEOJYtIwIi$ z2{JojjvS|1lW(%K?DN}ZoIZ0-Vo_jDI=fm6?BKE6H*E7&85>&gWME7mn!0wkN&rz! zSS%%{-u3{s3U^{m89_+1f$5h?ZVQ*r#p|sjl)19 zjt-v$IO=ndVv1p8RDzPpC}h7w*$EVN6SEp<D~(uU!fzr zGOlM>do(pWH1$Kk85e4U&x#pPTH^^aWVCy$D12yHok~R=Nm-F+3^2B37OUVm;3oLc zu_EU4gpX7qh`(M+4ZyD!{shcE1HZEx1u zz4f(7Ez=H-Qi>U5DY(}!vn?^G=>@0RZ(@ky;bkxy{%EOJs@s56he_tzz<&1iD5L?m$ucFaSJ`M;)*a$^Hcsnu`pND`lya|D2 zAmY6+^JI&#+DHs#5XSDEE0$)zjHulrPEdvKH7{kq#4(cFg(NEP)RwfecI6GxjHrF0 z>_~IRqTk4>@R8MyzU;)WRhnC4RA(0MCq<)wQUS7ed<$yzeQvREdHl^iEQacML!wBz zt+#;}WxV_{?_h4C1O?|F7ZTERU_$M_w5IrX7gukz=IZAw+Q2CXOI<%eiYSk=1HoVt zosj*^P~k_r*&ph#UH0Rz(>1-Rj|p=^M$1;rRBQTJc(igKlD~4C@igIsT$1@wTDb9b z4^@dDu^Gb7F=eWzcR2I_Sx2hT#xK6Qk(+wfiW>L8yG?t(f12zw``72JY3H@z) zaD{QXgx0|4$mE(-AZ}_eEt>({`r2V?PSP+LIjK}YDCNf|Co{AlaJv}h%NdqTeVk|W zpY}?d9J!>>rT#YSX1%t{^{OjSho2QWZk)yXDKqIuiesKAZlVCIFor5sayZ?SclARh zPXy*dt?VNzO~a!+|7k9%t?xd$*kzDxg|%tv$+kAr-7)ikMKz&OsVmOfAMHo-rW$FQ zP(89Pe(HK>!XykyQ^be!b642ul{b`=2NC=v8agti67+RbmqU5x(jw1;Nk&owHp1*BN z4>?Z$EkG7hAju}9L1X|b*Ftb3dtt{m6(e^%P#V%nku-iOeR5^6WNc1m_3sQ6T(rKX zE%#9=+Jr=luACH}7Rm_pwjYdB*c+8^l6R!&*ZjP|c0jWT-tlGM4FGE+e2NH{w{l}T zj>|w{uO!gMg)4fadHbpvM=mi|5>&dP*jgXg{~av=KpY^mTy@1>A@A+@LBUYPUf1{B z&s_5ZGO#$-=iXJ5!tBFQtdbJs!D$To+FIqb>pW#^BR3g98^=IqC_+jKl*B$o4Spo| zTwzS^r5@nzW~v6nIs3#p10{uK?9w8I)3!gubWXZ_$^QDLUwwtyoR<2M0TawhXr>-7 zcD+6!6s-q&2yN{!YdKNw( za2g(P`bU|X2pOAltr;|sF8syPZB|nkZlAHQ720@J7eaRr-eAwZ4kb)9S1N3O7j(ff zVyu-O{2etJJUxmoJ?J`R<8If)vA0rP;ktC@`WiKh*SMDC58srtSyd`I* zHOF^ddL{3R3Hq5lUG{zSGS{4YvC=FVN70pHG&=9`(@JEw7pZT2Tjv6WrzlHP>xCRi zOXG8qWIM@5khyZVNPMbD{IeX`{0>;e=&esqubWMWuK5l9d0GOr+E7= zmmm1r5gUq=GYo#rTwqBK2)2HVTUq6X`{)`Px2$-7aYw^1Bk$vcIU0Ln zc5fD51S)}AvRN~AD~lT0QCj66@CSfVoN#Adwza3^!23-V>q;S9170W4TDC;Zyw=JHSnXYPaL1 z@!vZ<+MzUjXN+!-Or)D?kfP=w7_M%|t1Q+LdF%5=!BR@`RMCZ( zBJf~{pJ5%Nr9b};oJW;?i?#p7jKi}yOf=I(Bi)Xn3|uDIH9HJ7ot9W+*xPUjE{kO) zTH}xm5*5-TJp*OI48A@!SRGc)+u_54T`x5T^bPM3NF`HyHOwJT--(}M-u5i_$>GubF02pnx7u+5`x4iU z@kQI=pDH5;c0e_Z#$C*?k1V;(*zOw**B!_E9R|e_sU^#95gxXGSIScliT96ZAH;U> zAOoahj)2IzgG9aMcDj{?q@3WTMDn`J`19p!Xd$x1#A{~MFzRNLmz%J5!s5(B*2z~k z8`mnGP+17T^1*%*T&NS^yjDFeeB?QS(X-km{%Mt6H`+vW9k-HQe`)7$^4GPjb_;_m z3PLwi{yOAun%yYM#K|Kchg|#Q{Bi_E!54xCZ8OmdtO0wyV19 z>d+uWTV3&jtLwdL$YNSs{qLxw9Cn@7$&h+1`kcTDb)Qy_m@4>wjH@)TZHvz=qtoI} zlk}$L!EEBa183s#1=#V4be-Q7utz!af2QAAvZB>hx;&TU!v^9mQTW{#62w$b2;XJ& zQr36XHg>~epjGj~w51X|{?YevgZ6N2HyW1>P<%5)7{{b)BN7C=)G7kL8$2b$4izkOxoZlwdvRjIiPx#=&sY^rfM{>`aEuR$tkteiOnY?gKxw0kz88 z(A^-$@Dko%Vs73)9|jxipZ9xrLp6tQkw!SX)8su&yTS4X<1^t?_o0L$;OeVHYjWvF z)&HC`QQMfvSd6F6bRP<|n8yHuzHqWMl-vGWW;m-Mlus5DsG^}6ot>9QNAnhIMS~#Q zWiJbT`*6d@XGJ;rsi^IyXZ4(r_ZDc`En#1-kPFH%xYolkg;EN{@tbM$lEoKsMCa(BJ!|-m%@<+gnUd(=R)0d zlq`RGDlsXWvF80=*hDL$A)_`t5^SNbMygBZi_rmAu+xG+z^&Y)jVz!yhd z$SGmHr|G_%%KkVs$#6q1eP*Z1bv(Nvst*p+Uij}reDJ)d4QrrKh+pIz60*#d`wOpo zu22Tzq5oDAeLAA0z;|M-$ZyA*@i$R5JH$eW67hC*Qh#RWHh4vC0~H9NDSLCJZz)Pk zJ%*iQ_ybL{308~F_<)?T3`KeS?gcxd6K6BIkm?&Fv*4B-N}G4W{Um7gEwi)Vx&d87 zC|+ym)9PJgJ8XpqD(+GMO_gg=fM2EGs*W_4kx>JHU zIb^{meQ{_(l9XOm7QNGNFgZIonuHTV_r1#2J@=8o4_!-6=v)T!N0wDh!v5vJm(!W3 z&5Yu~{$PYIB6hf9p|+2W_!k>lOt2#ZoQfcN+>q3&i;=(H=(lq`o?}EE+`;UaJ(A}= z$t?t1VFPvYoOI#aw8#SWK&-5>`9GNM5VD*A)(^-^$@0p5E@KG&!At^=q>Y#SUWH|G z^i_b|o||8o0ROHMR0X*cF#JzspKn+KmV7!I)j%+4kur$F$T?WYr%vVX>ECD}R!*5Z zu7Mig5@;yD^@~&h<5@}(%O;DG!cobsg}~zMcXQpYg?>0}%egeg=AVk^6Sm z{q+{C?7N=wa=&5^sonPn5FkcUz@enCvNI{@7iOibYOAS&Ds+^liO`F)OFD`bx)VTm zi2YSeDagXgCcz0}Db<=#lbx!lli^4&P9A0}p6{rM;cO#s$F}%!6HzSL=69B4op`S` z7d(mdH*=Aa)bQlI&OwBqNfcpAu1@hG;*;I<~7RNw>eY~n^p6phNR~llaM=C1Lsc(E!NlgEngb)4ogfx!|F$1^V_v<9knEe#tx1f|XXS|E*Ip zPPjI5ik<6|UK#U4@7sA$+LTyRc!S-$TdEc(hkFhPr&~c>#P&lfJ6U@bUgp5tuX(; zSZ8Tx>|`q^Xf-5&(EWnh`3pd^p;z898gil(&ccbeUlj-RLd=$h^YtabJx!V=h7HRU z^d?|=w4I6Ee8S$81r{Nop?$Ov^#RZpR74z-DlVVGlj96Kj@AjDNCPM|9F{<2FB0Fb z4s=PNH+7YX)IMY%pe%kv|7{11NKqP1zaIgX>pIbp`!>YTxm9Wr6o(Qk*<(?D^43F$?mon|PKW+&fs4;RY)nD5fG}4V1t}$IOSVeTzxP5@g3e}$=CEw zEikL3q$M)CPf%J4VXeqET0GW5k6H4OlXn4uTdPFvwC06^FnWybKoML?R9-6#$2F7i zN~5{MC^GYPtwY!@d&-qfjeY%L+f zHx7#2{wec7918s<=A>0`B8}azsm(dC66C<ze|tG%1L@a z5R18!1LS@)3qixpm)f#V!mMc|JIF^QeQ8a2xoU`@Lf-U}{H{1cFHUl+VtZdP z8N15PomNicQD)8}5@Rjbj3-e~J;*r06Im3E<0mYM61!y6cI;;2^@P-msa^1k1ZKR7;v!H8-OS{@su6vGn#Oon~%@cBn9} zA^@v(UWqA88{Uu@SJ{>2t8_KQe)7a@P+L1)SSS`p56`wx0`BLL4spv05&++qVJcQ+1-VEhw|Bp zbW5cp7%O!rmt&*ujAgc#?8@EIngV&Wv~xCYyCLZB2nid#8W;-(RmH^#yuU7X73)cpoFe#b6rzi zUXljJv%b(u=dkXv9Hz6)*h-u&c$TfZT5`Z_B{=JmE2!?&8WWQmgkO}1y3vCPYbgGh z=!hta+q31b`i5fku!E{}WaXo{=C|Ugev!4NDKp`aeApm1r`fP=r567!6;O*ZIdrp- z2Los;5fu^rBvhJhaXbzosr|f>=V~L}*A=D414@0V&}_Mn>EgS2CUAz1AGzNm=n=|5 zps(A;0$*Q*2W7fP&P(zN-X2q{PeQ1g!xU(W8=t`PfHt63JS*`ThI?f^21+x`Q| zaV@nYEa0DPl{Z;_!G|5Z(3P@=6l30DfaCK1Fdo!4oz%KtA+1Y&(S>+3Y#?7%$f{I` zcg4qJ3^wFU(9jSOcys|@ccXa-htcyXP%;|~P}dfb$Q+|}3Iq2`YRW8pd5_MYR3|iW zy4UTb*t3r}L?qX3$f!h8mm@hsu@Q$HOkuCUn*Ps5_dMfFQtk^c))F};$>#ncjiCu_ zQz7ejMOOh)fM?*n#tx^mOm-svbSj^?`b%Z?;TW*YI3G>Tt=%FgE;?}?kER^qUi!y3 zN)5k)Yth)r&aR>#H?$0L!JGJ32sJ2Q{QP#5oi{<%wPuR)3{SI8ALXsDOF2Kx(#sY4 z9T!Pn5Q4fK$+?yd8LazGcwhrq%R7vu{RA|hsPsMntSFb#K z2dea^|6**c2kjSY5Xx=+Y0nBajz5uzq+05lL^rd+! z)}?6rfPQB3PsEurq%KsTXcwF6e9DofDd+@Xu(2{|2mG6?Odj(Q$+M>=QyG$ucIsHu z`5LZ=_Yq7te+2pL2GwOVoy^;77~L;JibsH=g)=RRn1d+wHRszC+01j06<6VaA7dK& z({2l>mIe5&V;PuC;;jgQb(N)Vx&P#eobua{0`^QF^|8RH3%{&&GiAzQDiGf81&cvW z#L5n}5;A%mi4*YUjkEoXB}#CMy0%0xb8J-$=`M{E!E&r@h4WnL1GQ^oW^i}@1^;LX zJF2ZbbU<1I)wcF9C{P-H0-e!Iwo`XCgY@-@6G0>b&yg9a+D{aThT$(FVQ{jZFu|q& zlxbRih96Q|euhxAEm}=lzO@?SRUJ9v&luQ(fPN$RteZYJ){)08tN`56nbp##r^Y9RGk;-@1|IKmu z#dS1228=UT$3FOzG#D4lx(DmUV53+OF|Z9XOYBM-%P)u=v=fTc?=atc%do6wut8Z$ zBo5F}NmdL}G#G8KKxOU~i62$FZ|`qx;X!--!biBU1>nTSU)UfDX}OcKM{^#>`2GHmGv?8GtFI`!Yrt3_cqc69v%^1q8RgM9K5ax@T-6($gn z|7x@UZ&b|L!qCBVMa$bEXT^25Yw?=U_utA+PxV+!L$dtv}U%Cgqt2$akNg6kb z1`;DOn?M45@K#Sqq2XeIq_U8Nd2(SbVoN29tl4=MOR7La%9Kvn6!E}j;eU%`^Gr(# zu$d52$z`WG-hE%sA2srV{$k+&oc&JSZ#r&1y6*L|qeT4fOSs%$0)wHEwllJG`bgAw z`Q2xH>Ui8;uJ1d@9?FlU)b*X0_QBP!`Lk#cj-Z`Kf}x!+2`32h_?i4)-`{+*)!Rf# z6JuZfj~?AVQ~6utE+tIHWcnDR>M>#%sSj>vwdK2)I^g`SzF|`7f0akaI@w+pO_~_D z|7C_mT~VZwV|l*L=XQ_?QkcMTJB_={-DJ-XsyP3>RxZPVXj|o6!?lH7oknvw!mB%9 zhyT1GD?A-`k*d|9BrmMvsumk78rGDca8_o|*91asYm0nw>+#GCk904im0n(;?3%eu zm?AP>8msOem&%l&^e25p0;{vT)8-3qv1$t0RIrt0Z5YEO;e>5Q2#%y~-PDx0S_zA3 zTnebxQ)<+sLaRRM)}B?EmzJ>U@;xpDU~{R8pa?S;n|#V$D(*_2FAr$UT>@G_zHU^I z5*vm}{Tl~{GDFm;NLn+Kfm7`%tgjl!8n0l~C=sxjUYZ)Pj@qJQCpJJ`Jc3eHna~u& zJ55@;`EwvzTOzLOsf2eK5QJ%OJ-LlIz(V3#bcW%j$Eb}>O)oYmx@H5{$0ekR;i)`n zAeH5prBYdJm}q9-;oh@p28A>^HsbbY&!nkCtt>k^@WP;#`6ZrLuaVIW*!;T+if}Qw=b9 z>V?DQV1a8j(5Gt5?&gwOYPr+HR;h|%1M3)|7d%jprZy=!Bh*dW)qd;IZ zfPr!8N5T{~Fe^cxRncTB!$Ti=cPTZy0ERZDFnRcPdnk?!;&9-pr|5QrH#0Ch#DyOr zI@L+-n+HcR1Hez;5`C~{%W?2*Q^cZ^lT+`YW|gs`cD|btRT;LnVrFJj+L>eb8_(Wf zH7ZF9Ym(}OqyOSjOR<4!*T9Q8?8nLK4aa88_RIN;J0!=ya|exMvwF*0i__ndttaX( zC-T-3Xo4PAm1A1}rI4vOve?+v(`Bg4dCz+naZQqP6IA6aelo%dzLZPUrtiECt&_gs zf@hWDU8qnMlRE6mNDA#lW}|rzqpy{qy?Mp{LEi*SQP@ZKjG(b3mzg($_+re2ipK zvHm*!I4%M0lTbSW3+qfhp;pYNi$`NYE(N4&ds&~>aA+y-lFzz3G_q7#>nht zTmgC-&)OSW$FPO~wq2=Z#riO}L7zhEkhyu10)KDv%nF~d9;+PvmSiRDmoZwMQhrX4HpWK;yU5f9OqoZuF!SL zj&77m4m~4{*W_FMv#o-4ucKwbn7c<@khI^Z^u4wYMd)^Fu*OKGdswTGZ-XdU@&n?$ z$nlff8#Feha^-u*dEpQ3#OvEx<^Mt00z0b8OyKO;37sjnNL0!g-m6#usjfblqxVKh=!)e($52SF{^sQ1fcjri!8Cbwi=>G0(a4= z*<#q=O|Y&ptEx42nKi3a-Ec8iK=Vh7luH|>er=gU!J8XZ_}#(1#wcvBRGy8llbhv? z%CsNd$0nk}z8orK;>_98tc7{)H3>}|Xp}Ot?qpbCxW}(JhIsEe6Kc6D!Xg_O)>Im* zilO=GR}4=d)lHm_BrDPt6&yP3lI{AIGsE41(enKGx3x`R-pi2?p+A23hkn*1uus{; zy|I$0Pu;+I*2zqKgnp(am|sZXUw7O{QsYBLj7P*Bk&dEtNOYmc(!SHcC4%uh<@XJ$ zSRZR^#QP|Z_uMOzOx@E;waEL)kB7hZtw9|7h&7j3IV;1i^&crF^PCh&V0~uWki^)c z%5~quJ>6+#rk;-fpx&Dcl^p{@b;$HF@1uq6lIGyvFiCDu?lTg0?PR+rj-pR&;En9e zjqQ|;mNASO#M@2wlO_z9aAYWhITh3KT_;Q!G7%_~2Xffbw@&_FGJmUh&`H^CwumNjuIIiY(?#UT6?pVE*k~r*5;3QSb1`ul7N~(koK^{+l3t zZ~L$5hx#a3sQ32~Rj7~7_zlckP(p6=uzl&uk9_R~k2TY`TFnC?6&}9JC&}Y$3(73- zx49!mxEl!8^*b^T%eU+}BuPHX{Y6mO6CT*y-|$c#;T_Ub%a8{rHA;(4q4@FjMRD@H zSx1lKMyd)6cYG|r=N0eGgWFL4u}*xC^DFnCs5qX$6aVBt<2oMk)4z*vk~T(xJ^a3` zQ6Jg!`DnPzBYB^O7%!BW{3Of+I%0tfM9CWiKy8(2!$(;mZk#W1rsSAjIkTvR^odKO z$G!@UtQmhw6{dZ06Eo~DlFX$@eMIWj86YyumEi*glXvE=FVhD$uUMJcqkhFY zoY+s+e5wtf@CmGp!8J8oWNREJh2yPb{{$OSjfw`&J=Ldq|W#OPsN5e zyN}ofJe!}=ne3PGBYC&c2jr~8q~%I6hyHdFfK&&m=gSBr?B85`+<}(n>%j$btIUHw z&u3QdNoaZnAM?zQKw0L=uW^>8Po7Mk8F+jC$1LudIaI5%OlyXvPufhgtUmew5c-OY zc_*QL6e;Czm3<^jG7roqS(ax1t=r2I%ro-nR%LJACig6WZkaMItKY!p!9w6bp@lut zNN{hV$K!7Nf`SNv2XLzXv!}nXzo6gHf_>$gGrF2TIbha6W`32307V!zdr!I+7K`sE zEPJo=G~auPvcNH}Cmw>S)R00ZhiydM41x9&3#1pmd)q;JK0Azr+bFR#GOGhvf@A@rXR z7tFgM^pLsIGl`F-Z&~$``K}Nb;AbEvOeul)6tBkm$k$I^dlV9M%!2>Y_Z0Pmy1IS$ zD1cgKVE?gY*hlakQ`|ladS${g^+Na`NZ-QV(B69yr)LVhq4{jHix9n_dGLXm3u$=n~_bo`1-n(A`s?16{A1&BMYULM(6y%EEE0^eCCFp!A4#27g z9esUAHYx!J!-fwcj!zLpA&W$zmDVDOpi4_%7ETanA{{5=MIDyVfS5`egfS`s1eyLC z(C~p^R3aJpOD-OSeU@aPiM9$wHek!3v<@0m>Xsm$#XU}TM{tw~hipXN9gKZ;Z{Qn! zCs7IQNxl)xvv@5?82L&ZzwDDB{+Dl@%$I0b0th{obbx#>@ea-C1r9N_XiPj_tS*RB zd@9&L+k+m&;{^fX`RD|54-7>a2GXI@c4_!Vr@&k9C^UUOTDU7gqzTR7#A(wQ*IMLgA=-Y!R4WFhdNZAFC#+~8o_@nSO9r%3 z%KSC{Xi*Z>y@oOtY)e_?^z=ESNAY=(@RFhd2_f7VjP(D`iYExe%^Q>;$a43*HECev zfP{zU4oKW)I_dF6ixx8X8}^tnEILAwE$j^yB_KFxgyF;-Wlo%?{)bfpMXII=PCPe? zF-`lANj+ewXu^QJOor3`n-(k7C16Nv+@K^;2Cv%(EBb(pq@N_xm?4P|>fv6K7B2IU zW2Q$YYBUC35}ODM5_69h|IkS-+hJ9lg$C($IL`nmVnEB(*}af{2;j|KJ0 zz}O#P=phgYN~i~pz}Wv_9bj9AgpAGN>d~*J42{?V>XyO9W55lD-LMk~ZCl{KW>c1rqnl;BpvPy8&Nd}6iWh*#Jp zLscW3UJiA5Yg@}*Bb+404Q!@}Rh!Rc6FLx~sui}9D6bK|7jxfWD)D#u$5Er#B2vz4 zX@{6ANR`GSUaAHhPCn^g(LB5@tnIQ5aVxoQMD2ufsgIo}ocCoD!jrVVn73P|p^c3L z>sb^7@+?rT!w^V$rN+)rl&NpR5LA1`#?EV2$~U8S_Pfm1;$r6LWi#`ZlIhepp)RI) z^~5Fsm+@kTq*U#jQQhSJB3bJ8Oe|UqoqglWlhGLa{w+<+SuNMVJ+t+#icOGME8l?m z*Yc#J-7h4j( zV@9xu=+#9xG@IZm(E=n$6ZNeYk zs^r;eK}L@F)Zi+Cex6jC7_efBM^aizvr6)(N}M+Kv0=xO0Ikkzc~N5Hobe(-Wc8Ou zxJ%29=~RNi+9BKEY>2Bw*@fgqLhG8Ub{L_t7qvMXT~F3y=;;1wY@KHKPH3kb5+C*9 z?IW)T_EPeFHotuC;n7taytK3T3v{o72DZi{d?@dN1o_{rZ(TB^Ilui)e)&7ppIrA| z(bZ)r^BIrQtqk1kDwbzG-|fQUw^5y5N00AG>9!+tJZN0VjXLB!U=2rJNI28z15+74 zzMiDvc*4PZ6@^4_Sjs$+R*=b3ARmg{q&;&9Qjh-v8{T4#%i|6O^`8tWuBX(C+!Ye? z&H9pF(j4Qi)WwJW*bOF{u16h@ZU&mA_xqft``7l|Lcu>zg*C~8-f4Y(OB@csamCDq zwP#M8Zq3(fE*D4~owExcj;GYyn%#$jdpN_8B+r zR=K@ZsO2rp>N{EOts8v(JbT-qHeI)pe=}y;0>vwYuQko3n@j4qhCck|vvZ3cN^e?M zOZ|el)|=gr{Bm2Q+SZkY+%El~xvhg*-3rm;v14|Z9FguJ(5&O`%~u@P8Qg5&u<_}= zWS*fe712xC0y}+DY?}yo)YLzTkCTOmfrkA|S&iFwprLE-<0(wENXKKWhDS3W7mFY( zwH&xKShQ(=T>1Xk09@7d%;F=XjXHGgB!r1RaIjr{Bcz(iYBIIYDMSuW9T3Ew*ZvP} zW~yh8L?C2iBWRC66SLGL`fIR=<1^R;8PGZ>Yo5@6SzoUJme=frw_~&qkyCo*aTGmIj zZKt0d+T_X!5ccKUSt<|7e`N!Vs(Gsy}8XMQ88qOUy2ytIfui4!bvEqdCBv(|G4xm z7`h`4BJ5RvAG#Ez+_yxhdYp~z95YiO7)caIIJh@F0^rUDWy+1~VmtBr1OC5TNoEz( zvS|b$ATpBwqwhJp7`FJh=islT+NlGtHx)w-78((h;PDvONAqwXLtsV&7?I$$Y`Qaa zYiR}8YD`VQM_+mSURa7JI8X6Y$R;_<6-6|U$emC)hx}faYn5hV%KU-x?EJmXv%I_R z!rRVWomM>exqY2avv+;pGxGN>8pEd#hrP*uCq8i|A&W7JK2&i244)X z(%3Fi*Go*xVxa?{DfZ>pjaqhHT6zJNBX#~B%f*m<`PZ`&ec6teLdj(1A2Qd2k`JoV z{{TFA+-C!S{6mZ~UfDFbXtF8KhWlM`fzr@rOH_KKz0mJPffgN|h6h=J;@F|EeoPF! zxQ{d7OU4X80O&mbn18vE;Ma2hhNDNe!T+8a{BRI+!(A?eZY-dSNAv}KJ%iNrFf%uU zLSqL@&*5bd!gqQYyi3hvf-fC5IJPA5ecX>$9?#%-gj7>f4hu>8PnF(eKDR*=%4M@~ z$`VYW8Z}}d@J1V`WGU51)M4`q)yURiC!=ITX+YI8W4f;M^`ktaCPd|xM6vuvB3CVu z?^eN2j4}kLxrF!QJmV;4Si+OUyw}NdB!lrgX@gr?=*m1H74{RwFFMHpFNp+)Uf4-Qn%K(9-G7rdtx-vbT&CEaFVvI zm16^?_~^8mw2~Atx;8Z`5X*X(X%XE>?r&*KQd_G@&;oiNO`6oe2sqqP9{KRINpZ{o z3-1JkF$qV4i~|LPcoOWWVIfsA-7>wNP#?g?`EUx1n$+ki=|CRzkq$`tuUbeh*B%&{jrZXkm6Uh->W+a_&gd$R7 zEi%c)E=Z)R_r`^r;-tU4qg9QOBk0a)6brgAahGEQ*j*QX);EvtXo|yPG^aR87p&C zFOw$G@*)){T*keAS`{6D;cRM#5_g>vK8ODhk#W<;$Nb94M}ppeVTT`Y_iq*77 z`@-S+f{Tetb9HwciASyG$m4N-6n@izOp{2&;FHr(U}(gv{Oh^=$lR}eeef}%X{mB( zWY&b|{YG}Q9Qjvx@?CLQG*!}Fad_luGOhnI!<`cvZqKfaP&^Lhj=WGj0VSWTkUpo3 z3^IaDJRYS}^1M3a@Y*ZRW5hgdtPiYy6kvsY*1gAbO5eWkW6nSO{r2lc#``w#4>Dz) ztc>>_bfms~?(>VtWIh#t*6Y-NSM!G1@R#ufLPzrN{sgc)z9FNr%liTM?TLMaetmqZ zIcMxFqe};6Xyci0-|lKi!x2m~qNzzW9 zbqa6qUynxu2i6BY-U{>|FPyrbdJ@URo`P#yrQiZlEpp>lnb?0^67Eu&LU%y;}CQ zPoHbfO#49Fx-knQ8oD}_(T<(%Qojye z9a|#XZg;Z|v^ck1ol1zLoqO=Fsmj^~%l;2v?;KrO@GOkRwr$(CZQIFYV%zq_=ESy> z6Wg|JOfb>Q-23kDuJ2oKy?=JE?&_*j=<4o$c2}2uaz@tg*K)ZXo2b08M|zeA=O2E= z3%!d&wJvwH9c}8Et!E}d44?9ttrz<%9WSvA*Rw8}feD z57Po$GBJX_#i~Qof}n%c0HO_42Ur`y*I{TuRzY$A;Red5o3qX|gi3CYyWtv+xjFEr zZ;Y+!+qko?fZ8ECdvkZjU-=y)1n`b-9%Sj2eB!-;yr8_myx^qQ95exE0N{vVMY`sZd^@X3As@^cXD-R*?y~u>A}E= zu#S)m2oH<^5&<|okQDHLDye@|(V0JYPdoSMF3u+C}39%Nh_pa%c7I zY75-~J$j28(DOiud}J$-%s`BMbSu#HK!kkMQ;?3p3;>-G$8g>NZQexVJJF>YzGM(wO z2jT$93YY?57}3_juOeImxq);BYzZOOL9W7HfZ zOMHub%X|xcOMQ!d%Y6%eOMZ)f%l-t#h?KUMgae>NPX{&wUK2t{fIS3$6#`a*LIWNG z;GmXb{l`-Q7Dljj=&KMmkZwS{f%*Wue8^SMOYkS)w?I&U@UG)7k^>eO2p)JG@JJvk zKzbK?fiaiOTs@D)JSWfMErxn#rExdc^xAW}2k<0*YyjdO)t<)v2D71=;SIleG1=X> z#<|urw7IdnZG6pUxnurD;CvJO7SN8!{f4{|pYaB^Svu)Eyf(Au*Y_m5@b{OY&(P&c zcE+?N#{i?ZMdA0-y=mddk!6eE+`fCCK5=3LydiQN1wd!j>|ax9F`rBct~9DiY*e?Y z%%}v`oY2F!yjbI4T7jzhUr*KJb;i%WGTRu^<7~^AoZ7#%`927k70t>uW51t+h z0H>ecaeG0MADz!|%Y{ELu7!!LFXoE8Ag+fQ>|%Vj&!-PJyv2tnVYn%wBC*>%aIZ>a zZdLK4+_4?j?Ye)&b0X|PsDpNcGWq))R}8@EB~4wO$|k!!&fnM+=2=*EIr?SR zNN1UI4qzArJF&+z%*>fLGVILlg_ez0(z>~TSJBpI5m(9=;m{XLJm@xOR;lJt{4uVS zF0w}OM)h+M@~>1+a;;q|8yAI`n|O--81$A2G#R{iPgz z^KaJbD|wF2xAk3J)@&Z|^$Qfl4fN}aXpMADI7u-Go@8CK-P<8uJ2nd_^si#>;m21R zM-;|@t2A2ZZL(b3hirM4Zh@L*sKP}(T`Dw4J)m#6jN7AV5ZBz1KPZnFQlvY$F0OZ$ z3>eQVRZHE&J6uY8Y&x$fzH0yaHy8FyRad6VF;}T!m)%AB~q36}Cz>d(|yP6BhbCMFYX^zce*3 zPhqq)O*ln0s)dUoDq?2>jc-(v?qkO}sSIZbIw>LRbk@Gt7>>4eh$V-{<=uGn31!>h z3Ux)sJ$%Vgbv4h?p6F9SYWH%v`5|rhubL;XZ!k|c@1i-^QtCfVm$eAXH>^`pZM(0V zHzV)=u_&qHxX$*-lFna+c)}XuP(nf55CT`W0S$*5;;=H%E1hUxrjX|}ufAi)Y_UjB z{!!v1i2jyGz7lvbrXe8ts`q3}yYrim^@xR*-dqqVS`Qfa=@?`4w>ZQ{+UlR>p;R~aljCzBz~ilt%O+GmqT1_>Bq_N;o& z&&azDpxUE62^wxl{wj{^A5)y|S9SVpdUtbKQbZxwvB;zK0U!>Jfm}ef{etfUQSwm$ zqWpWrxiSGV`3R#hB#_BKDMG12xKzM2fmKNBLeNUkW}$Ns>j8E|v_TxR7|>dt6h|iH zLlj2?>gj6G!VnlLKzQ*GRXS+=*0V5m@)WirZFt6=(v8RrqFf8VDf|JMKMSWv^C$RF zB?t0TpiZ*5+Qi+gGe14iiaD zKnIFs_{oV=ZB74NN<+=OT^Jo7x#sID7suNbOAGBziBIJ{{pE*7D<0t7waY9x$0IaT z+Mzm;_NUAc`V~SvkTW73SZ4z%w)xjVfh!fR$>UV%jFu*@IIYlSwuxvhX01bF8%DX9 z=7dR>#-Wrfi0a!`prN=ZiHK}dw+5l1lR1lCWQFd${n^5t63&yZCv=VPa zV?s5ek}8uCJ7iro#L@0-6POb7rY%wngpwH_Hn9!tuHG&!JBO5>g*g~CYkK<9#q26$ z1Q(m|Kc7XAPjKq);FC<7>hO`&#QIHATeCWcURN_XmjYhCSy}1eQe0Kpl+|VnR!(EJ z!x8jE@gGawF@FzVeH-32H?Y?h-&1AO^233Lb1dU`( znPRWnqyCEVw5rSuhMOFjs8z$qI@7`~!3?KY0|kmj7@YjC#HEmr@_;w zzM#3X7(GW&HjVISokQF2hAS@&Eejmj2IEkDYV8ow3q)G-mQoXXZSga?^oe-p6AN{k zsZU!Y{ti-V0p?r}LP{CGl59cKZvTL~5Y=iCmONZ>g3`2}HGXZz>!-kRfis*CY-H(A z##XqzY#uPS3?Jwka6{$AE7`1b56A!K!dDi96;P_eS|1t(EOfOs95#mPvG+^kn)T1R zu}(mhfwue`9d(OTuqMamK$pG@Sh^UA1Viq8f@d7D%W(H+fxG+32-=_kf_u! zWwziaQaVwLA^w0mJRYAU0KF#;)m-SiyBM6kHpvci?Rm7;t>OjF? zWExfe?hto8Vol|9;x~rEuiu-+WYUXCljM>yq|s*d;p^Qm2jX2px*oqtV-- zi%|<^CXV;UBv8MQ97U%vD)%J&E>=XTh_fB#NbDK>EdJ;_cLhRa=p08f(6Re}Bnv7E{wk@+nqLXZI9*^skyq^49R5@qdoqBAN z%C%B9ySQv^d`8)?=Ket~4O}^svD1hi7QI=j+P3~)R_&8{$y_@*wSr+H#VjzrU_6yx1(3DX4J3piV zGxtAyx+v@>I4yV^pqB6V7GEI=Je_Z z>F+s^X*{eJh7WLObJHqUHcQjSge~_b$+(T3hqTgxiD)#2zwd`G2Q+@f$e2)vXWg~a z$17*R(3+|h^q*s?m)CJfu+vnM99K99#~fEWD92!o@NojjpT5FQN<*1Fe|pFnq)zP(pcEY$T){|o zCO6Y|uP-s{94ytiHdShMPFHRr6~!7{QAl>?HfMFOS2M34FWI>_>1uTjRBoXcZ5i0c z7j2EL^BY|CU|l_1BXX^GSMhsDL^B3qRgc*6aZ2Fs%9tcGL$&_JGVfO(w1Uu6k7?Mo zHc5up#4N&VgTSL~E5SPIYaXN`tf7|xcfe<+3Au<;M&6vnLLZnKHV(?dEmCQF_HO|L+^%T^8R9sjQL18dJU1nl|!-Pc}Qjd7fI#|&o66Z6x4V{2>M8gp)_Gn*{NuXp7;8l@yJBO(mI7;mkuCz^T{+& zG!T3th~o)hm_P&~Q0L?C!5ofUcVCno%9!SQzeXj~?!f+X{_93ec^zT}qd3Q5OL-lh zQ&8q@H&x=yv5j51B6K5ylJr$S)WJKs;pl+7zgyWlfETT}d9H1iK9=nS@xisAdU;@& zU-irE^)TqW+j)!Y%IgY~dM||1e>tzOq^b4GUuoObSgYo| z-SVsbNoNFcnf>ay=dG#tg&^hYHo(8>ER#|3A>~Jp*A#Yv{KM3;PZrw_!<4(yL(Xi| z3ENI(=1o(H?|D?oMGv1o?aI~i8R1Ih=*ds_qM;7^lQC=7ApV1847x1#48J}p`P zJu@bgC0F%n9>hNWE6>$s;*NCun+ zPJ?s(SYl(#sub7Ot;pA|X(tw;ZBCfeYcm$1ea@JZXJ2Au^Rx{&$Dydmu4ythwR0{> zxZTi_<1zEqN%Y~cXX=@E4utdXXsm!+k>b`VE9c+M*dB)>#;sFY&cExiC9(s`VYucU zb8wvFPDLV|G)_f$oHUL_Dx5TKMJ${Z4n;DYH10(-oE2_GIGh!*qx$F03R#Eb>~HYO z8)@Z2ecHnj&NR)1!>T`~FjUg$1wapySdw`W_dML>dMhZ*=?KlM?Pg4mxeutudmb#7o z)nhF@h!b5v$U8HoN4OQse9y$nSN)32CRpcwnw|DUxWYTxt?hKacC2yEzHl8S*zrN= zjL}J?J=2s>%nLlmZkqvUCRNR}PbXEejQ!1i<2&)=A3I;DMZ8A$a6ovVeD?ppq5#OE z-jUM2Z;E}?{ohZw?q(K8dK-yZQgFUIwShflfxQ`hB{Ym#6W96e0Larydk z*<-7-r&2uD(rj1n?w=<+go0n!=e=So$*cy-e-(CG#$;(rxqc^PFFFld{sO*HR4EN` z4$d~sqrr>BYW|z4fCm9u_u#6j{?f_VF#;K`c>&6HTN8pn!iUexs8op5L+OVMff6?V zOkTHv=c|vs{bu6!OkDzGbu&@WS=ci0pn|}U@rQ4}n>tWHuCbb7|BY<)X`AfaVY2jA z_q4?I8r|nj<~a(ZrTJPNzN%u2_QkZD_RxPK>KR7BIyd?8v-m!xVW;k?JI-G9!(gPf z+`sl2MR+hXqX0C7&eYN8Vh=ezA(+?wvOcuE#?rx7sBk3 z*jC8OBbL7f`ix3L*qHk@*buiBk?z7-92Ot&Pw#}6J;D7_1d=#N6*Oz2m&gQvFMC-2 zh#!BDXc`}}_OXHgUhA&H3e$@d&aGdVW5tbmE>c01GLzqq=UywN-hmB@yG-JZUS>Pt zh(jEKGXYD!Aox3s6;x>&@WVn+Dhqp#0#_dfF6b+w}z)FtJ?K= z#z9_w0W@mKnnOr>FTrqMp_l2JmezO=C0rooTm^P8I;L~Vf58k7Y)RK8OU}yA6GxY{ zCOgXHVqEQTjd|Bz%t&*Hfwyq)2&RIbbEE4H1w6wQ_Z8Ag4`e}Bh{>Nw+YCEZT>I*N z<$+=ZR#g6C5AJMSFz7Vv<~L#l@2351d-$_<6_lQG|Azv!hVYHpZd!nk^q1U zO9ulYk*66|2(!Gi-VAdCg5(N-lc?8-RrEamiTC@Q&key<;e4}w5bCii-o3>vZGgv}moztaj@6D!09F@mgpcQ5Qt(P`xOo1MR&PTpPsxYxNgb;8Dm$%_)#jhk?x=$f>sZzprn$5e6M z+pB+W8N{lPwKV^n*?}InUmSXM4+Gt}Sa;2v&-_hXP4Nhf=1A1kdPmzs_=OQ+8eH$Z z*ol=1Eq)TI}6-l%0cuP z@0ivKzSh&9*rhYNy2F%jnoMyFn*2tpf)ek6V}E`*-n4mjKp&v)Gh){wId}E5^-DfB z$FP>#74_i^kG(>Cy65^X;~!*g3U86r82Rvi1MN%uc!oLqYCn3keMRVo+6pnv`8Es_ z?Ar5YQ~mte)hX?hnu#dUtyvPw!nZrhm}2N(e&m?(cFP@(0ij`wTNqyq^Yd zW#n1Mg%o4=st*w&`;Yx4zvd8P?0e1mpv7wa160?ZrO|6Eg147O*RE>*EKt$kRebw;ZejFz9ZW8Y{Q#*dk;w?n_Lc5kttJ2_1&PxFt*2IZM< zuC8w94!wI(X!5LrZsXxQ%6ETG{eC_n4*8@=G)re-7oZg#2^B7Sncj`lqnDUyhmpKy_(5>VtH1A1QNM5GV z-mXutU0h}fH7*!(plZD2(li+3YA7~o*7%Mb$!{k54V!VqhPE(~ab8^EZAO}QL}SwQ z3psqhmLT58ZrwAKH%Y%z?Zt#X=b-X6WnVkhj`B5j(z+d>iC^5DSp+x%TG6Q=*2KeI z8;kMoN~N!D+e95ukZe&JH%KTOsww*`ZwKskMDkd1QcdtA&iZo-gJD(9U9Zb+w3$#2 zeBm>C#lRIILt<(n3wxNNi`VM7?UgPy#F{*78gnM4ZK7G$+>Zz2P%LUfvrVLDR1uMv z+pkEkVzDInmln;KI_pK(;|@&TnEc2G0bwAO1&_HG;E}$}UihOoz-#`!8Q+G`G^ieX zns2cFBW7(`e-AjAYI6vI2}2igl{OojpO!`;U#nn0WSmN=BY!_A3Y2O~$x+lCjEY|g zJ9wN)i9^^Nk0v~@U&tJUCOlvsHbuG&4-5u2g}Mw6XxyRM$#%Zrl?<==-NiHT9Y>-EuXI;1*)?&bd!kqz*|s>!bD|ip^rj@rbJAd^ z^gchCV+0uiQ6!}FK5?Q)IvGOJAadd@ODtVlF-K`5Ee0TvTMD|4U`(Rud0=mDb(sACYBLgFBGqDKgsLegLn*&UWNp90Da zdF1o2K~%CiQ4~w5!ZfnKQiXYB5K{Ye(%Gbu!iijpD40?v5oBS~CLv@J(k3xvxnz-! ziRl4_-XbW5*T5!;WF=DjB+_~$k)=}mKN39zrTIirb|g?{5(it82HB*20>~_+_F1GS z69@6652g3H6Wc^kW|9W^q|42UAZ6We4^qRHyTP$tOkh!eGxqjfVXs((_TM@08? z@s2Lq_||hP_7g&m7@e(d{Q1k`e`)$* zGsc4pF17Yz6RuTnT+L~ACg^o*`WoY1W>W_}eW}d{`6XrV?wajA0R0WXbpb($b-Wz4 zHh)f|1sB~HBJPqhkqWqP(_uh&e%*)BM3r~e<2I}kDP@=}9~U6w2}F0bNWru<@~(rc zbrKB-nK7G*UJh@c7B;pGPXr%Aa2tLMS#AM z(GSAg!U_*%7jB14f?Tb3o2JE!kPWMwqwp-!)vh~lrHe((-Im)|OstKJ%dRi9ltNWt-XtJ0wsRVM{S2AQtDFPKRj9GVrw8 zp0Go?7h}Yg)dw8Mev~c5hX5WQI>=hVRKH&3cYSQO~DWPRcsAw^*d zlVq3Ws%*zn!*oWGAx9>dFv4$KvMv*wy)E|N@H=Ho0 z911PU!d=32hVDed%KeQ=p0QcUWDJhV$#`g{rFOVoHv{%1m5jnK9AU$IG}%geBMmg#49D2ww>B|b#- zlaXbpZ4{T|E;TKXGR6Vh#w@$F|^34a;_68e#)A?8aMc0C)r*G zY!T?vAGL7{B!T|y@Ix=!?W zG@Ivagcor2;}P7uT>F2GrReX-tJmE;yC-V5sIeZ5O^ET6+u>QZmaWv!Nkw&g(LAS? z>cEt^z&kqv{48%kb`(ny0>{DfkBIDZh9q#r8fq3sortLGV~y;j8Yu$D=3O~it{jS~ zBiv!`4kgO4f79cevgq5_Bz5OxQ;tkKPAQXtmDyiB-X2FUQL9gj=kKNQA6cgz&4ttu z41VMhWccdRdX=~M$Y6HUJeLvpc>n9jt1~A%bhN;8%74CD6m*;MboOh_@|)n&k#oms z{Za!Gl6uIE%|GNG^lmi+gC*e`^%H`W5XL6O_{pA#tn%{-x$YjHGzOogK}q(#&W#aC z3&Z{?9Pon)`Nx|dh5n4jNcA8Gp;tZevkJKkwQ5E^hLSB9$*N1VmC%B-b}fe%f~!#m zG)#iOg9T#pgpfcAGj9j37DyWje~2@pr?0roN-nm4Q*YWmCa`-eq# zC6k_Jcv(Q;J9`0FI4OsWS*QL>VqXw7_NH%FPea;>C-msiJ}nv*01N+E&Mc#m%oAgc z`eALuvEQ@}2a&yf-6d<(IT=bXAJqsg+$6X>Ixn4Zb;P~BD}5Y_W}+h?U$e*@htKgm zt0ZC^P4i!+|A79>Lt%^;V72CJ?=NWxbz>i&>X5n-qhZG*y{;kfuOFQVE#M@$nS@_+ z|8VnoR*xfXto+_JC07%6{4Y!f;)B3y(Z6x?{tH(Vas%%d{8W4^Pk-2ZPkFFy>OV>c zFXE*TYY983Z9A@OK4Khff;Dd6wD}|7pjRe1%%k_-4EoM}{-`k1YV(Kcp6P2*UF9wd zV`wH-PgHHs31nON{6KV~Bk#3xc}yLtFjnD?u3wWp3b zMrtL%qOmMJ)NM)Lx<%W}f2V!2?ic^cz&ZI7hB05H$DvA>YQ4!alLBjWFa@1<4Xf#< zaqp8dad+`bXNbS2khUC>+W+Uvroz2_Vbv(;g{p4T$`roOh5&^U_ms3;fSn7S-yV*tIOyu zI=)Ne;pUj%&NeoW*$Xwk3ouL0W!ghdLDEN%!H9wxFrjweL>85dM4sK;6oy&bnq{WV zNgnxmf44LS8ROa-!yX%KXL%Ey07LKKV@~d<4c*Rsx>5SPv-@d#;XDH!8 zKmPmf2rXsFWFBkQTZaAe7u1AeV;1r!b03mw32F&9YS+nUSGkSfs>_m%*YYOg1%d#$GWRb5F2Et}m^n)7tDB|b9(cFss1A_;WDMPk^W!JhS_4~hJMCkv-` zmpDc{_--h2gemc$1tc}DsCkYLC8syn`e%DGm%{wy;o`M$(y+@ZFgp)OX%Q%W;w)_QU?tM47 zYVyt6@TyY`4e0k1XLN>CYVEN)JgVhKoD}%6;eh9AMW24mSCh8Cz&fKcpWk3l+NLJmS|)=34h~lfVmQLjq_$5|ej20tF*C)V34N1@YzG;# zH)ykY^9K_1qelxXVg9H~m9^CA`$X!;7?sx2y!a7YkpX8hPzu9|ekS+x1eZN zlN5GlgmEnGUyfsqLf)L7O03)RTXl#(hPyIwpNyxHIUNTGmf^~`t?U-V=yOjvMm>r+ zY{D&uvl@(}S4e;Mn`&2bqP1oyRs>qG0bTuoA>&Ix>W_F%+SJ3^Gmb!#=;9Znm7}{Z z1tR5(K<$t}MY!DP#I#SbB6M6+ag#77acaGJB+eWHq*nI?}7O0Hv*;Fij{Ei$cv znKzh*jZsi1;d9Dyh-X|H446q$C2f^v*g%qPY_2ZMGk{RW6wTFu?6XF(6)a77Zc27% zN6)m)f~n`3Iqt+#pX}q?hK*Vw{+?mNzH++el#}SphJ;I+V>oFH8?#D#;2tn*n(&!?UM!n8@oJ>_yb2I9I=mzbQ?xfo6`C<)w-!VNWS>9veab zqQ|8u>Yg9P`S(QXWgkVtzDf!6=*=T$S*MM4{?m(Y60WKlDnD&`c{D~wszxoNE_ihPIRm{ZtTl?6@1^2aj^&PAo3 zW3WlQrC8VCc}&A*2PJ>~5UDg=lxX8G>XvN{VJrz@+UMl@5mbI6{a!I{(W=5=1?VWH z#(1JnPf&bE5taApBr?pqF(b*8kpOj6G)r};R2qR^VMBdtI1ZU|nlBHtwr2}vJPDz@ z@Y33M(PlU|M!w%K9BC*B7axTc$GCf2f2>0qF6+iE$2B~L2_#qsT{Hu6M>}mG$fqb; zQqGrr1Y*w^#|Q5y#Ew8_S$zHJAIkVQlq&vQSXxQkQx0{UL}-oMYWr*H=Sm#33~7GU z#A*_Ahc@j)+Y1%=6qTW;=`L3UaelgS%ya?YT%Vmj`xoK=8N&#bZEt7;0|c}e_P-y( zJj_hHb9C*CWH3T^PBfDb)0}@h+BodX{`R~jcOSVj9g&XLk;Ldr3HgCb2jGs>`Pe~B zm>eAFj|D$eO1``OErn|EtBj|(vu;$?D#Vnw0Ag9n9LHHEhm^u<0 zi_4)A`>Q!MmUrfBn=2>xPnzn^TYS?%{+&7P*3st1Ym3b$k6&Bk@}HN8zpEYgd^UvD zVOQt`1t;Hdb-aOt%zyQ8n-x22nHH0w@FrzkgOq7mR)ayy+iC6OsWG)*qrfPbGS zXrlX?*qV`?(Yv{13cX{POX)nRI{o#BxgfUA`<3yl@a1AB)Le4>tCIV(x1bgM=PTU` ziGcf|htD#Rg3J4rcSXTZp28Yvn7I;>#X+WPw;N0t@Jl7&6sU#I+X{cThHL}5!L45} zPlBo;-Jfx;_t&+R?Jq37XNnRZYf>@x;VXv6)ys_1=gNusND@g=%BT;$*|UC$Ml**} zI##cfn=|%<2-qgr0*AMeZPR7@ZBawJRFOlwW$LI0Nyw{pTps8gRiSg+&$p6n%&*Ju z50q9#5CGr#HYsBqt=7D1)S@(Gb3h^2df!@5D86F^-Q+R}r7i`X45;*k87yW=VAzLuJJ@<`~9l6PATRm5dAc}Ok)4EB{Kl) zv72}TW%5~xPaKuU_-0MSeOp7E#iXLMSfBH;f_OS*@{i+pGD$SPAJ=Mwo+23*vx>1* zR36KlB|a4w7DL^kObv*@1-cn5i!j2%FGY0gkrnHt)Z zd%09OGvw%%q&L&!EnrfI;9rI^I#li5B@&0r$l{!>N{qBOwG6Y%af^}?*+j`5iNsmK zC-!G`v&+RPR7urPpskry%jl9wd9XrE*|0)L8L&dktZX~(H1_#;#QyF#AVQEsYiDgJ zjx}K4)l!uJLoD?HJ{VleH89eA-wP^@&xv*^b0X9vf|UM@f(4%sZ)$17p;&_S@S3Hg z2gIo^?`x&qmw`hZt!iG*nGch`q$bbpYe9R9QJDf)dbmJAkOS+U&S`%G1k&f`fp<{| zkjJH9DhXTx`D8}0{5&%;lDAWwMa7^1az2veUuYWq=O)64(f|xEmy|QfTYSM_J*I!u zNU5v57D0m(1Lz-5`3{h~8~{X`D*gXOtn_$Wo{2_!RU~Per95DT7VA()Zk0>~JKUHF zN>Ysus$B^MP6S1n-UQM}3BL|EK%B1Ks=kfzkE(1U1Eg5BRE-uGFG{f-~k!LbYmloLySw98xIM;fy9LR*0)0}a=bns&T92L4pvs4XNh&)MheT=#s$c2`Z z^qwSzxfhB7LyN0WTZnWB3(8=Hv=Omv4GtYgdES+@N4FFM_CJJQL>lrSwAxP6yOI>t zhza{@yWpm}kRh_^A+)3`U?p-Ovf55lhV{||Hj4eU5>DNOHYf!#V2;)>2+IwJK|S$$ z<8U$_V^TGz!TKc0j${zQ4ocv`4oKj^336)DYs47I`hKO4zlpUb-CPf@Y4|DNBNOX& zp|7dTc^o*wqStEd*7ZwUNF~Yvvcq=dO-0F$O|lta7>)XIenS-U4gAoI*qwL`#z|1- zbf)M@0FxF;mX_JyKUygF$W0ahvH5KX;i}AU4F1IWP>R^be;D38M0)+-`i3@HBCAWk z`V~XZcEpNg{}g9egB8yHQ%CWwuz`O({rLqOm-< zpIq;M1x!FvAD^uKK-Tz?d8knQq4C*lPgiQBmKYE^^{!$oJF|Rkz7d9-gdpa(Jjwmz za+qp}0<~FsVw=+ZDJ2Q^07)SXK`Qk=a#o^Hg}DM8cgVPIwd|+soO)smLN;t%R)}I7 zTVhL;e0be=ZWUPD%)?bPyuPtn;R6)`+G}&ka*9HZn;_PFOj+0W4Tm1*>*t&#}@E@gBI3nJn9TT zL%0wMhqSW}znhND8+gkis*v}&jQo??{}?#ZUFHf&l6O{HId(_}JK8NfxLBZ+0XF{?@_w9QE7TmYHzT^5LatP5ixZ9C9Mn$PE8w%ibN-^_TsCFN>F*>DYj}>L|q+7 zT_ppf1_Ni5YQHWDoY=i5P?$?<+1mfIDkWW!aqw&%CKr%T$3|&@)qA}PGvvV4qr(wu z+g^hiLs|0Aa4CG+2`!@I9Skd|&MG;_j!*n?eF`r>+#HOvot<)x{)p!zZ4< z`?aSwh`GJUg4;bjQD2|!c^~(+?fxqh%?n&X2X^jvls_-j7k82=MG^^jk|X|7WcDpq zFP(q1ajtoL{laYOr9EDE@Elr>wi`0r_{9A`H>6Li6?3sWz(CfoNdL(W;9+KNaoMdM zQ#_JF;bxDXwx3(*X5E=Ab|t>$-=6h5_54_6$m~d^D`U*$hpY+;xk{l_yGsY(c_E*RF%3TYqDRsU zy+1}6yR=h-ISqV1jxY^8UpWmu9*$NFKIYR5JU%)ZyWf|igH7155GsU~;VG7O*V1;p z_PlH1w`szUZpWN%GaP;Ico}$)dn2-vEw0YyLs9^_n#rWYrEO0 zN`m(;WyN2My;xTHx$pq(&xlcdE|)L_F%U_Z6?0j^ce|Z}ufp2E9FK)BUrt;EiWh5U zYLugz1hNgex3_jSX!OIp9O~&T8-K84r!ds}xx9`8!L(_~l`gp)>u4a9K-uFlxMf@M zr7KVldFaHJCR-fid1|3y`AFrse82X*d6KrE=1FF@DT3OD@vN&fPT^(F4iX^lVZNi0vSc=}{>um4m zW9uK-P=Aru;UlW!94bF1{K(fo63Adp`D^jSY}zs*#%5#x*lO>Jrw|tOR5K z6;HfT%IPSho;xd@zaTZm-~l6Y`oWf_mR5!!$5t{9Ll{ZQ>>07yOLZY9i71=e)6IGx z5(D#j@%J8n;5BRv5{(tva`{O$cz#=B&zuM+9xaWVE?mHzS<6J*ZW?QRTs=+^2SeJ2 zg}4eeUz>ssv$iLZ0Ruu#46Jn4C+5@9t(>iH$DIB7<=Lw3N#o@g`ka%c!soJh1L?U6 z`D5ff(r5&R+uIxcrlZFYt7iLhhD9TGHEjU1S@`2#mwfI}&OrU0 z0leOF(bjyKa$DuXKt(k*TTt%KOE!n+;YVsp1?z~lcXbTLaJ$gzq2#gpD{>=tBo!jU z>Y?C$WjLDCr|M@C`tT@|g07+JNqdXhn?>ok5Gv~JuWeZJNWC08E-z47y1|CLEA9r} zzIDy3E~W%@Tu#C5IO;WXR2?0z2Og%DKM{fvEr!lkxhHJ6g6+1pwpLyFS$BLeLTc`c z#;jP}NKq+Tw+8-9Xc@rk^SDry%W~$)LB83##{+;&TXEDDeqH1gqEl?~JE>}OgV>i- z>@vOC`o~jLKvltluFEqPS@Y2u+3g_4h7+?^Q_i|r?F#&O!_xc7<4PM#yMpfKg2iuk zS;d0)|FHJfQFSy+-zY8{2<~pd-6arQg1fsD9D+Lphv4q+65QS0-JRg>ck`U@yeH?q z-&*&dyVgwWOm+8fs;aAN@9Dve-TLll?PO)>e$vSM^T-%p$XTH|1bP`sc#ery%dkp9 zeWHJ73BshtQg$r8e#Z*S&3eC`lkQ~7C0yvYMPOH!^?WU}mOEgS`6u?eYk?;8PxKqH z!Oao`1yk$;#Ga5;O+eDNrnwRb6tg`9!ng|Rfm+461ttBy+V`$iPG3-N998QzzrF%n zg#(&r_n(qpA*?DhG=rEt+G31FhYe8&ROTQ9Oi&m zoxrF75Vse;z03tMUth6xK&h?o_j<0RB7IR53|XKwKr6s%)XJ$dR-V#1ool z9I@+@B1c%K5oc+E^)f725%K6_j~fI_CmP0^f6wFg12@w)opBeLw6n{n$*Lv^#5fen5GQSN8RYox8uvF1THEIJdpLKlwXg zx~(AIx_Mt=MtMDQ!NWl>=(ujRFtqb6dTfnhwqJMSGI;4%T`tRdJwA=_yBtY39CM#1 zbzBXD#M;2?cuxg}+O$2iQSjT3qq7~ZId6#2)-JfeWS2a}cDO7=ZJfkLeF-lA;$_X- zEFYD5=-zige{(M>&unQ?^3Li=_O&4=iQgzYjEJ-v4#rDf9k)peXbH_faN-ZYkfV zp;V2b3`|B6D?Blx21+lkW-%P2C8@gRq=}(o3Q^#R2rI zAsw0z?%3YIO2vnkhz{AEbLE${t_|&%wJ@iZfnBy2PbhE92X~*2pa=JWj*0Darx(vp z-Zs60?F5MJ$cXJB*zJKG8abR(Y zZFE1R?Gy>Nq55>qx-GBz)V->Sp2W!yE9Z%E0UT>Cg91dfj&Jl91giTZ{3qQB1Hx}j zIIuxJpo|Euj3}mr7^KJ$NlwTrbjfE{4-~cbYr#MD{^xdfNT-`EcH4HITM$v{D~n*3 zUqa@=vpPVJ80wFt#d})Ors2dft)8&Oy?v-#7Zh9=RAE4rgUxf0W`v0g zH=|T*J2T(dvmtduqi+*6y=)C~CZmM2DS`zvkst{-?$0Vn*F)^dUW;)y2f z3ZnYTmvPur7G!IDzH@Zm<1hAd91+-A61wNIeWm?kb@+`itgQ}y-~HiaryTS0{`uh< z{KXU2JMn-cZb_?GSJsTT347Znuu$u(*Ukh!@%Tf;vnRGUZs^X$6Ei`YP|gklNmJwj zhi1~&o46bM#XZWKTh0zuWFb##(xdO7i&t<*#{CP7_w}E`sCONKmw|_8>NlM**NiTI zXHurCK~REL=%Z+{$nCD*mz!?97fMHLFZpGMxDU@(FIEqVJ-=`)Zd>`0l#U{7k+bt9 z_afXHo6U%}oVi;jHv_=6syI6#$3a1|@IYn)!1YpWL8La$@bsL_H&2H_tx2}e=`b%x z%ydLwMtbjKxM)$wzJ0QFxO}zWdZ5a^a5lS$fOa;xcx^?*6o>hA2?E=d2;1(t_`1H= zeU{ozITf{CIxq zf%^RZ1?8+e;%gp_Pv#rb6xsz>-q+Fijew_u#N`aeI5JzW>c}< zZ)a-v%_R2qs%x6`^o6){=elmW&CcE0$LkXHMf|qSMQsjY&6v4A2sik>KXn5zTHX&d zCI9HO&zx^gZ8}T+Sr^?w!YY}1c;&@`_7UAl`aH#1Ai)}G9^N0jVoubkgwEHA4)zt@ zAceA*RX*6JB;o~)|>GpPSb2Zu8Dz92PsnvbnIf*9-&StB<<4Xg=Y72bwT1?Vls!}79 zFWL#+&US$ya{s_5jb@pn1^FXj~s9ALb_qX)WQz``=ysH3X8=%>b zs1&ec{7xp>kA>%}lP@z&tnW(jW0{R}*^j2eW^d}qDc)zJg4cu{y+YT=qS_7Fk4?&E z1e==ZDGhd8Eo*+?cDmi$0^0BZ27Nfs3pM`FJmk zuY`h|F-nO5(t2mktGAEtFI;dyaAXZrdS{Y8U$Pe1+`BxjQfIWs?wG^prgq}wtiMrb z^!qm`^F~11p53@KFQ7}^Gp)AzK$h_4$KlTyeh9W9vNsXA(<*uX_%zGFwSxjGWdaaJZi~)$DLloT{(PLu`aYwoN42 zGiki_qXZuw;Ax?ak&8MmFkE03l@T~DC^l7c8reaPa%CP z;%9A^N4RvrH`=3D-B%VA!K5QWTyyiG2XX1X`1saDg?;(DZgvFfk((bC26|yvEe*Lm z+-{R>`|4@scviXxTGm*Z0A01+u0hZl`fLF@d22CRuW{b+{o$?tb^Rwn=iT$L8_3B% z%?YT15Tc%ghw+!MjgTPP3>`K!&%VarA3&{z5Rq`*d=@9cdw+tg_Qwq_uzD7Ec!o~id`cy++gks-qq!I9 zlSDXfnO zfomrY!Kkh0DJia;gaRQI*ebLS8fe7?sfdp;f_nE6tRkUn|G?!Y%5(u=O@!=r7k5k{ zpM9k4R@XjVj+|#1KW)Y$iELi|_6dvF(Fw~dXp7g@H?zYy{uANw;nI5Xx%pxVW!+yT zBZWlDZ7haL?|X!{qRQa878zpgC!bsinh+of4MFeRJ;0|yo|(L)yTEr-{GbQfAQAO? zPoZyi9$I!2geTg!4|<#^y<9)vW!K%1>efbAR1jKpEbgtGfC^IbeuW8yhRMDFi7EVT z#(=gVatT5ETyua=pFU~h>ghgW*Mi7Ga$I`y{c$Tn`RUUY2=O(+SIcK$LP2>$fPhGN z7Tk3Im)wihD4}~ev6sRCY-fOZ2J6)j#DXg~%+D+~eNJ@l@tPpbp>{U0U z-+ajlLqaJ^SE9@K@Q04)#8sT9P3N2wTYlZ+zZgr^MfHY9&vD1hQOC@+RUFJeFQyq& zT1NFUc=CypoG94ozI=uxkuY`uNyz5M^!wl7Z)7a@eJ_bF=CFo3eDscDF!ny@{ zq;7;B>@!A8TRK3=eptzf0xZrq3{o^ObE0M zDKMasY1)o^+pNq`-0mvhiEG1Jeps^$)*?;i4gP+`STmG6_&GD;`<0tf>;y9V5~)&6 zRCHsLs4v&TP-XkH#w)|7wf%)_;{s-rjf? z11M^FT7BuPy4c8_49c*(9b*D<7R*D>Kyd*v?^Blpqihd*h2`7`UDv&3>y2u0^>od> z&&gjipSo#i-5;L@GM#L@2B~*#PzEVl@Vz&nd9Qt6fhGD?9OGaX)N+ z8#<~J(xNNo%mLjc_iZ%QyVg*a{O@hvqg0wshP6+}JVC@}V){Q>11!>mdD4qkjTgr$ z7Q)5u`GzXxTz#~%uRxjv_dx9fhrxFN@z8@iQ6Q^#wW9tuhF4R%UyAS8$q6|G*xdXq ziWY*&B7P|uVjB=wvZ=FzA*#rhl;?PPUs#4dT@pn+Wlqo+d&2wb-*!JNFhp%cC0cqR zF)XyAVRw#DguL?PzGYlqMXr~Bx=xJ{_ckyk@<#S9*Zk}u_rCWhm8U(;(?M*a{g?g6 z8se`e51-dPQ%ltRwVm6!2}3++i(vOUbT{X>Rm>$F>~?b|GE!)1(FOs2yR3`#1ILRbrQ`0I+9%M@irIv-q<$>aA?4L7I$iP4C+iCR^Cqk+yePePMR$oDzhuzI>oZI+DS zCt7b30Einh#LO-UOu-PUd{TcHQI*py#)Kw-f8sBD z?P%qdd7_P`z-fi0yj@S@%9@v#6SY8u;gh;lm`;^C6CJO3k_vF`QTybLE>&v70^HW! zzBCy_nA0fYjC8SA)^it$ul_-wOp`y<$P#O4zUd)+j^=WVh(GeazYXU+!kum%_deFe zW>t2l&8~7sJ3)>4fx2swjP4|L z!U$LziW=03i8~nn>p;fP`XID1%o7{W7FDD=zNXy}i~=QP-v7<4{! zDvuZ%2p{Ty3~S)JGX~vjO5s7I0tuw#pG7F}xo(`5Re7QghN7=mlvPov=`*;OZmjsC z-$4TR%vM>%EUWSksuAREbX8gGm@7jEL0a=><>+ktSbHW#_`_hgR2~)PbKv<(?xIVm8uat2Y1bz6#e}Ew#Ev#k-}}psVA{kViH&pN z>HC)Gn^%h_OFPf9a#N4j!H0E1oAT#h0#9yBVfLQgx9zceZf$Xguhb}Q8xg|i&hR-0 zmd}p7jW~!uw!7%}jq+?%f3@GkP2VRGc@i>p$laDyTrzsr1{CHD>OI*8(HMd6&hvgEj0M2 zzcT@{=SzU_jUfeD4!EQ+&{=2s8p}Af!3T?anp+SMp3!mP?l~a}EI;v-6$3`E?FYFB z3@-v5VZXd+QKk_^1)p5V^87U=#h({7;$we?3W^`nAo^z6AO(20N1IAY6JpNZ82Y7r zf?#{yTvD8Ie?tsRkx`jtwJ%5BBQO<__K*3UUjPJ8VJ2%gZ`RRBUXfBZy8y(&0*6Ub z8u$kV^Il3e>5|i8a|3!==~(M#vNgl?go&b$;s~t#0_5CTH08Wm)~|6XP{9f_AnE9A z_?o;HqpoNmabo%@7nD+{1cBxf(i92Zuz%x0&X%qLDEwRhB+NRRP^JC7s&NyMR-I+V zD=Rt*O?mQ1XKQmPJ}Q(Im)7MXX7eP?FYwOo;AOiN{()5YC9-d~=_u7N_3hj1p!B;5 zzx@pVA2I8vh9~XSoA^QLjquf*ndsDZJIkhGn+g$`>;fdTr)7700NvFtnucq^?#+x} zT06<$5iT%tz1zJZd}j$vi&WiZttn@azq3=>Wu@fOw8x1{gXOXcyT=P1PagTD=<=LO zR`}(8J3FZQk2|~d_j4;k!J~{Uut8XhUP^%oEb=1cTnILEABrO2n@j@I&Brm0(XN_& zr2iBf#AS(wqbMmwqs)TH`{58t%7HtoK-`XCq&_j5DuT$d2by;mFiHcr@gf&^4b8ux z`7f*>ZaHWJJG%bT)aDX$9TrqC)PIAl3gILo*V9#*nsv9~3W1IYa#RUp!KsEks|zP^ zN}6vN<-k{sC+2w$%XR#^Oqw=wp{V!Uzv#%0muo_n5b5Q{=AM-QmFIO(_I=N5x6r$R zFmvLiREjY1=QiZf;55*%oceVz4o>vEQn+xqx2z40jzd+Em?rWx2?4Q(w#^Znt+AB zy#SsqsNHjl=?!$mf7(uA_0LsMyWduJLXFQckb#MCq`M81fU5U9;()e6C|%wy^pQka zU_!D|?XB%ix^1?w1A_QZ$N+Z-8sSM={{Xk?H~#rY`#j%Qad6#m){A^vgc~>9&xduT zMi=sgAO`i722i*5#teKLTwxQ=GCSAly3P6URY>p`B3&T581NV5T_6);P-W-y-Y_1-=@FpTRn#VQyeV6jm0F1Codw22QD09=tF4-KUq#5X_aZE@nRl( zO#wpb4V-mklRm$|&RpT_CFI+N{TFgEI`wEe`+vC}YV-0`X#;_;7XR(yQJkJ*8%8Jp z!Xg1Ah+C@Ruj8RvP~@iHw&8p7%Jr&i^X$YV>KZyLG)0+7@G4OuM8taezIpesNC zG70tHSdfasS}0h_%Aq>A`lUF|kRK6T*L#S{eH8y6)mTJAUIdy0!S*#S3+k{8<~XCT z;EppD%%_G*3iV&Qj}G>@J>NF-zj!T6=QoDEd8>)B8P*iOXe=VF-MQgt$$O&hnx`nYGD`%pzbAkjq4caMDDY zwe-t6%lslh*O7xXJLhiSW`eoLKnc!8;|H8J=>82E1$hzN{|{*S_7!~kf1#C~fAQMExy>KuCWlbesOI=Gs1(3g?r`$5ip!6`Hl)1aW&20CD>S3%r&};Pv@67D*`! zI4zvo(SDYdLjF|1mdZRxPdv&Z>^2>S?M4HWn^K%nIn$7)9QDn0&2XkyDB1gLO^!fjWSL*){s>??G`>|%4 zH0akQv;x@FZdQH8qy&iDm4t4a|E0SOzil!74Gy`0=eoiJ@83oL#|Zf^ygnFA42YKv zP#kqnG6S-}((#3@q70c_{hn9^1)vE>cFTj2`}E0F2}Dj5Xu=gSN@JlHkW0K)mzF2H zbx-I%|J&Zxh?&Kt3uLhWiznSg6jaIB@LrlFEZ)<_6fQx2y@lre^hcL?t@}00hr&9c zI3bduK|i3#?=6_|ly_G*Wz0#D4=*x*f>`!d+bFpP+nS!8qloU_gk+F z8cnk*8?(K*N0S?Vy`Xc`3y_9&%J+VznKoa)(=~IsX`yB7JpY5Y=6C%G;t$dq+~_af zDl>5BU8#VydX9^G>6cgRH{g%X`~w@ho0aFEIj&z&Vmn+v{oL$0%i8F^vDrC4-#kC> zFYB-$y2gCNxmkFG>o|CSae6HE3`npi)lQ_oC3W`cL0Q3fi$zlG%vc9%&JM@vcStUD=upOa~Tov zG8W)voPjh-z{`GT+NxruccXimHFYB@tA2Id13Y}w-s%BX^@P)SJfKy`6;xcjw~cFM zQv-?d*Jy2tmCz71+e5zOS6qb5qQ7zO05XBZ(28kR?^(_Og|N7~)mmHVbLdFgO<->d zst+#5fOnOCbUrVDDi)B|S*C+(QUcg&dV|UN}26zP{T*d|R zIV|Cu@kIdKA#4wBp*n$19p0Am95RwN7m%{pL-{Q7TY5O@%lsHmH>*& z5{pS++_A+T_JFiFodSj`lSpR^Z38kjA}P4bkx4VMugBZ4~%fy&F*otB6)AK5-N#R8R2d%7&&@F_pYKBk8 zEb4l?TetVG%K+z%R*EXR{@ji(-fUj!q>F+*SeugnA@vL-g~*a|(Vlt_QQzb8!jVid zJ4eO4Wtu?oBK1GC_)>wi;w}Rw)>|oh5&DrB+ix1wI$dzYTt@5z8vZj%7T_ocfTJ88 z;{jXId4cv0vSOG|_NhL-%B=j7Ikb&zE~q-ou+1f@X2T^Q}vrt4ukDOTUefzE}F~ zj;kTm$6=xSjn}6zo7M-DZR+>e4dV(0lM0Q7imR|xyLeqj)vlXiW$S)ro#2YA%sWL@ z*R6!cVetFR_cI#)t4RBaK>l}%ce<>o_JcR*d*{2#?=}y(+EA|(H$h*(1P`-)_T)gr zilH|=-@)k?GuqY;sRovpSjbJw(bdC#Z~dSnH!L#piyt0}FA!MD29qPV>x!lOUxvJ3+JdJda znST8F7ae?o=Opa$?DB4%_@$w)k#}Q}dmS6C=O`=f6IOWL3O_e5=Q`DA{Huy=7lqlH^q6yt1oa4aX1?({QRhg*lC`Q*Idc$_x&jCSXYcI{_LLXmZYuJW3f zveUEj-04^22JrZ`dAgSJlZ5+<$R9oFQGTogc{DwZG(AN$LTmBazhjJ5f9BBiRMGU* z()5(g%=e7rt%3iZH7&R9C~NW5pH0qf370U9G&hZu`IeBLK+8jSM1sY{rjv0#mwupp z?D{R-%^0uj{Ev;+7?*j9fZa8#KeOC4eCU=MTZ;o_!JhQ#sJzp@9Jk+|+-m%Porf7? z`n%3XR4s{BHSVx9Es2a+*xKsNrErF|G~ez~pni*}CY*tQnv7M@uKl2DE^iT5g4k*p ze#FSQj#xT6T?GqgARWu(8IkZkG0qcF(b&)Hykef_rg3tZ8;ny~Qv9t9Wo?SdI|5tC zwM9mOcMy=9#%Pl#ufjbp;XAZsF_Km_2)ni`$3%<`0fz_Gx!ueXKVn3kFl6M=H16O# z=41lKw~%gLEA=_BaE(MJap!jMkedcNt%7I9C|PR9n3>Lyar4Joa>6CYrt?SCV1YdV zmH@m3I}Cj0WIS$TQJ^4kDFrkm5%qwh+-GO6*GXqpzV0OhHDHX(&Z`vBMO!9qLkv}Tk3?3`LL|F!^T`^&#FQpdHTZMf$Q4e+SnIrmfhGTnILaB9%bpXW zx!AnGOHaXd6Ovs!*lo*uN(A)3nk6G};wvF%6c<@2?7tu@w}c{;1RsB%)>JKN=jEIC zY~>>;d3b1Kwk>_R8;?L+7$L;I#m06M`?(&S?QC>dj?h+@-N1e`pVjcog^LwUR!YmJ zvsaFniJF@Uhn?+42BA$+=zMmPhwWw@zKx~Fwr^CgA*40_rN z7Gb9U~aaiw5W%INzPje1B)qPey2{UF-P5g?z5^$YLzDeEh2K zD((9v8!r5K`z8;zj95#Jw63cEhwtwI`bi!<<)X$jIiVipvLo1A3-oSfdDP866>#t@ zTikgcp=2Q({PUJZ2`uCA6y#6)a7|WFD5U02PH-W7X`kvadd3nsc{zAdJ46EvHAmbx zFs%hpYyUkmXWXgJj3ZwVY;lDsY)fi<)t8V>jAd_XNJllW^$VE0i-#?WO1^I@8G{#6 z<8mr5b^e5mSU2x+3|M)hG8;*wT)q+v#hIUhGeID8BDF1VXz`u-!C_lct&oa8m5?sS zYNaQvXei8jx>$fB3XYKERK~}Olk^z#5f;yiNrAJZ)@$pi(1k0}Uzz=Ys&0TPtTWe} z@9Eve8U0@2t_O|_#Ry=6@3hBk9)|g?BAQgk+%07sfG}aOR>sjD8cTn&55qDsU3e&Y zJ_2R(bMCpuH(9ccAvL9{CZe5;B?gKm-c475EWSqT_H~FRBcLu=DNFz+hgOE1g0&HRJ z6nD|=lVWjd$wzazDJnIBH}uweq#TOHJeuIYRgNQ9JEijZ#6JcJt(RSiAi{H-K#Hil z-rjn?>SgFv#k8?%4|=&eH-J_lWGwL~ON^SVOny>9ga+NXeA;jlIFwocijQ#Tr(h-} z&f?&h(lLwe2j$=Hz)?I6PXXz-53(DQE2|$Hw$55kcZ#0Xeg<|gkJTavasOk4u9d*f zd9GXP<;IIz7bs-j|C9Mhd~_=@`2Qph+Mr~_O1IYCeAYWft@o3;mCf|nu^e%xfi1|8 z4F#)CI?BupxEp?M)0<~{%A4;jGrW-~_f2Lvyf!PAwLlnE!iku5Z}VM4QFLD{91>x1 z5msCYO2$9&Lvdbb1=TT}gnZJG4SCKTHo-ss^ia2EdU@V8KR(~D-_n%0t@8Hcnz7FT z3fsh}{|!@zm|1ps$Q~Wr*k~vGdrY)63v=tz+ONlzT{?Z-e9CTSitkq7pT0GKY@*cH zeF0H*qm$n+TgHg^KDCG;{e5Z{V~p9#I$d@+G@RCQcYbI$RM6j|FrfdV2yQLV84Qr82+>oJ* zk@{10W&vzMx-8nfiYMCzv*A)F+mtg^6pW@LgPdC_Nc?3%x+3;b#VRW>Q;!@YCf9w5#yTg8mEl*g z93E!(w6E>p#F5X1@O{_B_@uYpSJ7nd>sbw9)Y)Yj}WJ!uycil);^Y}@)FG2h$-sDxmEkBwHxE)2Dj=7O!B`b3(K)=k0 z)i*$LHAW5HOM~>cNb>JEf(VipF_s>|lPO9CH`dUPe7;!f=L4y}&pAJut7PT5e@9cL zFAaWo_-d*_($Z5)7eraZBeh~5oFj#B`pMsigI5$n)ekRO#QbJPAwFhantA$@UHc#X zw_R$6in*GhLq(ZL1xg-=n)MP$Cpx@F|;gh37 z5B5lkp%eUY<}*=lQ${exd2SprzH!mV^CUe~q;t4<`46Ab6}ipt5_|TR#$Ip7JfD=5 zJ26wwR@;PBCz!h$*x4(_Fjs;&L|?+?6pT0XeK8AuR#PRzhfWsD*SMcv{LIcm&_VHF zr}Rq)^LR8f4Z=h&(?et0Tk;oOF{`60mXa`+3^ggNn)0?fdjp56fGcCs>z{^P#y$}U zvY@$!_?C4n>U)YK8PvGk?Vy4819GV3X?53Fl!_ios1tobRLjn)7Y>}mVuNoyu~QL0 zLmX>)S(H}dEc#PJEv>JnXnZH#yW~o4PxBnpq`ckQF_v6$qk$8vkHR;s_+}fkpP*_$ zwH&Gs!mdR9Pg+Hb34S|NABlrHSN3vHMoH^Zv=iM1LhVx22f=1G;uB)8Mb$cy9zouA zU)(4wsPlUlWDKJzEbp$(Y0`GNf88Eu+rrNw>K)sT;Ys5jUxOtxIBOnm@cMZ?paeh8I~33y(7Rq45zuBFbSQJ@Ze zrWw&m=&1vWi~aJeeJH>g#yGr*hn7A2U={#KL?Go#r_$t2ALcz1D~k*ZdC>EGMzZX| zWetKdFWMGzn2SC*90V+%F)1jGwg*86(VJnOLyaXS$3le(wcDgAdP-}QxIP;nvqvGt-Rl%uwR4QtNpaTE8)zdVgsd+3k zD`+|Yg0`4W5;F@txv}*-6|jc3_)bYkPn*lp$=;eJcX)c(XM(NB>t0Q`n)c+vQLclu z^FL>Vcu$lJUxvO3#^uhH-CjeeNI_Ih`>$iyjT-D>?6CBq3kflWW-lC7Wts8NQZ8Hf zu>$Ot#7`^6P3Y+7y`9)C?T~9qeq&p;MQYAVnjT?b>g&Sqn(>%h;3ak+Q%5j4oZeA_H7s;=A5BV9tSaXf1iP#C1V=->E8dpALo~y@>F*{y;{C}J z&698sqVwyfpN*Ndx`v0GK=hk9!KZKkTRJ<4mdlO682`0}ZtA!E7yDo)EVo4~#vTxqAr})pM4a=C$d1xInEM-+X6R)ac^WCe5 z>b6IP&~Ktj{()~5qSGSD6k8>nwk9moas5 z(}re*veRA+Y!&m2NT$Iqb>|&zMUO)EoHiu25)-0hSu4btCrSxfXmuy3YIPN>Y<@;C~HJoGGn@ZQdK%Cx<1bs zRd?JR3vlTzB>{q}F;8e*u4t5RtfFC@UuVni&1B>*s|9CHb|Q#^?i_u_CGLZSsY1tXTJ|%iB*ftI_z!NNRbwv9Trn5mKR%UQjlFT@G~T!m0k$BB$o^@Cyx@So__2SEa)^0 zJgbDWxz%#y@M-0XJ_Yr|xE6D(;e4Ft^x;F$iC9|62!6OV`HY-Yu3plB2>YGlC3|I? z5WzXk9J$*BN~Jb(!0$R`3>6p}rNpR%A}^G{CW&}H*L(?Oe)GJNOjd;IPLW?ow#Z5lyxLGC?bXhW-jB>3xJ;~|e{$de--ra$ ziIB48JNr(>HQlYztWG5su~)m?sJ5*KCQZr_i8|(?eJnje8vluWOc-s;5%ESYJ-=ci zGE7gMwy}-cv;e8$9LS&ciSU|Rju}r(B4-i zec`3WLhp`|c~~WpxxbJz4<|!y-xVAwRTr8lE+*MRt{#7FHwI;#%Q0BYYv zec5#cvLaMFA=9r_roVL66tj6-GMv+BEEXt$lkqVntwcXzU*B-4K;^_TB7>5-Vs3>le*6rfSi(EIW z`eH#M{@tx4@Tlyly*_u1wv>or#eF^9G+$_6hI+a)d1=$8dklzN)Osmlol(~CH7wNy z${0W~Pk`Wc0v-l!ap(HviMI3e@KtO=b*>v5MMiqM0|+pn?yC+75W~iAsxDv<;odK+ zY~v;g@CmNQB%y_-nUt&(ziI@wfq`PYAr00Bw%AVt$Z6f7X*!!DOyo+pN+{+YkMYg( z*&x2)AF+y#q{WTnu&%>>4!rl=98ev4=C^n#QclU<#U3UD_s9t?*m6)PPAd%Tr-t10 z{|*bH8)X1eism&$1u6VV16%V%M z54?(Yn3XPwN*)PC7q{cS>0Nt6R$}A8Z^@UpdMp!XGCn@>xd+J&JZfL5i#_gq4xXHV zmPwlEwCrS)Y6ej905(7GUa2R79c&XU;_68!M9)E)3SClkJCI2v0o>k z$J8caM$^U~u7Fn-!!NA>v#@aRAatFVeq`L)o5MeFj<=~Bn4Xw8pXRqnIU#ZIh;2EJ z>20_BvBIhKXLDrxnxb~5nLj(7pE%;gD5f3<4{rCMVI)A-fe;m)dIrP!h-Uf^Emxkz zcX;U^@##vCse-X83;n-&Ouv?%Ybx&Vwc0eDhhWEH>Tz*khpThli^}`bL*`b1H8upp zYb&QQ-k;Sx#_cui=jQW7%QkD#r%*CinSt}wNrS#5u|Y}_l!mZC_<#peBVSg-G>df7 z`mw+##mdo)$vKd-Rf>-JU!*Lw?#sJIXvBPtQx8(j-L9thO6LQ0nUR#IjJlyAkWV!G zs=#19i9+fPwh7%Ei$^kjP;Eg);z{7LEmjz-=ptg7$Cj7Vii2(v{Oo%DWqkEgk?6Q~ zxx(C)i%>ype1JC*Zoc#Brvq)WObY-`e0r3m)sT7}k&R-UEnx~LI;tQ=wR!)GxT9}b1L8ulZ z7aXwZg%A1Qr4<;)2l>E;PHsJf80k+T|&IvFX27pp1u951h5#rmzP|ZjX#uF zmt}(nv>QwAh-Au)^*PHuKCZH(>yA7Wd>cEMN;$`wT`jbQME8iHen3;Y@D0ygH2{Ij zbz#_aZo`s;_p_vh>NA^Om-^PzKd%Y{zT;{6~n!2;Y53OIg zp#%_DLnU%+9aSx(Y*qp+C}&wpfBKgW07`H^(WB55S#avn0@IN?7czQvuHt=cYIPKC zv}s;O%vLTi)0<~lkkYAGQ?r(&DQ;H6iaTOTl|mLT!6p41$Ei5{ImMT$DtY}jo3jrH zX*eCeiaQ)t%U}0Lk(JT6Wqv`G0-9`CtK{o-qVMoOp($Gpr}5{MAXb55$*&2QAa<@x z!;CK_Dg~9q?(n6CHr%V^xwzYigf282tXfS&o>~b?$*F@01>FuwXx$+QxYMYSfIwNn zgy-ntvqFgS5M9lGhne#InMzm}u=o}ID})}fipY;0qIoJh3l~g?3xfJ*=Ok!#qzQ6q z&m|y-n9)(_r3B#f6ANqye$j>z;-^T}fRpbT2~vVNC!-kxG;_sHb15j~l$|!icPO*Q z+bxq|7B;+~dcRy!nnt#Cbs@NcoY0fgzNw0BH%!uE4hT2QopO{E0NyMhay@_ZFp|20 z1N&72=<;o(DcIz+Rnl4r6H@H5v!!fgyR)m21JczoR|JORmVijq?9dapvEq3RM%!=Q zNQZm@9R65fYqFQt$mR7#f_)Lg+v0Mvj5zuTRKH6&3(djOW*vR?DbnVFerV0KMOM)9 z?eba=ynDA zMS-qTlk!;}p#M*D`xDNn(($^+(S~#RjZGChevr%TD!-Z7{BYNL zLp1;!xx&^O^XvZ;TS9xY8hDn1FbbH0mH;ojwFdSraGeL(z2mkSpwDWZ=YQq+8dI$q z-GYFQ&TuH8b8AHY!)>at&?!Z(E;^L*4TB1jPN`OD%5iN$^*{kp1>hNDd@U9&~rZW;*tLTq1R; z)0_o2iE6$u_=5sf&Ig51%3I{cQ5L9CH)$K0Vh$kH+`}<*y@F}8NWL95Af^*8ADvsD zR{K>vYufsGnB5-O%W&0W7M+jY14H1rZj_FvEItyo%RpQS%Gn)26_-RyFS)ETKVyy# zhWTN*pa53FRnrW#15Qb&Lw~jQi^O86n8J|8I7TJ8{UfshU3z!!s`xhtX*%BY#WI{2C4N+CRxj-8`sNb?*`|A)~GrmML^ z-3CtavP}f*0>RZfyhzds$CV_VND;yrxzX`gvU&7$(FjYpI$v>VOE}GU#ei~s^lWx% zYN+qSV|+qP}&V8^y?+dJI- z&hvlY@7^0XqVkuS)hFU~wW>QSK{d6anxjxcSHZXx)A*q+QPM!C5t?N%95sV!;gh6b zTi2NN)C$Xv7H09wt9*4tz6$3*6K**|F3?KLHRP;@b5L|Do>_;d-haC~|7(K5X`)5o#c6UiLln?nJ5KOFM2uR5?G07K-5^k&2O^&Ge5<|LSZJZykQmVXYu5cAew?b+ zIV!Mc5U47w0`EJGb_L)CR~Gc&xo2w(iaTXW`w)-$;SfR@xKk>2`r{-0?wG7xd#}UR z=>OoV)(#^~lji)gs?<*!t4nHo$IX`30ij|wgzsV|-*P~VL=m7_vPfpMM2Y-Jxib7( zIa9YLHnBIUn%I|g20;DOW>&^$m>|psNL_%WjD4CxyD*oX^1C82<{#2^m3(nPj zerK0j&Z&1P!I>VQ0S9U2*8_Gz6f;B{L!$%fsHaF3SkI9>+J2qiuRwXF8@A%p2&e>h zPyz8W*jkSx*>bfYcR1=xL@z(PYa?4r+Ymo@o{_q*w4k#doWX(nLFM%WPxWE~v5vFK zOfEMGo>>`5ZEId#+r`x@cI)oH)+)UN%CHEtQ87K8(=oK_a#h+2+s^IvIg8RGG|Joc zaM^CzX_p4bWxue*s%{~bt9^x2|BuRcIxfSTE7X9QHO{KCGcUg|vyH;gZGUU13$$s1 z0Y*JYow$D0_yj-NY!XquZPERIEWP37!?o$tV-#CYD6$F?K{4b5lkzQfmZ>?V4h-F-As#wt7kU?H6u1^mx_*jfu z?E)f%P7A>Ak8jlb$<~(htaUbULW+WSC$4~Oai;qPT zy-#lM*>*^_h;f~V^O0~Zkb0T)`}AY7P9u4s8rwKVK!)k~@X~mnq=fO{VVHpX!}h{L zCmJ#4A&}|E1WkR8{%39an0t$D!HtOJ(ljEPknAv;7BgsnG>p^ZqVWiX7RCrfBrS!I z9y91+Gz>Clb;&b_nI<06zBOwy*1=RFoL5d)wx%<0p!?P&61JCCN8Q(WV8oU`T%i6- zMku^{Tq65NoVN#q8cOhn?}U0+a^$Rhz<@s8~_WqI7Z4QqgvQv_#-mlr_p( zJn~axlb@H!ILOpNE zlHGQ+y)q(ea(As+s3MO@rX^ZaJv;HKBsnc2VWymgq4Gu#u%KlWRC`&5w=K?iu1waaGG z!-j4QrUJe^4?YtS|8kjhOG)&LW$cpLRQ!OrcsvF_vaSS&d2e1q+lpMW{5p2$XSvudJtEbL++HIs&nh!6u#L zpu*L1041{POf^vtGaH6^9Y*mhnFx1daz}G zi1%U6;T-=DB*#PHGsvx5JeW1cIrGru?~tN(^osPS%&$8Ea?2_soxar?FiZiNu`LDO zmgP+Xyxz%im$NDYRI?8;|IXf*<=3=|=L-(#71pyZ))FN_R%{_0aVD6mDG@%&HOx@a zx)))tsqtlPp8rEtG~>XTJ};?_pD;Fgd^t@!%czH!w$f?Y;&9CfhoniIJVBNk$5%vxeRdUmqnJA3XQ+m_SAg zpB;{dc$r56MP^_y4S9u@xSbvugCV+dod;+W-%_&fq7oQ}2hc=Isy$dYM!+9ygCOt6 zD|XugUU+C9&_)|&j?Cq1p<66z)H7==azZxNEhJ>&OO19^Vd|)5)}dR(>a#z9ORagK z>gehwlch!IX=@E2>ctaX0C^G9R4Y^?cM02c;{&U|=olK|RSj{$G9(weRoHsLZ1uCzr#B#{d6mm>uyN_k<$&t;sr_-*1Zhq>w(}Wfc<( z%Nj4h+cLo1H=zLel9V9|LQfABrn8d_)ya7Rk{`tIkGw=i!~ClO&n@Yu4~qDbH*2v^ zmy0TbEivZ`a{AVA-)yuzvLdC-@DbF6_&Z|6TA8gsy~i0J-RmPCoyT9kS~eIp*gubr z+u34T4)P?mS|~=MWtD{yBM6@LyD>B0AuxNz9-X?XuZVvb4NpHeXGGjerM_g`N^LY9 zI-d0_Hwy@5U+9>&Xc<3Bvd6P!@UJb8<%zRj-Vl3oL9EX)c{C9&Gt4I@;p_ zIGGEB*knO!*Aa{sVvMIOD!Mp%2hIWt&Zua24ywOqld#PQb`L~Jrz0l)mjcElx|VpL zQ0PPS#w#ITGFk)$(xxCStIFjBC@eOE=NkmStbh`x5V%HO z6|$u&qqghZfs9?5L?W3~LH6?pbtZcX^%{w|A!w3Uj*i9`YTuAqcxBND z88oL0YQw74sqHmdF)^v2w_8$?Ws8emyG+HLn|SGkI9-D%Nz|8=+xPBy&D1~;ZF3J8 zBZE0|`KIJ}U!P?aAK@S_?&?w`q?$ROfm!<2p-{`?hE=zW7g@BW|-hJY={#WtKxdInaw3efXP3_?Jl9aJ@}GWL@NK1~a$6c2sKe*+$uXqz}M4 zFYPkk>@8zE`?0a_@Cr@CPGuG}ZoDd~`pF~pG(2zn?SY*g3{QBIpUx^aVx;GEYF1q% zp|3=y7Tb0xo=(ObzzOP73yzV>Ci@U~Dv2KCR+ZAOq#|0#iR z?JMM(-7mTZxeoBZOfmJZi=J!S~@9I6!x13l04t4OLdLzvub2l6D4E^$=njNE%wdqz?{lqIc!QVd?%+2Lu76%W zQ3XX6AU!!$)M&ePsmI8Bzs?Lr8afAL-YiH|r?SBe3ryq8dqMVa_+6giS2I2$=9(I&}jKvsI zuoRZ??GzRoB+UgY-mT#fyz{5|Dsce|q#h!uoB()3@wk$Qn(?B#(28>ZdZHw$d{+u$ zR@zc)CggBGGIyu%o9BQzE&G*?`;KydspzhGnsP*s?C%v?veC&&`nkBrc2y2UEZ#Ti zMY5lGu;~@9@2#SE!i$|D7C3;j6*yQL6rBFJttQGLJ;-UW z2CV|aBQtg#QdX@cl-ICsmP(oE>a3kNb77z2KkLu$I)hct>r&)(!1E_d1bi8ZBY}=gfU)%5dgC zeL{XC>IY}Z!CQdXwcX6A&-jQ_E8xJAs*m%g!pNfKzso}d7pSEbvtS4ii}K<{NP5Fz zM}o3NIWvJ*jP8FADt$LGpau2S0aFNbCiLtZGrh|m+r?lETx&W#u0On?<3^+A)~tH( z(R49g?U{$A-Q);GOM(6UR2NPkhwDm+g43B11s^N-B-MSa*LYf6g4teVi@{Oajb96K z3(k%y4Qfyq-7P$F^qtL`2H5+V(}2Yq?u35(14D-rhq;R0%S|`x8(|w)#+511(Mio@ zODK`B&pO=DQ1O$>9y(y2AJmKe9`{n3{SD#>MA&+Sy7;u#{(koy0(pz3b0a-{z(vjr zr;|_;)Yz?0SNTb(;?S@6Fs_E%n;{gF&1HQqo>64?%<`d+wyPLyD`UE9`nIPx4EwZ) z{S9FMqtV6*iFyHHD0;co!WCEyqw7{!c~_Qv4}AoNP1@D_R|C=Ju&%yK!ic8@D-k}X z$y00&$?zbIE= zj4j7oR(|0OU8EU3`Q+`f=mX%%(Fys{e~jocZ3Og}{amX~^VNf}`ej^Rs!Tzk>hYZ> zz>~)!bm1~=@8*M;xbWJWS`^SUrA@G!F_`r9Y@YTv9}s03H>Wib3qsnDJ`4OGy&Qi! zzb%_wv9Pq1*sir>rgth0Lw!o#Z18@r**l|*7<~{~P|_q9Dob52w(3yWr?$y$QjJLC znjc8x_Ht?A`pl1Pap`{^KMWMFZ*I}4+Kmm;K+>YyRh~n8GC)GHprhTZ6VJHo0=W2LKmc>#?(%7znaF5Fqt-OL7i=|M=kTvhlNge zvts01W>X~z5ksq$X@@6qikgX@?8d*|>38B~YC9J+77rKP@#`mq8DTP&lWKpmBJc4L zqIX)x5KmT`XD$+ood(czpZ8g`HakVMGBIT+I4ebzEGb2Fngdsj{A&5ABxK~l&(!p4 zf8WbBfXlPj00ZBX&>~Ts7=65bJvHK+MYK4pg{(DA#}j#~#r~5F&kV1=tu!_6{F8xn?7KG> z?++9LcWb?DJ}u#K*GCZE5S1*!D;j+huYV>tz9*|4P)No~csf)z&^sGZH^^;+Ltcp0 zAH}x_YviGw{h*uY*S+?mvE-nf#o39f@OJjNB=CH@>Krm0J8vlW=^0HH?5#0$IU91i zPPX*5$Ze}bJM$ThYC%M(WucvobAzUFNq2v=`>nYV5V6=2Uc=+j)%x`O{zxnol;+JW2&fLvI)2$fIgW0wyIZ1X zUIDHZ(VPeDE?F9yB|aEKgZT)z<%?l9TMeQvLRay{Fq8BY&+u3&eCUb`UIZF<1zeGIdE z$rXGus%6MInQ%JgOARC=i-kVt6yfTJuR<5vS@rF3S2(Y8`WpIs7Q}Iv0l0VlnsC0W zOyZdxnj>@T@RHvH(VRNcao1@OUj<=x!Aq=lyK64jTAMJBG5TkfN7-P-puBZn(xH!?%z{Qa`e5vAv|>iPNIeO1id(hLV5}dw z-o#+XLK@x6qP)wnCUAID(6 zci~Rg02u33^DBM&Y}Z$;N=pne&XWa=kTvfpWU1%I1H8kc_8f=bGsO^><+gz(YM{lLRtTN3Jf zPSy8FOEoM{_dGAFxMbPV?^I6&o?ZIi@Oi;(>;{cqE7GI!D4^+}>7`oLHaT zy1*CJW>(3CtPtGDwU!$E?V!$FUZM=owM3}j_VH6skX%L**@79Y%QJB|BdY_eMLF!8 z^$zwHb0_~9)64bnldZEP@ZCO z%^#c!$)A;_?1R`dTB&=ylv9Cioxot4$UOJcOpnt{w^MA-(|u6ng6Dm80UOX+t@^a^ z`R|T4t!qZa_CNL4c3Iz;Em=4mn9L#Uti0%8b#|m)UlYT8V#ik!vk-X#6Ct`9UJ>u! z|MmSfGwGWeAI85bT0c5|B(cQq+sn|gPfmN}?^ess8yR_(q&aEvqM4apn%|CXozl`~ z%svfG$#u#_i+361p`1qiREaW)KKiESdm%S&%;_iI#K(Ob?r(bpEx6zcbp?y~u;Myq ze73+D$#|;<7Go#HMjYilmRY9~i$R0S<3#VrRa>{3OLV{a82G`bdJ`HU8AIROB*d?_ z-B`AA#ssU@qv2!~d_c+sRoR)gcV7jz2S(*w^0sKj5b8wZz=jnpjnOe(xB}%w`&&a` zpPcVp#OeC;^34eDH`6TS3$sM$eQG+oJp)I*O}<)3eyTvhp*p_4Ys*2pLEO*|xV+NG zhjb9Hsp}T9(1}@$o@&8npI_yguk*3WeY_`itxl0frFHovo9arobh_54&1896pY>v^ z`DkmIy-sUkt-*$dVtX}oT`~q^F|}EgxUGnG!l28*V7u4>eG#l%2UQPF>COItpd&A{ zjZtzX8)<4e%A^%URpqRk%8e~0z2+&Mrfyta9kbFFMO_oF3L<1g@b$oE-mnN%#M8*Z z0(;_}30FQ_h?Fu_Exc_GM+Dfcbe>MpiA$G~ahBdvnTvd;=CFjr4I!QTU#lv;7nQE+ zGM6;j6PonNvbs>@XeXVV{NC>0Fb(}{>3Z}+fXjnrWRsax?{b@SQOm4NI*ta$)fVo0 ze0zsuSD~1<)$D2GxAsoQ;5=?YCnJuj*WK5Hk5?9cioq@0?m36M2Ew}*Zj!LgKCMS%r%DSoO*&r2b)49Ey<;u%me@jf6RcB1Js*Pj-rs{_>sBFgD znY1WeM>R@x`@1T_m~GutnbRtB!SBn;EomVP99#@l#>}epj>=r<+wl6yyU1O%@k^SB z`ZH>Zn4`2y%Z^LQ)RwY<%$gWLyuuZy^^fi;b3=dH&X4)( zP_;(C`k(N-Pb+vq^QsYPN4xj2k^R&7z~*UENZSl)Wf7w75jgBYhQ~o;o^U@YZ%L+q zua$cxn1i}FZgrroD29{03WQ&690Sp`g!qa#veOXd$_biN$w1kHk$WD*wiVNL*hDyJ z!ZP5;QbRfRy$CHdhk0#qD<*ioHlmaLGkE>YBWS%l`Tiz@A!v#AoWs5i6iB^0_P&Ix zdIZQeQ;3!nX}vrgB>c16jdi_R1QK>LYj{95s_Y|E3)vBl^d8ig5w74MDa6)hC~W(x zai1d2rFD9}ymy#@Fy@Dw&UuPsP>Qb&sMj}^K;vAq@Af1^E~wMLd{_*uCzhToctWPM zjqetDntBY?0aa!iI`~s#V}rm4`ApQ&jDG7z4UZ_#yQ%1-CsGz)$Uj$Ajo3UOpHOt_ zdi3}X+Zx7oZeFZ;8a(?phDWT7&0c=84bzBsv#K#;mYS(1`%Op~tG`N?yMM9yz!-9q zRD(IPtmvo-dtlUWJ-;cOMCHmkvutw*h-lxpVOEUqsCT&0xMeN`n)p(`>L{l=iN#(tQ zOI^=Z@!zBw%n(|TI14AitsyQt@(`uIjN`&9V+HdFK2nOOVD#)Azllk}8ETD=g5ELV zzTgfo-{cpqf3)?kjpaYPa{7FCb$ZJ-lVriqhF9ftyP2`~Y*VE?G)von%?`yAn_I&A zjuEc!Q{W4VyWnA-ZRSsHF@-t-PNQ&7Z&$zaB>|d4A<(%2v0_ zb|p`9J!$Wjy8#Fd4J{)TKMT};b$7VGpBus51rC#Xf?zTHYQBdmO{4n)H6e%lU0Q8+ zfFwn${pP>KGKCs;|2YiYBH)}AW~h|G{pNqj0`*%_?{q&QZ3^#j)QcSMCqUT3IZ4Gb z-JxY54;~mRstIAt>o)q;jBfX8OQ5;EjnyGbRRNs! zI7P?tzNiVZN_^Fp{Ss0H+^i!xI{wtQGq#`RT<4cbVBV(7oC<2OES~YD)DRMdmv)B3 z?)2y>WR9gzPY}{|xIR|o-Q<2PDDqPNeA}CyD8OoWt*)Ib9Q*P%Z&{8O#I`-t`z42+ zGIfH^xX9!>XxC&mTjtxRmc^XdY7VEhNh}lhO2TQ_9$5Abp%G2)%CJ*Xe>*$ovFhm& z@0r^zfAGmcyfMtzf1M+TaM@voea`8Keg4N9n@g1)p{R1jQEEp^nw4liz56e`yggafjHQ)w&P5iYl!`UK-)S(&9GQzDBZ=Z>hxE%M zMH6NqyOr=fM6p#KtxiQWM{+PnCBT`4&sH8+t<*-_bxQ4mioRMV#ro7+=P@^rS0Sa= z{i5rt7ErbOlFjA?H54zFYonvcZr}J?k zqLx;ANyaXtazxf9UVXHZLdJ^fml6@1S<15yL6&wZSKX4OX31JLYq7W=0x91l5F}#F z!p@2=n{v@*J+L1_mc|CrlTn%+9@vtswutPcuJR%V&P5|l4(OMcrda?H0H^{DAqcv2 zK~$g`G3#PdXud+p>)^>LY~1oGtQu^Z>Wyv_i)KPbAVb5X=>#p$p=A|xGu2SED#;cr zA?j3{2*ta@(N*$Mz53D5kbak3(n&1(H1w{?=SOX%qXUY{71An;C+`GRd9qCkq?X0n zi)2|PMA~I-m0E<$)2HlLDcQg;A)S^-+f&s46zwt-f1M_Q2CZ)zjo;BL6^A$GFI8Ip zG`q6fV_N<)8ibvBRMM=z+_1=|10ysn8dGXVGL~Wpb(%>JvotIUYz-u)#Z>&B7&skO zWPLi~qc!7R7&xELG%SeH1Q+!rM`ZpMNe>LyIEod_&0GAt=1DvC06}uAqT;k@js<}D z);NQ31VPlx|4{+-kXe_q*m=t9F1()C)W_9Sbsg9LcsRc$DNF zMXcqG@pb6Iw;GqE@{7S_e;ia+>lth6& z1w}9AO{|x)o3j2EoDUT{!)qRBg4^>_yRypY1;TNYyr+CKZ+A1W~ofu13M$6q7XAkc&xT#sa2{I#-yXO zen(Y>crOBkmhnc2wJM}n+wA4=7i%3iGkHq2_u84=rC5Di|HJS9_1|s%*Z=*$t^WUE zSDV)Vxc2`qeiMNyESjUaGHNh$7Tfs4wT&<_*O}a^Ps_X3n>ZxPTxFf>j%=6y-=hl@ z$@EZX0z?^vb|C=A#eQ`PuX$W-C;}6g1`52oe z?d0m~34lCg#5Q1Yg=ST4TornGW)@gQ8Q@rTUD{~U=|avZ-(RMK?CXjwGV>8BDhZ2D zr_rf7AFqY+YeBlL$MRT-`J=cMGadHRME_7D;GbLH@HhA~D&b{X?!~=Y1SJpO>Om6X z8c!`x?j=pwk8}&DxC39;@Y?VSI)n{q0c)$tYnF#3HBpYqNtzv&_G;(y=z9tb+3*4r zcC#ZnjE4zZQI3ga^W=qyxuP7FZU*&oIWGul_A-5OKcCIi4fWwU16-D`#UkpKmyxp~ zb{qjLyUFXP`wbUS4a-d>QQYxZFhOJ}xdZwUSOJdvtRO}B9=7|WptT% zB6j@cSS2y@>_f?NBKFyvvyD`&>z5QeS-SHCOR+~R@0tPmWxYCy1-1^HaGox?kkx8U zGd_Y@x?lg(7^vmlu6X-D<3j$u@7fNMA$rlzgvFIw6Tn=AhjRP8o= za~n98CBea{Usos^>2pRO7V~+_wnvu_CoiUNW;lJJ4BSbRMy3mx8r;TtXcq1+q$&q= zq_Te^R5!>-pA4;5%o{^&^3V6uYp3kC-u|3qQ7 zt&NA1*mAmF^pwfPDL~cqt9zed)})>1>DFwO+y(SHu>LzFxCH1*Zu2xOO+%nemXbbX zs(JeTtsamK=A5%D0atbCYJeM5>!zzTD6ZRR3u#?*gSq@5HK;QAuo&-|;i0PKVLfHpZvWu(FF?$ zAb^>Bp61=V&I?hH4T55_$e#=Q#WH0syIu&5zb_{tPGwb1UXtt)x(<02H1 z5QO(4CEY|!rqRadb7BcIznZlik~3gQ zv}CloUc%HF-U8NY+YCJT$7#caGwe-0j#78ckDl579QbK6R?D(H)`{sXvr{>F%bRon zQwp*^JZ8w+Y^$@O=TPij5UUF<7a}LoheScrOIFg$!TwGl^rP6SrNnB4s1$fH;kQxL zIt{NxLFUwvRY2syB3JfY0(}wi5UeBe07ZU3$*1>++<^r@aJg})ocUuDk)=f7NK+j} zQVL<@Gq_0lNQ;65n}>1U!cF+4OY`w&O19-%iG# zZsB>D9luVG-y8%!yGZVC4Sno&STmpuV6?4M?e@48o>$c z&^d%_r>dCY9ht!Iv19~W;0?J<|F6*vN#Mx10s2gf9~h1@WeFx^9V8jEreu@p(v>l5 z_IRnz)E=b_TzF|llrWbXt5vcW=zzgiO1Nk?VcJ7ry5%j!kE)7Z>Yu`pIZ?{3o2*|g19m}b%S%5;h5E=(Ue44rlljb2n+sb{0U1{A{Gb}D z_3<)vVJioaTJ#H-@x$z8Wxuq7ThG&%-Q6FRy3<(j1r zf=DH-6+({<7gngF&3kxkjb$FeO>t7raHcYW(N&|z`eQ5t{-Y{nhJwOtU9iaygOyh` zZvVuCa;s6?qK_$s7=1uLI4}w|p+J4)Q42MdKz(*F3-2(&p7~`0cR}2cpG`o0j^;;h z?L;H=B(D;e5)iplwumkFc#fy-BXap~60dlA7Yomz=RNU2Kb(aF`&41(|4@T|2#W>= z=ph&0Ie|TY%LndS)furg*ZZ(w@2&ko2*2|lW|}TSCo%5CNq5nTtWm~%dLuvL8^sgH zh8bg{8`Go7agrOd$b*Z>?P_4q;FqPu$~f7Gysnh6shIP3^O5)lO4ZNTXDr6MD1ND&fDy;TFu4MgETWgdO4m4dlSQ1i1Dr3!6|MMRf;Ds9Q&AnqA<>W3v~2w ziRUy9)6%2dB_Ns<{%Lh(;C4yRgEd-l*1vm5Ez>{tsstvG`~x*~?4Y>#QCKjQh$jjw zzeYCUxd>umqyJovWDUpRgYJx-#A-2KeeTZCEuC~2QMm6gHs=k#8a5q|rP^_(2E9B# zMZQrr$uu(TWcXn^Hr#rMpr6VXJzyBzyIks3;wcJ$E0Lu(Gw}8RV3< zoK~if9P@B-aJp&|3Oa@w-jLiwW$VkaU8H!Kyh85k&0YU(nkYYrltN~tYRkR-%dmZ< zI0h9|7zq_k-1=kwp|bTtxM!4j8NKf(DHTl}ms}tMdY0HW$%zprohAPV2%M^doS2fD zg0mRuNC~RBr08W5s#d8BDtU16V)PR|H9=ZCEdOxvB4!HWCJkQ?HevI}zpVkf&{VV} z&|pX%F%VsSylYUHf3yinV1>C|BOnS7jDq6P^x7T-wg5ud5rXyK*MX|=;pPeot|*x4 z?}i>mOwGc^Q7KCE`H8xJ0z>nh$a$1(rtOQv|$ib>? zPhQf+n`V6v{@}AHq;G~eA{GAa`gi6=SG4>7?*J>+!{?!?#1N@FaeBV0+yLELD}sAz zHw{N46!MK~sAcHBb@BOj(i~cWxyTrG@sl!2WRgGZM9SkiM2Seo(egz^FM~aINI(Dl>s5t+tA%=u z{u`tUUs>|k&^vknO*p?&@$YB6e3ni!rc6z3TrB}4Te&1?+=a4`sSobfaSoC<0{basOdd^@ys5%0tEI#j|hZJi>oPN4nFC`iN)Lzb|Z=@>4Jak zMjD>17)*uvu-HsB6H zEpofr2tk2hftSG??gakpYSUwq?T}Rpv1tWz7i`iNau;G!&W&xbs1+9sD!!;0OcJ+P zIWqTfSPZd?1PPUbc)S$E2#bz7TWGz>IlAZ=wvLHae32I5SPlZ&8x93sGm9?_I=V*A zKm=k$odStCI9H^O|Hbk7%L5vvViCcaW(OI_vd+meXtzzdjTU?9L+g#4`!L=fpGwN) zUHW7eRHF%0-J}<|#a*r0GBAr~%EXPfoO^;2<_HUV>;lK3#7@KD#Nye@yn8C8W;7*? zvYX#0q3!xuz;YU5`%h^}=Wzn-`)BRks4(MjnE@_nBo07eO!4(4t!9S=ACEtZ$>fES`(h$kTUV>3c zh}e1+XAL;XG%72UitDBgX4MIQ&B#mxh=3arjQ|bBAOR30=7wI1n0f{8EOxv{pTYSa#sO^Z(?^ zn$MPrI9UETQz6#m3~|i}-@byM4U=H-|Iz($*EG{-Fd6j8bb}z)aoRaE-$NZT+fr1; zDvoeLqgIiv9M)c16(MP#TUcc&O%Ft(Do`}^7#rJSHhODBAzeo~*V zY$J(J>b#-TcetWz2p|G5Of_H3RYrQ=`Elar{G*Aw@fV4@!$A4~64Pa(uJ#o>?(_6^ z{!G_GrfUgGCqBY#3?>lc(_-+xp=DG?Xf7y8@T1uS%lS~yZ9(MgNzNr^V7v{Ugq~n% zcz!&aNr9=Rnni(7t`G|TL&|`oGj}^TsxU?P)utc+>HR`iEP_Y6j}EGd;)D-cl=-5k zE%Ss-M$8)##1!UQ(Y+}szGe7@0H9IV^vS>jIC`(Z7|*E!KJ| zL#8IyNH<@o#1{ZrN?f%61uG>gGVqR(7#X8cpG_3DkFi`ThrNm2{VebO#7kq!Drv%_|bUA#uYlIwn?`Z5c9B;V-Y!_VAKXO!3>i38nV2#Kh z_S{v-5bT4wumH?QDF9GM^i5F+^-|UZvl#M`JO^^IcB$%K0=1E%3Vspf^`&i=yChc%!yV>&1*VGHlC16>!}WL z#2SY)Y~FIw^rg&`$=+O~HLlJ04*djehf%tY+Y{DAWP2e(^ER4|L~}sPv?wM3Q(ra# zlH;y&FkWvou4l7~c&hW^)MK)EMJ3k`xfS2M55JktUNT@xI~NbV^?Tyd)|`HTd-9CERL|df#AHe-8~ySC8lKg;ab@+-RZ7Cgb5B=hJ+yS=-jT ztaSHU?06wIH#)23(AEi`VV2v;C97`E8E^@_lASTOt|oPKNghc1+K>|c$%Hu|u;nCI zV7zIC@Yc;E^0vA$`oOUp6%Ls97tuy?wWbpz)|p3&I#NsGMJSs)O2YqI03F)=6+#yd zUx6~@Jlq(I9bP98hwX+027e3*&ow%@+>7iqKQFM4T|L5biv8PMZ_(J^`W)ZMiZ_re zJTHka3UsWIbIWTGo*2g+$+jP{b8eRC-RwZJav+@V|=ZLir&^=I9D`Fd} z6|pPr88IyKvvPT<^TI1+yCW!{D!g2)eS^dQ&q1N^t*@OTddJFd^Naw9k-h~*Fq7NB~KvjeT{U^+Z(igdz=))?+N6%=n z+v{0=`cpyhh`7X8#6WoG>=N?Y0pO5MPSkJhR!PsHHC8*3OTK!*k?P8YAj)dY?NY15e=eo;sqz<&7r->V@FBK@?V% zl>9zd)_%53qE8X{6ercB3vsQ2#4QKp%EpCv!H;tIg{XcilE}|54?^wz;$&;PC!2+k zr}w+B^97FNHaeLK4PDk{3a3QgTNQn(i`_WdgxirS{VDwa%PRkxEFqM*b`xyToriI3 zm@diZSn07eaT*2~7&Yc8D++^sZ&WV5Se7WP6~yuA z|KvbrL_Gg@|1MWB1x4r#2cX2;IOv!U?tC}=qakt}2=P~WcGQ@t8g6+u;jJ_OZ~VqZ zqqyLqX&4ZLO=Hx80&ll5Fp*#QAMr`4q)#jc@m+WYdBh$Ony6W8H7I$GPbctQ zo`w*+yql>=@VGcj4mCw}COfo}k2xik^in%I!TuBIYCE)s9i4TNUbCU(XLem9x&LI* za~hLbflTuMlYW9IdH8Xp+(fRR%m;9^`fG44Y)3^9$BCXWiW@C?gfLR_5N?9hyaMf; zh%oyp&7^D1^xBOZ`+fVv^mligslj8vtHb|#dS8E-7W^LYo;cH^JC?U_VtC@ll4U}$ zbz(?2f?eMii;?_P3vn+%katB~_2*!_And6i5thz|$zBKF#Y-xR!awXqz{#GBPGuE# zwdVSH8?nyXFcyLEel`~j5ve<39r+}Rd#DiuIMm1lpsuEcAA>me**4fjawQZ2Yn^vjJ8v%X-V}Y^Y?b50@yZKw7?2Eh(3T7ygV$qW%23Wmw~viD zL*2*p>DeG~m>S#KIcN`PWhBfOgpQ{vr2l&R0^J_`{jU;&@Crn2I%e|YN5T)H_um7} z#X7x3AHRu<`idl?fMq|*eL~z_jy8MO{}2*612_XXhU2<$O|A>Y2LB|sAtV}Q{P}GK z)us1fV`c+BT#q&D!=Nf8XvEW{l30%g0W82$cojCNum%^F7XW`Agne4r=c=^FUCAi# zMZ{luhrXOqZk9-}{D)A07-c!e4oW5B=Y(`5kz=p_>fPVNg|!=zaNLw9do#9xjhV(n zn?Q+}Sz;{!kDBn-9XMl`^Jcj(RP@5Q(9Uee+v!xxA}F#?@Iq%0o6!=elwp894S!^cQS5B0CYESp}uCJU0D$Z+<(_)X_W5{crzYI?35#vyJg0*v6=qmBiw zyyK2YNMW%oIiBNobno7^Lr?L|v28}H4z_7u54t!x0e;&*3#?B{W|<6se!agxKaL$` zVan}Zw5MG5S_d*N4?-IvM6#6Bm=FnhF75Yl!H9L5QL*-^SJs9&?+E-8*uxzhK&6oF zY!9WC<>!?~TPbA29&z>qFuKnFESD8K{z>(9Hgz4O+Xo{v; zXUEoO-dH&(Ly`b3lgP*wByvWprGzRVs}8HsKXvjjS3*9me)RUfr6z&x)0wlpRGW|a z;-;oZ`QnzSNTyBC*(WWTG7QrgN9GrouJk4sN8wi<*B+Tv)Enei&T^(J&6w(ZjYdC}GjU|3-lQauzJGLHOi;*)UPVY_RU6(!9uPmwzz>S`L0?W?<>9GTy z)QVBCxr=U^Fd70=B(V}6gG|e|L_B&F74My zNu6ddxn0j<20HUyEsQK~1DG?<$#pY@FwS-6M59Mp4r2sF6M@d1a?NF1FlbEvmLwnC zXy3U}0Sa`6)j1u~Ewx75bY_@G7Q}8cGU!MgwU5N3OPwIPgNsV07LKtI)a~WO>DWcf zeh6wD3KN>!6h^gaelhM4>E-a%6EetTxh!S5EbjTu@%@^?6h=BTT0-KK;P}M4xV8kI zDabJ|5m4{QF*|r3v?6r0mfMr&Hn>4{!X`{jsMl&@D)jt}!bhivTPA2s@;Ei)Gy8c_ zIt*DNjM|!7EEfz}ZW(gFWNCKDm#nfMBpLZFNO&wp4>RAQ#!8Xw*9=YRZbipR?-po$ zLmzs0`p;P%6n#vtxw0m3R;mW1pVvN<6cao%$uC7 zAll*o_VWJs_V?*xg4r;SugVWnwX}J(QmW5O^CYE#BrOd^y~kD&Ebab;=iP;#h|#b< zg>h{n8O-rHnafKWuZN66 zk>||?->(a?PcNc*oKi~sX9LE~!PwQ9VUM{MGO+gdxJCCHi9(-4N=E+zr_FqIEs8UqGO$X2fcXsUu@bUS~yAfYCtUE~k z9xS&9gZVOF;W`Y4<1`%rb{FIEB^Lk~5ws2&Ylg*isNX;C1xk7z=4U1;dLn8q{2r+3 z0!sP?=>>+h&#V_{=?qFR4(UaMwZEVjcs8_YYJIH93m8XQhhDXl1b=~SzYt-C1`2sX z@8jIfZ`$=UYGKG#BkIO~McG>WgseJAz!y;pTj{_>0g> zug1oM&-d}*;@!E2sfPae>g3tQ*SF_bV*>m2^7{7BUzs+-$WwJ-_HczT5&Q)s3%-;G zm&v0yUf{|$AKEY210whc_B8`{FU#2fv)79f`j!8&5)T1A;fqxk{Qn{CE@0zmx<)~p znVC6e$IQ&k%*@Qp%uHiuJ7#9b%*@OTF|(8Gyzlpa+Phb))s

gtwjs>jyIt*P^z zKEIyBzceMmZy^nShx^G%f}eC-Petplq&ckHd`^IIrhQ}Zn-@;vSaHt{a_f)Yl9R=1 zscfGKDYpffx#`sVs-=G~z8LN@RkFCw+}bCJAV73cezL7rXMXqA1gI~j^ho$b=6nW! z;}%Se|M3kp{&Ls39s~vTSy^??*jCndAjP{ss$F~!dyKsl{fQuHCV_4-OXWiFPFU-n^zQm{S_=j&@2|LxpV z$X;1kzfNFES#`<}yy^rZqihixv=iTHD%+25cvnJQ_&c!%|ECDCAPKI^<1dCx?sUke zr8RWLQ^$fNKge<0Q&3#1-Dq)yq`r1hdwqo5sL9#t;K_0MF=F*NKShdgNASj09>h|fd z1-2r`CFgm$ktNF*VxxQ@t7dLA)Xd%fFx} z{V_F2B}JI+c`U@jLT8ShzzBW253N?i(jf{_7D|}vAtTHJ9D+Zmr=QWJjku`X>750t zMsZDkyX$!zu6W4vko>YG%#t#ZJjY4?Psg$OVPYuyIn+D#1D#_G{$}5)r{#9Ta%whi ziquIgMsfdPKa?ma#ygn{dsFjJX8JR!7b$IqE{TYm;s<;c8s!k!gEy@bz8piv2fO>J z%V&+{F14=4KHdAXqvtKMqkBk&=U=s`Qzp+>MPx4H?BZZDr*0D-v{AVsd?Usbk7tV` zsLJtFfH&W>nTEcY#H#72=+JceT598!OzVJIDGV#e3Tq;0R2Cb{*J#SB1qcdmJ zWaFDgSN@{`ztd#mWMlTmHSv$o38j5A*Fue@L-7S0YWyr*`}qhnEhPb(=y4^O7HfhO z$7Suh_WEYMdxajipC4=5b--go%gzqwW}kq(6IvsWo|7wj>`>=szq}KfcqN-YC|dlA zFP^E9fA5^Ykt$WAqdA%hXuumJ@QgM~>h+3#4LA?T)aWyCE?BJUrT@$dIG#FbhXzh_ z3A}H-@l3J%a=#<)17E|2SPIEo@N?D$74aC_gCsETgbc7|(SZGOMW0FT4;)Wn`2A<= z6YwmuZ`MUR<<%QF)_dnS&(!mSdq%8vp<( zyK{e7)Bdg~v3dmj+ffc}9u0h5(QA^5l2TZ9#?<=!zCUXR*T2yW2ozX|*M}_LqPAvS zt8X=U-AlNdZt+-=pg@0p|G0bUbq_?AXHGL)k3+*eG%qtC`ip_T++k&(x&io;f2{@9 zw$lV-*KLlv?lDc;V9)(Za=Q>KaCVm z+O-@5vt7ZJ6tlHfM*1=?3Z9GzmD!qUH-+&@Gt`BgC2Z>eA&N+~g%CZ|Y6}8>;R>Ty zdO-}o6{r=o^w63Dbr0;eG+Mx2XrjgTe)loXP{vu{*uA@b00_Uf>tk zU!&0H-!})h1+T9KbGNK}Dl{&|!P820geRlQ4%QQS4~B+S2F_6+yW3$PyGY?6yC)!* zC@c0ZWqgpiKgb0&yrGUjzWt0Z%E9SMD5}Qc8JTB}*wnB?PG)9v$xaeD;V00!*Ufar zx2*1V)*L5hOk_;d{R3BQN2}AKU38VDBAiOcu9I}Nnvcm)X7SDI_m<&VVtd8h>(BhT zQW!x)<+8fA^sDc6p;Yqu%UGkl*7v1tOuN!lR1$mg{^XQx`Hlk6v~^HZ<*)X%HI+6E zc53Vm9JI5V@%QlnEn#O9>;raL(tOgQ~|B0lrP(Te*1&x)<-=+BY9gsf#^|q~)-ZI+`h!ASI zU=z~~3_8~-XoCADGm;>1bD<+EG2}DbaH^!Y9AzAYEXx#+a|$}d!)Y*iDnHc#cX;o8 z;_dI>VaJbim!@*4qxL4CNCa0j7FF~x$R~bC2uvTU0IrjT z=s;;$E*mP85CsxEzbOau#6v|cSvhgdMBn@k_}jM;kPG`*)445)u%#POjF+9Uq=Z2Z z?vICi?mg^;$G%nxqgis=1w2?8k1}KkWidd$%K;6*e~wNBF7eOBNKSC7ysKBJ)^*Sap|9y-h;+uBwD?CkO6 zfB?60&CoH^a86mbw>R(@ljr!Z5d9cljZQ#D@LX*9Rn>1kdi=EXtq^@-P%Z|U2bE#U z?9g68$$GL$+=%d5v+koa(AHzRp;x~+agI(aQ;pL;?9BrwDbq+3+V4=mB*6o$1#&(i zW3(v!#8T?8L@_P{qGLI8k@ZHeg0bv0uP1PQ0i}RW_ub&ys*a-Pc`pS7n^CMGZO;Gq z>*LMApR?DK$M>DSOBjQ|W5UXW(;u$KJIfIg__usBXOcPB|LpG>`DcEHnmGCZ0-+tp zJf82~gUfkBDEULm1s|-zcbG7KEG50s$5GxBnalqmzIBQvsuUM>lUcyE8UmYy_&_S~ zV4?9Qk1yfI{qFZMypW54Ex==L)zdjSuho!n>Sj@dQ(cEAK=aBcdZfY3CSYM=)l;@N z|JD;3z_2!$k{j1atevuJI6%>BA1bZ6b^bl>X6NkW_V!h9Z!4y8Q~<`7ZU#j4gm=j~ zOS-o+DFIl_!*?cxx!7JTRwAou2oXDhE*w6f4l=QEsJ$#qwBPZ#c5|U)o#SMpmX1FB zbbOh@hi>O&;o;Hg=>7doSG{67UN67j-=3Z?pSr|l zYVwFrM_F!cP+Tn$Q6vMWZ!`;`tV&yh(xd$jvZMXBoVeh+Ym{WaQFBIPaAw-6d2qB8K>5hF#ALVgN5H+>^CGmTk zJ|0%1A!aUlHxW`+sQ1EB96O6r({KS5Gd66Sb*U?v&W=M`=begPSWcOm5puvGrAg+GJY+@Bz+`$gn~8lNQBz_;jYUFX~5jO7{pLqc|8* zR^9)!QBSED`Ubqee+kE9Uh0JmSpIxkE&KXzIotGx4n>~P?6N+K1n zLRc>YNaA(qRN>pR?`y2>i)c4XLObI$Tg>D7SGkq@X6SzOqYIE(t+RGl}IBf z^h?wfHlcP!dS*jIiEzre@{(>+YM`|4D3{pd3Qe`GOhsofY)R!1qF-Vsz&*rIfEcprfgcQ_YSjx!b{#%n8GhwD0QK@ z%X#FT+Cl5jFAyT1pC>@Oxs8GIbRB^b?%YHCj`7(cw||WvDCCE?;_U*fjaD+!JrOa| z#TD64Un^?ux`OfQ^Y!s2*9TilkR_ztBkDV%8JxUxdJheQ?7|41vwcZ)+vgJw<H>eApiO)A3n@Ja8nC?`wQmrPM)k70%?-jb?{tx=G)s z^rHymf*zKZ*7!sx6Oz*3%Verco+%Xj>)Edy+o$!sUz@=K$H_lWqFcDsYP`Ic^p< zA4o?p{%)6D#E_Fi8?AcD$EVzBm#@db4C~sH5`&eok@JdZWGhha9 zp%TsS59DDlpe1XT&e-Va_TaMsmKg zBlSo%T7&gSH>!Z(rCd)jsxoT|gRaNS{6#KQ*@3081QYYwB_wQ!O?`d^2I;R~DD)T7 z#y&@)R)6`t<%Xl4dLz1-kfn#$Q=`N_Wr$qM(O>$6^xufKIg!W-s!U8C_@H zRn97Up1Ej5Vk|6!fiRVj+})SR$uwQu`~mCWhf^?TBx?GA4-6Cj4cYqlHWoX51d#`r z$`GAEB<5>64sbM+*w@VO_9Mos0Y(8`@c5yHJrELqu42CZv1L?0{(0$Q`gi3Xp)NfHV4Gywy`Is}&<;gJNvNHwLsD^2H3C0xH#)HC0; z*Uj(s%2!4pUU!{D&ppw{(`CVDV?+sX4 z`RSI+UhOi67Sd7ALmJXsTM^`rEaM}P*6XqP*svgF++flg0S;GxQ`^9?tbb9 zo4-XkYFbdekryz#vi&ufUrF$b1{669;Y&W=?z6@voL&uNdR$qU4w*=QH-pDsPsvpm zo*CNFXdbAf`;{&ng()dg|D*!GgA_sh5sxBw@?bRt-F2T#cV*Q_{}a6rb^qO z2iMyZCbIlfGhoq624YXa9eyFrtbud(P-O=w;8MJeAb1JO0fNr}!lg!?h9?`ZdQgd-Z+@?AySZwykSyV5m@SA zW?+!sxan%lAn|TG!*#0K31o{)XLD;t5=GIN6*m+cAXD! z615t-mC=nFDnTCn zjU=rTRJGfltXG1*^7eZ3Uml|i@nPB}e?CL@;s>_~+n#-c3{J2`4a&MkgMo`8)ha}L z38wrJ;Q|S8c{H?=?y${71_ikjrfy}G52#w0kDlge#n#Rd7PP|oW)U&S_<{cUhWUcK z2KfTSmz(~d0_&u_lb^%aqK2k)3cj;na%R_6GcHKHon&6FvlYXORmmKQ1vsp^sjZ50@(kMr+Bjs|?gyUDB!h zFVTsZbKgDMBV_mD*zOU&q`xVF8O9z@! zb-*a~0VJe^!i!-4%4??P$mF7Ig+#HE(f$k|Cu&2!bnJ?6A8IUMCsWFkd2l=@}5B2XTXY&gaY4EtNw(?I1gi;<)`rx124GiNQ|3S$0Z0bTN; zG{FyBlD(X$w%A!u>aU8D1dO(E*W?dItmhi>F(5O!(}86QDJsKFNS>>yVw8V1GxIWh zvlce+VY{}Orzvw!3nfqi+$e#|mkbINLIL96wuA*i@1vrkHy6S5t#V()Hm@C7&(mgc zG`O;vr(0yQinNO)h51r9hH@pgzJ7xgI@3|G9`oT{UP65f*+wT}6Gmr#l>C7pKRmrB zcQt!&pM8_wW4LROUMd5@=N$E03jgBjE^SEq(MfLhd_)%DHX*@vC^sAC>izPgQqSeli)C)qOHcWj<1V!TP3!gfu3AN;j^Ey&9? z(uZ^EHXD2+k}j=xyZdpH4Ow)^ke%^VY!-O}RZ>H(ad?on$|0FYsSk7T&)CF)G9eE) zKIcP=cZ_w>0`YG=!%15F z&GYIA+EZkOrU=nPyZ&{7W3ra@0tAj#di?vJl&fgC{zaI`=+Sdkdh*0f%k=AAH*kel z9;fJUL=Y3TUdXl<@SZf15UEj_!_IZeoWWq6^r*V{%OpIYHv*3;=71Vp!l*W8*kTcA zkj+t%s1PA=u-@Q^l3`K_hNVx55S_ARtLA!?k%}65y^dP_k%!mEmuQkkY)=RHER7&{6LECn=AUM#~3)kStEGl7Xc%YUB9Zf&cpSD zBn-uIaBP14nF-BhW-Mytyj(T-UZ4PfkqXAsuZyv%Pw2-m9SFTT+M8WlHP`5R5+-ew zp`M`4s85|%(!svg7fzOWYn}x~P&f(ojc9a~xF{-2~{ZQ3h5(e2r!Y~F3!O#2+wAJNvJHmO#YQsG8;*k%_x*5e)aXHlQ4*7cVKTt zw2a?H`Gh*7-lnA=TuAg&w+jth^-}Fzzr1YHh0bOPMBdI{gdEPY>)mnn@DEk+N7Sop zMqljhaT9*@~AL@6#mlsncWH#$r<8vsFH>OH%o`~DcGXDxR zIWw}sYOokTxU45qI*)XWU~JUHjbOFw1~GRJV=8kgrC$*)K!x*P%pOZaX%aL;riNN-m;sR9D@MY*w->dDG>E=t>NnMWvN z7Yr`%vGUM*0y)}|1G$H_ts}|oZTkS@8b4_W;muzcY+LdiZ ziOta^rr}~Ih~L(QNd}NiU}^QPX|di4i7~V}V!_O?HdkYWw{)1zbm=hGHAGamWDtlO z?Q5B~R?R+Ez{`Tc#@)%XqRqVA7h1Msl|U3V)7)M*$)gax7dLF-v)NC%BbrW%XsTEa zF#f=^eU*)gL2QPJ-mB9;Dey$`DqZGL`-S-E)&8iyE9+F(#pj)yg2nV=JhM0Jm2POW zg)kaW>kC<(Q76$Fzu7s| zBqA3mZxDzyK=>Z$W@l)*E;1a899` zRw%J(_QLJpVms((eY5J12#&aQk!}!Wv|yb6$dLgPFhB)s57*8xe1hbM5@+>6VX(^W zZ7;zrs$()bQ6u=(uVoO}s~^DcnDYkN4=ywREes3K3=Wt@l7SLEgSk!6I+$5O^`RCqUtY&# zFFMiyrqq}Ks>D1Frgr{A61%7xBwSLE?vkSgHh~t&2yeV3sM_-T-zl2}z~MN;F7dEk z7r42HWVQggt+}Jpg_QN?0|TY7_)kdc?cRB%1xHZY4+f#4T{gBA{3aOZL0G|0tyF6qs3A$@ zRT%3MqSV{BJ0|twdqB}-dtkzfCux$y?gn&}zJz6w z`KIiEc{b8@R+4xeA~(Nd8{&b%7k^7}oRyLw8)GZcMsAgV=j*-_w?c4{>(;@G7fyiv zPs^hr2>pIvrj9xKx?x|4AJU@a?E$%S9U%hUS9E~2$dbc%+`uFUgXCV+e~>W(M(p|~ z=7f=ud?O3xI%2XOknUlE<>#}nRZ6f+Qa!GNCAbom7hDFVY1j8WP|dQSV7u?{;2I6v~| z%1*qh+R!ulent0rPy;w@zLEGRfZAFM#d7idsE0iiWq(O2r%rEkAy`L4p~5%9-KHK) z?vDLNwU`ZNS?whiJ~3i*YIV=yIX1#Eo}#Dc1oziuYQG6Ac-*+dMN>4v-BG$uOPR|? z*QPW-gzG%Xw;otIHRvY8z?crS!*ALhg>GkJHBwR1c|EUz z8>Od;9FO|1rb7^@C^zP)v?thWM4_$iygugnOC%aF@XK==hoRRDNFoh z;lvJm?zn&Y!v#6X-1S+<*97@mML7^PRN{^~p0-|Rkxbr5;579PtFem{BRDEHd` z(@5U1$o7YqPCnD&Z7?VqeJ4QKp|v60nI&t$DfD|QSBUTz43w|i?;V8PA(xfBD#kG_ zmbmkj7Bnaw2A5h_2!H><4N5qNA=eOI6(LGp9U}#r<5Y9-H61a|QQ-Ge-hB@gB(r1d z{k;5mLN0PgB)C&t{oeTz`#TQY{Q=1KrME6nbCJyP^cwYsXBg=#qiv8JB&cV|^>@fO zr@!m+mF2gjqCN9FR1wLebYtTSBA_L=hXmw{eNbG&0}M5>Gf9hG?eixdN$2phNBuc)Gs^^ue~uB#8GCA}Nx{>cK{+ zCDY{Q>CgUdt5ez&gNbda@QHn5L4&wv-7wwHP~WSkyv3kfI&Ecx?(cQ0QrJ>8ZQ=r2 z(f0%veUpWo<045DA6ul00t73amnATky+GO%#Xtm{F12*L$y<@7Kze7MAAWLByM$a0 z>~E8WdQ#K)+LKI>P?r10_d6np*owK%1+)4FT(OPr!W+sxivB=T6xL3U z@aE-Trbo+e;n)INkZe-BgSqB(Lsi64OSep&EQvb?e= ziN?o`o`RhRD}_2r8#`7!bNkzC z{EE(oyK~B7BH7fmrrbK)LVK~vtExm-4C>yDuf*B?Z>KpDWS>I=hP-9416DVD`&x~0 z8ZbU7TcP)YHwDC>Ecgj2<(leWTMkz;mnjB8IvJ45v*U+90*bfrCvSp(zeJ=|gN4W1 zx|knTq6@4%@;RO;5g?)o8n(v+)U-a*NXASzaitix+Wai!+I_P&k(T1BZq#S8HYZ}^ zs`3uTvo?vgegDb&|sJHCA4+!%*LNe!m5aV zUU@((ZW+gU%@WA|3_lT~XV2jm>9KLyl)YL0xZ+$*I#$+&nZrW_+8!f1eu6?S46AX04_qm;Kl3LI^rtI*G|O?0dG z=yjN*Zn(yEOYnsYXpOw+s8D}FkejlvBlWeLXc{A7TzijQ#)d+^#f?nx)p6Ze0d$MC zOAcl5*LEIzT*rSup#FSEH3%(0mUriU#AqfMqxT*5+$PVi=BMqc(9C-|AGJAOcF0SQ>bg!!e$_|Tbgw3{ zNLybpxQ#PgN3o7ndk0#z*O3qjIA&y}OXArbxfuNVp4pDYzB!W<#qS0&+8$CCi7q!uyGXxFVAqqV*w6Sbujfqw zg^1oBEw5gvk)ob)-gObJGOZDrqSRy`cjCNmCW&?))FW=9dxD0~XI;Q>KVg!kTt3r# z|8XDXbT`OA^g#M*s9^BO=2Y|Mr%=xGWJWH_Vj4xy*Qbc&PCMkGha2v}SA2UdFL}bu zHhdD9m;*2UW}^*AH{1g=y5v%hTBT0UsblmP_guL|PQ-hqsbRs{u!S!|vR6f}JiLb( z6!fVB$V_^;Am%2vq*%MS`@tF4}LahUW4mo&^~{a+}vHIdaKyV{V?NhAM* z2nKV0PLmI7ykBCWVjand4&I^Z9pT+eNJ&39@hj0D%QGuGBXYPO;z_(`CnCZQPSgF4 zVm}1-=jFgJKvcje8RpJLg3ePN#3WbIm@XvzSlHzz1sot$a8e+E@J*u($lnYsZwc(4 zxd8UqCkAVCO*ezT)NH%8TH?1}#C2ZEw*Wi8g2Sw~LckW<%#n)y&2}$`M}&QqHoq0% z>s(gM_xVF=_FWUUz5{Ny%7(t)od4WgQ;7>2<{f__iT#vaxHVJ5kIpXUz-JZDyETE^ zEX9d)Rr|7CM|5S4s4cHwG;*3yUiP6^ojbi6~iioEM+m{IJtq5qFUfAJc`m0Nv4{bdaoer-+Jf zWA+mxU!5^^AEoz%G@$I9+Co-#8iDVc4!5GaRWU{6SKS7Skf$PjUPip#nR}A$W@f` zY!#8hQwtlFsFf1h;ofyB7kz%cITtExcnDO*{jZ9B~ zwf}StbxG(|G!G*3v?T*8Ph~+X0^@WTgQ|wb;BXIHY9V@_7K!4`NM4o8&}MRi>b;yO z%Y!hJQ~~%0#{7zkjrR!+?JgRqaX5e=KaFt{$%>1g$2;W#2CnMH0%gNx=X_}c-cd*4 zzD=9FUlF{={|N5RJczhGN*Yw4^|m?_+4>TfeM&pb4P#bw<$H5d@u;|*|-YJGw;gD141)Oq81Fm67kaV7j;xco*c=ZGYM z2TgVr$>zNA^!WY?Q@9buMA8pzDHQsI87#RHDSYu1-gwdvHfj;o$rSYxDLp!5c^uGl z^wSE%>pK$YtOY>!|smji?% zZpHPn8vla3dHRXMFBTCI4`MF>YcIwh6~t-@tP@_+s3^6y3(VNW^i63&=MOPaOz|j` zRJxX4oPC6e;g{x-M4{D)kcZ`<(xOM@xksUlC7fox85o`v(T{!YsPGc-KO_9fov%RT zo$8QKkt(^HmveZWQ|fj8q{+9sXNVkH3cj##mvji+gQWknN69{58!dkma{C>l7yC2A z_qt)WuP?RkD9&&X7@yCKbTu2ibgnBLc|*YBs3{vR+Ns5yJm8Kry-hwaMT%T%1dB}V z1T#FllSe{+3ZplUNqj2xCMiSwq-6d}pR3aO$P<;a^L+ zZrh!xfzB*$3>CvFe`4%64|~W zmSCYvk`%rUTnPQ1;Nq!UB){2j%xq5X3t%Q5CAQ1+n076qJB#NHktIdd^OaTfh+(aU z=c(waHkMQ*`DL3BFz;W4Ll9i%-+Mhv_2jPUo8I_prZmD=o!q3Ollc#U$Q>iV#f}xHOSvaOXs@p+6e0BqslsINfvJ-- z=Cm9+aXj1C-)jX!S!GGZY%tGPAN8K-t1-@~XAE^rH=r_0QgzRY_v%0UgT}pdV@%4$ zwOM)HuMx)9eHu#6q@4aFw6agFp#s^~&YYzdj_uL~r*s0(>pT4<7LfCTV4C!)3DGo7 zrX=s$f$;|=S0|D??;&6E8MVAppy>jhZx$9gV352x`T)<4lPK?p9Kz`x zo23bQWQ7#^SlFy>xz%A7!fbRxo4aKho;`=-()?#8a{fu}qqoZG*jZxDQbqT2B>o3_ zPCrY6&JFyX2clkKx+2!4_s}?zM*2T{v!md%ASSJri_GTjGCk8PmM{L%bZHKAKf;xPO#GgTzSoP z+Ch`Ut~NhA1OIE^KGeiaug$UjGioy7Yug>vM0T>>Y3u4i_-e$jH`Vpn^|)R0=8&(3 z8#M(L&LO0QubDQ}TF1WaTQJ=~aigmX7`qIW;8M7MQcH};JgPR|@7!LoCq&9J5b8KF zg!>9^^9`+#^K!sc2A^L~4)T7Y(Fw1#5C_URA_w*m_U;zMa=&q8{to=FI`h_z(cmbx zc>8_-esD4u*?z0Y__K{65^(h8^7^vt?blpA>Z(%b=%~`x>pnspUK5ln3a`vepSbFx z@nP%CdBG_OT08?E=59}F`D{DKC|Dq97610@;cQ1W)-i{ko72e=~4q|z7nQwmR z|I@M0skUxW9-WR0{|nF1OOHXxDf!maXSq(*0m2k-0y9u33}zo}bJeD}-Zo|U@rDo=Ewm*AFIF49MvdbMVFQgEPt>!>i+)Kknl8!{ zr$A3_tS!hu&{~kdAeAG0 zA+pT8;SOjfbQ6~Jo_yI#Z$^b>%N-Xr?cx1t;Wh57gXjvQS!xro|s&(~{Jhba9BiWF$lnFGV9t~iH6_BDs9W8ls>RB0hq_%Y**7Vu^%l%OMSWaT^{Jn@-i^BPV0u6=` zUB}K%&T3Y#asYwKnm;FRG<2#S@a?oU}5B}Hlq z+lbt--^}w}_g2#q z^;}Z?v1RpYmHL4|{~+n-Sys)@ioK=p%PZQI2S|OxNB}1M6Ks(jN&;C<_<40w&B@w= z*I6xzSBgX@$^#0EF(-ybgq9&U$Rfv0CJ*c1DICTQ(p%9<9UpH{tX1FywC?x^WJ$3% zVLo-Xn3dd<=y>00Lvet`Nxa-USjO+6FnRwFs0_#xrjyM_OzyKLa_x2Zt$BFI>p-iP z6nMTe1`4c)L;5_!Eo#hiN7Q`Ay5t`ohlcD3@&UQ=&`>-Y5&tPs(qYLHd}WBpU3w?|34rH`Y{oMeuP+Y?M>JpX{-IdE#Y*V@WZI&LL~Sv za)tw20$~2c91{4_5*_3cP^}ss-NYd-aae+8OhT9)DhM|uir11irfdj@#QTb-dPEtcM zkhNlal_%fyicd-kQS<)~$ah-MW{p;AgfR4=+ss$Do)F{c~3CRe?avt77sU7!OfayiiD1#YP@CgP%uTy<@ zVcVCA1IJuB*vc|t)G9qKy+1{dct62Ty6&+-Mb)dd{ zsD5C{iDRfP@*>J%-+3w5Z1lnkc>nyIT%a5=#X`S~J6lL}nfb+Jk~MuVY*Ba%;~9wp zyEI=c6C_ti!dQ|*3ssn`5WDV9JEbh+`$Hv_-(U z&(*rYUi}a=PN%j_3Ei}|6eP#m{rLF;_IpClGI&3%fXrMqBkZomj8qS0Og}Y-4puNQ zHc{3($BQx(B6@s~AW^ipX$hN{GL`hro|u6InB+n0MgUWCgBoI`p`Y+Otxy~MbS=zf zx?d}4^Eg%GkMC_*JUqa#jH}C>$#yx=@UC0gt9z&|0C~Rp>(@}V+8URVYKVpU31Q?#ITYW)8 z!L_2-v90%mN4bIk6O_LCs68LUqr#Aq4~&R z(H{g60zDAM_&iwQ0`%0>Yu=KbQB(|T+%%d4#V(i_BOrWTM89ZaODf;Raj#PTOei>8 z%e-F(4t(1W@`{hrjH)ab(~Cwo(M55V5IZ?@9JXMJRsS(7NW&67YfXt-+%Q%R3)6xZ zW10)yg6Bbm@pK5^f(I!R0Y@|}+`;#4ARb37-j3JH?}hEJLjQ0r4JVzX^t;9>j$DXP zQ#(dz^wMmf3IfMftPu4P1+PcG2~*WxAnmw0SoyQuFb7O==5p%VWS2B)uqtXIo3}ly zCO4+zYL*Zu>{(1E~=YPyBvUtm_mo60?uJG%b$yP*IG%pMQtA42QS}cnGnBasxVLu(0yFzvU=fRdfSJ)hz%1uKz>MPm24=$l0yEP80JDZQ=dAw? zm_-)-3(W3S{}*5;VdQaN%9X3u9D&Kz&RjN%#-O5Ng-lW1#+g5r20cQ;kTkBYpkCEq z?{+e~l=zz19!XqJ9N3xbom6MNa{)KIT~NW@{-#)9{y|s0XsBaom8h?D`LLL>9&-?R%!yYvhDbnX6Ii|2T7wm&z9y{kZmJ&h=m25<^9%oY);DT1!-adxGq0l}f z)*g$_+XUvDVtSacK^6VhhHt|4aY~+d88p$gHG{?|a=fy;4G3K|1UpS-X*6_2w=xZ# zeRJS_@*sv)<{-v80s&Z#wVF|4R5@OX=A@1@**M3~Ai!TRUC|mGrVkN#T@4YTu(Uqb zj&BW9Se&a2e(Gk1FnaCn`TegX)Al32Ml6N$ZtFqp45-oe&w$(f(izD`Y2R)tYuA=| zQWXAojvwcBINA2+q34L#df$v1SW$S?%qZhIQbgG@&3uyD!zFFuNU*B&+ebcOQlX0p zGfGPs5lDgi^k$Ui3T7q#8)vxTW~u^ymJ@LVJ&lh`W;w=$;OD3Mvqj_7=1cyke8P74 zw?*>Tcmt9c8Z4o}fUNLUQe>uTGYXvo+_Q!Xieh}XeBM6}4*;FtrU-c^=d=-Z@#)xx zPDZICpaSW_al%Ytw2uw%;Kg|x))eZWbeOs<32@{HXfYhnRyz2`H+I24|N8mFRIBt6DY=t;XSdGQ?yXz* z$5y?f8jYmxmgZ^cZAny+Jzg(2x4-(enx?cHtnotd>%%!|P$ziJKyRq0=?6+`9Wa+@ ztnHx~xNO*tL--?8f^p6zKVNS9sYbngD+p7w z2!&E}7sY}+V-HA|t= zcXoKy;R?ZPow%Tc1G={@J3+s0KHp@mR-XX&2J1tj=>s`a#;><7oVA{>_uzAjj=xn< znZ)i28uoKQmGCA|v-Werv%P zIaMpdBF135wf2OMOEvSl9vhR7I%vtL=!DphK}~heXpEFKo@mxOFC!__H5741foTY8 zBf&s|(EAivNrM0ruNrlQZeSBuO|5}2)LyOF{JNZsRv}A8S;smg7!HvJN({1w(D}QK@jR48uLrsB%pf!d!D*{#fMe`Jr+PwmJKG;y`>QDe21TzwQGc97w= zNZZ6{`&r{qU%w;xBUDdF2E+xDf|OT}`mhOmEB`(Z9xxk&1kA?3Vn>E2Rd};v>bG_a z_T1Jx=G(Acg80b^^GfXI4lnS` zJtK~H1-C~BPR43j2QO#4z>p@Ffn0Af6r}K6>ePG*rpirE4$k{syBbRa+cN&JQr+vp zyx)t1TF{d6+<=v;|867YW2u*3)@;MWhMF0ufotKS7SKPhu~1Re=3!a|xm4Dihu4gy zS~wy*unbyW6NFdrknFGeBnzF^XIJyjmgNm7AJFS`jC+5Pa9I-Ouu-&+^)6^TLm|OA zIToi?QOof5p+qtG)S>kLk@@g>MkiopI2|C=sk|W6$%}*!g!qt87lBmUX(+J@I4q*RLtjq0p^+GoVWJUG&RE4#A$UF< z35N_9?2UOEJwkk|h8OOg0`*b97#{SQ`h!@Hv_wMfywX9XGwW=N?OBI$hVhCv%uD2P z`s|b7NN^7=H~Bru0#m+1K`hlyi(-b2Kf_d0HBsI)6&6aOctg@r0asv`(^}}H%Cz+7(7=olh!FdH5+#}TLF5HXbAN94P6UcLkM zoFXTpC8a%1EErP**O)<#BfxWMT*iuZ!0bWf@9miWX$;On;daG8WEkvZZDDWwT^ zS^wq186%%afGf2H2}e|vztuJZnmjesQWS-i1LlVhyai=7JPdCDjnGf$hSKM!K*d+q-iJ@v^6C5TI*Cy)a0Qquqp-kkSTYQE0%(pErLu5W zaMchIW%tY|e+0X0%CrF-m##y%)ux&bZ=DD-uDSkB>93RhdSr*wxD!Xz+T6({{qP$8 zv3*|hf;Fag02fj1oDIAC6Y)vN6ZKx8(!h|VXk@{ zIgPq1Hz5$FzVR)V@j|zNisq8e7Qj-zizcuEd+4E!st11__3;+CU!p@+)?t2kAoo9! z0gtvu2_YO?l7Z5V3$z0fe8P+WX}h!Or616UG6$TaRAi)`O{LmVTDGe!t2Bp{Q4j>8 zY%4Fhx!V95TL?@sVP|crF&IYX1y|thG;d5n^^o->L+s2m`m)8)A-~+Q>T|&5npqMg z@4zpyC^u;<+=U1|neA3;dV|hYLtqFkV_n0%HKL8Klt7i_?3;RJVv{%+a)!6&f1qN` zr9#-M-HntJ)#%;nScKD^aDo^IVd&U_olb9t7Ja5w7=(p~_ zelX-0$P4LjHtiu4WQh}GIUS%V%@B3Y^nl2OWzYc!JQm}r#n3d;sPf_t7xg0h;JOif zg2AC4nD+G6Z65QhwZt0UF+`SYJY%_W8HzK#B{ zBVgn`*O>~=S)|uffz~2E8A3#%Pi|mYbs&|^Anlz||&}ebvhS%|Y@t z{cNE<A>vcM2WD=p8WzrE)(-ZC46KTwyFHSnixPF}D#5kYSQFccU$adjv=bYX zu4`n?Df%~^nFfiUNT@5%)OAULjZ=pwloS$5UmRP{qxpM2AaiUKu!EA@ed2Lv>e7B_ z;Q<+t!WlXJy(}ZY9t#!T!T~&Ef+D0K>f6jEw(s?L*$hbYIZ|4wdo{*YR1oc(}Zg?TV_%9 zaLyrliAF-nEQMmr=p;t7Qm8xkho|+s3$JvjT@6sz~ z)#HP@J-xs#3;sJ-F%K0ep9BVMQ*Zv>#+Fb@YwPd^9h3rSZai>ya9U6wq!ZwxC_f5?Z6@@&iF3w@papU>6JXzv1RVB6>EMO{-3SQ0|y3u_t zURi?1DCNLXnBM8=Hl_l%G1UC7KtS+Te!(atsCROmNvNFFGa++m84;tuQi?7em9kxS74eO}E>`*mi zxjK3A!Kbbg;wtcKsZ7mI1h^_2ubE8E-L?NiWMPW1gbyuOhaf%JQlb46-JLfU{wMbo(ZsG8dJ#3@I0iks3T9@LZ2bR_H>Gzgn z+(D}^qk~pvr-p1KkJm0GJmx*LqI8TpsS`5rM}fQR!WAZ^EHw!CA_&Pi{7YIl6 zrg}$)37#b1+9dWy=E6DV^YvWD`EvDtL}Ej%%(4XKAK!SW%b=!85MYa3AAT7dcWCd_ z-Cl<+iZ9F-gyT9Q8EGRJA(4P*!FJRwuJ*hv^&;6;I1>8FWPdrbIpg(pp@C1no#-Xq zt3EDhu^sz0{cQD+DVKOLV=S{ax#i70&eVJcFa9K?69KmPPO^5H|K z>_q&r74*ylQqK1Sqr>(OchM9FGzw?Sm^plF2tL14%oU<8QCQlIL~=fS{DkcIO{6gh zFj4#U4OA-BXnPK=JV;N5#Z1ae)Q~GiYhImG<)q~)taS2`WhNG{IO$t);)#zl3`^fuQEI_@ z6v|HyT8Yy?`Sjj0uo){y`Zev>#sJ&iYA7$LGR)YIeSbPM-uJp|?%uTg4aJW6%}b*; z7AzZazT0I92`36&tY2-=;dWV6CI{Vqq4l!}`>2edwu;Y8twLbdMvlV}TPfCRn)r|E#L--xApSdfjkZdy={Gg+#b1!2knN4+|M&j-VNS5JYam=3;RL=5>sBhrz3^Fy7&84L=^93`c0c> zBlz#`)kJcL&gH4<6+E6_<)%ASqv)3kWK8FQSR?&Xl?>at@|3oEW9q-69jcZ3$~w_B z?qWP~CsN*dCALxCi2)}9zWhF20>6|lF@mbP)?jBn8qxFaN~k1`-!bB2@A6sD(_`D4s4Zdav;_}mBJgq8{BJoM>`jH`F2^5dt~<4=w|TU&dl-L6zK{nI#dG`VwcBn}{x z{@N$zdCH+XB&;=q=@?_oUgI^fnRwVt=@Mq4C6B1X_}YBP$hBKkp+ObUD=$s*={YxTNhtw*R+{anA4nX6s>T>c+H$Gu~jmN$%! z!|98{8SE1@_os*x?>%YBmct=D^x}S>@EIS$j%hW4=8kLh$qe>AA}|E z%=~>0&=0*F@v>ZU;3qei++<-BDU{^n=o6Dz^9jWa`yvjTMSa4tyhK~NzS+!h>(aA6Zo;n>rFQAT?tkDyUTbfhA{ zw?_gC21pR#9S2eEv|aw_+I16|5=*N3^%>cjxl;Yqpz4e; z9Y^p>ENyY_=r}4wr<*lix3-^ zO`>J6En8{l>t@z+%T{b&#J<~bu|YriB6b>oc3XnJ^GEJb+`LM^^;`}dXcc&exj)gK zGy>i>UzFR--qzpf)~mVClXk!QmCA3b=pLExFv31?LX?^$FqpIu`9&ebi)|<-At8@U zl%7}uI-77m$9duJ#%BiJZW|eA-e@P?sB{@@a7ThA#|4jJgvCRMO;rCaFqoK|+EuSx z{=hDLj--Po6JMBNu zeqM%u5|YW}v%D>;HvQ$^Gh13!O*!sm&1Uf;in*ao7(6|9C5L_bR04kQWzF+Kw_bFL z`;KKhf1l_Z#hzfdY-Z>MIy7M}h0S0;oZ~B#CfZepNPMrO+Jwk?uhS*dAyGX-9e6(u zCloy$VvrK0=c_5Aw;=2S!;58|n&`;D50~zY>GUa)*8=Cx#!k0~1As;(Z z+5h5NuB=HX)rIf6npbm=S*RXDZ3{EhiAWlR9SDR?LWo7xVg40N1!@GM;0nMe+%y7# z4s^nH9!~J&Pp#4D+>lA>h{?t!el9O6h)N&FM`alG*Mo~Y zo^nLEq;%#bwxA$A7K;{Hq$*u<0X>ZINr?Q(H`?Tk+z{rw#*^P~v@d=MfgV^Ly3!^& zA@p~5hB}~@&6`-_&OP3Pn8zzY^@Y@GH%#2J>_qBzFu9%&Aq3&d~jAP3-gKyuelk;_K%=lFO%%;gqKY7 ze%ui@BLhz>w8#)fp}V`4h9Ef___EoP@&pN7V^pLPfsGmHu0+y?4U0(`+~etbN@HT4 zru3vUgKR#>Mbze{JQq35e7gTbs;>8ON8vZ=Nm>t_n=fTE)=Avw8h*KMNlX;7t8-s$ zp&w4`GPw(%*(u!K*N)$@^A(J?6*MM++O5f%;aQ&m63jA1{}mH>RuKHjc%G zLO#%zRKL-dGy|^ttqRL_*}R#!IdvsDZW#Q*W?X_a%ezFr#zBQ24{q_!<;u_gipb4J zDJ>@_Clkw7dgdUv3Y{6)V$AJES4^SI1FPSW(=*p&pSFSgaKBzc?_sJNv!F?kY zVT{7r+FAN3I4ftlInaj8MW-cF#AiLR) z9#F(I*9<9i6r!DeUM#F`s_A_#=x=9W{|XrtZ`?R|uX99kzzNG0`4E5iX}uP4`Mz_F zguZxEs!K~YamMJ@8!PFoG2JFN3tG5$C~HXcotFICygb7Qb5FKVoXOuOz{GX|@#+%h z{ql4)?6lX*qZ3*b@W+g;B8_!ZV`d5bV~EK^va(EI^k5EX*k;?P@rAnj{`v+^Y6wEI zqnY?>2zc$&HnRuDEE|XQ89#1UCtW=@-@?J$F{(p4t_G3UpA40sd`{}0Iq&nl2|m7| z^l~tq_I+0NdJ=lB_Hn7^vJSg^uabJz*1PjX+Ir=3zB-=m&J}s`EjtaSkNCXOP4q(N zEOpQA81ic0Ogj*?6uK4B<3RB;ptmEhHy{4EPkUczA>fJeLn6-Bh9=H-l`c+L>7*X9 z^YE{eIj)K0YL>K>t(-Ap9+@#}037q^j8(m4Z2N$-o%k42D=)B#gg@&RHp+p+ zrMvw+(q#qnT%Pg%(g6r-XqNRfsyb^l-GrT$L4%F9v=U%NTqu&yV!f;?bag;)Fd;l_(kb;0}u= z^1JRSlhX*Nyh3j0jnf9YRoUqi6_I?g==x`bJ)X5F83Z=of^TmdarRzY!<<%{fnOt| zk>1K>wO#rp=|*U-+|wz&p%4337{at!ZY8#pL-LrCnZ#*v?-g6hQOEBt?5={6ZjG&{ z&n`P;?mJ#f-8L%aF?x+xr8R3$1G+mZ_;!t#>RE|5i#xmzx3b;IDh#ipatpq8DOqKY z>|O~6>j82tF(1hhb>Pd*9}MMN9DJ~nz^X|e+8MCE_)+SG^!%tO&o~P{RFXYEQIdVp zTzN3o7bfnU_1l<#XePJH_@y)d+8|CQIYV&jW}nC}0{~!et3>XP z`KxV0A6MC4FRy!C`ne(dd^n$2k=`M%5W@R*w0HhTI(MtkjWoQiK*fyk$WnHx!&3H< zYA!n`U4`JUw&U~R1x>=t5=uNba=o=&v-Tuv^&EM%u(LohE^S+$E_de<)xR%Fnq!L4 z5u3U3^ZPuLYi2&$!^0jPn&)@D*~ri)r{w@*E>!&NiD87^QmfE#+Nx+(PgbF@3`AHB ztzVmReeoA48qunmA~Y2CmIfAcI?HV*u+ZtuRD~AD3a?e%?Wo>xe6eyc1$2DBuUt1? zTmM|^s%3auHh(~rp$CHR&o_-!`udmE&sx4JJw2N`xkJoRx|ehccI~Z+Q`CvNmxrY- zn>sn)92wBRWhU!fn$81@l@0ffvMf>11JxxGgEkPdnYB4FedMGDr`mUoN+5rH)H+4y z%oB@A-U%)efvkoH)JFcS2C34lT)DNPg{c{Fu42G1@iv0Um&&oJx0UieOB#<*Ki-)6 z#Z)TCMunYGc>RI=yGpq4PHDz{=WCy>C(nb_)ne&@N-lA&fa!99>g^9XB=5*vWb$xx zepyA;s;{^9Q|fCvjf=<2HV^G=);`Op&oDvmN5;@_8qXV03B4j_!^qy>V!P9}m*`g%@e#)3>=N zMX;3{)3pQ_G0h{1H4c^RhNn4mEwHrbLgWHb%`+MOmzKREh7or~?YN3in2ANTr2+;! zQ_T_yHjQBDXV2;?q=|!59bz+tm<2bO2%&H09miCgT!f%p{(A0N2(q^CCwUjb89lCeDjfAP=NqSt?!D$Q>ci`RVB&=pxE$qRFz0zE?)Rg-N?Dl6x9!EBB@f%)y^(i za1-~c8<|a4O13mxxg`V4d|O{mT8ecKt9(Lk$r^9j8gIoKZ`B%aO*1+)gPZx8`S^zC zdt};wX}KUao^hKkHBVZp3d?0fi>aw2>ame9>mijyq@6jJ?KKlG$q-^Bl&jz6DF&V4 z_$9zCk8)8a8i|}l!)1?U@=|_GqVuRb`x+GHVkFFwF87hODnD#zr+n&QcI8#W)o=vu zBYI=}w=?`ylqFfLimO5tg^)91FQPc9Bg0IoXaR{9LlVLy+CgDmYqi1A{A*%AfnMUn zC$|b8+Hc0p6N+V49l`0Ql;2bgQ}cmW*COT*${8z_B(0`Y4ApgnC#^8{{-nc82doy* zKGv#<9H?kXF4O%Y@KC|pE03ozCCP#FP5(rf6(@Gik3w*=FNi4}CUQoMM3`7y{25fE z|J{)7SKhgj`c%OO>rxp>PnBgWs&Bc*)vmDh>M}rUt(yUo>eOXAM!z!dNK-0= zvFX6wIBPZ*qpXDfiK>?2E~4C(BHJA-f zd{7cXEHmfmZoY1^$1@tX>3H5EHT^h-qxVdL+f}05hg2sIP2J?Npo)2QGABhVOl(uL zoA4oUER7Wf@k*#^_DUWMA9B#Zm zNyU7xK=u>i3T7w?wy|!qRH#fgokkXzCyw?SuT@}rmtU03 zo~O1yV4LQsrnhUru{_Bb9_3I?Zn~-0P-VR`nI&${;es|QVKL&H{##(dmx-^7Svh5h zxp-8^UjW&~+9+r}F2e%fRPr~PNw8t1j8^xt*g-e>nT38_wO}q1ibh+8Trb%Jpmt^F zBBa@vs}70cJvNG2L&!yfOjl0`c7?Dc*cw@7pgD}{`}$yVov;26Lv=(oce;9KrK4g) zYkTraWEL$f;CNsYtv=aQ$bSV(LD--yxFH#1l#{aJhJ1pu_9&?7Q)6<))S&0djeQnYMiz1#Wxkjeaw@eYSDn0?N-hU-s#`wjOY$Ut#j&PKML%u>_E^wH z3AEe9u%39R_ba_&vHls7sOBk9P{S@I(9x!u%M!WAc4H8>$McxEb0t+YG57M|lr+{| zO-RFB94io>*D*uXJ|sou+)AUt(LDT2A;(yDk!=nDgb%U2W}};oi)}h@Ut$l)6=Ep= z#=vEROLNN=Nxd~Y=!+6L{(I3*6@9%rP~SLX)}&Ip+#}^Ac@PFxlvvjcogw>LQJrS= zo{t?;D}QTniti-pH?fQh_Llps?li7@po$Q!!{R#mIJM7kBVrlnGXO0C98gs))oARN znlh5XL&F3N-_gvHz_di9+vu={#4B!(ALg}Ds-$*>t`(&YNOudBrybpI z2D*qQ2aWe!eD3?pl}Q0DNQUk@gho7V&zqOBP|d?)#>y^?WxU4mtbwZA65`4(s?o_| zZ++e5i~$>65kvNx{5nq{HJ0YJUm%NmEQD2fY`Y)AxM_Kp(|{=CSfQT`Y9hDyWJ&$2Ra|$m*Cq3%GS|7 zanAQd{l3xctlZ9IB8waz<{AIIB77(_WKPe%F>pKH-DPmz*VjXDN13}5^?^d`iNZ=y zy)r1&4wDI?H}fuS5e-|ea1HAdG|up^U0>&K2}D!PFTcJx-$n)4JvyQ=R62L21-WZ= zOD)X`b?x;-a?%T4&lLOn{@p&Vy;gMJi7s!8Jf zRL!)@x*Mdibz5ByldtB3tTpaQKe~RU>!&ko`k297Q4c>@=P56dShbHo-5i)pR{K#l zr;*qF!Sjfezh=Z}sem62#2Pe1eiaJlG`9+cMB!SaHguzM#}jDNzK)52f(9 z;%~kkY_NX#Hi5iVc1kP{iK|P*{PV%zZqFIzH*RT%Qf&mtZ3>Qqr_*gGj)OSD!4}hQ zwDcQ)aE*hg9JkA=yrFAHJ?pv~t3wyaLmiI8h2!nTp4+waKBwD1M5b$K4^~Z8#3m3g{>ZoLWUpTu@)7PvhQBm37|2Rc>N^Q=DH%0$wDb zZ{8*jUS!<-8QZqj1bs+teA-3C*z>56puEvJEfbDK{O$2p$mWYcK<(rRbw@gz_)B9< zYlAWkzhX>GHRSzP>1T&5Q<+D&XT8hnOw9r@ zD~bm0`^>{|^N`uyae;64nCU^!nw-u9lHIM%?$sRai39PIf7l0>3++B!fRRX&DO_>T z0Yw6onGEV{V$rR({=6CS@$32fH&yjfWH5@nsgblxdr^X1oY@@ z=t)`Hh0}XAU|6bzHPuwZtxLsD$%JCMdh~MjYoCxA6uNB?KiFIt$Yi=qKSPOz8!b<>U78Q%#HOmztB79+Nn%{p4YhAg+ zw_a-)wNw1O&45f4WvO(iXSN?_pEk1MJo5!QWNPo`$H?9J-NT!6NM_Ib&o2tAsD?yO zL=Xcee%-%E(DONyCf%g{V{||Dg&w0hAdYoKLTEA&gZ2ir+nZ0zgV`+{Z>y8kyy9w7 zRG}=dQ3WG@z}V}E>TmAb?I`YdF%7Z~nrrSini}GeHqncJ@%-)J;VTNoK&M6VeB+*5 z69B@2`}LIYU(EIkmTEHXUeZ8uuhV3(o)=7I*PC3L z78T0uy}yo3+`Y!N4vE^ApD(m`y*)nG-wUpKL+YL_o7T^#jj@*m>TeS^U{X}Kg*T7~ zfBvLRH`}0&uOgyM&cpVppX_(u4I{>*C+3IFlSQ%Psl))E&GX~ecaOWdFD%1NxW?jQ zAG2cBuCfvP%V>CO;z_8#Bq>EZgKX z1RhVkKGQvv{ZcUqkIw}IPey1{AejG=1k&bo&>H(zQhK!r-pK{IUt0AvH@AN<+WoVU zG?(D{WE5HgiNO0qS{(6l98p@F{xsJye>j46{~C9ay++SM$=stwh3kiV3!T2#ryVEs z6MmRCe31Zq6UysK1mJAKFDu~oplxkc2n8lIFyu(>NFZvxde8hNQjJy%kU}FHeRg!h zQw@O>Aj_#?7!|t)ox!C$xoGK7%M%wjKfpEyO>rRx9v%EVe*J{LUO%26OqN~@3b1Gg$-)=X^hp(09IsxIb zP(t^;oCjq8J@Vlk#-SD=Bq-_YmfwBuMeovF+We1hr#hz<>zw$RmYS7k{NS9O9lx%i zWsSU6efr~4jor}(_#%ewZj(7DwG2pBBnQx$^YM>9&GxkMg!*b=_U}EiB`Ri3WALIP z`squ-^eRQphlF)DIlJ1n6{vmlQdG#ZLbRiIE48QAXo}x7w0VmvCA|@vrlWm)I(hl$ z#tR<_;eWLpTpb_o|G0g7foBztVSF;&)#2oQG~C7G^mdSg#rwsk(KPhwQpJF*inx`r zyEUyiL{ErF2OeKQqr~dO_G*U6{>lKa-OA6$^SAr2UIl~L3M1Jprao2GA&`9}Oz`N| zTATizqqwS5cF?A06%&LYWE*0^7Z$Kkmey({>aCFLS=Z z1sLjD56o&>u4leuyI&tZGnby{J#bYYQsNElE(Ry6o7lU<9mW&s= zcnCacP{=Ljw2c+#htC_W6uhO}0*y&X!qahxk_OlQbKru-Gkq7J5<1)Pbxo3=eqpv{ zB|cq5IS)}ASc=Tj=2hyL?Vp04IW{i?8__`x8W2E|OhM9V!TU^bLC7aSG=GePXp&EY z@Jx|_)@h}K&Mwg{cL?OFOw5k%uh>ub8CWh1=^}x3jIg@>eCa~CtJ~Kp#d09fafY~} zfk{`xFmX;86EnjG#m_7b{Mi98Qs~-|S_@=!W(HbBl`y$2#hw<)-gz=q(g*UC1UYydU8OI>?VK!otn zuD6Za{h|j-TZAq_pNA<(TPFetw1?i4qw`fWNE!vZ?SlN*?@ri|6Bq~~g^7^^N>XA% zh26g%@4$KT=Vt>dsbCz~AVF(Ni2If>AZS||B!O$_71tbFW+yf_UI*WewGAGK8S}JB zI1whu!4QepQjeaejVB-k=)g8UKL30#WQne_sO1ZMtG**vWcH}Ids~-6@d`aGe@#of z&$ERk>gDhCZKV2qcS%|ub7oh6@r5C%D~(luE-qEg?LtW%fi>4<2NZb&7w%$8(d6o( zdQ_tt$3cRs(3Jc*8&VQ|)TN;`g<7hV@Swlhh@Z;fTqt19$S`1e#j4smR**=U(1)K$ z@M@v&GAS>X?Rq!RWBJ?!eaZ{I3E|XR4|{gS1=L3m8>MX?!c5G?kle&lY+A8y)w?_( zZ~mAx(mkc#2zmqG^x}qX26VUa*NfKn8);l#z3XDX(F4~m6%Ev_CKIEoWEjI{$`30} zMJGI%@%jM4rQ)V-Lg0@FXv?*cRA&8-mXzii4{KYrrkW-%``}+a{1&Ad*xI)KM!N^} zHW5&~!uVp9p+}VKWFN#9sMMPcKX~%$6M*ac^7#3@{BS!#$G$lIe%$($%RqD;KlS|f zm9P=FO<x&$lcb&ZEgohZXAkXAx)->^G=jl$*GP_#M%+q$98bREk>Q)2vCR9TPgFFF)s*Yb-tBaT2fN(q8p<6KWm1ShK|%J5r$Bq^#%5Vg?G{NwnGP4kupY zbaMr5^%$8_8?SVYy1XB{+yb0Zf@gfM1YWy&t*tzjIr(7ocI=2k2M1mG1Z>1W777}= zne!!{KcCiN8r~f`gipAAdWjn(Q8L#E>}#g<-x$(ChN#j(_6a$vh8d}bq1f0wDdX69 zo5OU+K<>0j1}7*ghJ8{>!Z-Ah0louHG?J}n*>)g`f2gQ zuNWQa6sASR)D%MT)HHZX1H^Cpemdl9YfIzC0Ho)ZN!-HA)Xs3-A(@mTe=#sp}H&SNF?lX)tV|21<6E{UxRo1vV zFKTJ|_<3-}zjOcx(R;i|g`TQx|dU)fARZTQX0IW+D9${HcrhTYc1;?rImBp zIBA2Vn>fa{Y?Aaz@1l^1`%?uOd45)~Ns+Txj`Xp~XSOp+3G~CV!cA$Rj!NYr#X#qo zVzgC)@|8_1(-G&Zq|{AnmRUAWX7JNx=9Js2d8srwSgE7*U9=7?3G%OYu1e3#VuIMq z$<0rpQ!R1Frb>AyVw$CM?N|x)I`sry*7+VIu9$p ztE{EZXEP7*J8xA>i|Yifqs&--MA5EItmax0lf^!Sd)2fy$ssR~V-_!oOjJ_c%CD%( zQCjL-{4JaRt#DRvQ8~|sznJFuKB}pH-cl!1*c?_VeBq(UFdt2b#Qs4!(Y_M1S6F;{N!D6maImzLRPsP${qk44Ys@94 zFFs`*siz`~#@b?Mq$#4fTnp)x5$fx!KJ1u|?D9_Rv1}?8;nAiF5(nz&@|Cc7x53-Eg^$xf-s$!0wmKYagsX*7?fhkl|=ogJTIkrER(rm8Gm zFlGEwuy()Hcv@+`pkb~}OEzcEK^iX`OJ-Z9pHmYzkCx52C0hGwo!6PPyUvMT88u>D z)v}CCT@25PDxw8S37azFtUHOVDRzx3>Nxt-0v=U_Yj+8?yz2DkV+oj?>ZFloBDBFR zRb{Mvn7GMXzH@IzY=Lf@B~?;}g)FO}D%w&ennbdiqMLa#YzEEJP`Y6)TiFt)UTO(h zhk>l^v}($&nw6%}#L)8L*y7`WVBk@yo z#Bo#Z7d6&p4YX?F7#36JMpcR(W9~)F@-)Bn8{(~pax!Vdj&dcLWM60VqSUf=i6?aH zhz5h6dKGbI+t5qrCA6iC{J zq-+9IPNn}ga~|YqT3Q#o)#oz2blgI;s2Htdo%zBc@r+)4O#bp~r4UoS;`5iAC=>Wr z?sY3Way;k5>eLe}d2}KrTm- zSrp>1oN#Ex$f=DMO-dI?yO8ymr7EhCDw$V$a%S4uvTm(ggoYNLo`^5ZndaCYso0Q? z9!#H+usP=iq+^uj$Kr;friG=R@G1#VCe2MLRCOP7-lugq$moGn31p zpkFIAtd7DUA~ZaV^jp&Z!>R?{p(!>QH(xzy68i^C7A z5nm69t0O zrbIlt$~o}XEu3zMIZ#m`tjj|u9fTbIw?bw-6B~kS{Pb7Xql-_w>^_&an0p<$0WJe@ zhS}M|tFuBRHJyU`T3Fv)WKL)%0^8XCBuoVLFS{XevqXcUr+!EWMpx?&g-mJm5gT_7 zz?0%~yC^x#byMT6jsRJp2bpx^>%Z(yC+u+FX65v#AYU9|MCUeaOGv~ekv{Fs#Ckg( z6p|7Va5-GN=<)}CJ+>Bfn)X4#Z3=93Ar~4p>(>E+!qu_$kj#e*%z6lnMihl%W(S4F z-9Xj&O>A5U`)qUWncXWyIl8LOsvi=i1yd3n8 z5{Yy!4K>%*DkwAzi0=gN5(-R>L1?(7p4b?kxrNwR#vS07Z1mv;Pf)b259{bED~^c` zA(F1dU-5JNFK83Q#$HwC6S@eR^rNdFC?+3s;fFl$`kb5{`?>$o(opIO=QYQ@(xjb&HG0wVnM z@=$UL^o8UT8(gDAV&i=@83Rlc8}3Lve}Gfy7D3uEi2LI4^}vTdANL2)ai^OeKMM_$ zf2w$#d;g|gK$~uVk(?l#mCvKsEXwB8A*L>KL~LyRrRneoYYq%qljz1^wCu@8GrGA{ zB<2Le8q7=y^acsdLOJwQ(4-2(>)soG6T(LaS`X}sz|l^Yntv$ID- z;Acf~!a?BUOZ?Z>Im>IvFMlf(4~mHa_XT&_nf{s$u~{~fOV!9pqW01N-%k-z!Ra$rWJ_F-QI%FzUA&2JAb@c%-{lnzD2F5&lXGAtO ztR%U%dbM{PFp&5gkw=}1@uopBa(#WR4(=C&eQXXtcY$kg-mL#pD#Nn6y4zg}@$&hO zz{UpT{y6NJTAnC)V#NM~cGzET!4TMbF59? zWNG4xT9>ay9bSu%GmHtrP?`wo&%3(HO?+|}7*ov)vk3^`nFSEjJ_Rf$W~Wbv21MmhXD^3nVmg0qW-Io zd0l;V929;QR8Y*+V8A)dAMu}?ZOfrnHJF3^adf$;AnI!@Ah9#b)pvN==REl>!NkQd zg3_oUslO&>$U{LyvZ-!nCo8 zd$7rf0-XR_3p1nFX1y0VAS^Mg|@ywVe3^}bb+tzEkvG zshgH3u{p^@S<%ajqk-S+AiMu!Dx{15C&8SD{J0$$Bv;Vif8+`BJ8(o};83Uc zoi70)yMwZA=W`0e#eQJWRM2-Edd^i&hvQNE56^N6JLS->PH@8tT33aIBV!3(H|7%j zT)^@Uax4MoPi}f5q3OFHx&OJ=iay*AwW;dGs@93**+fUoxe7Ds0#cSqKV_TBVX1CA z3$H`(WzdS1Z>H6c4q`na0;h(eTZT25oXxmA8H4OgwzrV>Xyt5v;hyo zr78d#+j&%-IsB*_5)P?l*FdDzo&%-K6Bda*2J%l=0K9>qpcrE#TJs%T0-N)~{{E8w zU4uJ^UGBd^@5pddfvCIyJ~0=-y`bh0{=iw){`-xp=Uw8*FY;w{B$8BM@yZbX#lLS8 zgQ9*$_G4lS_i1YgnJKWYrN25q7t|osi4h3j{b<-3hW4CU5~-sT21KVUSwbBI{Gy zwRY+ZfTAk0mel{u@&bcmq%KWd0H%}9)pE!&aIO}KnonFOKtSWCX>J4S;tNWPAhevT z>4Nx+RyN`6K$nwhLY%SyGCGztKfxiflPERET~aKgnf7}dmqwecBW#^kkX2+X&^2f? z1LG#Dkj}pJMwBw2Y@N`|L>QaNI-Y7cQ?|_*- zffzQxWlTWt^}~bK*~Y7;cm4Tx}QLHJRxfUyha1? zI{FX1a{YnVctG9y1FvA^e-tPHUUC1zEAD@XSI{qi^6CJp*#8?||LD2^yqf-nS0I6K zGSS^i48UvrA9#(gPpSM1uO`hVb+_#b#>{{Mnk#D9a=(ZBFY{2%bz_&FYc zS3nusCH@PqCjSPnxB$FX{(;x%{|>KzDV1RvUEOW|2VPD7fmiZ>!K-@MUvB*auhIWk zc#Z#`;dS&Mc#ZxGuknB270~Mbz$-3(2Vhn6FL(uB{ujI&{tI45R{`8;|UjOp$FTBFvPlXg6 z)%*ge`#X?H}(`HQl#+rl)V;+jshW&iC}3el@S|z+eN+>o4M=|1z&(-a;V&$o_Zp z%Jg66b?ARJufT8t%&U;pMaPNh%zv3zqW?0lL;v^Y)#(4) zyb1#56{z^1c?H}E2cWiB^9pz+ujX~=pLqpZ_iA3(g_p6VG3fFJ>p>k9n! z;W}`L;w+1cs}%s7j%aHE0o3$k?FptJoa|F=HPUoe?A$a5ar{#?2d&+&>h?*8FKGHVA)?qh!v#f&@=_01)~j4 zuc~_PHTp=@qyd17K#-o{C%dX@eES=K4^#eIY%d_vTKG!)>rf2<#d`n87~5-0%k#0V ztS;6SVZ1Bd0nY*o0z3&0y%4o$ce@d#Trh&%PQ1UB!ZUqy~#hcQ939^Z^KGe165;A7_7Th~#d7hT^k@mSLfHp3SpGqNm(Ww8@+u(DaXa0jtR|54cl<9t~st ze!IXCLjmo0^)vpPgbcW7K;MbkBw}|VL-s@z9MyfRs{e9uWhU_gZB-)emqN3$vixjF z?Ks%+rx)0jGJ9!x#oj>VUrhyH2r7X_@fc}S z8v(x<3;=LswnOE4F%B|%zv~#1l*z5iVvu)AV4b=If2?Rgcf@B3@wKb_Asri zIK${(e`+whsn0BI4Kzh`F7HwP8A=p3mp#Bx0_gkCP`*OeKSLS#YAC@QgWd4R>BD#y zqG}%1qaG{SilXcQv~F4=7f{_4NXiCo>H+pREya{Q8R;v>;-*l#4L}2%maiNpgMyHJ zG?Fgz<`s$D>o;~I|G`qPm|a!#&s+h!D*GU?XD~xARgki9aFdHh0{jN@e~~l`fL@#I z40oqvOX6MDkku8cK4*T|;~?}NF7Du}?7(kYuKs)#G(V4%rUnz??5+KPl_5XAMNfdX zL*WrpR}z6boBiLVR{C{!y9D2TXL35q+4S*LW~zz?HbMPi*tDs)-IY$#>Ab_v>s?5& zI8KShZ`>XoML;2X)}M(F-Wd`FqM8nyo5xLFZ#X9#efQEHh?vaaOpm3o5OD3jpH3^C zave-J0H8&}umGK9(ZbTGzMiLEFGz3IeB!@mBwt&$`PQ#~_e(GpBHb)d=?8#L-vICl z1nvPeB;TnmKX!hG&)(G2oc4l6s<8ri80#JbCE6RS2_A(|OEKVwFY+r}TAITn;I^G6 z2y9qp1O_7eJwGz&b{z)Vf$z(O_5im5pgsRw+H*&{DzevTuUNW%SfhV5+%*xXgBfr&Xc{y!&XZLF0t;FWTLDQlM*ri;oGzU!}giL*|wqc_ED(ucgy&;ayy z&G@^!yrqkEo_hk9aRtMD!1Lb1ehVqUr+zPpdO%9U1kS zH}znF;e^h>u~;JCKCu#xs^jyo@2KN6jp6NVJDNjgwWbO2tazd(3U?!bwxWywm4Ab< zg44e^vRY=VRuvFvJvVMqTH5^A2xtYVYYi7Liee8IQVRfIMIoow_+AVEQb`(HWxJ}w zuNF{1Pf2AVTd=Zy#|6-yboqx#9k3xMXxS4EAvNazMobS3EbpIAY6Q6vCro{`MrCHo z4*ymEU6YGrQRcPc7Nk@@pcP6oKyCd~H^8C>QwtdA@u-||z#j<{02lB_2GZI_s$g>a zJiGA$Ijg{m|OE7)x(8(PEzBwe0%0Hb&TLyv4cCy;Xp zQs%8}dV`JINB+=E?0o?!x^ED$HJ_i~!&>bSL$mQD`&o+ZDU)`2K)?MTd99T+|Gd`3 ze_kuF!jwgSw+?_y4p6@f8GsQLTd!VgOtto(;Q#Vk5B|$*jrixa0y^{m1Fw}e>%V)g zfKFGtNedMiQQp9lCkNL(?g5Ck!~n|s1xB3yEcK14o(}SAaBiR2AOfUs>XgcFf=S4L z4h*}|^QRgWcA@J}prypr1)!`0Oi4RVWEi-R@$tZO+%cvadMcA}FO|dl107+fvl>3o z-GKLCSGVg8XoYWH@5m@~F@~b~Wa5{;7X!6OGX`~VGy!l_?2>TT50he|4jVlz)emiH z5*SdI0F3%&O#=GiC6V1HphqTwlNTvuhxMxCjsSpO`tRNX;LCz?gE($>f+5aifoAKP zS^rSm3E36aza*K+5Ty1GFk`C8c!2&QA8@!$2P9NJ;I!cYk|ioa1KannX-#5C*8bxmcRNsc(_-fnAlis9QU;vS$D~_pcop zl|dpy=8sPvple{bNH{gTX9T;2g3*sPXCsR0-F=i4V7tAFB>mDR~L zAT9xF?>}+rA6vYNOSrG%5&*K%_;hvuASl8OY~O4E&?`1000sygWKyFw6C@q_Z6kmY z$Fid`eg(GF)TFy40Ez)HzPbj~u4}QXYSsj0*ibs!g)wS60FJANeJaIt+u(75>(f9I zzd$b(ZnKyl@+8?NPMkaX+bSIanEyz=uN}_zAIbNBLdh2pd;iCh?+ZFYYWy+~m(-f~ z{D3dIw66y$o_nD2rgp2R;sBIJ+QPl1@q8FSlK%fu=q(ockI?%cp_k4D z^8c;Sd;cGy_cf0C|4QgB!~y?D==~Q*{g2T5|CP||^&g@4|FzJo82KNe_kVJs*PpGC z59Hq4xIhnzy)DQDq2)$T;yD7rQd;Yh_WV``beGLV4XP~UH=jHK|P_4FEN0Viq zBl#Zw6n_7_(~&`__rdSs4IvehAL{9w&81S&v@JCz-J^3Nolx-i&%8RQ{H&tKWA}9_ zek1`G-uk&3OXn&kE`lkulQVrYPlrv3?U&xd2^*FA)i3wV{(Sc?e?HsGe#FYj zJ(Z~vNh0E>+*w_?&b73tJwFg#^5OCBHIH(jBS8vC{~J$#b(ByF7OO|@3{IaE7o zti)Kg?H~cYuV8 zewC=BB=oj~s<-1oGK{?y8D8k$4TTV{EV@?R3qtU@u`&}a-(z&NSt;`OMB6ydMW3`L z(M)1!5rAVyS6y%qIkf2rI#VuE#gK>S*%&sf5hi%;PW&IQQ=!3kR*OgoMwd>Z+jcYU zU5=1@u~7nnzD6Z-4HuthV-|aam;=9$_yRdX;-6W%Zj?yJG%FKVu`O#boDTdO@UYAz zWBli78K+dpul_Ec3)r}k*w)L zuQ~kSlJ!Tb^_gCFN0MP?>Kpj*5l4N$;se`-JRSNZ!9`x)6l`)+)9<#f8G$vtueMUb@u%{4Y&>j1*D=TFA zw|AoA*XRVfrljS|oyJ7k(+sP2`v!?FF z1+A~E7{#nJMOvXpU@X7UW|2P|9Jq!96M0YZ!s8lhBUS|c0^f_+V%=VCL!TLi>ny~U z|MH%K^)%bZvNquSP*HBKgs_85HJR^Iy|!Mj73Lzt3LM**i$8LzH2*roj)x+DM!V^= z-)N69S1iB#VYd+BkMy~0FDom;yy+Y8a4H*GT8F)AJ-gu60B(%*uW0y{W^)A=GK(9+ zYd8#aar5>K-FpfXbdr}t*FKy5^Z^o-EtHQl7XyM(Co(w^UafpOIX>>oTywL1uh@dbXUOdMK(xzHvoyyI76 zwvZAN$1q~wAO&ydr8f%`t(Qtmzs-VAr0Tx8kbCG~ORg(ol*p>HQW?z^#qgEpg-xWz zek{bU(W^mF7FOFXJGjbzqkLUpNpd$FmxV}NW)GI|CXJQ>BR;APM3uVx@MQAqW_0Lu zN;NuieZA!U9d-dc=&zFiw4DE$7NW6)XapNGt^S!ZH&8*r$x_&9MDQ+-=Z*9`eHCH z2AWUvTNrWgPP<(Us5c|~wDZ$?Zldva#`QHjFGsD&OKJz*KUaA`~hmv2^#C$yE*2zgYaDzK@PKo_^0D)MsB9Ze#7T$UB|Emte?#ow?CZ z6M`5KGGI#Ze7jGTKw?NC+Co7sr@w)%ddbeG;cwZPj`q3h77nf|svXJ-M%}OesP~h{ z3keFvL4)1I*e@9`AJ&@h_j5xZ^{6oJ|F%Ie-PQNHUM4DYxpP8dqLmEt{!uHCh0 zslnalc*mWtYaD#qGovZV2^iLnd?4?=O#Cl{DpRNDO>rErueXMQci$jo4kzEH@{M3|g&I?V=|@i9p2RW~pp4!t zA(%aPP{qldY5}xDKj<>Xnfi7}ga~)48IuI2T7){K%&Z|N7u`RQXR`^F)$XP~M{|bDq;| zbAuT{+tveV%u`F&n<*CXQPv>ca{bPfukFHwyKBFYx$Xe0ttXN7cemF`Pc=ImA&NJq z!ILNEmYpYN`s2{oV$MP=$@HZ#`{f8mn}Nl7!}YN0*{Mh@$>YVa?gnJLh*)a_PR1YO zSgE(a8mwEuNlFh3#UxSojp%<|sC&j$f3?ss z;j>$F*{nF#X3#y2*P-MHL|lPJpU#Vo*tR3Mx88Ga;WccNPIhANyNITx;@it`Z=F=> zAAMrqmU>-sJhBCHu@SgYPs~uyk*U#7thpqtoPK3rk(XJKUwqotNpR;LslyNU#yJ+& zf48cWfX~VsN!ze>V$tn6vowtpC%YU`o9j&e(u8d)N}J(Q_haWT`}T=hdhy*-L~ZYn z;>y*3#m4$;Vg9}v+cX3jFfihUn^*%K{tLjFx=9oAT*$YsJPn z8Es8zZA+dCBE%4veoMbYL?R{T?YFp%D`6r|fSyyja;x4m* z1e;Il;hL{4_TGQO^zHqzH^k<%m?`ALfHc)uTm2#vwCb(!Jzn>SzP| ziF#KTL-^iDjQ^>&4VeAo{I`5R1o?4l5VV*VzG1V;j(cwo%Wt!(schEkQ*3&^rKH?( zD4jBixm@u3NG3J8OH#vZ5zTTD{kV!kMZ;zh?bt=NELFQYiD$l@i+x^SHV=4dSEk;6 zPr3Wfbr$Nh{OQm{HgAPD_BQcv2c)L-EWbYkzuKifv<|ACWZqo1td5~BO8k>zXjlpa z{F!-N&h@+GrzzfPl-8X5-5B0!n>*pwCCt+%YK;_;^U#LLHgl$Sie;I#+a=ml&ocD9 zPu7{viq$`uPo4t9(IQ=~ z?A>{vflb{f%glYOySV{#T~91=X8TX66jQa+Gv5{&AzHi|6ghPT$$8NE*K7f~U*A0%{Ao>ExN#NgJp9 z?P;6!Z`a8mw-weu@s%wX8iq1T>T})`x*FDl*MMoPxiU*;BfQk0b#&5@gU2@BGaI3=G6(&@_f>5Rv#M%+fF>^BC zq?4QpFG~4OFx?SPnIGu9;gVeouQEsE0@#&fA9K*K%pfjkU&=buJ!HWdu!42m7(8HFQ=2cuH>))%nEhuPho>?ww0ww+FH%@r1=sv#7t!WL7F86X2f31nj zdi+Ebq|NjgYF~_rMTXGIMxy!?L@vlNWm2ktV#JI6f!^Y zbr@&HPFz2@@iCuoAL8cGb;SBWP>Mqe>0?{&e|XO18rG zzE|%24!t(ly-4}6?y}QGq)Kw<_20jb`Em#wRkF_t#eueq^xms0{n6Zfm|5q+c4ci% zo#5U6-U=~LhS+w7SZ{_HZf2Hs7w$%5q~}SBL}l{OEMx0~zZ3X=0s&R0#Qjr$|LXqb zd}w(3?dO3CkEY{5`sI|BsQTBEY8CC+M70c*q1MXhof|oWUtOSGecNuIdJIyyFNT_Y_Ps-{to#Iz6|DbWW5|ECNyV``>IdaxzX9d*S?cFbPP}Q1x!8$ zv%2ePNJw|b-?2JtX{2~@^)yI-%^!O|#>Z072c587gYL`I&cka`L5iB@vAGBvLf?q( z=_T&23Cmxh`@-v7;C6IMS-3nQbah%04Cp+$ z@S!r3f8#X%ece*oCU#rAKSJvGIbrhTUA{0sh5{@m9J#^4qVORxoIjn-_SNz3Vdf#c z*#nK}!=y$zhlkkg7j$o3(o9raeqF*$t$(R($f3(@pS_;4vW4LYe0-j&7q@}zI`Q%m zyrjClP{mlVW@DReA`qw^;IhzsRQ5}&QsNMNgWo5!CFESo6FW%Z(GxuMSJe#r2dx>B z2XGH{^y~k6Yf1Q#YHRK!Jej*d*Z=+jj4Qg{L=u*E(2X!(B<_-00kX*%+*k6FU|Je9 zq2|(%-)5`K8L-w7j)coG=Yj&fd8htnxg*Lu86%1G?n*|$D7DFDjc8I=cGIM zucWu=8hQKd4M#7JN%!@?bJgFt58cuR6Rgi@@B~%Zo{JJ%w8Ft3kuDyk`tu-T7R_1b zwiW(?amS&HDI9=tx1f>3#icj^)7IZB-EZ%^7sI1)Tz3hfrZK6#^MuBfy-2GwZzoJ{ zD1Sp|{X~)65D7(R&C8J7pf4M%Qu)K6(He!$I`=JIdnqh*wkEDLqJ1izi4bqnv7YqU ze33;T+j%LFpmbS`C{%*rH`NXk_ZC|f+7{dUk6S|dk>v(B8ESui2El%8_$z~s6FP2u z91?~t{X)81?i=S&yicrUafRz0r4c;olt*uUOJ6(wnwUqnc;C(-P1o}Mw1`FooB^{H zR7PHi-ofr;YY{Ic>>-+Zp-Qn8Lup-km0dASuL1nmAb7_s$1i6_`ks4|c+E7_d-Zr= zQYmS54>t(J#T~-K|3a*t1rtKRaVub$I;wvd5NsXKP;R3oHH#*UuQv-V%-dD;DU3^A zsgloIOPaedUTR)_2UbSSDjhCVUQtR=HY&vosiCzZ z{ikv8xG$r=@r;?V6?5&# zR4sFiw15j#4fN3BB{#Z@1ubzq@ux#{b<4?|9H?v`t|z0^9A%ex>%=TtPC6!~3dh8s zRA`y>=l-|iE0caVv8B7G_|3)D{>}a_YozTe&L1cndn&)Mwn8X}jw>+&BklJLPdwZqmuyb4m-CU5yu6&VS1BsoQpjz7DZbFG z5EzYKxO@Z+F`OYRY%^r@47cHGu0rE4-|Y($o;Y$UYzY|L@}*5_lVG$A3|g%BYjL(11!t;JCw#p2=hRVv-4j9J=obl6Aj(tE`V3Uqg8_fE+Hh->{ z9u)DvmllGyRf629d+N}kIV6pX%Mo>`^9;pQWJcQ*(hx!gxE4uU(qhSx$K;#W_lon5 zwiK@xJygxl8TDkm@u?&h{+5vpna6P(=+Rwfa{Tq$K^ek#O%?H$J8QaPkqC4sY!%C8 z`g(U=Snor97iS+K^01=f72YqCU$BNqUBEn|;5FR)5dX1}JW=EezSBWY2tf)`L!e8& zMDVKMgN8IOi*h`Q$4QPO=4of6<^C-HL5}5tHfr0cKRfTmENnEd-I>24DxT-&6fAG& zIm`LFo%STstT56+HC9S zqolqKT7FxLnh=O(QRlK`Atan^iGXuXw~Rw=nzi)(_=ON~?IFNTQT}0)n5}MX zrh=Uk8gko^edw&}yGGaPcO2`U3A;m@$ou zN`tp4^S?1cgegIlX%3J?P<*Gu$nhtzs*G?)?%{%uJe6|bWB3fUdn1xJ}z&kqKd-3ZEpY&}wZra{-?8RV@EGudqmZk_XPVAc41 ziVO?hkxqrS$8d{-O2=t({@8kO{qmO=iJHgvOWFC_8)?4Nnij~zOkt|W63>Z8uhWQj zXmba*d4eRn=5s||hE^q0hO=a+#&7mgBX3ofmmCFaNF0qOnWo;jrPel{9ezHx}RYsb>3LB5E02F)$rzbX3Ojc#x6 zS577u?(R1(I6jNp930+A(e0W)B^vijC+Suq=j#R~>4pa{CXhQ9Qzzl(dkNVGTDAl= zu*+SMs@uyQ*Is}3Y-l3>TgoeV7<(qf1?jHm#&M<~saDTfCL={izevYewdjt-AQgJj zkSfbke@9Ns5dEu6A>`X|qb@l~?(nl&4gYds(do~|4eYntuwkIBqhEtq-JA7|qX z%L$co>3SjI7D&5M7Q%-~BbB;`s`mH0stw=5Rk_6fzHvsl!3SgVmdaVcqHA+8{VjD0 zI9@5CdC7??9k~Ps9A2?*o}f4J3sw3#q?E7PDO9-O{ZAF_T8+3}wq&1OmG%*bs1!mo z*&RB@e5sU~$`b??mKWlsL5Ss{T7PAMGF^1ZyQh)!awZSk+qaf{4kJ5wj&Kk!UN4im z`J8e3k*F`Ft+QEs$o%{TvPXd_omvB*GnF_?)vqjTdW$OyPGTc`V$Cg7YTk;gZ148K z({rmQ4t1NR(hFtDdgxb+<1mn##_A@CV3_yC6yP?0F47(5zsjW)+r0-fCJ>olg1Pc+ zi$Z2lY7P|`M0Gx=mQ|3(wJ4}2GViN7)}hK1Cf3T;kDWFg#o}J?52{V!{hn%FMkZW3 z|NXRQUlXvy6c!+4PRO}vpg@s^D?ecs=vJ3{o$ro2#Sm1JNG(2$5YAEc)Ai)edcwLv zm@21j%RU=D`qMb^APc-Ce*p^*$?zIv+vO>CA_El7f|W~n^Or?0m(Uzh^swqCmfv(P z?@=r9m&((ga;UqqrOx(Psm4{weA}L*Os*_Mp1Dn;fVEfAdm-hruESD8F~j1=pwT>` zc{=3_1@ns-wGWtIb3hCpi2g-5N2NV0Jx}iP(^@@=jp~DaaUKd^O4oj{Zuh3xr#MbU zvnuwcjO&lzSEU%JMKYJ|;aEh9v#N|`&Pseg{4vjouBorKFHp@T_}8UE=72SHW@*lJ zLDzTfsIR4`Do0w;TyyeVcT%8KXZ%8fw9_h7@@895c@}{N^gf*}RHt@xAYlQvK`Z8G-|v=F5azXP)5V(kpH8Jt^&!wfkgx6tcYlIbPWlMEe=&l zriV)NJr>McT>I9{4{YbQwQfxcSw`gq^6B!&h~?y<%3C(q^$nj`A66Yr=BZ=EJZ0}K zU0rlD=D!VmS^GPjw$3Sq?uM}nHno5zUA<+6{X)JRry#42!N`0Z3Nkc*dC zOPD8Ns15_W)+qEi?5jy70kG<_(|G5ZgUdm)0xDC?o*7wiT0`fzn1C@DOQciG#P^wZ zl>!>&G=WhN>O@U<`w4@IPw0K8$@`5?FahZSKcf-1NqXp3`9EM1q78@!X`EqEO^{)N zZwD<_GViPl&PWbxsQ_;0k)O?=)2BolK$Q6yV_1vYb0ol|M>M1q;PvxK?49mF zF^Y5_asU00OkEH->E`ZBJ3rjSq;6-@FAb(V=C#rtaQp#YD$zJ4iqlc*j930KG9^5w z-203lAM#jK%Hy8yZMh;P$aI@L>Jr=YN_K0RUD?VeQxq~8*bdU z1hk$CWX8A1Gd9WIaBQYO-$#c4m5Qf`0e?;J&oC8~ zBho3mfF|l^RSG-UPkzE2G1Y#b`_uJTu?PAKczc>Cu?M#3#xgJ!BpR!3!}J zt>gTn%kmQo*c%wXuayKOdQsvmP=D@9rwX-fW%yX2#;83y;EfFZxq{s24}-R!!oR`) zC|la(4M?m%3487zDoMFccY9WjoEVn18;2%i>}e4489)-0y5v<6!&Z=x|B>)MZ>R*# z)JA+}r@#4n_nUNISTW~L5W0Ify3E|f{$PKaWwMbGtMJ*VUksRu4$tAGSqY>d`piil zAQ%@zg2P9sTiLnV`6xxBYO}_Lj`5+^28j@^lvrxo^K(q#@+~IoNj` zvU{WP;B_1Molw$XmqzE^%Htl$kyRwc^=Fm0!GT#O1%}kaaqqb*@%>D(ln4{@dP5T3hwx*;$ZVn-YCdRFB1GD9sbvVCDu@z5;G+h8n3Kt06gQn-3MwvdW2j*EH` znH#Hw(3o^FbB1A?5K=4c;SLd24UQhADh?YjZB*aRQ+cd{XwXUV;wGp2`fBG9%F@?U zz15rk$xy7+zy3+h>l}%XN0%S?G2M*Teq&0{i^jN9AkTWOg|AUwTQ9C8?)+RzI(Myw zsq&T;fzm^A*5kH?>R`7O0UrT{^5@!r4}YAx?#5PJR@t=+OVJ&6u7j zVB9~S$WiZenYOKT90r-=8+EbzjD(WfI$G>n6~*|1;Y`+e zGh66sEw=p?LUe{2ORQ8UyNnV(fw$_}Ket~C-pu59niA=INmUul)=MUuL46UyQ7_=S z-70X0SByPuh%bjf!m|P-w*6PK*;3g>t|93yzV)&()x^ z%JNKU1p^xiYW#W^CGn2Thw?Fv&JOLLE9&7#Q^lbcYnC}jEEY%g+(mKA_)6l|m&y)m z$?U2!Rv0+KW*@RO5YIQx`7`J;7aAwOE2UlM24f% zX_Tt-5|O6MOuhTRhrcC`aQv&~5G!r$AQ;(5#yrF2kBI6dGU(p0>**{wq$Q3JTQc&# ze8a;Q+vlN;dupIQyx`Hl5M&t~Rp+`)lR+S>vO~nqSw1q~lT9Xrv8ATL@g0K>=R!5% z+;_eVlrzhQLB>N7VM4>IQ^)b1*$m&GAN^_b*eT;r+ zslqQMN~x01PC zDPiL(8)p{R)K)P)mX&Ojy=SBr8b9)`1=g-p6Q65GHl)Cl^_1SGHg$w2nDX-n)ty`+)m){3Xe+594;UMfm^_Z%vGeTyLi9e9->j0Mm?Bw&`d{08|1ND3Ub)Cb4IfcYUJp!rYyL4&t_T;QPf_rkMWmsx@EszB@qGdu|jr`*oPNB3} zHxK%RX*Y1gE`KdoDLT_}y)!h{D5}S>|G>G~-)MTvgMKJV?rxeIO5Yn* z+Vax8gU3LxprnB5l)nQ#-X(}s&b0w$3#_PS(hFq|1um!Mcd_AUQ!P1FD%Hx*Z|2#I zzswjUf38-JRep2puzm!YWH<~;GzGSl<* zWV9utdeXC+YBTl}d`bCaICYDDNsc=f2;=eHnl}(B9VwW~qGRml_4pt4j0+1@WKk_! zGXWmTaFuIyiJ2G+QZ6Xp%(;gX^dmt{iqc7H4i>|L9+^u$CI>Q-W!ZKL$)vPjaz=-(8LBGIkik+yW3SM;=7eavNZNUOU+(fBWJ8X z9#1qMvHxLiw^A4iCL&K$^+b>m&h~zelk4U#?|AkCpWDG; zq>nw(6WY%OXS_cnnAKK94`Giysp5)VuG~v5>djVB6P4W?Dn_qeUh4z1l*Y!92#6X* zzGoqEKyImUFZ3+Eh|Vy+N#>jtMC;(mmi4?`^5!yFR;Rp}ziNm-GT#Gv!a_sGHQ`L0I4a*tqfTFvj} zfl+ahU0c>Lbjco}T$9n{zF^??jXZq^tE@<7OD&}o(`Xdk1kMjbeQFwXf|>t=i^BX+ zuA|=Zr$}b(u4NRp3CeVWVpD&%!|&R!KTtAIZi&oQ%H|d8sl*XxBv=^=zX8uB^P8P0 z{_}=wG=-QyUofX%pvl5al@JV3=93HAI5E%D{KkDyqA8{glUQklusF}N1jpko<)FPZ zGf1l1+*lD_?j6IGh)%B_U@opTZ(xTtNh7k{wiD=c`LhOb=AalpesbBqMWX~YN!*j- zEU#E|Lz&Elca~=A7!=+*fsOf+8|JakX;`bIbu>jKN3n!5At9mi*cZy)l4dGH z>H6)RkYbDUHWd^XqV-Oct0Xs@(n4cdh^o=C3^AVy%0;3=p36D`6M9S&}aq*@aK%nUp-f)GbI|emiOt`)#g-vd)(JLNC~NyIdgs>-tR~^~1}S zN|rn5B}<#Xtr1@G!nXE!!3DHDfx&%;blX!F(J$m_`MAaCI%wl~SAF`p3*xy}JjXQP zRL_INH{gcmZFLZ8cMZ2bKX7|-&b@SNdZ-{Cr8Q2fetOD%@H$p%RLZXVU0vOuKhM9m z*7*XL>TNurrDIw-RJ})HJ3KbE0y@@j_wMDlrJs^uySvz&$Xv!B^EA*?J&!*Bb9ilf zmDMa(V4YG-CUnQ~gm&`sl=|QXLASU|yhX}iwnC8Y=b;=;;3ch^EF@qFIZ({bY4751 z$X3Oeol^<$DQesu{B8jqXJi8HkXQmI)}F13w~j<^E9j}DagX5ooF~1K_|D{=^H`y_H*qW=dEK*a>~yBS-apo zpXzF-Syi_;;|*#F_wX!F6B$qHG}=#cMG94&rlJ|?YiVt4e!GB#e1cm;WL*do{&?&e zctVgGR3hhH+_%$nN@dO|ZRTu`uZcSGfJ6>hknm?U$%8aST+5A~uXKi8qJoxC0Iy2w(xW8W{_6*R1+uES{rI~ws`@zLNEJnm+07YEmq1jzJ)=BN|NbWv`8h^2nuUt%GkpBSD&EXsuJtX0F{^JsD}Mnu2-%%l0Z>7ZY==vUfL0@#i!z0gB7z z6~mM}66V$MinQwFx}(oJXzFv~o@WXA{qJf}Y-Br14E$YT7_H&t!xfkb8k@GKqUIO` zJnrrBUIcerjONJsQz67mAp<;h`zYcRb1M%?m? zj^F%hSRC@1YdEV0?o_01QykvbXsY_dILj|C7LcBPWHgmjp@y29ihfH?{SOdagB-H_ zrMQ>Oo1RgSg2-{9!uQgA1*aO~7JS_>vrO6lg|W8)i(}aqwu28oxVyUyZo%ClxDHNm zclY4#?iO5v1a}B-10lFWLI@i9hJE(F_k8z!_kVt#s`XY?_Y7TKvsSIHuC9)|3c;6e zg_*Dw)tQo(Vp_1K1nECa?D)wq6w9oA&%+NaXD*c)OQd-G%*f81vCH1@v+*XW%DKo6 z2W|{kHDvDJstjp`QgSnLp11PaA2Sx*6eJoyZk$t zI)|L+R)#CnpnPq;hyK*C>Q6HC%+4vXV}0dx`J>pYT`m|(dI#3XsK-qaDiu#Yy=uay zS_buNH>3S(>>)yh$2{U;d&uuJs$`!(%qd|eK9#D3xzfC|@12M)8ef2u-ZmabKccy2xaS@&;sR>&qc=zY&14oo zNEqa_qI(VO_B_x0w!`LpKSwbcG8J;$Ous$P@k~Al)BR{>IA8EP4+yjM7lF`s zA@d{hU8mQe@4dz06m{6*g zS$ov86aN4|*Uv`ps_RI9z_RkMTcW;h6LdCMVKcn6?c7{&@(kAOXP2bW65y5i2GgYB zVqL0*Q;>bKE=_{dpt2n2CAkRKF$ns#*|>}NHs+&tnpB=>xB6Rw^#VDfVL;9J`^@pX z-y_|8ly3+rqp;KKZ4~1|9)Gv_O*d*O0L{dpZ?VnoYpE-XY3yi^2Xt~%Z%xIx^dx8T zt7pZ-cLI@}>~7z^B|>(ZTsvA}(Zx|#_8*Edgn`@D36bdHo_^l<+qj8l@JrWio+3+; zfLlD6$OZeW`Qd$NKX1@ljxz!f6ed#8OIu3EOvK>3)&terKLlaX*6Vrz3V)DQSR5D& zre?MGrYjM;#XVMt!tvXC!K`6X^7ecsO-R)1QSxDtj|}UX5g!)9BB|&f==n>O z#kpE7y9jA(9u>84grd3LZ6>5!hzxqsF#T>f>q(L8Nx^M}bhYF}@iFpNPN|%r zXJw??7}ulFp|;=g{iGy;f|UuL2{p%j9_sMx5vmB^Ozwp3j?f?V4RA@8_VklDjjprr zdUz|ZD?n@scKQOLQoJe=8u?k3g`4wsdHq_iS6mJ(ydJ*7bJcKBOCrfi`wYg!C=)JR za#iLxa~UTj*g4K1%}+M;3gma}5d4f|e~Z?aOv2Qh%u~i`wTV;qkzV$r>O($_j8sm1 zL8wrKfAl)+6ml~{Iu>}1?M%YqqP(S-#R4Z$q=_yAD*c;{b9Xa8r@x7gpo={1=NkJl zLcErlR{?Th9cMB}(fUNTl#@SJ|J25|xRIABv$8g@LAdkQ!a%I7zj?)E+Mlqov)Phw z69Qe5vayC#Rh5BW{%W^iQ(n1Tog5?BU?P4 zpLUa#Y{wDZIyZ*CrWm?Y!@Ip45bsu@*^IWn1&Nd<1c;X(tH@e~V&)+6y_37}$LuX_ zd1hvMt&FtJEg=qu)SN!^Yj2kkhqX7#*erBm8Q9#Y$Zn&wT&nOO=o<*%$e%o=V-E&2 zj!6NhrWPP=(O-=*S)ax>gmBOkxEQ323V)!3{i(&<*LnC;>%T^&uT9rN2e9&r)gZDYYI{6~*BYDYHlLPmGpT3L5@YLc7 z=fhH(_fX6t z;ZwM6Q~HgCIBXZ0F)PYZh8P}7$aG$=EF&~qD9Fg2lJY|h$!RVu(sWxWcF4Nlza(2^ z`E@1hOOiRDT{Oq4DldC+dBGlTNfXf58sNis1Ypu&tK2I7jWb4PbO5Ao8jY*}9EMSfQE^iU)ci*&B3}-kh4%G|U zSbGE}`OQ6Qk7$DvaIFtuvnNegnd&o?tq({7n32t`Tk=wJ%nNRX7>3Teb%x^7)T@f7 zZ7lT#viaa5Tc_MBl-DQgu{C6My3rxesaJKK9gHUQd2Df6_EmnV-}!*8nmO^f%nU1v zKa$fvRQPYnW4dcr-}XgW33RhO5sgi_wYc7b;x#NQ)%AU0#&Y`7l8TGo7VJZOfluAC zLb?-HnuoXUm&@FN?=xzxtJ+R&2$|fd2xw8UX3^+ba=xw!FMLuAKovBhELdE!aF-X$ zFv4IFT~gdf6BMO%Edw^VZ>!SP<*?Ws*a~)g*LF&>o5u0}#FhM|*OMPq&*htA7a1kt zVvsYowcME7A7XPZ3J-Segsb! zq4?p90yoes+W)1HJiNtb(lTPlypGYnJ=pr8ea&gIyw1&Df=+EL8t*EFk`f zXEP_e94~W_;j&_hV=~lG#v5tE8%=Luto&C|O<1XeBmDUtlOc(+pj7N-(^pPIGUbgk zvnd@Utq!4VH#tY(!lBVM_7Gu~yY`ULWRCzyz`fBMxjBAX@N)&OAlI>0A{)>u2@N{` zX7J@q;l{Wrhcf;Pc^BER?uksC$Nz$^x`G1kICi!>YiM^Wod8Do9*GT_`^mmmV@lTo zK%-H1%Qk{>P5y8BkZDv0lHPy_@(tx%(9FDGpFNvpa*q(%Ju!vz7z%`T0okFn6g5Fv z$#e@^=?&Q-)J4h2#1!BmA+p8`e6XEbKmj@K4YU*2ZjBicO~Z#qEXbkJ)7?7Ql9*Yo z4VL6g78TOzeYJFBpJAx}iY80g7Vqt1nPMDC__aD*K~@mzzwnYnvYg^`s&v@5p3;r# z`_%-%NgG~=kdC~>hfmGuVT2IMn1A3Y9`6X;(u7cEx1fhHz2FBY<_!`5Y=D;e6dzut z!@qz6_6gr?#REGSQjK-sfdj}kIwa#ASw_~OXh684|0B`S?4spp0sRbqr2`b-$tA>- zT2!E4Nt@l0F_`X%t?Wy`dzsq8??wcnb=ul=+Dc>?yYc}$Fi6B-(#AAD7Z6tMt~&BsCDpLix-O z(aCOcjAZ&R$cy`H<5)mYxH=kuFX)f^yH+pIf&EnAh2-{cRjK{T3JK&q%o&;Fk4KY#jSps1e8`m!X=YO(WDa)oA`2b zg)T|R-;CLM8Oj79&u)1E7Uvt_Fdrawn-B0;&DSFS?-Sw({6;%$c0vxJ?5gOH;Itxv z*XoEin2LCb7*t0(mAp;kH=b6TB;)r1l9Bb>@1 zG_t$UCB&m{l@F+(Zq*OqwP|9Hl5=`0Bi=Il4{%yx5>{To48LgX--;`EMmfa=HDolq z^un@GZKs_PM2WSdSapoVSsW1L(-fdW-|8L6uyl>5Jqq-B`5>ML)C~Nk;(y~Lyr+RO z+JbJ&dR#Hvy*@&4EdyS|XpRf#h!kZ07uum_2-&?c$LADZx!Zb(E9OVj5I9z_>KtmY zVi?CGUQiuIm{m8Cn(i`Y1 zQNRL?5f$D>PeTXzc0rrGISG-g`RYyKIS#qy{<4lE`4#t4-( zFEZ|1(%b)Tjk7O!6`;26*+e?169emBAymLM_0k2o&(e-yu^;D7)|k;VtSL`q30My~ zja89W;9^5yq21vw)*&Ie1{6@G;}R()9j2N3CN3?=9-4QtPUfDt&84f^Y~ekFrw!E# zF5x56R*Sj6@Xj}y{E$##M&y=pTpk?PCijna{Hu2p+>CMltfzQk8sR25F8icgVl?+n z<=3=2`!S)yAEESVLD?ZA3AV%(m(13GIz&Nc^KjOQT|KC#WB?DLXk&myFpAoc% zsu>hQ|I&@WRpbR}jFrs~v1xh3>q<2O?Sk=rz4T*Q112Uo798(mo3qwNSdA)+$;bU- z-DI&e<`}7`xN?R%P`M%s5TCw2?L{iUc`O7k6vd_5p=iU?C$uoXokQPF3Q zWnwoTsWktaxb`4!zJ4U+VupF9+ja!O6ZU2Jw#8@vgqhPjT6+qg?39W zkx9k8m+*y}r*AThNp5IV{V0bTs?OuOg(6d~$iI}-*pIs+ez!AZxvLB&iDv)1>?xse zF~8~(b=vG4&)c?cH@@Z@CVss)K3q3z7w9AN`WsR3A|2|lHacNJCY3ao7vUI*D*n9NE*LSS>Orq1v&?E5LDS*z@ZkX2Rj&&O&tSmRk(vI zyH{Nh_WL^YyC21_B;&ccy=r8L{_R8;3UNXSvjoQ6yRzoba+#VBK{(PXTrs&|AcWtO zm)DbLWnXV;WJMdSu9a5KB*kR=2S()?I{0m1mNA}!rA|r&Gnz8Un23hxYRMTx6D~nY zgtj+f29!e#U*ACk2P2)b0eQvT99laLY=DRV5gO{fF5A5>+Q*wKQ{KLhmGC!9!8a2U z(aC5=#Fg_Ghd$F5MXb)V98zi)Us}Q)6E#KY#S^~1fCiQWt+z9ek)A`2Ir!`FGWQ-A z3VE6@VMaTUa3SRC4#H*YUWmpq z!1vO?7d$VwoT!UYhKnv*YG8ICt2&dskG(dWG(mjl9a`f- zA2$kmx}49xfRKuWAHsqv1jTsQe<@RGMJnTR>&TZS8&Ks?d(A%n4%oU_i|$mGp@k%) zPdvpaR+$vid9(`kZsnUSCB<5=TSG$gmYC9NHyrPsw<(a+#3xSLd`)W;p3h$f&QCU$ z&JOKVPPB^)4%ELo`TF%&nCkPY^JImTcGvUg_3WcI{q*Id7izVz4isv{1XY9J^DS<@ zK=4NZR$vzq4*?9fgSa^1@9iZIWCt3K@f$a44%d=Y+*g=6)6w=m(Zca~oM0$$M(9Ey<%QZyVGdyoAS4zk1H7wd~!K-%d)y)RWdTjRf z_`Evrh|f>kS4ubSH5}WkJDy@3l*4u2wWw6Xt2U&9&QJdit*X$ZQqjMHmQvA6sFV3u zIO5DVV9U*=Yrt25hWh^z#B%l6Uc&M)3b^y_rcK>t5QNXhfc(HpZTiQPw7a?yi1zE) z06roge^XAV3c3T>%mVH$T0LLW0`SN6opXbiv*Vpqyqcnqp+k?=8~qQp?#7oRaU2<< zj)^^o=XV1vpa?bMxm8zWu|kYXbI)}cCd?FpYV^K0BIQb5};m%p-Uf!01 zd&&d@KiEr$X8cx|AgvOd+=zn{gm5$#Xz}q}oGqM|=zk@+#|9?C<`JVzLRaR|G6}?6 zM2u11n8ky})Dgx6EYSXEa#6tIBBBAkOtGtiubU2Gim4GPvhG}>PW80cU)@9NkH;>Q z0@viYb3I6r->)Q~*?IdEkI$mE9TW9S_9XzhTc`|74f#YGaP|cUi9*d5jBRmskGP@Ot z4K9_-2GanM)?$vl4NZP5U(~k!MP~C65Wyd>(nr6SNY@bl1wg5y;M%>Vq0bK*iC9X? zc6q|WQGE>_I+arv_+?@XH|!PBOh@eS^owd_ky5*6e=a7}-eZf1OFbb`mw3a1MB}GC@^=;P#oinDva7Bj!ZC0;nwn4hD zjgn7W@hby1NmMWpiNIW-3otw=Lx{mXrM_{yr{CUghuR{C%=1}0Z=aygZO>F4 zn73zL!VRX^WI2oJ46g6dPXXe4`&IiV+U_}?!!vnHk0m27@mMXdd;;cU59A`NQ&^~i zwaGKZ(c!Ka-J|g_KTvpapD^Y?NRLdljgnNGGZA%F-P&`#vugONFwUcHYPe?ms>mCZ z+7_aB_5kj7#`Grdd+jmiaf08hHqJ+erXoVmP9!tfW5v(JzE(B+oU4Rzri38t6uN)& z$SJP#L{13Y&! zHad^@t(PVt6w_WZSA{#x5-hfrB{fm>#Kdo_7t|X{G@No3Zhsv;mTa>NF9o(6cXEs+ zF|UZ!YX7R%EHIixE!Vu&^*3<3FWCJ4Em^VNyXUZWyMN2*Ds65ebxC=`H?>$FJ_sh2bQrEo0amCMmS6 zGP*#|RK#KHkDu#5y}xHUR@lBdyJ|AM#Hj0ZYdEBf5l$^?p(ARO5YzUlt4~%pWVMa! z6`{D^EBbLrT_B|>gGfPcJwaRVa`ovd3Qx*^^R*$Fush5b#L%|FgaOjfHni9Q+)!j# z3;0~~=T-vN%Pnb3+IJU897iZQOqR9Dv65pbFs?%7IX@|o@akF1 z(#SEt*CVC#PIiUAc=U+$t#>dzDeDUA(Pm56Z=ew&PfgOlbGPe@T#me zA<lksJF#ymp2Pt1j?Q}^L7gkxAvma)#X|tc%{-C& zId##lhOElpS_>*7Yu=@{bbwF%ZDZCOd2$AzZ^wtJns{gmSQ$M|^$FLRr} zW)W$<1^Zqx(@3JV&+Vio+_s)Io{j`8j-D@&1M+alGe(kQjnd7+u^JPg2~rPC{wEZcG~>_N_K* zm71h1s%?(6xQ&>sxXqfZI5LX+7Y6scYG$wj+D!?7sKUdYNkp2lAq`2sGc`;)B9+Xu zwnzAN)vrF<*XHJt1$RK4%nNSwmi`dB9%a2nNXUX+?$BAl1<=djqfi4T&vngE+hIL% z8k(^AWD`#2&_;y*4+R-`WoBx#OfZ|w#4)1s6anW(Vh9mR*|tk0(Wi<&s3bEWAv0C# zgP*ZQatvpgv6aJjLt9rNFY-D&FDw3G?R(YhL%`pDK2)t{QwitY8S9U;ak~TJjw}81 ze2Kov<(Zv66svrHTQ92c@EjP^8)<%f;JdUaJ?Xgac-`Unxwn4nTO*D@Q4f5l9i~!u zfTYk4BJS2ruW5e<(g9JP%E(;VGxEBfU$#$lzjHL*{;iwbdv=2EJ|oMV>sD(SH-BaV zJ!57U|C#~rq|6rB;Ysz74d)7)YBXgzb)syH6>d&42`e+l(8YJ~U#)@ZesPOc>vHVM z*^7#-KK8>nB;*k2ZNYZ*Di`euNB(FxOSEik71nhpI=Vc)rfo$;zM# zgCcM$J)jW2my$@U@K0WZn@K0#3tE+&Q50z95~2h=+#bfHmpG?2dSY{U0qb_%TCojp z#)(@tqzAmFTXPf#I&@m~)d##-T8VUCq?z;pSgEzIFSsKh=Z52H!Bwn5^?Z#T zzu7NrgDmd*5kkYQ(hsK1w#^N2=TYew%%$HUEr`7`PTM_N!v(%OM>a~@{Sf-rPV8-* z*XVbrFMcqG(B-5MA8l{r-XXtLsrUN{G3o zK?|lee}@NDH<46-MP%*`MR6`3t4zY|0_T>`AY+7!fm;V9S zLWW#i%;QB{d?qe28A2NpmWr(K>W!i-Elhg)j65tO6HzLB@&56Aa~nDKnpy0vUsxC7 za0vm~2=6N9HW$)x{;+%wG=vAZCp#xz!aW|VuV4t&|G>f< zg@mB0e}TpA689fVLBH7!3E-cU7DC9^?a@LZ3zuC+YO#GQ4m){8oy-b?o%Gt*`Yidy zA8VcZD{Sa7Kt#$c+^sy6NU0v!C1WN?>UVF-k;`IPl8pOwFYndHDgL8LW?KS+W5c#I zZc^xcVq~{2^!ntm_=HK5g5XL{d7 z9dCaBX4&f=%eVivrYvCr;%K`Uqg(Jr<`)ke9aDd?du+XGpSzHM`nyEot3(bHcLTdA zr&C;Wa$5@2%a^2`g|d;K@q z_M=rV^S;*`?p~W*`CON%tz58%w=`M^O`o;tI~&gR2$yep=|_2MM94ZR&|sJFzNS2x zakAUS{87x(U(x=WQXp_^@reTHG0@qD^a>#K#c&hpmGpG|m8RC`hTI#cm2~gA%3ed> z!|ht8YaJEePW!2zH~+Os>9;&}TOH*Q`jb%^Jf}xl60f4TXwE8pNHzX^5FBMK`DW`Y z=}vLG0x#L(?GN#bLYE(3m0DJcl8UF#v|+->q~_eEzS7`c`b|Q~2%?Zuig>~SdS=-( zQDno0u^rOr`3QBSV|v02X5KssQz}|-YpzrUtYXBZ>OYc;86ine)(2n~Rl=28>hwn^ zeS*>8PP@hmKgF->t}ufG20S=6Tn|O>)J}ZYktytCzUhT7U5!uk$uM5T*#dq0&BHGwXuez(f_(Omfc8+#$5biB2ln z&no7!!V{QOnRLaKUWA9Xw=}Y1qU@$ZO2Ruknm&blkgu^=b11e4EKks>*m0}$xvvbP|{T|p;4Pxad6|+U>q0}IpOb1r;Nqn44kHRMCoSRKYQ2|d? z`u5O-sJT<^yVTo^3Li|UyPiTfIRl%f1LEANJLwGdsc7Bl%|%m4C6aq@c*CdBzn0ee zv$CPNJKdS`v0VRcI)kYVweAOwzLk=0*XD!@236>KUH5J__LPm3-aS-OEi{<#?~d=< z^gl;BI}~BwGdz`RC>~ryojv{#yuC}1V-*2kVS)J)47JAe<}wF}a@~-rbAz6$Or^)1 z@%wzX8A$I{Fr>xPs(>zz!{DQ+?MUS=*TU3%{+to7?AvLWiHG*sa@)ZeXw1f6*&yjd zLN{eZnL8eP^{vMeCf~s92A;_FQTJz%!K;Ry#dhA_$dR|PgIwAlK-`9w>_y9C>q&6wY&(C}=wJhaYrxm&B`%ob?AjnYDQ(ly zq#PYZ8F4;An779c%HjxF4gYEw4AYhyv4e?LyrS@+G6cN<9l9n(oVSOrMmq9k)P^Ig zr{UrEf}8tP|H{{Z;NWPO^?Zb8@%n;6S~(=4j8|%LSL_A7W1}!^98Rz}7ryoFm_wf3YeFheOfye-r9J&2J4MFn2W9?YvB9|4Z^Ylh*ah9z z;p99jz*LzlD}Zu0WeLoAJ^;cO3VL$Fcd8}J@~lfJgXoLbUpaogS+3Jrc(#233@dM`z*c>0K6HeK;;r|rF~-9uTrFr;hUy3pbocfMJx3L zW&iX=+>}CGLxK*43jE9u3$4^U^ttB_Sf?KCt>ej2Z5`$b%KPmExr?^@;GwC6NRMD` z210Azzxqw6V|?44G0XJ3UxWU$AA|_GAr`1ukiC+RTNrBB{&_p#DC@UOAPl!6UD|}b zk|EjgirZ^jj$B^zHtJ1GLB4GjDiuB53|1<*G&;*KDnhtuNWnTMX=pTZ3+uj)nIgd^ zJifn4+o$Ovg!91OL=nkekpi3qxp+}(P`eY=N8`R9LX32enOa|+H+~ZoSbP6|_LABF z;ES~G)}X672#n&usx}%Av}1RZ3;B5xY+7zdn>aenGQ0ch#B$CtW2wT0ll$D;E0{XD z`bl(oXPPME#K+i$rSPb<-EIc+FD`m4(I{|;mcCt8BS=G~IkA5(`hxpC`j=E5^7z+O z7w;g7$ikByL#ICXM|0)&UnFSeCUMWq@sc`BFk0}7R~k?^q5OM3pd6>@)jYQq`PIdYeY z17T|q$>#pp7y0uJ|2qVEz?|lPN*H!%iMT?m)DEDy+%wf9P-x6KVtjSUnaFAKX2kO> z!lgin$tY<=jm=5x=TH`^uV7Xd$QSw;6ppW8W>!M4@)DCNN{voWr})V-$$4>PIdpjm zrl_DzYj2ST6L89MIF@=lJx4|mz$Vy-cZN3N#y;_50hUcF;I7D<*g@~hWS+D@&?YjS z`gVh&Wo{gdO>p7{k@C;YJkdmaK8GHeTz}SeuXj9{`c0SkcB;?`dTdj=`8fGuGBA*a z858?i^T*f-_;Z~*XFU`oCNj$2i%HX`G!FlchZY8y7Hpr5))fwa?1)kimiFCTli&j^ zTne1`OTDC_PR8E;e;hb1LQ|1tSl)(b{7A9R3odx4g*Pg$!XC<-K12Onv3wbn*%{pu zp>|8tr@&VAD@E*Q2K6k)gef~-23;MNOA8ka!#mt28e37o!eHn7r0MUEc4T;~ybmk% zAE_&>wwEJ6e7bK~mLrC)Z#APV!s~0yo31^g+FyrzL}jKJ@I58a4i@bFoDdWS^bB!+aK274yH-@-+S*k=SMxE7OR6v3$RvK7c)1N6kX_KXN4aF&b{)t}Ffuufc+ z{qoUvgAr3liESNAt=swWyP5{N5$0H3?8V3%!ZmP4s)}lf|5hW!b-@Gc|vF=#M-23Ez9Zb_TMI1*I1U6PxXz}wJ4QxbJBT0 zOm^0qi^_Lm`jppdr%l4&ai+L^PO4~*^p;7UaBNPZKe(PC;U0H{B&y)r^oEoYAsDC9axG)4kG)UfdVCOX5cC0IA1 zO1JoAzDqvtn<$6G{Q7|mbp*LcLK`={S`$&@5v|hc!@lX$QP0v64kJj(pHq|(ZYi95 z-YWbCf+)M5D-Be`&XUuXp1~tgC&OLVkJNxa8UF-zduTytG>qTlY4i*|S9J>7 z2p5YhD#jME8=`q^?G)%0?%B90EbU_PWr(4>exLS#`O=gt2~zHhqy{0I??2B^ZvWW( zY71r)yyMAw7tcW;QABQyWt0PtD~eE-8P-C8+>&}yNyMWRw_LkVHV*zJ)}~T!Y)ko} zqUz^mDAh+d5B&Gt(hG+@#G%T_NNhNn9J#l}yuT0L(Tm#VGzBcshVeMFsP}g* z-6unxwNeF41GdzcT~??!JMk))n~E!$DjYiXmQhWJ8GMjEA!^c6n2d-VFr#0fx5j4q zy}k}ba`~78!wC>wwK-$T!X)xv6OH6#4t}FNWo~qRHVy71PS(jUy`6|k!-v1n-jnBU zhIY<*n=a&CO}on!Sd|pZO2_w-6kr%dE7OAYceF@2;!l&i!$AzelOWCaXDc@Weiu}+CNX_K-x1MM zUYa~(3zzsSq_haY*l%qh{61%OqX%(wkghf9%NGe&!e1&8Tqy7Nl@!4_^XZw$(gxEJ zBI_#Xdk)(@!_y>Grl}kd2`MO~K0m#kg zwMTi0fmA}&$OSoNnIGC*<=hSDM07*bC*!fi#L*JXDF47cq7vPY0xDB3`4fzlc&{#x z6<#?{nuy1~`Ve(N@`yE{pWD$-8`8>ixi*Q7BCcRJtOFfMaa>k>MaQN_#VC0vrUtJK zYAWHaEtSPeud3MAMmCe0%%uxSU93)irI>4#hWG`sap?o=9~b*Svy=@DKS#?0(P7(;K)|&(33= zN%7!(at~2ssgGaVc|K&HV9A}=x~=2hP%xr=*0JeH&AX7x#%m_NrOoSp?Ezlk;90T` z+rf|1N4cn;Se5BuYT2jG6Z>SlSlEcTdNLsZ1shI+N?k)rrlp*! zy&lI>LCRjAp(9_BxYVjZkKowyrY}^Oe2$Hz*MGcvAfqq>LLxZ=8x0{5e2KcGXJvUq z1zkzW?iD8L(*hEi7usz5@cM09r1ica8(Z}-X*-12MHK7`JX%GTiPAQKTKL#9#bkk6 zH@7%r`YYCy@2OEobm+56#JO2KJREkmCX4gEXHC%Qp49PhhQVM62IbePL`0FNv)R&_b(ZRKkriU*cIVCHMljN zY9(?leJv^&!ISI+bnUE)_WJ3CzFL~7fpk<;ANzWO{eQan=`kKy>lNL}cbxNx+x6Io zcpN53{(T#%{EnOm)$*nZy1uIVCJ_l`*|N422z{2fZGJuh`)xU}hF-Ef7oZTmVDv+! z-cZCTx`2}oAPhtI{blb=*|ONlCfY5CX*dNQZ2J+lYAgr$jtwh0p<#R!0M2Wl&7JQCMKd z+D>GtE?lh+m-ja-7n)1{Fu?!fd-9UBOu`A%{4SE}y)9xZ5^cRJ77?$>zqo-dEn3!8 z6Izk56fujAmd`(l8WciKt?RmODVm6Uwy$e=OaQP%09amZ>3v1>V%ahAg!l@r$cUOol+`H5Xg*-M z@?ev%6$*R_6K7?;ejcpFRBk&{vPM|0Q~X&M92?s52%$*WS$KB=L_DyXO^s}13l#}f<&TPW8 z>m*-?|4C2tT9(zpL*?khl3KjxrY*ycvc&NprKZhWufwC-I5SxQY**j0YUf!2v3p>F z4K+2AmOyNOMBp!v<@YytXeB{v)jL5yE!)Ry@PNj$Q{`0#7KmW^sgY!=vuLAHAHsGOZf!IBmb(YECgyAQcWB@Nbd7U{U z`WlbWqng%MdIyu!^Y_$iw#887CK&0Si!rB5yf%8aQqkp2njR+ep~^1Mmum}7$^-nz>S`WVjQWr*2oLFZ*<+5;D*er z>vO0)zzi2AQS-3{P5wkfSEIh+j^bN7OURJ1Z?@^J(633^Xl@WNs+b?Eh6 zP0gVyXb$#fAh73qcqAe(XFdYwbm3 z)kGZ=~pX(+yo(l8T%NQHixbW z5gr`VgDQF`E_~={QoP0hVLa^E0gfKesVn-gdC*`O06I~F4i;8+p5i-8LZ}{Xt%Fap ziB#s(Zpkm0qM`X4mt1=IpFBHAUTYNJ1`9aR_OU1=r($n<={ze(ZIo3e_JKlZ`mj_+3#uK2%}fT& z*F2|_ym5dLg-Pa7^@ES!z9>su$YMaNJHy&$iBBP?9A~ClG(%2FY#|_{+JHoT?Gu)i zC&qz}g%YLqjU_u!N-gRT!Sbuw%g0?Sg{r7ialQ#F|B5y!PYI|`hI%Ar-e$~{0JOAc zAX*w1r}EeUClTDRC7KA4#X8I`7H4Rw)<^~umD?ql$<&bU>JCU#{OMLqLwLirL27V&LerEv86j5HFj1BxGg8OcRHdDmK89Zh-IGT;}Ln@k4Ir6DQsmU(t$gH0h zkPnpj5&?i^kWSXHOI3bt4HG6ZbnIConV%*R zHbQ*gm6Wo4tADYJ3wK6e#x9@o@GfIae_4YZW;C=Cg{kQM%4~Lp6Q|$UzzLC-u?zQy zFXbOX;y-^ypE_BYQA+0ayZiG<<12+HeeP|8hp1NEN(BeI07w<0xUWNqR4_(F^Vo1k zVVS8_JL5O&60tCbh2>kkHe*3^NbXoEdGAwYEWV#@9aCZEaH2)fuaOC6oZYFrYXixe zh~y1EA7q1}rek(tor8o#14IxWx z54FQdKe{tv)sK0rYdq4b^Z7z7N8DW2u{JBdUmMh)(zp1QLD^GX(z6&Q#nQt|6x=28MG$-)M#?#E$J;KIQ(jPwm56{Gr5 z)B1#ZZ-N<%&*0wMlgh7-F^P*Xt9uW*T&gi;(BdOborC->yU7~U&aYJe5;M4g#&vE(*EyDSA{y$!aiA^$gzrU?0_t@vO?-3R^5`;G|bDX|fM9OTEOL z#6uiZ5J8p((2H zl9Y%>aR55|Elc%=I)3h~Lf)*xtR1|BhYTEtZ(Dyl*Pl|sFC)ZJO?vw#jdh!-Q%yZKu##2pb%eE!yk$ZDkD9Kp z$|vPwc)BZ<^~~|^bjTi@7N<19kU*tBd{s8nD@W#QyJ=OkdrSk-$B>ob-G0&67boHJ zGX4DnNmBtf5>`R3p+%-&I41eozZY;%|Gb3_miYLi;@EUP*k)t4cfhpxoO?U{)HSCt z{nRd}FyquW$0YqUugbz*dOrJ9R!7&?t6BO~tzrOVdOU8*EpXjw@=B<=~Q_8KeVyFBQ6=~CFP8)vJS+v)pO6T8_@@{;kp{w|8fMXGDn4 zr|%YxIR#jM9Cc z&A=M2An8>OkMA+uWpe2?6dIMj(mCT3|A(t@jIQK)8s3euv2AT^I~&`!H@3}?0#&cY~F&7zC)Muk|y~CbSCp~ z!Oh9Tu6&dnQAF#?1BPsroWV@f$^%dil(+&V78RoCt2+MDy|Q@)mQD|(o;2Lfml?}E zgj%FkXZdB9i>0m03GS)npDr^=yLg(;B5|jjG%THHG)cQ?{oV(qBx?UlJ*Yi`$r-pX z&YD%T{|^$ddIAyHKkH$%N3wYh{KwZ-8-%*|A01@2FstGz%+uf|7%?<;fBw@kD*-bC zRBHxt*8idKAp5In>?5VPRZokNpZ_ERyVXyZnr=W>-=-R*9*rfftBPH1z?h6ZUn@6; znhjkDq@KJp4m?s0Wd7Luj_%=`opGXD^sp5hx#SkbP??0dS=V79^U6*S-oH!KkbPgF!j+fV&Kb@$Vs=hC?if9+{Ge{g9qXtSYogmihcQe_C^PF2c!)h> z7L`dJu4)+NRT|-N*6=H_2jeQ?z1kt}z&_NQ+<$6|>?Qb=a5N9$N%GUCP2$d?pFdH7 z8v*`!6ev_CXO>IV4Mr5p4G-yIOn0*oJ_yIq955{m&zBdB=^+43f8&Fwy~9Wo@Sz)6 zmfolt&{yRYm<10>-ARjOXrS}+Uw4aYfUj-EHwBmyF#MAgIEQ5QpAMs%G^q5L`G>;w z-{={~AQ`L@Q#lX8(%7WNL9Jynix2hM|55y!eb=Bv-kVSkl&Q{_D$(6hrnTgu!)jw| zuw-Gt%x~}1-AUx1`Jq84gysyYE*D0jS|N1XM1KLM&!8+I_~X}~W77i3+ol-MsmvE5 z^n=;o8I&jVc2Ec3!-+=+Q3oeN@>ioM{@|eM7N(o^QvA`P&<)Zs1r^LM@t;=TqI$f zUvFw@hx9NzPVu0@DD_?elvPPvHXcHnrlujk3Hyto%Faj2b<%7xMJ4mUGVcL&(RtOp z?5aOAAj8g6&a@wiST=-OK9sdb_$+U7@^Z?CyGf^I*=!jfLFK}Cm5XFqyTionT9qlJ z$a!@9iA#1@WcF|yh5si1bK(Pe@)iG!f!CEPp%_$@JW5WFPVIA3Hm06OO zLCvFUPD&MJ$oRNx6HXO}p+E2eecEe_y@JFMO##5s#IPX>gxR@uimb#i%hl^73Iui# z_`p%z+N@`^m^pHCUQ&iOqIdEizc3A#y-<&ojgQW^9wz(p2-Dnms;TUFR+as`N6DVP zmrqtO7+EP(Nn#P~`Nuf+9?D62(o{TQps2804AGp5w*lEfGvBjoLH-NFr-6!mrJ=J% zDT8BylBY=4pzdIFzRjSnXZtcYHg^bN>e0ccBR|h3=D2wi*zdUfx3z4ta`W&1TqAhe zP4b5y9^1IP__Y| zLF$75`DUvC!SZ^AUgp|4+fSdd;S%dSC-gRI{_$qULS5#SZoV-TGM})G5`5-93k2OU z6j8r8Gq_X6texe0J-LSU%{%ki-1+I(&4r)L`R2Cq-FJ z#6Pg(!puh8jRSbCcuTLTKpa9$!&|YqVcCi!q@E@gjo`QOs`D#vX z6<%8NuaDgAQ7Cf9FLI2$Sao`Ff1wvJS2T&CiNM>|*)Oj=w7WWqcQ(rZ_^k*Wtq)fg zW~~wFN9K~32FJO}IN1#z>nfv%dqd{-cY)<$PGuP4{h{ItWJk0ZEJ?^!8h1X9%#m}JD)NgwghS=O6G9fk)tPR?HR^Z{XLA7Z@2uW%ssECLU-_-LF|V8`&> zm`UT-I_FKMaDNPf&L&A%nabus*yVF^!nSZe?+Ozmj*J_!9aj2Hrg&t6KnOFRwK+Vd z0P2ad+eL3rqTw*1>}oxpIdL4F7qAZ(GNMj=aS7~fHsUlG84^fVM836c(njhzcu9G_({n$+%dC6{prI$6Vjr zDVgrhcDC4|M=ooI4RIZxL9iwoEe3~E6ce1yhs1UQ52Zqxd70F22k4S{qy=C`b8>m4 zQt7t%SXDhI==0E;bB%Kr3aF_9)roZvk!c|~1w)ferw2t$UdSr9D#Q2l7InH*yVaN( zPB|gxRee;gHlwR*+_IVX30AtLZa4~HOTqmfM|?p0TZo$tc7N^RE7;PD+=#qtCmI0N z9%mK)7%NPvE;C=S;TT5$BGjN)Ze!U!dD4yELm!zPevrJWO<&gJh}v3+1^>ahjR?a% z>wj0@Z|?W{LpYY54e2x(Es6(|KVT|PWR9g1a|nHHT!_T8c@Tf z{e#jjhCBMwc8@Z5$Mw2D#hlnz;pH0KKEPb_%VxXIMpq3-%UU2lh68*l=$& zzJCREppiMeo+5&Zg>wItI9CWen&@XZ5&SKUh2oIILeYjr`_hg*$-s6y6OBK7AdN(H zTj^JV`5EJhYiRil7`0MVbGG9R0CixI3tsHg7*v&k@rJM1FfS6e=kYU2ZJ) zxk7nmd+CeO5ig(=AQWJk(;Y$dW43X^)(OJS|8Fw=fRr0zh49}dwgb_GKJW*!Dku4H zKDEDfft*oXL!RsZY;{}E{s7Pk%>WVtj|_@#p|Y#`9k)dsr8XK9pg;EbF~e!##zeCe zpLy>aXiPr@+3eo~a%nVvXyAP&2Ge-Qhak7)P4>~!;=+kaeSU&-(v8RK@q7C{z1Qdw zQDcWDnuPAuO2u!X;H(1Oos(j=SGYp0DE0qy9x{Q>+j$wfwR069K&s^^6S!XOv_IN$ zhIU_*vm59e4L5aW9bNqBTEE&pEBRSB3j2p+)0X4sTZP3d-*h2EvC*K|xg(~mYim4m zRev`>fm>Fqj`V^~p24-ovkDEqCJ96s9#m6MzUqy@x7nC(!{jZ3ufKWnAlVGH+cu$H z+8eOyvcp#x*7U8+B*P{HV<@Nrydj8I`t6L3A!({$Vi#mFdYs6WTJh114)QUXp&Rz{ zX5b6_ypUFu=gSmRKWplsUvOM&Dm!#jh_D@KW|gG2#JCE=$0t2;8*wEKvV$hj)2up> zTsiwCwuJBh8wL3t9J{9k<6dK{<#U&~#CcvLPDFv~PG4+nzn^~`SJM2(^ z(V^FHgxqY5qvgz{k^(b^KV^*q>WtM8)bXwj4hp_p`SG)NQa}LOawSqdDrrL@mjw(2 z9?>4JSe?0zPrAjw=^9SPqT}D{!+L;1NlG>4tfe@ra*449X|Z&L74Pdb{+}9T5;f^XjQ2J2N@5O=cJ3TR zXzAOn{~V`6mulYbo45((FWtWIRWX+$BP79Rgq`0HErlEg3avtcETm&iiSJdSRGg#T zYc>XUOA5mc7An09W16U7I8~-|;X0Zpj7xM6M4f_Skmz+T-)H`FRPns3gtcEcg29wA zyOtRECib(4(Ji7mz)|AW&e=kcg7x#z>g~e8tC??>*AETn&%xkY|E62>|J-8U1#Si# zHn}>tL&5|I#&1UP-|?gQr=+OYZ{P}t_tZ#_u)5BkI&AwS?chQZ5HVoL0)HZlkEszy zlUED1?ZxsJ-bSpE{C(%6wCu7%(+B@uOYm?9V*Pt_t>88yHEw7LET97eu`btIuT#s8 zb-W04uXb~n9_BLoqMaV3bvc@10l>@qw5*hi*ZrHv6=Crgg4rJSeK;ztLT<9O#fpNv zP(Z+Z<)Ug@iq&vPN)y&a@3|N#$`P{uwRU87U_6>SpU(8K^*f5A(18cyC`81_DJ3~l zdH5(d8znq-`7H8MZeGfO2$$$E{x`^qH7&Q0{MTnd8H_Mc{_~aA%bxx%L2;GFNr!UR zDKs-9HF(^%@$WprM$&Mjze)PkU!L;%)aVfJ!{7WZTpS?+65|8kC~=X9t?r$W`K~-w z2wNm773Etc zUe<^uPL#SiMsU26Yhx;GmeD9RJ`vIMN|={&JlOs+PY zMrR&?{sm@_aFF2J#xQ0GQ#@}HWHHlZ^8Z3`H(0<*U;N>a$5qVj2Ht)<=a92~^zjz< zD}ed+-JE=@!eF+(*9yqe9onUtLhN>FAZGO0sXKd2PYokWHJaexZmq$n;Xb~}`(vg7vS zG>ao@Aqj4Qe)=!aD0>&p3)KhH3x6&QL-BG16)_CX_)xvt`UF@RCRe>sfh zxfu4_2YM_%JFH_xzHA|wY(2iC_;{!6*JS?ET3Sgp`#+Uu%8DB(5|WE0Vlj9(FoET3 z_}DBhcJh2@`9tX)iK4TuGLlkQ{JbKS3=}!JD<*60LCQcI;e*k#4s=zbJCAS5bLS9| z>20;dYF&x?#|94urTqlZSKm*UPqNgf)z?2-Y^PSC@5$BBCH)jA48mm8z2Lk)RLSsN06Q+K|X!@V(S24*~ctoPIV%KD4X;^^^h z5b!wjWfR82ol;KEs(>LTM`%20RCk}TY2Pn8wj-MQaiapGbGL2=A0I!pay}-R>Gb}# zPUj9LMz~EcFZ6$nCz$G+9xuThYUC4)WE~+;ObQ~)lygyg#Q>#*^?nQnW@ooWDin?^5 z-|t3lJNXP^OuoX5T*I@BaE|~j9b$Liy2|i-x3BCm!&U?+kV4m`g9)K23*L8CgTkw9 zg+>brd+jd8l)ZC>ag3p?xWtz8!LmysW=jAcWN#_CxT=QFvwIdh^4?I|mc*dqWpmtM z>tdSEiHM6wJ+lQxZpl}Lqt)zIuGYdl>*%HVs>rSph04ZZ$wpko3LTTEBJ-JvfjmS1 zwFKom{<`a8m*>WcTa;tq%T+Dzpx5sTzKm}-ZjnLH4%Eo%sA;EDXX2z~0@RtC;gqYn z8K>r?o7pbPPd2bNUi_uyJuVQPqWf$nK=5Bg*9#HMI%W&%7)?BfO@B~@8f#=PI{9wP zC)ICp^-ksWO*&S-i66V$XL#)(+dvzw9O5n-NOA%C3H z(|TZ>9PoI27AqQ&2+=tf(%)FXCw#OJmyA9yCfL?S-f-9OjY0^NKI+y~%qRHGM%au> zPi5i=5kvcYs6}+`{d6)la<5Ouw?o&T(vF zQCJFU=$`XF|D8z4mGEHj^?vK15LskV9}y!_0mZESELI8xhlPaxpyd)Eap9sTAfm_* zra7U6%F%LE;VkOJLh>bwIA4(Pk?Z;&*6mIwGmOsO0$GJ zR*Cx&Bo^84P#%$QUNR;L5k!9$vO{e`9{nm&i?S=5y zz1F)5AIZGkcd1v@<2x6CLn|`bH9})Ye2aeMcFj|9K#d=T=uB#Ho@?!Dapl61<|YCH zD)jeebdcRYkyH3)!^Zx2?D*c7Cm1cSx=_O1UE+d%>)@x^wCe9Tx^n?H0+B+`#0rvZ zvUs96G39Q57n{&0QdBV}H1}G&sNx+216W+Jg>X1L!u?Rc+b&e}&R;mGB zjUq13ZrhZn#8lYtnu!0{JTY3aFNITZvClcJmvGGwmU1xB+`N0hOZVCdHW^;-zPWSX>Z{#0*K`MdK5Jw}(tXM76tA6KY~!tGXmhp3Rj+ z3TRd}*Pk-~5^#^mSM}MItv+X4V9A<~5;EVu3=jHb&%!q|l^tn-jMqe7C(imq$NMys ze_uQj(Uik>kySo0i)7DQeUx!aB9R8lPT>pCk;L%U>g_|#k#b4;+bHgOKWwPYPf;f3 zjJ>8MkM7d*k3Fo@NFBeCkj4b`4iIj-YzdvQ;Geru%}o}d-79HP9W#H!Yl2(%EH2mN zj>`r+PEgt5bArp3kavvFP`RKi?ke?6o!K;hxd?RQfjY-Vj{O6>t9ITf%4r9Ul$1~6 zB0Xd14U3ySr1-`}@MGkE*8#NYg1!A+rXyVquA^F1RRLNoW9sqbX#u~BM~?}voRZRq z9gS`C?Ua}w_k#c1>&Tnls@l};r@9-v138B7ZDpDNKsqPdK=bAwTbo~sDb|okTdH#X zZxDMOrO`0gTMJiPkXmP?IAYZ^*jqioT;-k*p;L!mS=-b#iw(Ir43;}a2+ElG%i_W8 z3AHIegXr-MriELIbCaW`6_<3pU=XcbXPw1Gm?iY1Abj%KBrYaHXkUL# zd0Q%Kb6WThO(A}<%Z${wfP2AJY~VB6Yc%?AZoLo@IuC!x%57`@|nGqffrDa=Sm!Puv zn8O@P*V&R^nBuPxXPKr9X)?zet3D&v6M18Lxq4CRHl$@&rIt#rNLd@qb47O$xvD3|u}Ozc(MKd*9gWb$vzzw0o9}JNUL>S(^_0a#=0H?B8XaH3-pwqaJ`L*2jIIwF=UP%?^ECa~kN_nN7#mVYOqe)CFPx!v%rdi=ba z4w)q1N9p$yuk%iIz@F$gX`qia8m7?OtNGLAp5*4Itkt}N16u$jRnaG#cBG<9Z8$R= z|Gs3@_Apc;$k0{qqdO6DN^$W3IxI+cd+!x>xv0%=5Xevy$4EEUXRhpqaQ;>oo>&DE(v1zBC6K4CF#KTd60I`=`Qz?( zu`Zi%Df-2%I*rF%8&9UDcTKZ=b)1vc`+h#{_>V$^vsdFUBSc(^N!R8y#h*NvyR2Mf zOY;64fW=j?=Y>Yy%S$lc$gBh4@^~IBPMx~>mA%s+S~nh=4Y`HO-x#A=aCks@({zn~ zQDSb@V9|$SWqI~&E%zW%jw+E=6iu22IMy%}08|q@Bf$OJHe1@__ECUXf{!;?Bmey( ze@Qx~8{E6D#EKKj1|H>5DynnAX^;t0MrH)Bd`>fPJc4@aP5Ms=AWLD|4?{XR5zPzY zF*^G}OU!WlC;1QXLU+JJ?Bc|la-YaMVbP7e7uvWi@*&&WhVH$pR?eTwry|07n$o9@ zvjU6B7{&&)4H&fLHrsuwg|j9>Uhk!i-9{%Z*EISAFu-&hH>r*Jh$5v#b%7B|+TyBr zuMI%t^4kq%REihwdtoxNj)+e9T}3E4?nJ`m6TY`R#q0px#)+cy#436ts>1IaNn8lL z3v@RMZW8*;z%jVN#Ja%byM+rlxb@6Jen%ngtiYq1f-T>Pr&?_y85DIu+c`XsbjsCh8>39qIM6nxrA0!yv~8clJHBP01Oso>!SV!TKRHe*+7#rvS+G z?u8#_kcsndGpp~GS-hc-?Z)=D`@4*{G+T`c&$q20njon~;xD2_72Yp}z!T!UpULJM zKY}V;ZZvLo0tm;cz)O-4zkW<}Wd*m)MBMR|*3}9m__Eq;KY5*6gePBv>{GqmXf>lQ zzt1U)dIn@y`kuu&Yn9Au|B1w@5oRu#STsUJdwYjL_-^Ru70?Xwh>maPX^T*hVfeiv zn2*4yuX3FB_$i2IquNYrSx<2GRqU)){qxO7RrCj67!2c$#rjk_yCeW_i5W6-@_UqX zT^*uS!JgC|F6zz#_t=bGH!9CDJyJWC-6%{&fogKgBVB?DVbx#*EH)KI8jJb;T=0M> zs*N8voBasyB%|}7>8XxSir_Ev36Vak+&EonV&7nh*>@{TO%H8nk}h^~bMrFJ29AvK zA)Q9h(mM*lDcg(IY>aqNf-lFH@cM9IV?H-F=Ro>^mlcc8LdUB24`?s@Sr#wxh(Kb{qSD-Yh zCw{Wuf6ucZrcoTqKT)2HUe)Isnm4`kyv(l;%lf1BSyCZ5Y|``CN3_J=YigO%wFq|B zXegA7Vdcw3;p>xt>mrKw=$Y#y62DsDF*O5M+QN&X#>MXW+#Rz#AotL*aIFWTmmQR#r>Saef zMNA>bItQ|QHBf&;F}w((v%=*dO}GvenrSWILTM#n0KDtu#w)QCGEa%*IgH9*x$HCv z{tTwt?|?baRm3uU51 ze*KF5KNKST20b1ihr<0FjbU7QBgegfO$`qudETSWu@78e5$iP!^b{eK$$4O(){cG7 z+38Y$#JMB3qgAZOMuk2Supbr4=iyjZ;Pjr~>|fr(YL0gZyK;#m;w6EkKsX}dDd!Wi z!q2NY04pRt`jnZVXx!Jo&KZP-JWuE#VVXTv#-;+7hbPdZ@?NFt~bJN{%=d6S&3xb&eQ90b&JV8PPil}SZkCb&=3PLbJ9>2%&1a|NNsNayP`!u-PJ za?#Ye9)id52JDZTbn4=E zT`rpToUZ+h`#Rh1eB#Ypu=jr^BlgqW8 z{gM#JcQ_s=_^|>Y@_#ZB{J28$UB~i$wD4WB@b!GUZWK7?{~Blf;QTu3>bd0aaRbUJ zbbGaG-PiUDzVF0+El3C|3Hs#wJ%if&__KN*@AiYJEI}l`?7v_6ng{>uTZ+s`_=f$jSHeQ_hLMAI)O9r|6Y2TU-2EmvgblOP2ore7fSZs>;4o z*TLnZwYJh<&)P8Q&*x;-Sq~$+t$4)=qpsSQO2r8&#>Y9RXD?v0LbnK(?^j!?u?ho6 z-ZD``s@2HC%r&#f1HSh^LtBjcE0uJ!1|X1j@N-j zUi|uB1!rHL`|DKKx(bzFZ*umvyp4n!U(1Wjf}Yx)UGSMT{`s%|wV71gx^zzoNIr#l zvmiM(;nv3qupKfih2*7{h( z+ad}Z)L9ht?0>`S_Sg8B=j`+!c=9&@R@r;_*L^;zeqBs12p+HZRJ|VCyS*W7f95Rq z_%yC#`MkNSe(g0LD~J!SvvYK8srJ0D8r&A+mTv+CA8y0r+;Bf`B(@C{w%b2n&Ir=3 zhW7HxKkH=N^{?VIkPJQ#WMn(CaQ*6DKN&sl+KEnaT~0dTmY)t6kqiVq=U|_%sDi56 ztNetLPoZvz$_3s-6}Dt}cJ4o}w(rjw%eOEFJ1jI7p&=LA#~G^x$n)jHYHR$&g!Gbn z3SSGR{qF$KCr3HwwH1DB5;^rBNsX6c~t_LWO_P&_CPdl7XRbdI90(^vYdDW z%@5O95GyS`8+I=Kea)#(G@VdGV4&+uC(&WU;bKQ?=x_3v3!1}py%7JB{%PS{-o~zdd3W#sI{*WbOxql zD%5H$PGeP07)M;!d}dQ=TeJ)6en`b#+FbfB8a%8_4drS0FPZRe=2Z6RTDxH8(N*>A zEY8OyVlC$RtMWIVUsrt26-iIk_Vm2cmL!Ura<%)Ff36it%h!H&o!N6$m-FKBsrzr5 z@bYe}_w3YdqSYWR8u%vvXgqhXG;leq;8pPlyk>=Z&8->weRwVkKAfHY-Rpiei@W^0 zrv`kIQNRIv7zG}ye~na?;juAR_2^Vu#JhR<>V0%cq-5)Y9?Ki|lN<2)ewN0!+M(oJ z^Vu@&hPkf(m4B1GU~qp98clUot>@ig$H80U;ApGHmuo>s@ysa@^y2kY>YT&|8a;b- zlss?mt7jTS!1z_5ca{Gce{thtUd3nRef-pff90zM;;PoMg2vaL66!>)p!!Fqirg~0 zp!UaGsG8D|kbmcES{w}*;L{RF#8Jj*5M^Kexj($X((@U4Cg@~+3N;v~ z`n9{5MAcIrjw;ZrWL6NjoQlV=uqC%uUj=Bz5cHJR*#dK zZ<>UA-0Qf;qPzcT5@YfMv^e?vaMj^5|BJM#DRgwr*MVAd%g>X{=Y+E*W1ftjZf#E% zSFbl2jYasltAqbo@&3L%-erEX}gM0Cg-VSaSG6wbT)0EW}o5i=H;cm`NGSP z!SlJK2He)qNO4I8zEv(8JRQ&|GZ{-P`~T(1WOw0sNLk!cwz7ycP$TG`)6sXi`^u;~ z+dAZ(d|$1qbbYz%^?$AOI<2Vje$DHs)X!jXxBmE8T&G;rx=T~t#zJVHpAu}Z>}J<@ zT@dW5?55Xu-TOFG176-%q8;VwsWq2`#lEgt+c4_^djOdpN7Nh6{2)Wvf# zI+y6a8%;YCaWucci1d$pag^L*X!CN|OT z_5XK_r`-t>?tD+0vhZtC&A%F2RXB+HK=Oas&pQE2$$L+v%KJ*NsVBMt@eK-xIm%r@ z<&UO+71n+JO|Kb@eop*53&9YeF%643p855G3T^b?Df>W^S{E_t6&5syC7e76cZq60 zEfE#S-jf!Irj5>sf{V?O_x{OZR+?{_WVNQJQ^({@pfjr(wCMTms(Nid6r7=1pSQ-n zQM&vCx-x7|;&1EwcFDM-N$B|o%PhN;KgTfe7n0@LE3Z%COV!J~oSpstk!s&vFkb>1 z4a2_-we7unJ!HY!?nM(o{B7y0TU^de!ODmUudAj-WnrgM4B|!Um?)Bfyw(pznE63{aweJxv7gU}vHNrhrna42U4UPC2 zPBx(RCdp61HHl1bGEd{9_nb<>tN6SJ#N(;KkD3ZTb8zbNKOU|}0ik@p#v>iLfom-pPW z7R6u7kLzdc1_2z6J!Napke0MQDz@;D9jSs<-K~m-(!7247;r9?Yw7@zK=~0pS8DW= zha2@~Ms)0X^EbT$p|Uk!*9Z6+{mTL`gMxqAErZjcVDM{~{1|X-O&D)(1>dfjT0+58 z)=WDY(N8j8d>5ZE;AAcFp3DmVrMnp#V8GqP?)~b#0hjV3fm~~XRww6-frD#p7WFQGP5|%x5iQY z2*+h7{o{Y>{EZx}2t`_j6|kw6&2bJJ9{T*aes;QXB`&-7`qDb~-G*avzWz|3q3gj& z=1{&8KK48wB&h@!H()kkFcHFxw6VF|yUU+7n9yj^{#FlGuf($EjgxNb!j}~Nq#|yw z6jJtsOzXkS-VxBUNZKuXT3vd+6C{~tNlCFT*5)hKB4ceHlAeh}Nj7OZf}P$@WiCz1 zM`JGCeSz8v&I&npljlIB7_-L9D8q3{5gG^cQZv?4{TLQe(bZJm6csb1k7!aPz9zB$ zt6_TRWK$cwjI?9mgOxe+t&D8#=yMf&^5ZnZt<9f7+}S@&;Qoa3)Y;(y&_9%ac_&+3 z<62?6E?yfln97=afb&jv6sf+{b;ePnB7aD-{yIP%qyaPSu zDUuVBY=zM)LU9@c#g#1xiaBP780Yj6!EqQR5canB_Jtl>^nLn9f4!>mSKJs_S%Ydp z)jg?_{#<^ZqUt^`!`q>m@$hhrQY>BXSHJ!I`dvbEsgCfk*i$v`^)@whs%YlgG5hVo zLG^8|lAW;hyM+|?Uh6;AQB->smZDPfEm|%+(b!@CnBvu7SIql?CSlx>{YUC0U>d1e z2u?GG3Tb;%46!)d)kPVlrrYEi(Mw$! zX)VbR-qBSctg|>q!GlgND@Gf_DNWAn!ikPF`7SJ2Myd=iL2iq+49jO)@vn+h&PlH^ zY&(T#>hIsbtukKMEtzx4oR>yHMyz2 z!@gFuFI>#C*? zQ-nh5s<(yE!lgN?EnK#>Q5Fk0p$;5<8kGT$%p|svebc?Q44MaLE z@}b3fk}KU1ZxuvhmBdIYq&5}efoMx2e~8PDv09-hj)d3uYK06_awJdO2(tG6xYf6W z{7$%l#7@jun_k1cWE?Fl4q=fIA!J$X2mI*Bo-7G8uY~_Ne0jOIANtiLz#( zoUUew8h#l&{f2TGXMaV`Z&I^^>(}pH&3wGpQxz`UrsY2f!(v28sGD(eT1eB%pWr^3 ziuzktPNx(3y6#+IOte?1yKvh`fLMAk934w)eB1>^sK_gA(@u@;okAE`W(i^i`oK`g zAp9w`14rRl>>t=?LK*kLdE)CniT!LHQjcH^e*iq5&sGnRT77IRQXvIZ@yyB~{ZaVz zUhVrXEcoq<7>++8=6=sk@HO`#(@&rC93D3q(+~{2&x683PYOVLMw0g;tawG`;~#^> zHClbbQ;b>#95e~>Y~q$}Ioy2-C<3*ostNVox*~Y{ty5M(S-hm{XraJ04K@!KWM%%I zsN7aRa#%rYl~;B7qM`(+50Gg1)T8)7!HVoOPW+jSnbcY7d0hUPmgKd=B#~vCO7s~` z={ZX@%B5IOs_<$9-D8!kVe?eVtfYccdyZHvG*+(T*+QfbXF|TiA-`!#L0VA3I_*_F zHoqvDp&Pk$>{A8c?2vzHO>Ltg{!kDwZ@X&McA{2%Y8=3yr9!ew8;fotm{6S>nH(os zb(fIsCM0|(`7HUJKj_9@I03V`*?*>&S=jB--~|Ri9Et|$Wzf&T5=H<)i~5c(miAr7IGMlH{N-!BuTzQ_Kx|@(B}_R)Vk5vpB^w&!IZ+xnM`HG;PJw zfWc+If(S;sHX*z2SfbI*C_{L_q0`~;@MfIDk(3qNlzaM~dofTj$92f%%xKwg3oB_? zpK$6(`?Vi>gM%pVIpua59Z^zmef+>>(~-yFcPVAqtULOP@5*6y9TRg*$PvBq zthNs?C z{y=V!r*{HF7#omKNMLy9qVnhh3Uukbp)ez zwLg%9q|p9ORpE>*k?g&{$0-xj3w&KU?d)Cy`;m`Ua!jqdlxZX=Y_8bbS=<@FLUsb` zaWTUXb453q#(;(2C3}64#o+uqbBoPMe3$}0lkmhf1*X3bNDrvwGheB;>efUUx=zv*%Js~7emSSVcO}}iO$nOBi%qjS;2|)u__=@WVZ!|;me_f&c{E^W+MJdwq+*j+2jU# zIuTn}CmTiQ&>-o*(T!%Ujy?6xdb}e8h8oeg3iZqyO|5mKt%AQg2N2OUxUhcX9to%p zdf{7e)|DZo6MZM&zho-8Sy1Hwnq`eVol?*zj-b~FlpbQ@ozBP)>QufZn?#ewkl}OR zytsP;?r-Vr@Da6AqR70TU4ZrY1-r}&$OW!mm7&^Gy8G6me?Clv_>;W0n0klw`B5#q zI&fW@H>FxH7DVxdlMJW+v~+xT)9j6ciu1_AhshTuh_3TRf)UnSP36|{_GmUtasH-# z$8jz8$~;7!DQO5d`kiV%6s!oqgJUR?0fw0Th}=<1vQJ0 zRyC#gNEa!|O@7?VUHwZEKP@|}F$HGiN3@qZF13q`Qjg!c@syIdbobM= zVh~Q6KAo+U;=1l@+PEr3%w{rv$gt1*o4moK9)sD8l!X} zG@wULssRQO&oujnMIyRtX`i>QlwAnsU+Z_CNh6DPl4-)wd0C$muT(Q?aPLlys&I=y zM0Qd3^3tGgmEzDTS=+>H6(f@Ic7{OIj) zczTIs!qAPvb@)TjTTAb6jvte|(XZ)X`7%&T8sX3v0j!9f^(WK~!=i{bW(r^Nwnz0b zChzM(@@jY*re>;R>u;qFDGw`Adh8y*x+`qp4E2Z|GFLXS3ln_!L(=cR?}w{T<|>3a zyH(~YzTApTiEL7@zeTDA%0E|)i2Ww^+Trm}igwU6Ae^tiUo^RjUKC%gFCvvPnEv}| zkNsT9Vtga`#XZ}fJ_LdAIfsa9gHTWH%DKT~RZ49zYt31M@H?ydJz=cYV&K{DhIwpY zVoQ)pNE3V)#cmFwk2ray3%z3 zC-~Q}JDg_NiqbbcffJng9>nHJ;zZaK(YLaLOS<2ZK-q72+7C`*!Y%oXbmGeBpUK%> zTci?lnibdnqCf3K{qhu0ZN=MG9oqWyN7&>>BfQUVH!_7&WE->}xVf&3YpkOlyxS?Kj~Z+Of|ag?_Mgl7Pw?H2BEFZ!%vx^|BdQ7|@@O`Q zMM;&XOQa(lZPP`h_XMDKp-%P#BlvXtQC(K>AD2DFw)9S3<-iY7&-#gpE;$9POE@;( z^2YyQZ1dBX-RJEIIJHZinO|4zUe$*b{_FK37E@3}#r+pMqsQ0d&l87LlQR90=|)vR z3GwTx4miO48;T`iZ|KJUV=14xoK#Oibcx-6zBbw?2oVvBwuJUviT(-WYryFwxPP-a zQ1yoM2d0m|3plQ$f*rqppgcdMDZ^I#ktJ9>C))_8Ddbs!&&x)Nyo5pwYQc{|ed6au z`qSF!QbD(CM*D+6vS(L5G0&O9;4_J!1crj%0g>j|&VVaf*6S^YT%GmePQXCrE)5-Q zn+QZ}GW8**VPu#;R!e#Tk@^u3AX!ZtCtwd9C7`;jS!TS zgI|LgzBFcRnCIAIeSmFN85Z$hO)x2z36Sh5@yP+R)K)suR4{D270)sM_S1o%8jJAR zorp>)`u&C|YpF|b^n@(yO4MP#T!Jk(Sw0g+W5Dl`US5?-Dewkr5FK^pbi&? z+HMBDf8gbvRmoCMPLw$K!rta3uPPYtq}H(NdkJ2vka(9Buq$;J69C^lT6^{Y_3e_V zu-|EBdIYL6V5gW?fCgOv{8C_v;Zp!oDJ_z0Du`8A1F9(H;OTqhD0glz1^XwT3tbUZ z<0&O?T&u{)?}3Hc$ffWS@fGCM&87gMqwAt-PqJ zPc$o+2p0KF&ubO;M0NsWGZ09 zPD2{wIy=r|=^g?v&6&WzwTVz@x)Qs3_7rx{Z~Cz*w4!54MDkX*<-L{c=`Uhh3`Zpp zQ(f|ngBB_f@x~eS9B!E?Y9zC@~*=3TO2iV0q^vBk!8s< z=Uwp0imRvN6Fm#0yhk%xcGUvv0{FY{j2vAao^n<#AMwTtnwr?ATRF@A+^$Dk&hm~O zYaj55i0%O@<`f~&OYrmgJx@{($&5}ZmPPF~q2}OSL8EClW^B7tKe-zgHpQ5d4sV;U z6uiuRaU(fA!H{h;>-yvkZvJk%mdTh1ov4D5I2LFltq-c+Ulta=M^&(oo;e%bH2Rsn zFaGA>KIA<->KHI-Jw)v6`-J;MawmaWj5(PKlFqO}!xCIKaSFN5+9{OnRRELVh`c-4 zph?0(;6{Cq6aE{}R}DSRoh(qL_149#s$n>%?_kl}?Wq4z2a?q$8|UJfjXT=*+YK|J z>>j3=?&5}i8gbZIXc{^uE0mHehaW&9;WKToRZpt+1dz(lp*yUAoo{jt;dE%?iva$) z;i}B5doX}uzH24DpW4OS)Xyo>NOq*#S?*^yXO}@=n9|_@ekc^$N0@EZ`us8_@p;C(%eLa!AUuRKLCp;}UhI1jLms_kHlz{ZL$Rzr-&K}0;Um*!!a5edE!EX)`&K#JGs)mod| z;*Dpi(A-)6re%=Zp|O98^MZD?`^Wn2ax&CqAB6rLKM{8a&^kRbLaM zWt`ZvmOp9EZ)Sug&Te8bR*07Q-j=5v-v_?szU;`t?U-8lHj zG=O=Ik&-Nq{2RW}w)#)y3O>AlQs=FmQ8#VMW#uZR?VevnknrgknjhQaPDC2qs$=n= ztA6X@M__*H@1e9tS59y^Z7AI3)_9qKCBS4`e{@s@I|7yrIY6&95p#IaiOQg_n#>lc zc3p{9^Ua_1q7S<3;TH6*@%W71mZ$8r-v#N?9gewCC7tt#qQP$Fd&=FEI&X@ttZkwg z7*K5m2(MO=D~AC{gF{Y}<5$-o_UMFW` zN!*$ys3CmJ2&qU{iOx7`BLE5N*lxAL;#Y??vH8e(YTvBMFYm?Ca?bat$Xr39fLHrY zyVThGS({%v9nmsvl98^4P*Op|$&15NUc7{&$u1uzkfJx6Hm{|%tQvF>)QA#J#A;n` zz`;N@<89uYt0{Dd^C;5Qkh8%D_er7#rI1d!8pp6BPF_!lh*R3TM*jmaWUbefWt%SQ zUB470z7?tC(v!a081?Fu!b3i0?R9znrUfDLXFJXTb!ymVk~;V0*rJW06H;r7Vp;+8 z*mv4>ri6gBd2vz3&resITb!*8IOv=uMMt-(?Llzx7$QDCaApuY*sd)gv1)Gv1ThB$ zcmLiMsM@yg2*|MBb*5bTMk6Y!bZR#*>o?NK#*04$e$mcN8Ya^F?c&r+BiZSUqDyF} zwokuGLEHoxGa2&Z&8w~T^V7oMzEcfdX+ulyrrAcaG)W&1JWV%f=o8m6WX$RcoPT>Z zPzCw%_DX_56!4U>gh%6BePQ24yRmI^KSI%EC%P?6SE5duR)XMq4GI{i)pZKQ#CaD) z-PTt-mypUX-zfl=eyCQzhM3RN!NUSE~8dbTg*A^N++Wo8)^OeT0+BO<1v29r6&*dkT-qBnJS@? z+Zn#lp{F3*hS%-Tc%mdrV3WKH{*hPgq58?Sid~NdzeEjb>%$Jyx%H-vn|3fKnwTts zW2GxLAmgdv`?@-LpxN*)@LT?FtpIZA@G|5cTpq#M5(VM4B&i%p!I5HiwfgU+{f(#C zSgx}6+Fe`zveW}!F0-1h&2h2|2Y!B3Pen5;y0m=LRSdQsUH@$gt9tPyEQN1@(bQD? zii`FcVA&}Z-seuXq>Y3sgFBnakckQ`4OYhvH<_hu_9T{TpeHxDFH!2!5k7nYd4;)G zJUbO;=pi%-8=Ttb1^vYQ{5>@w8Tblxz$>WIbamtcHh~}F;+udPc1ida?Hje6hf`t~ zn^8C)*w%p8cho{Iz<#Dl9!Bk&jt0zZnJY!snTtK$(kxA5JX#^n&qnIocmxugwuWM; zPoQ4@Z>3b1iY~4S1JO7K0L03ha%oAzb@6VXG`lZH z2v;)4)mRxGZ0FYli33?ve+z4SdU*my;H=ek@FGy=A***F|OQO2mzH1HAqI6kX=`|;3h zDNa72uk&xB@7D8q$)Ay0Gq~DtppTn|UB#*zbAK979C8SCd3=qQ`67&!NN)^|>kA~& zcjavHJ#^bc4ve2DwQ5fs^Y#KJ7gLwmeA1RZ4Kdq0nu>{9{)7z=ThI$p_mJ!1v2pEd zu_bR}9EXQ=@=~o5KNB^D)hJ_?|E(;fwt)}&)>KSGXAZ3bye@X+1kGd4BY@Mkz=ThA zgNY)p7tC<(D7Wp^(3He2ff`;_iWph@dq&;y>ZjNAjwOb(Mpv^U54e zhQc~e;Zx$`o**1(h9~G=w>2$L0jhp zV9%wCYHoeP9GRS`WC;l8%bB_%#$^W3DcfRPt9h*$svqOMG%A^~ zVxrdL4HDH(jdg6i(ufSyNh_d~&&r5f_kPWh^E!hlXQ(w|_q+UD8K;L9jIvW4fQh;TQ2dH#qoc*4$#in}c7L(B_6pNY zb+2??dU3soBz5if%<6T>Hrrjzck&Lj&iX&9CHqh{59=o+eZDcN@@)^L`w~lJOJleh zYd!%d;T=_0G_Cfk8v}Hga@>3$*X5TO%yL_zoz;#F-x*54AAl z=|IV^Q;I%;1tktaP-Y(FN|@;+uHUxZ4bt)%(VE=2EyJ>g-9Al&wO8pfW2tKy=~-wAJ2ARa`5QiuGZh&WT-ZO9}gs3NI8~tI!y!y25Bfsl`W9N|w_DEbzKuLp_&R zc9Ot1Q-u@!y=u`?D@cEDSD##Py2&Aa?IWzp?f)hF>lCk2T%%a2;gNk92a=_zU&`!w z``25jhjfOI3M0(2v4j^esaBRfRu=97E6`+85xyQSKRyQDW^kV3`Qr3G!g)A@#B{hxs|K!vU@5{unW(Gb(_V z*{G}M4&4Bszucaq%Fap4S6*$S%UHbtoQp}V`wZX;pFjS)h7Z1`Mp=1Rzd4BsI!L#-6C zk(eBM>{dE7ajP+;NzKd_{8*(<3DaZA1ryJ`hf5SqnrVvMVctMS%j!$ScV>?`33o^h zN2uNWr2lwxC{h&^OeR44`)CJYJt2^IA^{9v3UA-*t0>(V6x6mLIE7VAyY>x2U@M*( z46Ol0JoBlH7cJ4cN%I~33->03c+W2v_bMTg4oIiiVB1G0o_ve(DZj7f-dfthZJ|10cN!gV9#Mkxd~v7cLfasjHXT=Wu^%PdHLGY}q$zNPY<|D^G14 ziy}IEH)FeDRzgT{TKqi@))O$kClksd+wIy{!OaIKL5HK!#;(iYGUit-4{VE`Eq%S?R-< z6Lt4e+V}4noae*v%fw}aT)n43kiv8M$jzF-F?~57cK^Wd(J)xIA(J$z;!OV3$oXIU z9*UDPaXu|%H=?LUZLa1#g~{)^Hzg}6+fGFoP4(U`D{XVl6G#Xn{m(|mC zP(W==pUaxs+XAk75s~-rnhJ$BeaX*Jm}$jXJFlsT=$R!yqMR(V`-(YPmE(ygGJ?LC=Zudtp7f8)&O*V<-+ zCH73MZ8j8VeF46P4Kc5VXOXtJ2?FoC5{fR?&B)q_W{5K~@EsSwBp4ZtvWwF&HSJhi z+G~yED|IRDRDK^!sm&S5#RVZ=uLs-FHOvixYWpR@JilLfyfuh@^++uR!}iYhPGrd= z4zRmDd9)gr357oHI=W0%o+>but9aK34z^yRw|#u)CGJb1N$`>)kdX1KNpBc)BxPul z0HaZ*NHGCQhS=yqDFBJl{C#AO96571RfT!iekjMII8Sxm#W}!9GE$Uyve)GG+jlG_ z1hHV^vr5ZSWX2|BQ+gLN&Z(=MFY-FV))P{6cxFscr zd-i0lb%Z9;$kk@8m0$BAnhF^;+pkNn{w0E8TV7F2&euoK%>#+0KJqkSw zU)Md$RJO@7H_Ksaz#Pu0G-lLEFBVNibKiHd@>_~kX>0s#LT-q}Q#O=*7M&LeH&^M$ zo)_lfEB7tMR3BcsMM!BL-zi<^%49S!8li&VoTwUkkgh2`<3opHz@^aRC9xVN4S4El zh794u^yjhn*wuUF%T z6p$Y1#o+R}28n)~y~Cx;TY2Tc%Vmc<$L5T+`wj?gaLtu0LadlnU+~&>lpB6XFOUOu5LK}bKN7ux9BUI&ej==L5UJR{eFoA@#CAQTu(rz0fo&NfkZASG zLi;_q_H_m00Ajc6#w)z4MNUGi`7!y(SE(4|n1_KXdn_gGpv!wiVRSk}_lf5@ys5A2 z^2V7H|{sO{dh0$(;)Mg@)eNQpaJZ}1$aGrF&30`7f-(7BnFS|nw)fBI- ztn>aCvpVDs!RLH~LoM2J#~LwEldgYpg}-wPMJs81_@GZde^D^=@Cs8maC8>ez_ZP7 z;>3}d1Kx-2mx=0jIcyf_N=@6FhdF-;u{Bc6hO;9#gr2X{eL8dpePfAGN86e`huq(*9U9T_S`2v z@!#I$FuWDxmIW2(kbt?X=oS-moBLk2JnFkixd@tD=slZ6PS6jrm^}^VZBPi>Juip+ z7EVC6a%O3A83dAK6PpmS(MBZo8NMDYocR1h|ExqAhR3%}rD+;htWWTW^A5)?>3WFJ z21U{~O-sAR2y!ZJ9b!pH*4&zz!?@Y?o{rPP1&l<8ni9uO|gYJ&_Ek8 zT!#*j zsW>@@lv279eUY=&PYeL6l2`ek4NafUtn~qaO9|z;l*^~#o&f3Lpzmr$YP@HHJ3K1$ z$hk{eYV-1+{nm-*QT4W~WnBZklTx9%%HoPs-9sC;4@d`f=#ttf`W>-r=R&OdR7m_d4z# z#l^2qIZC!YxTPa(t~pE$jeR!OA3pwiei*ae7p`EF@&Pz4jq}_nit2$KNpBY42#KKx zP|>h7yjA`{vK8jZ`h~|e(Pg7i(R5xnKIl4jt?1GfDxhc?kYFSyLez1Lnj-JI>&$Jz zegwS00i!shcvbj*PbQP77b|dfD;H~?L0j`)X^*g)I$dBa(S0f`!k{uqP73c)({zny z7U!4kpqDPpMHvT4KPliOcy+{n90#pNSuDO7Rc`m3 zaXTP(_b0-msFzo%!o|_jNIh(A)U(_UBQU6tfIPxqMmzeaPJuGZ?%C>)?zEP+%F&Xt z*`&SpW(ET&i2bYXWw>MmGG@)DNuYS%8kqg59JuJ5?2jm{Bjnt(wXOYKnx& zGW70^bQw{brKI|!^lxz<&?OQ4%~uu!BA}&qD{lGfcnSlDiOc1>86!^IB%?k1pR90{ z6r|xb!mlNfM${#b497zd2BdqD`%hM((KCE4ABc6VK#TfkZcdyv_NFph(4(w(AG4zy zZe^i<0j;owcljNxns1z7MMy=@PBtgd&orKV0&? zUF#_RZZLi81P9~(0l`1{j(GOOL`r{3g#wNq<=}`?(54<^rr)!Ogh|?Y)+4{pT|Wl* z41-nMuqSwW+<4P*Ky-?QX(}HA4wY@Zcy=55nV<)YWfVpH-!53>CM8}a} z$^1$PyqHr6S##TEJ0zQ9y7wVQ!^_#3!smzAKmN#z zNLEM;9oDX0&w{P83U`iC_i}oiSz7xw%m8he5A5}|Ex35-c@@}l;3!ZJ^_FN&K>qr8 z{rOCZ8M874c#z2-Yi2S)_smh;57rX?sl=hW+ory+ReDMfN!d}OC!_}2q#Ip?@BUip zsMW!J`bqly9Myqpa8R)VQ&^TBF=|nx34m zAJrq& z&ygS8io-q0#aj=4c;U)3+f1Ev9UsG*WACu8;|Jj}#II;#@LpJQaEH|A56rYoEV8cA zcauRaN);1$ugpB^!Aq&x(Pf&fSS0oMmk?G|WCocay^`n4wV2UkBScy4P@2|t^vCnW zrh6@eL)kjwGAWvWeoG0?;=~FzWcVD(v#)d2$dExK!k)D|-Xtg25y=*o0{4nlZo>3# zvM>3%Z~e7oqqWLIhAU8{kYKMG`luxmTkONYDi*U))63{Fpzra(!L0(i0)AC*(iNJS zkQ{OSz40|@x$T_#(wB8FEt#RbHclJP!PdztUTqt&O1!UiOw+&V?3CMJ-uZ0&)9UBd zpmq;Yd1$qQb$1i6dBlZFNT}G$fT#(4`bnN5FR|rgZvtJv>+gDs3$~B@cq!v+ZLL}S z!Ffa(Om1Ha^`ua;)p#sz#r*dg(_tW+>wVDq!=|gB1YF~BsnnLmN zO1E+>XbOkE4qF(;l4J~?xuG;CNG&$CfQR7oZmT!R2EZ66{c zuh@WGt7fXDQrr7sZ+&ga@?05%tr&0BW;1$1JuatwH@FHjBLR3JM#XF4_)X@&Jt-eZ zM6a{U(>-yl%3tJNCv!vc? zBvN0{5h0(HDn$`k3F@0PJs|9bHh;;Mo@bVN!#x3WzSqZvkPcrQr^`~Rc23zh{+jc? zObM4mA{kAX7?zv>w_067`WIb$@`^?I9p0c=eBP53|Cv5chbEZ>ZKT`yYCRWldC3@I zEX=uXLJBzwQm6M%H(&pr)U6kbA|Nz9IuT}t&_z;jdOqyyTq%VA<(B||d+KlCe&lOS4CKcueMdq3YR`xnp7L9WxWF+Y>J4BZe<>oc_` z|0jVphDXzw55M%dIW*yBcLqFdzLOZsrp<6ItCv;vtsbx*V4Ducfqxw z7Y;b~Y>kc_(5Ogc2Sg^mqaN>)Z(&yS9|T!D_e3jTa~pPAS`Q5-fC5YFQ4oJKEd}{o zcc?gJ-wpFqh^Dl~=T?3~Gp}U(T1uxO4X)l-*VAXjw~rKLm)eV%=}G9+qe%?sMi|{w z$Rtp^Bvd^q zt>Q>&(QwLGa2_mdhn8eZfQC>(j8yJ>P|l$fFk6g^fI-Knnd}+wiEQ$=eH1ttV*!pK ziGZgg(r37LHS<(!-_MoeWaka@lYn@<;rdSLBop~FYQ!P$cz-zPlY3%~`q)Y#R$TqW zW7}RQQQEyg$!B0%8{=guOxl)JFs_hYA`M!diWy->oxY+h1SC4tztj0yGS1yo-&C@K z1_0pRl=^49T>@VH{`q8L{FdBrYx6f0gOR8eiU$D9yq*6C{WJa5uk(%lry{`A#O!a# zI+pXG?`;zQ+Z6bJX1|R;maGW=K$dpKPJdId>Fvu72mnAK?cb(U2>(z{CWe1Q207$~ zz{bm;C0-XX(FfvGM*3`}$wD$itsdQr<+k z*7-N|=WCs6{DFY~t;He!QaIIH@CXU}w-*0+`RZ5xb`S8sB^5>{#((<e9gkEu|v|AS2b>&N=L%H#heeD&kggZx*`$KUS# z9T@-3@Q42|^LLp1dx5{>*gp&WkrMQ;1^z^}zq!A6`@gxZh(Ct^aBq8GQ5NQ(q7?x0 z+wltdCVmtofDs_hE+Q&ruC3;1X~@m4{gvC8)zLxAQbSW*%u0ciN7UXy!AV1mPTJaq K#ZgQ6)BgYj^j?wx literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/reports/post_place_timing_summary.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/reports/post_place_timing_summary.rpt new file mode 100644 index 0000000..fec595d --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/reports/post_place_timing_summary.rpt @@ -0,0 +1,347 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:34:45 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/place/reports/post_place_timing_summary.rpt +| Design : top +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.432 0.000 0 114 -3.283 -38.766 33 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.432 0.000 0 114 -3.283 -38.766 33 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.432ns, Total Violation 0.000ns +Hold : 33 Failing Endpoints, Worst Slack -3.283ns, Total Violation -38.766ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.432ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.509ns (logic 2.695ns (59.769%) route 1.814ns (40.231%)) + Logic Levels: 11 (CARRY4=8 LUT4=2 LUT6=1) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.871ns = ( 9.871 - 5.000 ) + Source Clock Delay (SCD): 5.161ns + Clock Pessimism Removal (CPR): 0.267ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, estimated) 1.967 3.425 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.521 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.640 5.161 clk_IBUF_BUFG + SLICE_X62Y44 FDRE r LED_PIPE_count1_a1_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X62Y44 FDRE (Prop_fdre_C_Q) 0.456 5.617 r LED_PIPE_count1_a1_reg[1]/Q + net (fo=2, estimated) 0.623 6.240 LED_PIPE_count1_a1[1] + SLICE_X62Y44 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.656 6.896 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, estimated) 0.000 6.896 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X62Y45 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.010 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.010 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X62Y46 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.124 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.124 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X62Y47 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.238 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.238 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X62Y48 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.352 r LED_PIPE_count1_a1_reg[20]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.352 LED_PIPE_count1_a1_reg[20]_i_1_n_0 + SLICE_X62Y49 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.466 r LED_PIPE_count1_a1_reg[24]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.466 LED_PIPE_count1_a1_reg[24]_i_1_n_0 + SLICE_X62Y50 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.580 r LED_PIPE_count1_a1_reg[28]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.580 LED_PIPE_count1_a1_reg[28]_i_1_n_0 + SLICE_X62Y51 CARRY4 (Prop_carry4_CI_O[1]) + 0.348 7.928 f LED_PIPE_count1_a1_reg[31]_i_2/O[1] + net (fo=2, estimated) 0.883 8.811 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_4[1] + SLICE_X65Y48 LUT6 (Prop_lut6_I0_O) 0.303 9.114 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7/O + net (fo=1, estimated) 0.151 9.265 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7_n_0 + SLICE_X65Y48 LUT4 (Prop_lut4_I0_O) 0.124 9.389 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, estimated) 0.157 9.546 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X65Y48 LUT4 (Prop_lut4_I0_O) 0.124 9.670 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 9.670 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X65Y48 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + W5 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.388 6.388 f clk_IBUF_inst/O + net (fo=2, estimated) 1.869 8.257 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.348 f clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.523 9.871 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X65Y48 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.267 10.137 + clock uncertainty -0.035 10.102 + ------------------------------------------------------------------- + required time 10.102 + arrival time -9.670 + ------------------------------------------------------------------- + slack 0.432 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -3.283ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_rst1_a1_reg/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.158ns (logic 1.386ns (64.213%) route 0.772ns (35.787%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 5.162ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.162ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + R2 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + R2 IBUF (Prop_ibuf_I_O) 1.386 1.386 r reset_IBUF_inst/O + net (fo=17, estimated) 0.772 2.158 reset_IBUF + SLICE_X64Y48 FDRE r LED_PIPE_rst1_a1_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, estimated) 1.967 3.425 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.521 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.641 5.162 clk_IBUF_BUFG + SLICE_X64Y48 FDRE r LED_PIPE_rst1_a1_reg/C + clock pessimism 0.000 5.162 + clock uncertainty 0.035 5.198 + SLICE_X64Y48 FDRE (Hold_fdre_C_D) 0.243 5.441 LED_PIPE_rst1_a1_reg + ------------------------------------------------------------------- + required time -5.441 + arrival time 2.158 + ------------------------------------------------------------------- + slack -3.283 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 SLICE_X64Y46 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X62Y50 LED_PIPE_count1_a1_reg[25]/C + + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/post_route.dcp b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/post_route.dcp new file mode 100644 index 0000000000000000000000000000000000000000..6cb4ae6e63bc9a1728492d5101b6bef57af825ea GIT binary patch literal 177555 zcmY(pV{j%+&^8*|b~d(c+qP{dcWiC!jqPmgRrZdS?3S{xMxs z)jd}$%Yj2+fPjF&fEYj+s)0+SYh;3gfY?HSfI$CuYHsGt2yn2EOO;E=X2u9#ovy3b zZiz7gkegeknqx5AB*Oe6N;#u-t+%N`i|tdszu~j7hSqJlf6jZzz3{~{gg4blAkkC~Sq@Nmc+3T0{EF%O%&K*}>z%=9K zLOA=baza}b_+)>wZHBfKb3^dKH-=n8N8n!pdjh3SP^x-n&kZ%e09Tk#)sshgXKvUR zJP2=vVVg7b&;cioJKIXSR{~;cbia6<5uCB*r5kZSAYDX_J>lpy@+kM z367rsDo2?45LQJ9W}m5D-R<4PGPwVsIuCScOIkx;zfUp;L6ys0W$4#RXBNk3Pti3) z;krhuiOk@egyUd3tOg4}T%glNFC>Ckfo0New3IWj*%l>cw`^#99VbQVoc6FvwCB*Fia zJ$EN(MhkOGhYSyO3`2|)P*_mdB*_Jmh-=2A{=!Vqv%Et5utE`Kl#&QXltiLF5m9pS z(k{79Xvq>0+b1v2m&^4nFTs=U58#gPjPFZ6_DJn_gsJK;%+}t)ZAtCdoheHuhAW|j zX}5<=Xti)Jd;BybfNWzC;_QK8CE_WXh?wk$=7 zq!0w7XVqjf=SL9_uePCa)SLkHXs*O|ZFh0BP(6Dll0YYW@e+x?RF8~TcJ{gLgdPj} z46w`P&EJm#*z5NkBavjv*ID%(i%+_wI`>=fA}aonL`6->{n2~^vV~zRsdF!-v*+UX zWygm6Dw8x!fn3E`PngeLrXb*^IdX&|_KW9)X``!xeX4x8GKNjYCvoq1KaK-9zGsrH zYTi}%`S*)1vO}%X8TqHMkGc1A^ShMx^(_A)`mI_lb3^Q1h<=akVC>>X%_N~iEyPZ;6avav6l$`u07F% z*FLiyuB zh3*lsXpfH2Ef8u#Q?&9zTCEv(t$PZizoJP+`_4DEJOoz7qR~~p2K{^9p?A`cN}CC# znl2#K{0f42T>hoZE!HHj$23-eDNUKnjBCw-Q%jU?VBgYTi_pKp3rzp=EC$#`DK53(w@UomQl%hgtFeU)}k?96Wv++ zITa(J?28F|j>Vd?O{tvHWFp}>-yS|)T+yC&{<>(r1lFtef`VfM|B$}N&qsQ+T*(!o zxVMljCb%pM!gK?&LM8SWncIQyzM78O9FUnM35Q^uJMeZyGAd_G5~oSpTKn=o#6{(y z=3}K`pm|xHhhF81A!&QNk<5S%=|U9w`Sl+Y>h)68Ld7ilTtEV!{+84I_p0t&*{7#> z=z&0p_k~vS@`QXk-rZ^efI2Rmn{4s0!Uth++4S*cztK}lzQ8WwO62=+bSw?8qwP_Q zB$CVSl4-Ov_=e^A!k>mXGWa~L2P*tL3u_kZVSlXQqJ;KFhbze5=h8!9PWOD|$fNlz zLqBGm3|GxerU&CTDxghq*|DF>VDa7l*e)F&U+EGEKR8YH)x$zpBluRD+3 z+OE9Fyc5<%#&hZ8Bl7eh0)X#;vpE*<3P^<7X>RvaH`*2&Um2M)HHxt z^Fl5EKqob@Y0EsQ)(B0fwHK#8*ZT7?cDj93F~!~<*Ge9=iai~?i!|ItM|i=e9{0Z` zT9CI8_l6%c)h6-s#z^!D2WgQsWCfs1O2kFtPOl9546 z@AE*SQBJm8nYCIdy|IV@UNG&%m%=$ib5&fMLZ~!Yo@1iv;5t%)XDbD#9cSQa zSh!%KOeO(VjjMGwKS!ausFw7=v+PBS#?q8y{)C}EseifEc0O4OaCA9%Ihzv5Rs^#& zFk%yiNP6}5EPX((_*{%eV&3VEYHs-=kyQv)hkSg0{jJSkZm=kOXpx0PFYn^&(D74v zY&O~*H5*E?-WMK|8?rfKQMiapl^j7cd@yv;BsRA#bCLR{1K5aS(D`fO=oTJy(H%1N z#!3qPvBh+I$UmFAMh}|SVzbUtp;#}n5lAci)x=Ovvol?s(bi*1Y;ncO8~MFC)vQ!&Xl=zkBH7zZ4O&~8r2jhpoeTUuJzOliIw{1fdu zswW9-%<%)eX?fSZ%wW%O2-j*+)5Y^P9dZCej&Vdln!h%ZJC(-lRVT>*fKU0X{F~P~ z5w))U*{2GZunPmCA%#plb4}zd8t4ZjTkGAH*)ddG9gP%Ta8;tv&gONywWUzwUEF6v|81x&)x7nu-f z%udfxa(zRU2z$VlVoHUNNgIBvC{)VTiCRRl= zU#VR)xF9pg!qieqx~>YXuDnf5K>w zeDvtJw&7I=hQU{e!qt1pzjLyZ_v2T_x)|TI!;f{R(EWaMb53w{q`FaD)!E$LG2ox- zb?1eoDXYqR_}AS+i*0h5Ml;(H(|sO*xO;+1_2r!JCy^t> zPM9C5Uo|GNodiAfdJg4gkhMv!s@1$i8Py`-v#a;O;rWrbV%)q&=oZ*8LCm(81qZ zk^cSkp1-5_y96DAsK!YpT#$qHjOJY?wt%yrJJcSL$?}0T(WBs98&jg?5 zEVtx&(!y8`$87vi!V`<;0r|}p{SR~qMtLC!c9FDv2ltlMMNfY72|2#4`!U+fHNe?p z>o9bn=DqNS{_oF)@IytVmtu61Y){4TY_4efTUZ6O*h=aQwvB6TR5VjUeg z=VU@iA^54iC&9X7m<323bFWg3S?)ihwJL(ulx}5?trOn_H2&ZN9K&%@FWI_^iR2@c ztkL6LYO7~-tq2)W2D~ZsBExDqI)=3p#=|G;vm9OY2z5UpcEvN(ca61~V$h(Q{#j`q9`{31_z_O!VvYC?hs$UhJDhsFKqu~_U}ldMt(V)h|Q@>`ZM zBr42;3a8;R0}LSST>RkW%tl*)@bQ7B@oZZTrcYlL=gr(yV%WwP3TZRcnnNz^Nu^Xq z1)!N+Gp@BKW{u;TJ-T7li7^N2l*_oI)0mT>A7%Z!R;tYtWzW-;Ag~zI&kiNlaQP*Tn#_e-?k4$t~7W7ORbD;z9p?kKob=ocE8o*97bu zyYXs5aJQ={y99sTHXf03zeAgL!tX)%Ja0+x_ugJ#gf=UYAJy)bB-&(?G2TRd}0 zT7QtC)Y+*FX~IucJD^oN#2{~MbcBet8|fK1d2buCZa%3-1dcQL3Llcw$&0XDJAM5r zeRNjW6$Ik10DY)uNF@Y|dKedh?AcY2`}mR)Q(6-| za?8CFZsop$Ibc$DeUD}NE%UCX?2r-x3UQlk1$%TGOPuKblD*)3kW^AUC7hJog2A}z zzYrJyuzdY6za?lL{II+eFa98>{5UTv+k$M%yXKyr#GZF%(sFQb$*1R?pUD3(^?Ot~ zwbo=?zv}C*Z1u>*Q(^5? zaBS)FeyQyY-}|XiDJ%S=bi(ch#MRM_W8f@jwOU5e#cdW3^TXKt>QWr}**g7uUY|Sg z)<}sD70y!&Pp6zp9U9g0JTA3QwBI(gAhL_Bl}+26!JVITc+(GFT=#rNe3)~H`!Z>bUDd5{VMZ?|IFh#@62b>!3-C-KES2)v3 zpMURqlXfB%um@1Mm9eQz`%yt;Hv@dF07q;#pMjsVCZ?XZKi?lT0}!uBFWFw z_bmDD)LFw!Sx6_9Cyh2Q`oBiFDY3U3w@+;H4wlcmP_@1$zpkNvM^wkuOXW0mPql_~s$w(AbJ+O*FP&9P3T#0AkLd+6p;WBHE^^ zYC9`yYmbc*otQC5&TOY{`RQ!Zp1v^ZxazRDS@6poQ?9&M}a>Z)XJ<5MEbVJH3ZL!MYk4YRkbGWs40*Z1RR8>P)c?V70491kKBMrZ|Z17*u zyL8X|eYr$$SHkW@kduwBh=>K^aE!Vizt2jw%yOp=aSU)t*r@ZX;ieYt3em{&j zSottIH{#NMbC&U)(OMe;mHN%=RixfqXERmHDPG1l#P7SJ(dZ$;Ys@HvrFR*6FJY%p z*%{#ALrrD@smYMO25Blo_|*6kejBWNnbXP|iuA=@J7Lb9+U%WE{B?aGjvL>ejYto-5;|RSMTM{R9TXnu2LNy?_S(3A@>aKb363a)I0R4#xm)jjE(M%=lTV|CK zNf?YCw6iT+&Ew9G=HAB~dxm=MZ+1bwX6yb&7uQN=S0r2s3|W~-Teb%a5Ol;kHs>8$ z5L64aS#I-fcsB6r;-uI6d~M6v&&Z*WX%KqP2 zD;&m>TBt&Ev01QRSyHL9ACK)4KX36B1Ta1ecMwemcNHpo#x>{ zHnxzGM8!qR`uE4sy#(wKn4x*-0BX--vptLUO{O=fE6eod;!`m|2E%6Meg58?Q0JCG z;m@^|0EcK*IirO}riB)jZQqGa2y{@=yk7HN_6aZw_w9i`8|t0 zNSW=HUoKJJAC;~1SDw+Y{jm{dI>(NpIp}pxs6dkZ*EP{HEWPB|wM8Set9p<-2qKV$ zqM3C}2I<;-5mDo`Yx84fOiBr|n`c6V_cd@@R5vp+a5}2DY&(#03o#@sfLw&CL%Zn* z3)jbYEH%_NbQ4u%vJ9%|sYVw55RJa>MX^@tnoxbJ#jEhmHJ~fdMGjaYpmF&^&DGD+ zLbTJ0P}So6j<-~KZ!=zV)iz2#DZAon;dhA#5i+WmiG~jk#UV0s=&g_@0(1J&2Bj;{ zz7ykchuGY{$SN@Ab27Pi->tKUr$Q;2P;9#O+W{P*YS65!>TL}G{%658-)-USDf%+0 zJI;f~@PTU#G2!cb7L27-g8xUj5*lNhtlwHro>leYMQAOk3Y^v6=Ipd-mYVI)iW%8d zuLeAPOmR1a#I+82b!#dNGC!c;O0}Hl^be>uraK;of;Y#z%-^TcP!Nx*19SaUhy?Bl zNon&fR@`2Jr)74sfL8Qah{8I$rlxGST>h%SjCi8lL^Onn*4kWa?cP$8JzIrUoCV`y z0TNFmtv$uVkIh+!ur87Pc&2>wc!kP=CrWEsOVCwZ+7PDYI6}6lA8VJMkOd;M3E(+&5EKJB<^=>x#;-1j4j>k$)mQ27at$%227PW;? z&)&C~mWNzj)Y8SSk)t$thIj5nNIYZQ?=lMzPU9MrQV4gFvapv)bJ+iiRMhW)YsXp4 zHYkDTZ2>d?Cama#X*zMBR0cCl*dS@fpb8a}XL&bF}_{X}R1jLS#a&Nkj}Eu$DC zX|AnV?eQ!Bqs${KN0T@1KdYZDWmc~du5ABibArjiI~M9rMB4%@m&bBI;R>NS9{9}2 zG(WJr{cJX8gv0ce0jdp!aIn$iHfAq05CGSpd`dU~34tW&E>1!7h$FA9{WiBgRoxhJryTg7WC|H8WScmon2=_m}rbp zzQAKwEsYMkF<>Dv6>4&Y?6_c z<3Ui}C)9i4C(A;??STSoIB>O}1I=_URpZG^o?I#NQUOJ<8k_pOEj+wre-sdpQaY`eaMX^^_G z5p?kiOB_?dT3U)L6U(0DP1HXSnSAbu& z^&hJWf4)*Kl7;hyh1kE`?YcHlEAfT&uCg&mtnzJIAgSi-W1cVmzpar+LGBJ>xLr!<@!LdIcvh3CXMPZgDaC=i(_G%z&{>tDDI)!>Aju+3MzVK;Q9s zX1mVyDE4)9*0BzKd9OHpG_y3bMCe%F4Eh=P|Kauihm~cB>*sm@kBu$+kC}z}PyY|E zXX9w*>X6v4ILM3;al;>eQ<0R*e%486QH0GI=bBfR_{p~H)!q*UN8hM+go|6TOc zhQFb=b45$|Q(&+JL2eKygs%G`N|LhqOLaoGAL>4ZE1Qe5M z*U|X{Ruy5gQ5}tfA}TaYY{`Ii+8N0$E=5+i`;@Oes3l69RCTjn{k_Z{MYdC&j3 zAG9lu@qGjkkQ1K&0HGffty>`j87@-5=?jn)NmMA{-_0~WDwNK1=RlxF(7|GBl^r+je$Q- z(Rbu*9VHh@@H8N<>E^MW`0a36S2})TXi@yhdqf9zk>-y|=y~nO0aWItKcjt0*kb`Y%Y0P8NORIm zZN(A%MB0EQTWgn%s!_hqf12m%s78Nl?Z;cDuj1}IyIUGHw{)P0KOscr+F66=x`yGf zU(BMihq_K;x>}psfL(@ZlYDd%jL%XieBsq8(ZDXOs2oA3!>7^ve>0jEr4tw3k!8uS z-E5lXrj=RqkI+UmSa!bEz`j>#5bn_YSWHfc_GX=bNin{3M=kd=|HzOY1%uLW4;qS) z!LHH`dj+kHY4|L6`|ItL+TLKp8s*~kJm;hQV<5Fa-H|dze-nZs_5-@vEx$KeUM9MN zb>!k`97~`!t1NvbOs0UQ%ElEYhESidAc_Y-w^71ogE=5uCa&8J0akaf(Jo$=EXD0g zhuFhIBum!Tb@PYsk9ubxw>ecGNjKa49F5J?oIdD3+l_d=A(a|R7aUZYpQKbP4{b>n zSf?D8MuRr>#bE9D?4dXYp+bO8vsHlz(oH^#elt`k7hk7K$;cMBj6Knw?UV66wnd1u}lzs2HdryoW<7h>||o z!>(p|(4x9fcr;P(bhH*kfp-em>K<1eo9YB$9I{#-=cZaM#jP4Zn(WvB#Nm6u$7Yc$ z6+H|bxoUU}1t`3HdWn5!22*a&nxDZul25hIX00H(?rqp=X;OzaUfHr|{N?rLqThN( z@@!h%@uY#h;D>q!rkqE&-&@S=>byhRF}fwH>8dh^l#Bh|B#w`nff^D5yryR;p@M9L zhQB^~PgG&`u&zV|Tp#irCQXt&71}Gq9ot>gy^l(*z2?dhtIb*?BZc{so64vD&`sf4 zx4Jattw4UZ1QLU3oB6PtUsRb}2Y(KdL_XO@BKdj7X$Rcu{ADmys%Bh@!q*&1fH&?m zD;VpgvaQ-^)9HEEdhh}jcX}VZ{|j8h`Rjdh?I{4sz^(#|x{?U>6OUlzSlw5xPOn74 zU26VR7)CApa*Mfb?$U9RRCW-HkJHB3dNQwY(ix%tZX1mNW=#}*xb*rMMjJ^ZH|jQj zVLk_0@Kv0PV4YLOKtNsQAhTVZ2}0t1Hn~zeo*L>|A9c1E7bP0a&4nr*9d^%4%{rKh zt}Pne$q|1?c=fWi$c95MwCON83>&p|@5F!EMk87wr*U5WV(OmIeZw)h+66&P=86t! zi2IZ~EHl_kj$%dACh|T{ObdC5lDks{vbkP?0Lw#8fFv%tXmE0{C0JaUcauMcG ztopoAb!S7ac!~pF+-=EKzNiZ|DDUU6KOu32qWyZNTp90`U<&a_r6(d~l@$C3md-9g z7$3^^zo09VIt#hh2pEIlr@r-7Wlt8~)H!oYdz=cg?IMu$FyrXb&pdcM@}yQ*X?=rX zcDpJ!;+HGcPB=PM+K2C;>M^@aq`@f*U_Ch@3MRgjW7wMi*BQptsR*8|^wt#yOUD zgoUJy0CDfJA&nQR8f4*TX6gFG;aFv0f1(SAf1B_zH*aYYqRt39kDcX#K_!jlWQ$u4 zklza)h5t+!WZSDA3KIEP8)(@})wb*o(SRkE0cy?>548D%sRnJ0eMo*7eSGmR{}wvR zoNI=%T~Tuxj~8_yWmUs$w5*@@jlKl??PN!wGw{N{dgz8cB75vfBDqH&d!Lg`A|I@K zO(GqPOJz&Bv6ruDQu_j<&#;w@8AFBntGwnu1@G*?OjMC#tb?;6m`mC)2|OL$^Zo() z{P7cYgjWsx{mFLKv{ZM>+fY6I)5uI|6!vvZA3@>`UJ8P1F_7D&Fj7tO-&4KOw@h6j z#rTCql^cS=HpOBBqQN8(&Rt#JE7f#937g04u*E?v4SNc3ZDX=qD;`64?<6n@!(YHy zpuEF}0LWW}+T)=F&?~NEcMk4U^+@28cm!b8xAaJ#A-I1+ya4c9$7|3hdyGD8L+f-r z%n<+|$v^m-J}P4*Oj^AC-Jy~X6((2jS0oN5YA`cZk%*egfz2;8^jMk-p!5U8rq;C% zL=$Bac(z+C^uGibnJqR{=%pgKhV4l*XiI#eZwmA%5QDO^iY}_QOPn~~ugB)w))BSI zTvMLnToW#Ky$HIeD(V#Wd~3lvp`xR=3v5ov)9bUfnCB6jJZvX7zmCQ}`o9edel%wT z@4PV$T;0zPd!Mjs=d%s*A$Tx*x+5(aD#DwL6u^Xli=KL?*IS3i{FU}7vo$eRSt_He z^Bl|Di#ndRh(Vux&21XC@LPQEcaKbjYRxt<9AuB#Ef^S6OOMITIVOBwT&8t#1uAAq zSL31;0)<9Oai>bhL1ZPJjj8gCR!sw1Fbu3%z!=@0JwSxG=FCy<6{2R#))bbVjkp15 zMELZTK?iMMDn0+SwA{`~{?N-;Ys5tYOuD@1B|InG}TBRzo|jCRIGr|5b``90g{|UD?x)97!ec%nr&`t zJd=#GyEid#&?Uw-mlorciRkl>FTOl!q7qqTJiwScex4|eX(H{|7kTSODS*6QA5L`p z20X?!?9iRGBQdEXl%$wIXN+&_%O{9-%aFVirv%{(o{-cxQ;NJP)=aB|xacFtmFzdA z1|W+OATgNNU3B)ZyU1h~*~ZTiEpnJitdJtF?7{JKJLOd{PCLF{@Np4AAw}xJpzV#Q zbR^VKPhgWt>?qvDgCC@A_6{uN!f{ao9nDj1slpPDkh3i z4K&hs4h(1i?g^t)J{q3*<(EMGt^XZwNrM5Sm|5=}9$KEK1P-RpX9gzX^b-Dmi`DSk zY#izPJw=ZfJ$1OiGb6DD$_WSinI#}S(xqY9{TsZsQAD%ufRNd#W0LhmWrZ-dk*{L> z*Ndo%Sju`o|JhA0)mCZgtfbm2dJe`KrbGYv^kCBMM+ea-2~7Z*0pTW|nbj)(uNm?kk~ zFGtXFo$J^>%SqCEW+eUfpDv)5=O3SBJbx4(Ge&kk{m$LXSXM;FRQ!n<>Z=wHfgZ^jy(>R~Jgd7b7 zWSJEN1or=J6Wy#$oGq4heVucbJ$AYlu8I8rEpG#>M_U@E-@}I|tydcv9t^K)=}lzl zJSpl)Ov&tn2?@Yky&*-1iiMNQ!V>4m#dLq!s9I;u%(2^01)ET&cEYAg1viWRTNs^V zT}*_{gpf@sJIV3w{d)eWQ4$H11pnt2aN>2-ar4o2Z zgSlFQ=XC|Ksfdd--40bHF?|osxHyT3rbOklGAF?%5LyQZssN$r}2#jk2r zEY>mEQ%zv0DNvnWYr?ZVt1vG;al`$4Oq7Y!y()?#!b)=DDR;5BD`l=cs3CXp)Ee@2 zy@K?YNx1C4vD0uih#GYnJ2o#>P^v0( zx?*_O2^-HqZbUm9#5F_p$Sz~T2))fG&tYd+NPO$g2>gs#&C$uJg?bf_?9;U|X}MqU zRNi!uYD!D8Y3%l_bTjX8@7Z(%qB`8`@q068a#&yLqYuBkms(z&hBu|M0{puHD#HV~-1u6l2HoJz%xuo_ zk%x$`wX%Cwp}*Ofz)#+i{IF)qaq%5eC1X-j((a&U)UbYUe>Wp)FmGJ-Qw)@HPDyy@3mL=V@Kv&tV!S0= zOEOqW68KA~1A0(Zj%n9OAzyK5y}n^+z+9R0p7$>9ku2*eqQO)AWQrSlshFh4*m)gZ zD|f*Q&mk)?U!fr>d(ibKIlL2@?PQFd5WgMI^7m#B`P6Qb*aiMZT3Af1Se*ReaC@@7 zoeRuDy`D$Wk!Jz=bH$K$OM|a122+e&sv7>?KOdS7Lp`D0&Lt>lOAP|LeM-aQ-WJ-F zBP8pJwb!Y~F==SO#J}UPux_;Dn#FeKqX8S~UMHf_|vm zn_9k%DML@;+xbH4o751(wyU-*+a2WA8&Sv}ur*Im5bREz*%A=dVU?rbk}OAHo1xXJ z23ZGeT>A;YLT4kz|BEyz4@wfNWs90wu4N0G;kdM?afV#wB&^x3zfgvh(vPI&KIawl zfUZ?@@uW<41`an|lW+FTw2IWej+BLB?i}($(to2e_Bc2fp*w2Anjw|$Vy!^F4WM8t z^-BpLCrs$A)7h6QmhYP7MLx9uTHDGh{|~|$+)-6#4(G^4tlw4 zchG4$W4YeVv92+zs3XTaA{(33 zRGMf=q6HXL3{4%@j-L;ws4x^2960Zg?f93o!QFw;^Zx|4wT)xmE0PeSKYj#;f7T>& zO*+B7agbcPBi9ngspTn84dHjDZSBxE76e<1Y ztbgR8+)NpH{@3D?LE!a&eZu4v+y4h6`DkvH;@$f>LTtLf`FrQn&fBA>H~(dJ2(k?q zYr7=v8=OSy5F9LH%feM1X`(#*Q;r1s&`os3JO}Fsv$1oHw#_b9s{@cF))<9qYGd%>xk?K7sou$>VDa z%8bCbl?z6sCkWQ{J2F4}x55}CNj}Q`MM&8bKG0ff04v~m*>_|AHe6`5lK^mj<@NJBohQ~IX_i2LhLYXNI*?MGbx+FN66cE~^Y^sY*c9s?BJIlbDKgBJ$pZzeZ|1E(>j#d2WSQlo zQNh=QJy}#VSvxO+#$gs^G$-7NIAZMf}Y?h0;jkc1RNcE9`U#1`t|7H{7_jPq%_b-rJ zCM;SH1oDTEvmRT&m|@5(D|!%9KUojNP0v@=2DlRv z+NAv4p4_d)4vPOwws{?N{S-tzQ@@s<0H9Cq)@vGq@IU*R+^tj(#EWmr+!7Idh_-Tl73(C`bidQou6sNkDVE;w?;}j)$L~;8p;*$x>+5-`|FLw)j^WUu4uZ&FLH#EO3E^*=)G=RVu zJ)6i%#;%e-tYL}Xr2PHCO$T;-@?@8DClDU`j+_6Uy|*R7v{gt9QiL~Qw_Lh|gRt|d zI0&m6bma9N*|Y>43KbTlsb`{87T*}z9pPaT9I`2ScPP%; zy|I7HopdF%H~D%f|H8EhQS|GtgeAX3sYbyuGJoPB=~L**33)rA8?4t1+!mc z#abdL#U~>5^g#3wejf-3??+dd`_pigp;HD_`Yvt%n6y$W$waA}xL=aU3C21;!T%vJ zU`-z>{}Y7&L>Bf~J8U$0!oD<}1Lw?S5em&nkRI+z1Zi9+G-=8r*5fa7j3|AdNt!LQYMrHiw<}hRD1V>H zoeeWuDP{huP>ci#>TZ1*JC2QlT1LjK>7&#fNMuP-zqBY`EJntEzEX)|aC62b2nu|_ zwPo*J`VqXVa4o|k@S&7n=vN~LOtB; z(8FaOa8Co}e~-k%%is`WL1OOG6CAi|hJ99?F=u|0V@7i29ra3?j9=iOb;oP~oQ46z zQ~*NH+w;>y9=SW|vW~zt^)%MVDBBI++o~Mt?6OK6|JfsJMd>DSjnCcB9=V4mh+90? zJ}jtLW|qDn6M%3qD3KvJ0!!b6U64Z=5;6|E2cS<=4H~fp)bkH7zcC*et{ZnHL|ZCe zGRwv~sk*}mfjCy-wfU5a3F^7hh`nWu@^vgv1ih$$Y}TMb+X?B{VWMY=(648ylw;d@ z7yQC5d8!(*jB==hTZg}VHDbw6rORu??j^m}SxW-l|8dtC zwuqMt*f=8QicqDqOOQ`+t%8Y>efk#G#`W-Ij1B6MfK-oIa>ZYD(DO3nai(*6 z3~?oq+m?h2h(28mgENU9(k-Wi>5`3-`8I?L0`K$}iP{TR8?2W(W`xOl@A`6NxuvsB zsGc)zsH)zb)@0;}PxT(s=;z6$NkPjN_#~y3bSoq@RZ{eEkM-L&glM%sOAFHL=PVbA z;w#wNk?t+q7L$p>s|TC|GhrUmWfw9RiLI*|dJ#lsKD1Vx48W|%@R7ZfxLTda?eI=T zBthDP+eZNa&SJ`awvbZp!QoXKyqufw3v`dNHjefqe7L~8GwfZQ)@rZk?5`>ro%j%}lrWexK8H|Jt5gC_?j8Sd%i~o8H^I$nAVO zrjj|o`pkpdt@B#V>kf&le|F)={gifF)3Y6^wjAblf$u}OK4jwudp+Owddkid_HvG| zcg9D*S?**TZgUH>@=o^m))T&Nj;n1zkD*&Nuo<&#p5hh4-;VCm(>?85+X(*h*{#J8 zr6;|srEXqI_s#J~X{jw*bMwktafflh%E4K)cA5C`$R)c=k=Wqi)UxC5&0h-74cv0i zq~YnkWRAHu4bex<8Yg2ya*G&m#3C?RkcXY0nU3pBO_R^RzrJhs<0(R-NFOj-!>^N% zheeo`R(`rTP_$unRQdi`f4ZXMoh3*{|NFqBlL#he-`Qd1jfiSGtI5JCrw}3$1ol7?_h%>onW=SF!78yHv#w4VEU(!Wf7^5qBB%5U za1xGo%bg?!Fo-~du<)gO0xG zcLxr_wlmHQZt&&=iTMj|FBXRJ$D5| z4#l}b>TwDU;__J0e;f@WCq~789u0zEoW=j{zg-RdW8SSB8nkOh4?BPS0e7v{;KAA` z>=sPI0khIMa@eQ~O7(kV%M9#v`_JxvsFQ4+!AXs7>Yi*k{8OhgoB^|R<=K;oca37j zh5kbDdvNi?B9{Rhli8J`cq@r%53ZpI#me5CS96&`8_zc&sKVp3tc~R1LWaSN1hF8& z>)Ln!G5AX_%vob$4nFcK(EGw(JkE1MkV-beQ?4Seb4c!r!ZR4~vh-JVI<_qEG=WQ~ z$8APn$4hL>t*g_P|30_3^J(U;_j_9DzD0ZJUZ-Jon$Gcs@iA*om$_{y-m@VJc*Mr$!Gbs`SGr}PX6{V0p?C2_t+w;&ll^p@^aao42h)Tyl>WIa|F;Imi)E0lk`DASwedLx!XS^h0` zJ|y#^B-^E}58(7%2vz%r8D_q7sCU=oR9J`(K4YKDK$0(4>K6Ayev|;8cl8(?;s8%z zhC}}}H}+va&4w-;HU2h2;{U;E;X{Ys%x#Xwj_HCnU+n*O5%$Dbs|0T>riw=ig#5Sy z)%LZrv<63FhRVp}Viv%1zw3KUE1-ia8#OtwrSN|~ic_1+X1j&aQjm`dPySAq+hMzM zLgvrmv~kViO(z>NrNQyR>aSog)lSl9@d?w;)@Pw4;Y6%QFtnmQulElkxg`6ADIkMs zqf8)OC7lnbCPi^YP=q5MTM?Ly&Njyef^ zJ6WYEyJi>FneA2fAk3Znf{S^kk#rRf{4kviW@FI*;p;D>>Ij;)VH9^KxI4iixVr?` zjl0Xn-QC@tKyVH2Y}_Fb+}+&?cF6sn=YGz6*0;VtGpoD$s#Vg}-7`I1V^6If({H^g z->&_l&nh~>k`zlZoL*>7_g5JR#9nh7e z?x7G&9*utI-Vc-^1?IV!KYjK0a2OMNGzud#j)jlqa2@MP28v0&TZ^v9n z4Hf;x`*40hY@m0;(|tp%>-Oe-@|mLR7Qp*#@>w8sco)BXRd;*mzYduQH`C9Hn2QV^ zY+%E5WV2$sSGiQfSE;>MD5kh~yPTu_xpA3`A3I0WrD{T*N7H@Q6vl4K_O>C%%huM# zR+RAdBo!}K&a*a#u&o4O&cGJi#Ree%vq1k1CG$c*vyxh$_F!y+lyLnkYCg{aI_svr zulbd;k0`@d>*j5hm!^D=ID>hw)`jEA1qU6u#>(zCCYM^(j>py9DC(v&i3Yil;S;x! zNdJIW3DcS4==7_@uh3&Mi&E9_=-koIhp_AzMG__ynq4Vm97W1qDOAj98m;#-qn&+9 zcCVhy5aMrgo%tced~!ZnA>B?H8N|35#9VTF)IVwwB5JR{9ijiw!hgo-{$fyJpL6MT zo7S`8_n7~|dB6Q~nfb5SV=r_Zw!sc)vf(a-B6c?XAK%ABE9~@~j~)s%Y@4KC)RqC|$1YRCOu;;EYeO zScQ$d^nIZ){fm+L9LK(ydMqo?s#3z7ocYCyDgF5Jc;}`4DUhk=_aLh4HHF^K6LNW+ z<96KQ=$UrhqUc}kttvRXE{>^8L#I2|nD*N}9D_|xt*3j^LTLwHJZp+_j=^%9wBNX7 zZdEh8m%j)lAM2PN9L~OqmiU)P8yxQ#xH?uc0sjm_>Ahw%0T%~rU9SoB)_z29p6qP8 zI+il|l}2~CT$8jvDeB3w5BiVxQja?NF;ALWT{K^?4GJW+0ys;hZ((`HN#q^#oL+-9sG5e{ry={VAO3J)P;jh3UOK z`lBfNqcZx#BH}oUj4eRUFzf(F3;)qj@#tNgzxCx+O$bAa((kCFDvVN&)5(M0;Q+h{2GP z1=e|DpXcEE=ysXOF#7SP1L+rB#UKb{i`DRJ9g-$W6_^G@WxzZL-;l8mMHAH)j1wZ8 zW!yZ^3^Bel^_;Ui>3qwLr6swcW9h=Y26m13;K9j_Wc6)=2+Td9ZJ5V9;@s#U&Ty&- z#Ja`p0KpB<56%zI56zFv56O?n56h3*3DybM36<0L)2Fm5=06ha6TNU4DT+eo2_`3GM7{SEx%yF$Yco_YJw zrUs@LR8x7zFKo`Wq%U;NwT=(2&Gsyh)b;kPkDL~pM&4O%?yR@opcfD$gO8V1pT zNI-H>sh5jEyfxa7J)!}PGRzWX-p9Ug>Bn>g^ z@RlHKp_{>1S%*>bOlab}qtAhPMgQB6Y*DYqP}yF%El_?5ObEmm94a5gIX-Z&Vou}^ zR4IZfLMVbOLQaECLrjBDLo$OgLokDv2BZf90sw)F0gHiLEW_FS!#ezbS8X@9c@%=Z z)7u`oUj$cCmv*R#H}Ob}LgE7z0~7Q zo(+5=`Ty7;NBh zpcu$#3(nySHw+=H0vJsoO#n?`X+UY9MSw-%VZdP^P5@3|dVnfu97GSQ1lfR2K?I;| zkPc`S#0Rq4(%TZ)^4)^m65FEKGTFl1Qr-Ht<-CQsCA&qxWpjqEPs-F!!x5lJ#{xM5 zQ6ET1iZcRv69`d&Mhg)Y$U&{Z`rk+mm;_-P($^s`p`3xaL39MH3!~P-E+L*lK0v|- z1cP=#WLqo_P}~TFka3VS0m&fD5(9p#u?j)6F)V@i<#cR4fgfE(QPfgUHxlTQ{Cea0tXwgkKoQEP7f5#B;Ow4TNN{3 zVjA)r-h$54OYSLpo}!j(dA{XN+lCoDOo)F@-EEUQww$Yk2Tr~Ftm)Is5Y3?zSb_BB zH6abfw&NM}uz+4&DvQb`jc)+RnzT0Fsf7kR!y0tm|BcjEw*y}8)zRkYHlV||%0KVH^>?El1v;(REDZ?GY#BCDE-+FvoqfgP!fU zF&&=VG2N}PKVv{P;rpe+W53n@$junhW)z-&ZI>xw4o9grEs9<`UD$ zmgLa>9(&MY&7oDwD}U0jkt{h+_(1)76!5N5Nqwqcshk>)RG5BF@Dll^5ly`~|3kUd zT~F^p^mUF&V$O~Wa=|6Gc^JvMKe6}40JTpe#ABH+pbnaBV>4>|3L+ggoU0Yj;oQOT zZWcDuKogJG>?ATzzoUY$W9(BjV}R?}d{@WGQT_4(U%O~-RA;-f6adsb0F-3lKTAL1 zzH~x8acL8iZ(qUNCrGKah|f)e)U3AES>il(ie7c8*ao*wRYD2BIZ~^Zyu#RU>~q3W zC$7IF|EoBrN0;p4xUk++*`+@RC{?&baXnV>U3OcQ|19yVRbUx4D-6QvpkW`W1=it_ zZB$#w285=U8#i8Yrox6Vmg6~a@J=CDAV*el3W}LjAqBI=4&3O^bLLnR%$hIDtF0F3 z_UqV5rBAl|NQJ{*lGoNO&0y8l3;@Hbw1dARs}bZw_HPtZ?-QijD|P1yxGAC-Hr0R5 zF}4m($Q9e>)x9M2X+Xmu=eSC2Vm1Q>xzBn`fDi;B-<>%WjS5nloAW7xEcvlwAQOZF3+(;SvlAdX(Mo z&Q^tV=W6*Z;F$KV4U_F8%hx}0&wg|dLb5fGi^RJ04qME9dp zeh&OJ{wh+TrffErPA^j|qh4}YQ7P{_k5$sh;r7jTK2z;-o`btxdx3+u-8@;lvt2`K zaiE=3YSBog6tK8|w4$|W$0fEPnrxosLyYr_&5}|5opnE*wr7cWRQoGzokpGBlw0Ok z)RFJW*bdG=zQ#ui=;HRz_{{&2^%zEX!n)-*-ITb?PwAMDpB>b4|EPKJa-5R;LSbl@ zMH>`|JTwV@1XKSCvkyrnOcfCB)hEWE3YIENlz=6ULJLk8$Pmb>1fd3@Mb;RIQGhXm zP=wqFzAmf*Wmmw6QFkLZHuybCek{C_r5qy&iQy+0H!-qi6Rp==0hVF5+)9W8PoG<| zIfZVRLy3(1djQ9xcy6Ldx+is9mQLspxMb~W-e%kRbMa(-cI)}` z*Tu`ObDnnFg?&C={%<&*u7hzk0j^YWDAUvX`g);7^k#a+>quH2-)nAe9c>?0&1?bP z^3NLkI!iCrK%Rj4!O!JJxItb#(8scMc`v(+T@+UHw-scv%u zvq4#1wzYsZJwAXbi_Ja<*An(_WeF?N_#am@{!f%<{WnT;Qottr$ugo(EMP4W`-lxu zvY^3Fgt-ZftOCC8+cCx@V-&cVT9E0G6qFc(tWgYgkjHv|Siu(Ss;{>3a|7U{m1t7jZjw(E0T4U4pdzTU4QdPBX) zB6>uXHUMDz=(`rcipy~oPDTB9w;j~E{C_>QYeEZK*S_Rde-3wJMBQn}mNME>ih^#OP^HL5dA(m4gX%@Uu=8^*I=MNVLq(RMVeD7`eoN)%k#P&i@x z+~7g@YKqL;L{cN_bKWD}(MLjG-OFYF8+{N^=ZQ36>XSnyW0(PT!b-pR!Qo_~u|`fP zsxaohh~7g#%oG$od6k8u7$sJ93pZV;a%{yC1>`o zp{)>P6^{vJ0XKGU153|nm!1&_`*|kJ4Pt6R1a^?XMrbm+)YW*~uk}tZ=nLU>w!v{D zwfkr_a|Qi3HasEn>_@oWY5wMBAz#1cEG^rF_fMgOdxoD=yK&348C|0A{pa=nlc@Qa-1imJgQHa`es+ z_nbOiiSqx6)ujK4RW61Px{|>Tv1+3xF?p2`Scj%$BBPVLlXgNqzSH(|YU|ijslZd^ zEnD4heY{$yEb3b`U6e5hxQ%dFjL1cnFy~U5VGL2;U`9MHPt*btbzkK|Z|L#XSNhc` zQRPE~4A947?w)-la!#avevU?Eej!fNuNc_#?k>w!<1N`_mE@w(=-mVwl4p9A>fMsh z*87!9CS3oc2zrfQ$XJ^edg5SRxY#{~T8Wnz{3W+&P2rr_gP!<@Oq;As64O-si+j^z z^odm2KQ+)x|Eh#6GM4^D|36A}T+g&I^ExD-em^hZm06px+-SXygW`DP+wFn4mQi--pK~X|6A1 zr^u>rmJF$0qum!*WlHP`9Empms=Y)Pq2k9*mFka2rg|kYhC^>q==1fZOdYE@%5sb^ zwy$g9`-}UdNJSb3AAQvrZK~A%!m5@K?@ei*PMJrFb>Ty;*ogfrmr&Lg}kYkDoL5jCmQM8vdRsq`9-g~`-kOBh=uG1ZoS&rbk>R5 ztH%53Wv?a`UwGPqX<-5<*#(929tue)v>eqO^~Nwn)IKMzt?~LPN04{IcBfy zS9!CV;v$vOTsfz}F~&5%QN1Ei(G~8yw1QLIrTmf(cuCz0xPeXe*Nye#{vNJ&NijyE z{+@9&oGR2SXlN#0uClQf@HwuJfTB%Ml;Bdp@otL2X`#jfte+18N(Ts^U;ov407t+P z0@+9*x%vn{9|$1XuCzwLvjS0`y-|FOo&Mo9zkIXAtHWOcvxRm8e+h`;ZWJa{f>DD+ zzHtu~#$lm}TO26sw3?1kF;DS7L@zpKeda@Day6gmKERtT$}L`5uE^~dwA~-1F?|DiaBv zWVKc?vWd}FTOuyv#Bv)d6AN8uJyF@N!ktvx&cU73*zUxgRNoH5odj$b;xfj00s~~v zK9Hvs5lwCut};3qvirlSB*(i}u;Sdl+5o(oDoq-PD)mk+0l(aG0UczL1YIlgac%-O zT;5GOCXJJo>lcV^`t>7TfIu>jbsVu0g6N9kDYpe#h zh*d=1n#oERmL1y<#l~QOtw}o98YH?f4N*At!RrI~}p0y%u6{ASIHJ7y_e3hU` zlD{3biESqZPm%r3t1*Hv9^28=SIco)e{bL`*M)DVL*sJQ?&r9U&9?%vkmk{3 z=1BKwO;2d|)rP)n<%E0Qqp2ybIjYH3-%czCziWkBN@se-ytbJ`!A{?E7x$ywR{zB>mG z2tD49_RKNwZ5psb(Y44q=$#xwb3okjFrN8Z^2abY3^%2C+l-hNygiVC$gTkDb1{bW zZ>hEVTSk09+U76mSQNE6%ykS~1oqJG4!!Doy@v$*wJZ62A0;($K9V*@Y!q|wO6Ye>yoWA?hFP-+i4c0GcLgf9axg)) z+cF2RaUpi0ZN`ghWlNG^-7=ZL&atQ(*f<&6+%coTwQ?r;vS!&sK;%#q47_V4AaW|| z2f7Tz#^#eg+GqWmHCO(UqzT`XO$`Z?mP8zE(Z%#YRL6Z&A^$v$` zhC8J*{=_LUH4D;c@M2%e_kQJKf_vTQ*!G8*l}@nH*HgorpI_@JY_D7`*wZKG6MUT@ zCK3s9j*em#Tqa`UI%W`ocZCF9dy=JVM`m1JgR%OqMT=`ku3TQju?ihC9l#XNWU{<> z$NX`fD>%6ZcEU+ND2uH`L7mJ;TDhsBrQ)8|nD*VoD=mv|Wy|)z%RkY92NqOM=GOkm zPfQ3>A(#A*C>?dl$KFP9Gqj4Pe&Mu+w?a}fe;3=?$#Bc{?x(TJ`5WUIE7@t zstG|M=O^N0Rw_j6rtw3E!3djuq^esb^wq=LcrkW+{8|ELc{%>0qp-RERs}@>`<{QN z>uaE(TthX}&I{$p!v^Jv!$j$o&QXc$1(wgt_s1yg=B5jEgs7C}r z>%5e^_u`w>`pvqBt~h(uH~rz3a{t=hRW%Uc%FbpB5noQ-A<7@l3WF&YGEC!37#_a* z7dEUAJrTNz4Q-1{dYnPx50u~g;_D%ccewuMSko%?VWaLBP=h>{q&k0&<8b-GZM+kn zwuN>|QOM(9RlZo0K1C+@d)XrjL|EOU(KX!R?%;v{z0g@g6k(JgnOQkC!;KsDoTG&) zWu>|r%ezoYyM`K&aG4++Im>avmw?%aW&xLaLh*MP{ZR$b7eIucP!{nV0k7T*{Igxy zf}VFkN}H1vtOXEL!Z(>SFyH%SCusKVXYAP*ukD`vKcL`c{4yug)3K^m72I#vBGs-d zH4Tm**bjxJANmhorqr~RpArNlLZ2?6lmmM>RsL+q%r<$Xms)Ocp%MLD6xKHkoR( z8b3K)HRwOy_?XGSQ=AKbVOB70z;HWg*q?%}-UwV?E9!@h-O zs(If6T8TgttUKW5?sOc$g~;4Dw<}Bk*3T{;JQV{+QLwLI-p-pG=K75+25~ReR9Nt! z*E9ewn!mXaU;C(ocx7;ux}^1s&?v~uFMv)h1u%%F`xK1y5qg#Zu&^e)E#ZbJ=l%gi z&^DP-{tIQWYe%snRdQT@k~A`}IniDw7vl;fFydQ&GNs5R179b&CY}s>%!{tu6Z8yM z+)+p`-Iaw{B%^wuXf^0iaqX>3%!kAdtf)-n2<~Y3qu*iLC1A+>dFJW8_4a=GJSZde z=AIg|hUA3|I3>(J>2|;nsr5Bq^=-hlGt-@E8KTIwq$sgT77tR?+johVbVJ zzZ;6H!pU0O0Nh=-B>TxYcK&hI_cL9O?40O9Xddk!*NmtrH;J0efgBR_hgu<_GwL<) z8%@*^eLiFFHa^%s@fw+hlV?RAt+S3==t{O}h;wMq3GL%gl6hu1hFhAIbL}(Dg3{c0 z%cV00-z3>N1^Esz)36deRT{IWSxdtl3-ON~?yu+b`In!;{KrNP1JF4=bQz7lnnz2R zW+iFOpEz8CPbnVGK6yhDu_K~pzZ&z4@0YsQBAY+DmKr*VbFJElsP zUz~CYEip9wpp8&Z8n2_^VScLOe_; z=)n|P(OD1mb@&%DXS&ya*bH6 z%^rEmJlR`CU{AeKZ`^RCCIa2S0qI42qNub()aqSL8v);ba&4qu)?1Q&TVbs|Ov zDRYt+4QoiDSO9v=m&2Lp1KPN*jivi5Ch;nCO~Cyz5b~hybkD162<+0ux^vcS`ft)w zsz+cnXA(g3^~*L&B6fsH@Gs|0|I|q_oqG@$w(Y`UW8zWsS;m=*GM)+`AT=S6R9^W5& zoUrhk#~gW{PRVg+DCqZ6*LN>4xI$DZuXkUI(dzu58o%zzO!u&jB*Utn?3>{a(j9ztd!owdSu|q2)v-(n zLh^!@5Q%i>06Z@nfH{j9L2U2@p!X)n5!4wfwU5x$J^Gn1)} z#^<+j^Pz)~{L~)A&d@rt{f|^Z0aK*Y%;de?aUYC!F4fNu?ooUw-o6>+_@n3%t(E|@ z+N1t|KfC&u$VAU0w}~1P3@kkIe-piU7@L}$=X!b&40{eaCd@feMSXF}NVD@~lx}9i zfCA|xF`~i3W28GIBw<0r1Y$uWLK%Ynq#eH@TXo!7irgiPb8feCW>;AG>3+H(Fx&C{ zwE1}b&T0Ct+rG4c4{>7ZLU>8f@TU;IfEVrd;MSYp?c|wX!}$7OxzgU;-CB%$W9_gh z+xZ%l-`U0mz9SFMA>1MHwj^j;8E9*5KW*$MkW5c>0&X9N)In|Ff56cY%4%=C(TL=N zoMq5bU#nH=!>Cu2GUik47AZT>bu7%XrwB_CNbAny&1A4uN>n+RMR9m#hR|tJuGY2% zH()MstXX_VnqRF>G{a^fNAuk#mElrz0$^>E*_ZI!m^MYrEr-6=lyF()mv*J;jl^$l zwAs)JC;X`6eYty;d4bNvaVIR+m8&|jYe2k|AlG?kU+!p)I-Sq2w5jX)*YDR1vMtH4 zlIBgiTa|IM+LOv#&H*;nn!EIIv1j5#0vh9K0NsmgvQi2iS~F(pIb(p@8+8jP|k(gVtw;v=D2m>?xe- z)YkDPSu;Og>^(>W)5080FSzB148|;yM8LHzy6X9N}N0 zgYcg(j$K3sp|N0<+JEeXjjqnjp3@rfrSQ+ajT&~7UR%iD)B&l!KO=A z;(<$^u) zJO(kW7-F{sq*Grx@p)OnFuNC|W4pmN{8=DC41KL>9B$!m#fq@kHFam}u(jnrLmuBd zjDvi}uIHCdvv2w(k}tH!b1pw5R`~j=?8euyIyBHJ;=hvW7PYf@3AtlOdF__u0V}g6 zhH*(5Nhs49O?g2T>6X+QPkBKT>7G2`ExiMte^KbQNm?@|YNc3JNT9o<)uA`Hei_ zn8YoDK_j#CMJ9(jQc7lrHOV7~azhs5k|L5ux-gt_DnXoC<}OiONCqikKr4w`3L}`( zF^`fdVE|1ADR}@+CMIbBSB75(W0#T@T;j2nvU?43fKo;-aX=!eRUAVxao`W-wO^7) z7-e@XWgbM8besQU+BdPtr*8fHS3IC}kc^q-W9-sZ6IZ1|p?nDkZjz zaU^A$%#Il4uR`n=Hg%mvIXX0)P*%5u^5wtA&Uqn1C~>{BzuNxn5KPwwyDwyQ{+X^? zZ4VM8x%05Whr>zj3sY$gLFCH_x9bW@aPt&HEc|<;)@`|fhb>mCt&##R_ z)I@pB-qv^`HyHiGeNB6h|>o`)dH!3kdfVh6HzKA!>Q{giaGFx;I3^C3QRvbknNMgysCag8;ykch==G zs1hw@nkXL=q~s06ay3uIu`~3pL#lOx1%uBY&BLjVcgS?!lE!!W!f+hgg6fpX5TOxI z;nS=^=M3$a%k0p%LTD=HP>n!@v60#i#nb-zb*fE}6Dl=ooz8ge zaNb@EpMc#l36{ctRRCwZmfS{Py&IDwtHF5QVeu=G+5qP& zgCkCquQd9qT$FDH;R~D=ai3|}`7dX(9>qSqAqUQ2NJ5)2o;VLe1Y*QU3vnakCat%r zuckX{8JaTGOrbLA!sOo^>E+s`sf!Sjf!VIgK(-Q=W9rNpdO0uK1*$_zwoIjry97CE zEb8o#ixKMOls;3;QB!TBJ~-;4k-f6M5VA}{)r>m?p2SQ-K*n8?+=Y5ZT~H)J=2|I> zkqk8r3nn&Ur8c%1F>&aydD3u9p9$iUn;Efw=rDFtxZ?JVMZ-^1V!u!+l2M9+t0n7( z0aM}zg!pR8c%D$Ho=J>EPbe(nH_CX=&|$DG2l9C6&-*CF&#NW#h7eO?qtIc_Bq{L? zxO1OEQ=YI}CB9U-N1W`gvJB zbZ`FY7Fo5o#UC^glDX^QJMb+0A)apV)fBMD&I z3bUx*E$e3#HB~169FzQdSz)gEC{Hm9ybSfco7IUV$2q&qVMre(Q)X3@tSXoGqC&FD z%oAmD^!e;uEayn_2Zokk27|$nDFL5h%dmzl4=`?e50nMmfXo&s1g3$F3pv_(5H>pX znFH#~ncRMQ1|8(y0QK@7bMSb)B~F%$GA5z;O6Vn87fl#P^h6s3>mC;4h@HOn^AtPy zZe{$ib2D|r;!^GJFzTRj1x;6O>BV{|HlfB(^%HWr4_Ug^lBFX_B98=uM_<; z^kF-3`Ng5({ETzo&k2gtM;Z35if&7sV(VqKRLYzLH2D*MX4t1L^8E+(U)d0{fv7Pg z+eaf&KF?rc-B$(&Z6psr5`^59X_Wx-p^$BkE(T44k z%Jo)56Z|76!1iMMS=a8PfFsbXjSW#4b(Q-MJl#^5J~m#-d(?HZR+MxV`f!}MjN|xC z-8Zv*G5vBjv^MLhjM~Tjf1jZ^6ey;u`=@ih>)lr63>U^|Fggw1h9mTWy34*S%T=v@qR#xV>^9i zSjv3Sp+U0u$r|%5-BFKQFLpjrl{jDRyPrfZu9m)nXU;LM?NeCXz{IYe)^wF!%J8Z0 zv-+B4647Eh?%MgH_EpEpYfl`PT5kTp1AIut)Qs0i?Y4sISx6uXX~(AJ45WJ(Y)`of zRNqr3IP0Fcz>dAEF%&+qXFbTjaq5GQSCUk8%RO#h>>Vg2Szz?&&FHlyCuP{bxyTBE zc#<$URQ2WsD+$*2CW7b($o)WdjSIsw6roV~Y7psw8$zedKP!|fhY4JO2D zy_nNT7HmJ1GCoP2zWSG#K#@cFv0Hs~Qc(P^dqG^QQI>hHk9-Hn-`Q{d6xwqN zM{x7vO=Np3&gsH%g-T>3=J!z+%qVIqM*rr2@{eetXZuvIaHX9#8Sj4#mc4dq;93_N zVQcC4sw1_Ou-S8Js6$%+n72cf4_QEQrM1MX7etYM}BhRk27$wPC$MZ2z=2?pOi8cyWO?^$2++--=WJ^wHdej z602$oz>L+9G_Ts4x)B;WdtpUn>d3L&K5;^Ho;-S<*L#jHo8itmvl)8YQpb1xpk{1N zo9ED`r>oR@`k+fa-ajclx2RXh zxOvo|O03R7n-jQgC(rh*@AlZ#_qHRU&Tb>nJz4iY3N=IDncxz-`kjuMm=sf7KxFRw|T1TTWHlt>E+JsZd-t{G;^| zo>J2`jmymTsmCZ1)}5kT7z$o@VK6W)hj00El}{`jNvJrONw_5W&8hpFOTEGG)1^p; zEed)MPfUr?qd$!8%Rxw7FLKG}uMOgQtz4r)dsKX@R5|8GT&Zb;!)A2?U}Oekza|DJ zc>QbCw3;#>?lR?aq&i2fTCPmwT7yYmJ@yBFK0BK`3*AosB{6!oa{JaNM2%}a>(a+= z5%jp0&y_lfnq8A6Kb`erwhkU=F{xJ-iVprbvi{p2*86k>IvV*^O@b)3Fbg$9YC^sc zB|>=+)^((B#ZaH&rqdL)zAICgDR&n!Q`WZS3B8%1yT0ZiI@V`djuUMv2Sdea45=_M zM)NaoEi;8_^ZEBmR%DG;(@`uE3$U(Xl22^v?YI z<4q^GbyRbJkWDO2QgfctT*uZUaz)8XRam(>&N9ODzDpYOmx?t>#@zC`8v@L@p?*}- z^QkzaNh{FG;uk~#MR2F{*@-4hCp&QZAdnn6UhLq}pLOF9Cfqg=m*A}9W?^2NHTU*E zN=GudXeSzzN-vt!saL}yL%Pj+8z5VLe0i^;L{onJsL;1w=~)uxei(Mpg&l&9e<7s! zdNtlWlh``El8KQ$IdL@8vxtU(OwUyYcE!&Y*BF-&w&U|Gnah+!piM&98-xX&Ncs)R zWJ=2@?Tcwj0_sj@=VpXHj|up(=S!l$AwN= z%C*@l0pHVanI>~y%yL@5rj%IfNzX;PM4w^mQc92YC#!N|=Ba_wftG9Z!1KGu{D&#S z*$vN&Q@9c#QP1CxHbZKcyNCQge$t>LhD&0ke7#(dYm<`X2~g$zDz;ICcY}gw+5?w) zCAD=C!L}R7iJM&RL5UA1jzjw`>M)gq6=e)pE75VZLD-wiQ~KM6{CXX#%}{41!2|ka z3YTL)@hnpLh9z(=j4|(!bHt;F(>C0ED7)S$dXd7W&qS+|>q|?fVnv`iJJ`7u0wsR} zT3^It@|rI0wowF{WT${Qy&S_uDHsKR1bSbDyZ1i=S;8m5Qw(!L!(*ky`|Cyg@VxN3 zyy-TcZQnNtvbhZNvU9X3y(sUZa_OYLLR=aGF1dy0B7_{d&iFyJ%xJbukN7AuHZC)^ z>vv2s)1s8o0jU){a)Kf`w>g$&ZnJumuu*E-WFjs(PMORz{eDx`l+lYna?OxQcEB?$ zE1W>gp&3g6_%)tH*6)*j{);00sX+@}%b*Iy`qs;#6zA(WPBGI)*jbB=7&o3r0_q~Y zDaf!<%k*2Ve$&SB=Nu!pm3@B8f5dz)ImwP3X54>G#Ii{vPv|XI2SzkS-%jYwLc) zzjqeuyL}{66a6+9%R??=D${T3;`S23V3rZ1P%ds79X5Kzz>Kz>WW>fR%O>tN#)6k? z#z4+zmk~2HOOm*5|9RiWAm=N}lyj{Q;9OaaDVouGB4xkk^*6C}NsER%KhK&;QH^z* zo&8kn@CI@+$kDi|1r;OO|h0Yvr(+mhodTr zdrgqT-aB_o>8Ebipz{@n-EjTS7sMBAxe*$_$RE_*dI`1D?riAtR7HWUG;Ff1>Senj zHd*lATF!muJjZHcOCU2Qt^y2>?F=~gb#R`JGbYQw`^oo0$)lfq?YuPMOy)LX z=VF`4C*RP?eHrPH2Bqcm-t1?Z-jr%^(fH;hnrT_4MJqiKa;17f_ZJ z@|VDyp%iVPvnWxltvN{kBpeaqTNFFNX9T~RN zWPPCi-$xkXa_kLk5x~H`%`tD)-@Y zlVO>7Z7J;D)DSBI1`toA_S+_E!oSOQLs*u=r?L`42|x;l^JEvV^_8dvy@DEb=BSIL&J zu(5L_dxTRbOHW_Bm-#l+fvviuAWxT%SE(!iF6n1uyJj0a_D;Pe&2jagHiu!e_MJ;a zm9Ae_R;3!|YY33N&zCnh)oId`+Adxx3M<)!Ix0Xd>2WVxktExv8pQrY$P!NaVpX5# z9;Lc%1%&v!Gvsi%$m{a7RF zXcVO8ybh-|DRgQGfbnT7_|KCB0G6+@ohkV-qnk^X@GG{NwDyCl(_eptQ!?xP#LUFP zr_;?)GpVtUN}l(gA1zom&kT#?g6?}BJ`1D@F0bd_6+div3v1vJW=cfo23RiKE^!c` z&y>JZ;r_f|RrtHr=jh7~tS3G_2&sm2y~nxUT+~*!J+bv1D@wjCOUKxUFB%+FFEGoT zC?^%5NhU`rW8U`U{Ps&SoZgexwtSvgo30Ti!P!l62fE`T1^`&T> zwRHhK&_|9KuR6!Dqgnq)nLrJJAuFB3h@}(q7bKO@REOAV4T7`2-;ntT_-93NqH7Fu z*^G}r_y%|zjT|6*=#DX$Sn6Aqd$?7(GUXVRWY#j`%@NWDk)H-L+g0t|C6k8ADC3+h zOANKvG!1gf35t@F*u|(ENhQ8PkMI1}$tjnhR;5tGfVXB*En`Tg;KdCwVaE-jV8RVC zwX|!$*4W|a75}?aj|xKtua&*3I9iW)T}xX64l~~idSh}a*T7Eqef?2sbV9mClN+HX z8KiVS0u_An`3n>+Bn%6PZa&ittbjPxg&ocGn=)va{UyNJjM)&yQ(DT*jwZafIIRhI zrH2a~3>CP}(Tvt7K`>)x7JLhX7=26{p_14YR6uEnD8M@%BXu>&Ra6WKqT(k{N&KQA zaAGWiDg(mya!Eawx*`$^)@Av}jpA#S*BoSUQUK%K5&tfFrvr!-pwjmrW~B!k@+@>R zOQOkBY~=xq^tgN4a!Zt=c;QAYa8havaBWH$NTL|ZjK;8rN6f=w&kO z6g|JvyPss56K<}%7jy#D$dO6Ebl@*&&3GNSprV&+fGc{X%@mU5AlV@xRbx?#W20;) z6n2ANoZld|e7yiXGhPQFlTk998G{K{GRU}DimmzgPpf8{Z7LJRe`0<*LZm9|6CsfF zE0j96;UB`AmsGd!)86pL^OSWN=ZP_l?8m(Kh|q;Dt1$%gXaJ}TY+RWJcfeQcuk4PC=3Yfqv0P2;`Eo}tuGEh!*$ z@>Ru7c6#B$Y&DD^8AaT0VS>l%Y>0M{8na1ee1pd9AvGCs7fm4yMLO*!^0#E63Tp*4 z!JtvyQkjkGjCxWGN)BRNc8Fptds1_he0ZHar7fae*4~mSVejZ~kzEx*^c899(xFm# z?9{a;HQPCLPCO=lxP;XlhkQ6I$|TiYOlwT|RnhDkXQ#k;@H0QUH5yTZ(Y?8+5PXT9 z<|SHJN8cnQ4>ZF^wQa%eS!v4BA(}aAjOI{Ic_-VxVK6rXtP9K^u_kP|Aw~4KA;Dj9 zL&QzaAF7zc@=m|=-TAOkM|SpU_@=cBJil5p`o4k}j$SzTt#HsQz!E+-xaDPYJVoYd zjpos6=KzEB56qGM2F$IOdDWS0Lby>12eq>IKC2GQ>iNnds?c}14gFI%?)4oR&hmt% zs5+`G9owaX9f1oDE|$y5|G}&m^{N9G;9S%=@RfG%;$b|s`e#IdkS6t#5q|{mbxZQp zhWd!%UFviuwyKnOT;gF4+df+;j{@1Xb1r7K7`SY>c^hrD>C-txKg)(G*&Gl=>aj2> z+@Flqa`%VY^MU}2Fs|yqMq?LBycsggoF68RDhz20nj9&>KW*?iM*VcQVWz%XD+MeEqIYhHZeUGzVIvgdn~sON^%K!_#@X_KO$gj zh=!73h?eB%*z@HOhvItGWrM{ZHrPp%9%w1%I?I}yEp~SvUy?RykD>}U0V%Kl=s)>Q%m!PC(Q^DgR4iMpPOh+{ChThgLCi2A_EADzY zyDTJzcIr%J$%36USDi~XGkDn%Xcy>y zO=EMaKDU`dBbr3AnG*4rI;(fCdj90Cm227CD-ox$hyGy2!E+8Bs7LDQo}Ab{B*zw@?wuaK zyGT)+UI3+Z02DX|n1~anH9Lh>qgM3dug;O?GuZIMQL^!7eWGm#j}5|`?7N-1O@3yS z&^{Ety|JpXo_e;16QPz4=13TnW$wpX=F{4$v9XG=+V}J2bbY_$RD&Gb?yJADLLU33 zr#PFw*UtdItG>}n& z00TTd?``j*F2E+C`t6Lz^?t>>6Cc4jF>&nH+Kc48rJyTxJay6X?c`qUjLY46{_FpT zu(trJWBI}dFB06{ArK(A2A4pP;O_43?gV!N1b24}4#C|uxD(ti794i+-u`y;{UN^mbwl%!G7ZO-L-EYZabL62S zC-J=nCf<|XA|rmOFOvnolGL{1)BrQB%(C2*k5ok|HjB@t-u2$t&Cjmf6@PiUzg3rh zZ`@k3t?%KYaFpWxrs0Qd&Z}T64gNHW9}dnnJ0i2w-U8)CSEj6P4?_0e>jVN?rI-7S z*Ey=XmAbN57X!pv;)`4mm$WJtFA7h6LYdTE=}m7zS88V)&Whab3QXR?D4>v#$;|m$ zHs|AsMfEmMXK#PAx%|k;)=IOhRJMZST4c4t)8~YS=;+GcPeW5tM@1GmKPxhM1FdbX zp%z;)(_7eB=cWx{wL-PT$^0ADe!8;~PZF9x?yWBm|9pn}CZp3?U&%INtss=ifm)SS zGm+Y&WH#GsxnG5>ebzQ~-zQ2IX!#ZOf&1Ryt8BJjXHA^)@Q4uypP9LyrCZK+?WnwN8RfOFH9cO^{gv#;V3dgZ4Ak&T@9FBTpxUTAFHtsM4hA zltglD$Jwt(LUAki#=2E+J}A!gCbD%`)g?Z@7Vn^)evMywgtAqytAh4ZHv8fJy&oMJ z*{U@6O(r6nIGbGOt3rilxr!aBe>yF+*``be&LwjNv+!pNC0IFQU}R}8udnnN4eh}! zTJK43O|sB>@?oWc1dOQMT?p3OMD19 z3ko;%A`NlS`Vz}Hup@f9>H+y)+9ZE|9L0s#C5tzN8?>dMO+>qt-{e;m5tygJVe0}d+cjxVaR+Ee00|B#zg)E%I&EJJ8^UF4cy^#*<~ zyR9c}$^DG}OkoTes?=iDTU*97OiyRU`3^dLB|wjN!A-Z_r`e_EaDY$UejCP#%|bh0 z0a&Z(VR&F-o&ZT81$$pzSBcF}iF$n}}AE`#4jesZQoa21G9%Q8#} zraV>pwi~F?p>Nsj%%+^pHwCO7v9zrO+~}g|7O-8tMMzj(RPp7?m)u!CHMO?Zw!0{1 zcU`jv=kk`X^+DOdT|7v7^U z&uO*Cs_la@#u|*j?op%#JAiyGKD1eetZ0V6kGhkZu0@-&o&OEX{|hU30E}6AsuTT! z8v~X&H%gVg$ z=qvgYJlI?mX56>xh`>-phC@_A%nbw~Z%~%A8bbRjb&pW`& z=2!QeS+5gU)t%tr>49>=`&}!h=gof3)yv~mt#enQ}3(bbDxX+eQkyO z?)F>VK4I9vK@#gU!AD%+r&)Xi?uVcjPZG?E6OK=>FLKqq&z`_%SFgR#uWhcj;3uYxZ`2Fd#w$QuT|Zp&aD4(}%94Wr(Dn>$^?j>(YorY%_OJE3~? zp8d%U4}&`)vt3!=*e3imb9d4(Qtl?5*bws!loC*L0t7P1jYS`+YYoX9!hhymRKHo! zQIh1l)m;s;Ud@)<61dIgj-TU6m(VvGxpN~#P`{V7ehYO8Ou_MFK==}d>^B!8XxbaQ z3?2%BJrI07V$yzyx$-k|e|c)32tC6`-rOW2UKN6Mo9WhZwr>(_p3HPvC(XzY0{GFPKZ+Q`jL$Ssi=@ZCwzoR zniGgR(;a%SiOzGty738?^fxSW=K9njVC0brdD?Sl0n-jf=YcD3a`u#>Y{C_ggw4%J z8nGzNfN$^--jy!NfKO!0b)-_B2pUP1=bl9*%@35H_%@QN2yDmB2)#Fx+?6iPz}N5< z+Z`*(z)xh$cQjR=h#E;%;J!kn;DOs6E6w0eWGi+Z|JOU>%$+0BnhEXFmt?$2tjlr4 zQJw(qTHf9Z-g~_wOM6b%iaKoeLX%?Ok#$wy`-b1rwd!>}d!8nnbrL-G<4*d(p`P{h zPcS9of;UODr$W?G=nZ`PFA=}##Xeo`s1bHH-!=3x5&IT)1$Q$olNJWXPIu=!Gxub~ zZk-Qa++yB6N<8@x`3VMhW)EykPK9R|22M_Q?;ma=rd*M~_@euD26Y;ZZH_4#d>`0= z@zZ+Bl!sT6o&dYanlUinn>;-uy!c}K`62rG5&Ct8bjod>6TDRIoWs1>ZlB}4==N-k z-aWp1vE4aWy7PMD=LUAe^}8*xeFRoX@08m)hj<~`K1Y8c**Rys^FsE^?%z089$Glu zByn;)ODUltBxu;5?wV@E%`BgHZIjjPWP^I=Q`{rE)K(HmkH z`k8B>(XHhsez5Vpf3G!c4*tE!jX@Qxdde|Gl%>xf>jl^^5=c(`{svW~O#lG#JC^=| zmi`o${=F6-jfP>z<$s{2T^Y2$>3kMGS?qRe;|g;F>u_B(ci0DrPuN(0Y3K->hth9` zIArR-rYbPdML2}|tj6-pULZJxy`G@t9;*xoQVX*vLYtL-TcogMBaL`=VBVcwW&TC* znL!w_Lptt8ja-oHg909g0^a*PW@C|V*t<_Sn^t)_!+orCTjjWnGu`{oUy9yvv$7Z) zZx598+Ub-W=Hv#>lRo_ph9{OjB>Q#09E?vqcBsFFnzTc%mlK%&jxsmHjh+|3qknN2 ztXi9(=7aU=6-K~V2(71imuuwe4si>UoLB?@4z33lNk9O+)zxyf(Y@ieLvy=RCfJAR zlX62=b@GYt`Pm&@qt{-Grmj*;B&XdG*-7kk@pi7#gn6S^kJPT+zjLEl*w=p;_rlF1 zqIY;)^ywyJ;3}Qvg~NTsqk!vW6@EKlyLlrS++@W4Qc=Cx&HamKoht3jJ)$lc|BPb2 z?hC+=>V2N9UH5^y@YHkanX6AvH*@>qcUn{*KRw;DZVL`%A zy2hVLxQhbK4mJsh-5alIUmOjukpW`7xMG!2ND#MqPLRHDye_vVe*3-f!IM{4hjR+d zo2jpEFAO!YZ{Fo00R`G*2hB#o=%-DCq&Lpk^<7*xDAaj%d4bzz@JgYMG! z=rO0P2<(mCzBr=a%fM`(Pu&rsuE$*5Itgg&D{%Ee(IeJC89NTYc2Ivl}wfIHndO{)5P& ze%%}WmgWpQWk3DH=%a4nm2TMLrM#ETanaLutvRTOWgqX?Rc<&sk&_p>#;C=1-{Orv zqwe#RMSigzG`zIwJ0Dg8Sby=I6w_(0A`SK!i>QGFUQ4oOcI2 zA93Wq!lEA70%1_Iuryn6iYWq%Puo@WPvQ@B9kttpTbr2^oFR5B9W2@x(cO;TJD-Yw z5q{FnoQQEmVp%at@!qeAL1mfWVgN%^$!u@6ZJsm_ieug0m28uc@*15vfa`ye0JAjx zI!1zh?AxTAfNw~Y8V;z)8@j7d0jzASe)3{_An*{;EIsQWd*m2PWeaSdQ&CUo-~IWs zF9_II_*WmFe{YeLueh&kuI`+qgqWhISO(wfqE@o41X<$y)D?N9p<;PHnx+#XLODJ<~DZyNXt{83i4X61oWJ6 z4|=AuJG>X{r1^C9;JH#E?1bD2^~nhid93Ru07)BS^9x;(#%6>CPP3tA=-&AVjd$|j zA;-o1cM;G{!>pEvq5{=Jatn~vvwPR z!AkRs5WX6;1HO)ro#v++yqC=j6ecr`O#NtCZ)9N?Y(f}^@-7#?!o%$tWN7AN{4wY? z9YpdBa4CI9-Q4*y|`ZC&Kh8DMXY#xL|nCP!RId3KkE+0i739c{GK=p{B02IHVj_QzSH2j zBO>gGJ$)N%&f@Nne@?mvBr=zrbM9#;2uY|k82f2wMdpeLbdxz+isXSpQWbskw= z0i7ld@+vKaU2I$7_ph$FcBqA2lw#obkE@G~gaA7@WFhp8bZj6kw2$i?p2r$+si&9i z8dNk_C&AWObZC<3|AfprKTWCCBpq4;LtXagkbDeuC-el#?9m-VsA`}x+ipP&Fn|uc zUVSP~U=ca4ZI7J2nbXG9Mxjo83Q6=iu0eHgK}{^X7vD_3W@lpxU%$oGPYW7?C*gKW zMJES^BvZWYaTX6d8@wha5jl?Cw`Akl97h- zHf}_TJnW6Th@p-lo?*(lhJsYZfv%w_W+!FD^bnfSE9`}Sh|~)jl%@KwI9Tnjz&BOZ zpNydb-XX^kIrCYBVL?<)Qi%GSAZzrDV-~%=jEddq#{8TfGQ=Se4txALD`bdUAQ(2i zDNGo-_NB(%Fp@8BId8#Cc4oos0m|XICwXd`#&5n9FHtR%LPd*HrYs~vS*I0V@dFo| z*p1+Gt+6r#bJ>~4FWwERNs2*vFgm*aBRc12s1tERzEdb`)S7c$56~xjQhhHFl(k?E zY3~MQ(>s`ZI$r_p(CuV1L(-0~zWbw`Ge0kTFA(*zF5N-pr|D-9Vjg@SWk}_{_@k(J zB7X~C_+ZI(w=%)5Z_U6zCoQ%-Bd%uhSyg^5z-@XlDT-2e)*A-R*TeIN} z>j8Q^P2ya1LBsMRyc+zXA4b~#wZ-_ig2ylt$affN_9Py^2w$-8`g8*IT$?}j1!p&u z^UAo@Gz!WEJ(^8y{F>cpuEG%?rNxt#7}Yi^^QARgdN2@MW_)H&%XRlmMNHmDe->St zbfs%17WfT0?{~CW6}FffJ`qDl*yQEBhjmA7qdG&6C@-$kE@9T=gG_ANF`=Ko@afqs z6}G>{V3SkrjO^t6qybCsi%-6AX?#N)_p>;>f}|vL$wy(MIFNLzJJPv^uW~o&H~EVv z#tK?xyR_GI)~qVN?6KTdGMrwI-h_4eZc1hG_pFXHjQ)0SnCjKb#B=qt(XdxMKfP|f zZZ9*=K`*_2JsAhy-zyGlF?enTO*>>#0a>-es?YMs?m6aJcH3dzQJg- zCkStgPlXP^#&Evosrcdf0zm z?|*2%sfyaaXfm=1RMJHvx)W9BU*Qyq*(_bU!nfq;o0<5Qi98XX=98>Y2XXQJ+}M37 z=Uj8cZLXo?9LpoMx{H8{6MPH*)ZQ>>9hNgZ;Z5R~dw!ALJhiWqLF4dv?ME4|<>d4I zf$T@nGdue7QM&2Hn@Jhj?i^W_GuDj#1YB0R8y`j8noE#wOlu&-$#MbgVuJ)~4WcSZ zmz8$bD#(5%fe^7Hnr6uU25m*_Tfi!43-5i8fiCNeu`p(g$w6?(^*0Jk_ZrVI8|=r& zKs}|CSXhgbS8WFgVnZ^da$K=)UymmNo%VYOopz77s`Vev1Ta&CkEpEYH+ZW@`kgB= z*VMmZE^#k7-C%=Jk2)2-O9#~=m~QZXF%|QD>9o2#{&a`@bW?ke^YSpXHh3c7d&|-m zJ3s31uqnor;#}*5tLlc{gfh^E_XDv#`wEtJ`{nh8m)M~x0ncIMiX*()Nc!O}_-T|- z8|~iRI}C~7<8*Q8(kLNc7h||PXh(_E2Xg9`JtaU6J7E9QPTYjhy|7()B9ATIl5ZlujN3;pCQa%N@zLLC+}B`%o)qDVmI~3N z>p}~eD>pvBv}E*5O8k{tXm-XuU2z9zCnG>?BCr6t29VrcpZeDBXJOB_o~F*rvoQEL zk&tA-&u%A&IZ8+BHEOUh@O@612Qv)RhjhXheHde7_@V1qo{9sF%;)=Q>Z+2oXhCy8 zq|sNzxSZ;{OAJY;XX;tPBg-1~EkZl{FO^o6GivAZRk=gemIeDtH`wY8G2=; zFigH7<2~EJfcB+~=kKHa>yw{MvJ#^q&91tAO3-YCc`OFIB5B+AOC&pH9cV(+zrW_) z8$Ki3-@eb|Fjmu8*D0{lbs1a?G5;#V=bp*f`@`F5&L-@&?@gj!86s_GK4Zy~?Owph z=9v0g=MyQiYExJRqlZx#U3UbTEv%|pcTqE zv?a|_M3;p+dtaGOBv9_Z``T214P)4JzGc9JCQ_NlxiE|H&3fuhgZ0K}p7Q?A8b8oI zDavjo|DF5#wAJ<8#ec=7`=O(;^|7``=pv-E36hS8R+US+!oEa(3v4rjaIra{u?1GG z6Xt7nPz`%Jo2x)WwN?#-<(xnWrJ^IM=`#QP&Hk%xX(Zu!NOPJ80hjjn_Z2i;h{?wg zDR9TzDiq=yr{N9WX9hRj1tayL|KoN<(OA~?vp`&jAlb}q`EFG|a&2SQ1#kH2l@ zqkYIVas=*fNYt@U@z4zn&GaKSdb=Q5-t8lP-ac@G8LiB+| zq2Rn1e`X(%k7E7S?Nu%CwjFt+y?T@KezJK7>JtIDDT@(?(o70BFutxZQfS!XaGOgU zH-dc8i;7G}g^|B{6JktnMTCU}x{;v!oO~0xo>sz` zMyJkm%XpRQon@Zh;6=tH&MOLvT6cIs4b6%M91|)(ar+LyVSds-4)qc4Fkj@eph(a) z3wc4lmgMKqMa_hSkO<+=2Xv@ka_rE8{5s;yBxOjI=B^b)WyB-Wd=E}a5Bp!&a*FD( zKTuYEIBXsSpOje0J2uX-zvtGnB>0w*lqTyqhc&`hLemwWhA~h|V*n(V1Em%fzx_)r z*cSkEQUswedq4t}SESyNQxxQ2DT!D7$3@U8S`v`S%0Ts%TKG>yq{%w*S<-r7filDm z(k|XhvylW_$jF#+2eXx;fvWzw&yp%EZDq+bNt5ySWsj!Ptf=gk@ZZSMS+?dCZRv~3 zsLjohR+JpBB&Yd4e4D%8RZ^T?P_leb3mH{Xk~0=BIAx(P8k5yYfeB3Kw`@rfj%NdsLo7Q}I+?165u(vlvGlvx*tmh>sn=6`?Axt;HPS#lyN1BS9GDr1109~+whw)kx^7==ql z7WSq86KZZ&8)uc>xeck1_bEKBe|!$c06eWh#vf;CJ?0N*$-V@blQIbOxdU=2@)Gq9 zvXUT&07*RRKMp`J06O0@_xL<;HJN?fZNGS=mH2(eAl2|?j|To+(AS3qVm1n5jk1-Ld4|JvqU#U z4s(OaJ0<75l;Z7^o z!n*MhQ8=fLc%aEExm~l2Kwt>@h>?B+jW7>hs8cRs5(()*&MFjc=CnTY(ys9y={zF85K)p6*Jjpx&kd?9pxpa$p1REm225+!mNq3Jw;B@acu7TD`+r zlH<)#$bQ{x_0iZTe^ReJK&BP!Xt3Id*VAIr6n9FV#Uq zx-oc70MuvQ8I3yQwrC7G)S5i!`GtaHnh*SWg)1UbdI$N1=`;*$fID_h#aOmhRMInoLt;5x zI)tg69Z%OU?)aq}&{_$1go-8PBZNM8p2;ut+?Ah}bBR0IF$;f`Kn#VSMJ)73?m2&E z7x}>WS&TVqCur;uHaOa@|0o-XjexQj{wTZXkFrVrf0b>!zc|-utOT+L6g^PHJidrk z^g+mnwirzFUtxPv7K0=HGvf=b4b!Z~{WbSoN90t&S#qhP&tVJQz|sXg{H82Xlar9o z7N7)Lq%84sb0-KuaCQ}0Ch0XCfxeLtm6r?45fFmDu@aGAcz`>a6_L+=7vPx)cckP4 zee;g8MD7}Wt{Pn^m}4LAh~S@1p8&X{;c@i221>Xi6;b(YRp=WU!0~wnJZ$K)!yO$V zx9HYIUb^wZu=4Q+0J8|g|9~r|ENSBoK-k5H#@3gRhu?utWS0HoKB#(qg#M6b1sK;^ z066DZ2y|t7Jv8MWE+NV&%s);Pn??v3jk*M48~VdXGg0|lM{7&D?=&E;bYLD$-nA=gp zn4>FxSMo?B^z79;i>91YM=|po4@Zfr1QrOz>2OC)VL84Da7Ru6N(kt4z!(pT$lpE! z`;`J7Dg^=_WXMaV_K1XhV&INA!*hHiffBoz9DyciA$|a`2=bE0h#Zm(exddkmB5^; z^@QUOZSP-mjL3THC`yEemoVmXep$m?$~$TXcz&fQk85 zK*$deY8c$nB*4A)*qn|ej5%0jr45)rv#NZ)7gAV` z;eEhg=?rbzXCO)8`d6VmK-j4yN`FZDKSSEHtL)Z)k`RD?60%$2|D~S~V=te%mgwp|jVUw2#QUyF<0IbgX8j+Uir|39$V;tNHKdh*b+qsV@e&*p?b0>Qq3-_GTCa3JKoD-Cjp2hjWD z2ngB8;t6Cp0Mlmq@!f~kA^*>`Nlc%CWUv5ZK;n-B5|aX$umnJ_@dP<_~j~xVKAh9Qke#i+`2s)pu~TB8k5d<0Y4g-5NHDdGP30 zIH!v7q;{BV3(N0l?MoKx{K$TDC8ETHA@giVD$~weSnBqB8YnFiu6vs%k|?*y4ct>n zJu2^0J|{2oq-OcqW*1Z1(F(29UXpTzi>36OXIPdk_^If@VN&@uAmu3VcrcmKj)?dq zgO9gE!1oCyudFww48_NMyEaT1k+IncxdC5R zuML#i%T=?@CdxW7-2;o>^y_12Zr-i!d383QmQMC0Nhc;9cVC_`<@rUsZ4mb+jLw?h zrt0k5@<(DWTOFNDvbkr3tT#sdIg0_vZD1L~_3s8?4k(ygw#`2C+XuIe?fOO;Sh?wL zY)yMN#uInr{hlhpMM~r3ul#3r4I>I{z2RHOKtIw%8ubwax zI-O8LHakyqHu|s6x6aRZ&PnCx^;&)r@4sSPF93Gx^?vkalJs8C@8pry`5Chn6Ym?fy91eK;q=y? z-f9H36dAi7Y~7KT9;qTavR218PrFs%?m6t2wE#MAFqL+$gw9r6wxn9hY7pHM^FD$g zyAH&3BHbpFTX1Ya75E%mdy9f|3&G_GA-0oIJGT^Q%=ep9FllO)|G@}0IJvEdT2bw8 z7HDi6XiQSa;EC-JffJLXBf}{;`Ty zz~Rxs$-F)1MKNGfETSzpyH)hPQi_wOD*K@HxAE0`L|ZDe=x93JkS~tncbRkOFTDX2 zPZQC+J@hU?I~%w$%pLIJcM=omzJ@%Id354kdS`H*(!^VWv|K$*KwCwn6yA?&J?P8n z_CeV|W5q=j0DRC-yD{Jvps}3*3h%Q4Vj*kRj=NBa`h%p9^l%)#QI8(0B5JbMOQ2pB zczq7@#h@E=-D@X{>^Gj?BgG+nWI8x#!6^&edI%p@#Rr@-^$+Tspx1&;`12MAIW(=P z&Rex0>N!qKsvmJzL7dWZz{tYijq-0jmr_3!zmao)jrIyyG7 zJ%>vX6IU14DXUv&ePJ1Ugl&D}4#gw6P1>vbinralaG0|o1#>|D=|||G*RbD2dmo~2 zcElr8pWkjm@ns_HdE0RVuCCu!bf3ygQzwIVA)|IfOx0y1p+lk`oLcwwh>C5WN*1-+ zJyS?&IiH`D_U_B$+1=Tw;AM>C?Y-ZN+$(&}x$n6T>dC)PK6183g=FK$z#;`r^@5IT zAyl1EJN7#sDexxO&#vc`j!%N)>KY=fe=ga#CB?BQvvynV{2G|avw>*x!_&u9F6O3& zS%lu*KXc*-+~*uNo9hg?!RCp(%#rco>m*y9gNR3 zK5I}tYnbLs{{H!JQ?7*h;nMa*8$Z3IZlZZgiuzc^?|DsmE!|{3+hjSra6^6^f&8VW;q^bIUk?48g5;Pcd4e-fzB@)kh1c! zIroV6SjWg;$AdlQeI!(qlua{bUgaCtNBYJdXuzc~{z4RDVWQ;a#NN&w6@$i&f(I)l2cr;`f>Kl*FbF-djml=&VrqGJo) z**JDUP2Lx6Uvo8qcIZBj?lVd%ZhF44Q291bNmKJ9pq@c;P5Z;JWcqe#KP^<#DDIE< z@cWco74I^Vf)e6utP3O*co-zU4DbG&r!-K(XdTW)7v_LNvBv{N9#1MWC6sDXDP@h` zWFcBtabkj7UZfLDSn>HzaU5DPs>Q_?w755&pHodojnfztEbs#%nvN&yqdFlv|8XBi zxo~QJyhx9#6Q$_-67sNsVut!d2%TzK;F=dzYLW~!x=k*XrGAwr56#lY73+{s8i(`EQeKWF4YBEwPqNl= zfLqq3yAB@M80zdH%7N~VeS;VSPSL&%=r6r?*EvvvNedeMb0V4k`!{BqMdzzeJU7aA zX38?Am?>(^EsZT6HNK~y7or^^N(Ih#t&&XKeY)VZWmo1X<@X_R2$|^zkn~VN7Bf+z=>pSC=p!Brk7aEL$m8lF8X1kn2jRc#N5*nLRc45L7fz&EDX< z5FwpzvoT^U8@d_p25L_;^GeXV{) zv_a*0lwC_}IL2c^$6pzSQsC8iw7%AoRuv|J@`<#*D#SQMQg(rQaEvFq)@kLg7Ph(;ANtP%$I9*C|QBWYVwRubEms|l1~6DT*z<8qrS-Q>aiw*S4wnY z?f_HQ^rpDsP=C62>=zEbwXK&vtQpc*ns-K^xVG;q7=L#dP)7C8Y891E5M6m zh>5==X1i0ri_(=+0nGt_sj8+UIN(0jKj-}C4>%6~t%QoIs|@Xm5ieJzK5V4$N$qU6 zMf;zo);a>&#?fhQlw4}$E!;jWNGgP_^%G|dGbk@l%y;J{Hd43&1*q{TIJCgBtV>p( zu#49{x3{ELl7DBe*i{hQR@m6K_`8q)q^8pj9r?c49iyzSZj{py&Ot@1X)>5YOpjy% ztMkVWA}8`IINMV-$y-cz5z}j0Or8{i4ik1j>L7kLtkL=`;sB-jC;-(zaWv z94ZI;EO^!gGr$PRyju$6oN|_}8}u@xYSW))`CR~ zn_H5%Tb>-QnERz*@Ntb(pP7CLf8#HGN2g+}_*Dk+yM_=4(-7G7Hfn5xDjO4cqY(`V z`Sr+L^c#@=Qj0C85Y02`nAj7RS9ub;?BkK99@BhGnpn$3nR26L0Tt%I4vZf}yv$Ww z6m)kPGgVq5om6afOB}C+gVo~bh0X%hf*1A}AatP`-%Mvr8;^xMvO2-VjS&dHV+)n1 zt`7k|o=un>lm_o`L#ER7hal(a7rAAGRQFBx`b73MFy9VK-qceG$0uH6d!oabPndHF zU-bwxEgRKi;&`INmSW7vFqsCo=GvvkOw_kF(>0LQwWb))cfC#h+5e92=j@STyoQc6 zYk8zZDygd}4MHW#S&%BMoA4+*yJdwfdFoG@cXh4d#;Tlg70S5f>7Q}Vk02Ai zGhl?UDw^aR+Ct|m!=!~=Makovmqkz0K*=;L{p#*O=x_XrG-sQxBU~13L;6n zz-N+A_=9Xy%7jMlFlm;f+EI0!=dI;!G~1fJX5m14MkMkS7asjTPTkr z{q8At))?o?cS3aIMEjMB2&`nOutlGbU~Tg)k1N(p)T0AR!9mveTC&L(zkOynO83xM ztlF71UyVm23&Eh`n*}6dVgY3>?Pa}e5-#=xtLHb7dlCjD#By;73H zQbib;3K@)-#dw)Z!%-6_#vV=|&Gtm@QR*6rK7TuBwi^8+5=^kiiv+n2#zWVJcQnQ+ z{8ijQV^W!pUBJKXxU_RQETgfSW>Pn8mLaY9zRmvoTA8e7a{q3`Qst3sRRnc%dK;_zaw>10^k*~M+nxG1b;jD&qJdft>$Mpe;_Ql&|0@vyUX z?*$6R7SYkHE6N11f^9w!Wma_w(-BgM6XCMTz&Wg(fv=d-K<#T)+2pvc>9=i5X6RLW zn?B?Pu^%Iwsmp_d_ZaTBy==&zw2b=Ve`PJ&7nr~k;j2K3za%yehS-!Ff-)2=@L=?NnbjS7nZpP}g!q*3y&}Y24Yxx$A#L3$ zx&W7PA+~Y={#fy51sQU$n^t#xNgC7smQ3YId1!Mizo61u@=n`qj2Qzk0H<|BU5l^ z(okf{nvmR5%n$q&@ow($32KN#)(nKvDB1dCRYXypOF?M9YV@ll%_kNmR(q;yo)onl zf2P;+`J%?MF*N$n7}<-H*rKB|X#cC%0{54Q%WMD7ZyY`rG$T~;Zq#3lDdywIIk`qQ zPv>vuepg`+P%aPn*g(5krUIc>iEzgrSg!tdvrGd*O-Q**1x*xrbhE6z+d5>lT5A6c zM!5CK&Hm_$IOD+^me7f~YhVnn=Jaa7xYLvo$*Klgz8kjwr_R~aYRUxH)f79QKTJS_(bYG$o9@gVibHiPl zZt=7+e)IPb?}s<3TKkM;En&f)I+(ebG zi6EQC7LOo;1qpZ&=8gDH&8GQk#39!9_qgS?jB)a+c|RwP$YunM@ujGy^#P}ONwdSS za^|liY6fKRjs!UIS_eOIgfxDjmG~EHDXZvpH;*U}q$EBCndPv+{VLH3V5zR`{$aY% z!>GX^A9mS@M9 z2ndqj-6_(OXw1YgyQt)vSERG^%%;a}29F!R!0yS7uzw(6?~(vkp=)-=uv?w|UaAqB ze_Bc1#3Wd{pk5N1bqf0F#F#T;g%-`}19QCz9wM&17yNz!tCh^@T2+xutBLJd`73XF ztD!>KRHvq7NlFOkIL~C7uA!npjv;!YEhE|hl{rOVj#Q=^x6x)lhUXV7yKM*boJ*k6 zk?(k(%NSfRGsd^Bf#x@h_CEf|a@w$h->10hAxC&sLd)zUwu+96q^i@;I%A?a<=bx{ zLuN#X!D=PGt+3`W7s*x)oZjI;+4eu!>7fJgdlEu zSAKSLiZ>{^YcG&2NU!uKR{Muky0pxbw}y0@vJ{oJQcA`~O_3HonudtcHAMq>ewY!k zU{a@2L2evJ(=^HT6c?_jgs*7&fHt2_26rqoTz~8?b+Y^+B=sAmxvLWQ&0b;lJ9_2w zHxQOlYF^emd|z(~*vKO4+*ON~BN`R2(DEEA7j{z|Vl`9iE0pcp>rkH2dUaYFVhFEldqJ@=8O*taR>n(M#VJ8Vt2c^Q~8PiRCM$mnu$1b3&8X#@pX zBJ2q_Y)u7Ya@g+5spVizF6Jh>1gP5|vxWp|CGTDzLiM{W9WNpmiI(E#P1j?x0L$F4 zHodLqrNy%PUPcxbSEGenw#ckTo|}msXU;_+&4p5?qh5N)+DnXjEXi_V8t>=v zUWqQJ_=o1rMRmUI&!{Q4gD!1BQFer*@4Gz3Ay;wF$Yh%w+7=C|>9`vsXVO{Wj>nOM zZdBoVQZ0{caDu4Ch!>axM&}gH@5w4|+0ayRi-jX+mZ-K5Pp}-?Irkl0YH2oY+^h_{ zmh5+8-w@2OJL2>>kD&K(Q4{oVjpO%x`-uo#flEvHqwQ4)(r6abN*?Fc(@1LVTE7?$ z{myE|nUR=J3?gzKOjFz`pjdNQBar|RQo!pQy@Rlhdgp)ho2ti?4a>j?55gLW!asT| zV0?IMfLVAIPb`eE7tX;Ynsd`tYiZ>kbFrq?>C=wwr=VzI&;*vDGjW&L0)+J+=LF(t zMcLeTA5)$s3FpF0ZJz!TMo1j?KgUfNT-z%^4k4_qUU{HhLlBcjmA5^CbSO`X8Ju4o6>O^TrCWO`PxH?}H4L32*OnMdh>UQjbA zoX%Qd0uh}qw0gsvD=@gqCmXcKou>1y=f?1ANA{DouZe!cm(pkG+Q?po203(&Y5KYA zMdrAg1rt1Br*B`Uh$!FDAF&TY!H?4f2JPv;&E;1AY>tA1k=C2bCahtJk|g`*qlsWN z(oG4Ywgl6SyrhYj@r}Q;C^*&eaL%x$sOjs}HBf(DSa??JvwB5kZH=(HK`URT(E~iZ zR06Hv|s|5OWKG(rB@30 zKdF*}Y;Pbo|8;3^1GRiM)nm?~I^5mkqHWI$9Z+%)A;rvF-=kaJY!qfTjIJaFbAn&A zJvN@^(@d3LX4()&`73%HQOj#qdo6#1Yk(!Wta!GZ%DL8hjq+L2r0?SC=&DVSd5a4p ze2y6iJiI2yEV0W-2NBO~W7M$JpQ9nIegt$8RQuEM>{l9l2~QNwGY9stWmFV)S z>;*9A6G>qPRXXm3i*!;~jY|it;twQ*3gu7*F=v;;ia_~RI9T_^#b#$nWugPpX%u|=SuU8EQLsSBLe&iuxa$wH+|891Ck6K>Z-#bFbU-2~D zJCe;;kpWEOWbW$y@8R7P*N8RK>jE_OAgku{{mZ{#gCz!Rq;bW+L?p+%{_<41NgMph zph==ibzQ^S9IA5buI^G4nkeqBejgi}m>=|e5v*>j%7YgllPlQCCfdF;lh>50!wIWDhC~TnW(4pjLFOUdt)_rPQ0}-g%rk}{vPCidhai{&WI%UF zS)iG$0b8jV#jM8mFLq<_WR=jqjtmgWC`-yu@3VpLEJ@uhbwhup8jM}1^k;8*Mbbj3 zmpC?=+@W)SL-uV*pc{3M5;UPjL*_VCirQ&Dd`t<8u*@V8sT(NANacS$C`M2m_uG0C z@hdzT;Y>~-)t(f8ZroKok!7^=rWneC*aTa&^M%;&j}&I%FnZ@ii}=8!%&xzl$`%)B zNB8+JQ@>W9N4mk?WNc9DU4Iii4S;*}-VEAB`VOPmTT$)bS`4xfrqR2mv1cUNUcNb2 zyC_^T^{6-CJ&YS=Oup1kj^|q-i68y_x#b8WG52Ux{?^3Y^JwuJd&)6==W!^~mNqn% zzWS8Av-(Nf%kg3N-sgc@$4SRH#?FybCw?i}v?)42kl0(p`#y-P@)2|U3Eo1RI>EWB zTRDeMkP%aW!ADx9L{@9HxLUF<@>dFVEeqe0nyVtVHG7 z6{4+BBX|5M1)j7}%ucIa+VVXt{d*x#1gTkSdjDMl9=D;p|MtweS<0N+{t) zf?bS15a1tgFC1ci=&Um9k=VcZ3kMTG- zam2GjzUGt;wzW~_BHU@38i%UCjp-5_zguY?Doo7wYm>W$SgsaHKsX?H2ht3`rLhid z)3rc>=n7p!SlQ;{)K-9bYW4E)tzm%9Be< z%0evD-#s^+1dIJvsw}Bp6)!ar{7^=1BG`aY@+*lZ7T1U8L-MLn;=a@hyCXwFI%8#q zxK@kRlw`JTVY}Awf|W%%4lH{)Ow7Q$%#mFIXv@ztr8>snyVs3t+-)x8`| z+2P4XT(nI;f0>sQR4+K{L3OfIgW~=#uFe5CvY_kxv2EM7t&MHlHg{v&b|$vDv2EMh zn48^i_IaMFuj;Mp^Y7DrCv&H7&Geo8rq5~dG*a$O+l>KN4)vxndJUD6t4oZFiL?i` zjgz$8MEVQLwA@xYUPuksx~^@*U^f^D=wm=bg5eXm{!<+xxwg4n7FhFy7J2H|sh zgfRFoDBCj!`4&!%;Ige`LO z@u;;KB)uU)$7ge4&MH-0fSZD#+2)Ktfv$JM4s^aHU#`2mZdLH)JU3Iy@}a@X&0IM{U)hkc}>S%=S>)-nTWG-b#G7mE(|f2FpCABg{CPm!>ItY~+0eb39`+Nr^_ z;oPS?O~I04-6-V7Ho3BpW~&WIO?{i^id@&*ynuYtYH}8XjL!O1ksD89qpjHk3nOg3 zV9?=O>A-8Y*3yM3bE5+VgD?pqv!Xs=(hZaVMQ1J zVOXA8FN@cIDA)6sI}oU=lLGHLf)yVb!)^k4ze#{==>ro&SblQHTFatgIL59}Q;y zY9$<6eIZyMAZr(d_H@=AK*ADqnhW{|-0c2?q=AQu?fsgrj8)nMH#~r#{bJtoyM5us zaevRc$kN2X%K=k5!C84d=fd=DZc7$nE?09%n5kd%`9Ii8_V29ak()$8I|3;|lJy%i zl{csE4bB+dy#EMow%MHbzcaBL0$+MtyE_&J-3@MiOcQ%2@T!bf1N{(5`hOZG%kNut zW8M1C(Y*mabG&AEr$|NY0-a#_&C+!+uAj<0XnyFRcTzV=Z2c!|=)H$yN<3Sfu&9rP?x)$1T*)s*WrZ+`x#+YA)t4(ix|D3A@w)5H^ zmh4d!sdkP~R_#@(u<-NmrBg#~5$>u>;(V1Cq`%$wVHaR(2_PnjQ@N-ckSs5bBM*;EQ%_u_^(EIVDN>4wkaZOe^M2aVTM z^TpwFGq*sIaXT2|e9fUq4dIE|Wt&RPP1cmfW(iQUmvi&YWh=^PR+V$+CD)YJ&&M=; zoWzbA>Da@-_eP@Y8ET(P3e`%QaG&X5UQ)qLxw`{aMhq$;|KZ$bD5QxRCMuoEd`;|> z>Hm>kH$45(Qcq$pvFZf=qLs0{`6eVpg6eW<%V&&m=B%d-0>{eei}XMBl#bP0r!3uEe~APhTt5Y>gBd>+ zf(1Sm5b2t2Q3AD@yIj}Lt*ZMe)3pg{_87}zi=!b#4R8G)&Ijk`St2M}?6Y1NVD$!D zSCF-L+;@6cR7A_Z3Ft<``me^dekG&&fh!1xJ(mXo^3nX*h2^YpU}R?I6GBJWXS7p8 zEyoqbQeso)DUK*O#68i{SF7a5cV%W0dsDFD)P-8jDfvyQi*OrL8q~>O(DcQ%Ym_Uu zt_3mB(z|AM#Yqna`x>EsMJ<{2>djKW*lgq!HoX>|Itq+hnX(11VjVk@k9vt^f%P2C zpzYWCw~5FryWp`t4uy=RgA^3AL3Vl`$pPd=Z?ROD37viIubu6z>_P%O_(t5`a}W$4 zEMcIB;J=wf>}f>#q3q=!nV!x=UbN8UVU)SFwUsVhG1}<=WvJXSP8JK1JFH@;n>rlD zaI@7!d(CyYI(1)cfQvfnGL|`$th|AwXzdLwZNpAnegR9~G!#+Yg6DI?C=*mP){0R$ z29n3aD1T5Cvc33Un0$@Z-b zjCVoy&7?Hrc_uyP+%ThA03|l>TuU_p#ROWS@+FKpeA(W{<$@wZze|(nO*LdIIF))9 z)BSV9Ly|J)v!_vl6#~|UrEWB0^?e`<)C84d&dx_o`j|trUcrr+Rr53w zx(LM~tbHbk@el-$&qjj@SWUDE*f1hGiDM>+%Me7QRh8KjmpL~9^1csuGV)*sDe}I< zsioo24M>|qwXpHN$yVD3IXF@LCl*NerO9h~3Po{8Rhb~_{j!cgU;YHLw=V7?9m4K_ zC*jf1Pl6Sr8wmGw`EsO{C0a`l5B5G@L`wPN_DttwtlAj#E)}tD?nCSC=L73)QdtC( z;>pn+S2M1;ifumkj<8GQ5-{6L@15&-500E5Jb*U0LZf3gSay<)`{i$g+gL`n&ZVH0 z0ngf{cNCTLD);2`eRmqKh9cB`9#+EnZB$bt*7ScoSH6x40=XJ?V8~YN&UrR3RncDX z8Y-NJsg?}>E|i2$8q)SpDY9_pO01i|t}Mc*s=%x@Ezw#c##|clKlRXXU&bJw0{LZ( zF6=sB|4U@0qD5&DlJW2Y4Cg*d{c?>AHA-zp~gg?md1q7701l}2i8nd??gc$Q3FwLmUl(V-o8&r5!n(?DL znI$f_N7lJJym)J9@uRJwB`%By=fDgja9o6gYA|j0hvw=1E|+rqJStSqxw+`;)@22+ zh|3M`P2n#8l*^UF<8CtZR<%5e{}(Njr(tGcGHG&tVzKT?^(jx3H(woD9Tkh)wv>Ps z6eqLs+=LU_*zXH~=y2Lbg~6mfg|a2Qn(f^l_+Etn-BN5)uT>+MFuMElXW}_?e?bTlY zJ-0Z8w(cPU4sXdHW}R_8^9vNnnQ9n7ww8WSh!SvOb$V!{Trd>}Hr4tYAo1Y4b~oP(WR^`2ef4Xrk! zpkJ$c@ad)by}w#aX@vi}rDl2PK3<4%sT4Om3n_ptN|X?FRRZ0l+q#z;q{4DH&^8^Z z;&e1{b5mBKeQJRpDG(5vq}(XFG2jaiau6$rs|l$MZDp*1uK{oMB((F}nX;Awf2uGz z;6z!o|Hq6nQp53)w<{9No}=2?9dmP~+i&Rg8n;8}#YaZfT%EWf46R4v@3LyOimO_S z0k62ccHqqqlJ#)fZ!~%K{J*9*ZT*~|03`HzR=(1S8|cpqFRLkA83irnTO=HF`t*4+ zVxQB-AZ8c?6y{9u?(wyZFk_lmAg(@{LnTFCzU)08kqQMkhvB@XOvFl*nqK`>z z3I(q*LT>xUu`Uk%Kn4QiJXgNM80VQ6_XcaGU2@My#Ra@~#nIJdS?Yl|N6o}aBeWRr zsKHj#O0B~*OH^jR(2lz1g=!FRnogD$5v;7$gK!p4aI$BIj^Zp(^qZ9;0D)hjb+bu&;2N>0y$7ft-XR%u7D&ONFC z@ziLOBnL^dhjELt|ia}pHG?Srd;A-V6IA84@=d;UzhRz>6DSFFDUUrka3xCSw zT#dT*BI6OGjH2QdZbom?``~NuL0c!`t8zsuVZiKY!0WmMV+ruv{HE9CrPgm*&De3f zrFO`1Z7W8_`&ZVHI`F7Lx#?g>wUBP-36;BPeqWS*VPF$U?xwPW+_6%rO;|>bRShl#5IluhlQg4(sFk8rv8&^fGDGF&Lv`UidEYcQUI`Qd~b#??ZPMd?q1k`~JK}H2M>~v%NHgVUM2tp!MmD0!z5J4-j5XxI8WKr7M!txa|L|?n zI>t%GCMZTuEkRsHBOeBn87+fhL$r2}F(zy45>}f{CuNt^LMva%h~4#vA=s2%Fa^dM zD!O-EoghGCNZG+)mvv&WL-lW^f>7h*v`VW&q_xd$GqfzXuiT*fPfZvFE2^T4X$p?K ztkANo?A{*(C;<2JX#CwUH>|Mth@a`AuXsNswvwx4Mxi;P!NMA=f=2^Gu=EOX;9+e& z5}sD^ctneuPBDOAJM*b3JB?FVg!qQ5RCNkQfEg=Z}M?}`)+vHouZuUb%@8K3Y7H8#gVAgnLO7pCb3jx|)Y?8iXPq;mr^Bb)B1m!@yBF@tpPiZ1j&vEqmW zWL?MSzO_F*F4X>hbDB)pPe zy7c=k>6s$$bZkzM`UVv%k@zLusL1hT>`?FB;Z5`VdGCm9X&{fJhInNf@lVHt89p$S z^6`3;_BT%ZRjw@1pX?JsctTF;$NkLnFmrG!^w%jw=UD|!{O;-{L zNXrtz`h$|z+b=zTlSI0s9`}7`RVhps8d~_HF)gyi97FW3Pm|~hV?2p#j;RseRSwtO z2bP@=2EgUTwPDJ0XQ;^qtS}Tpg-??14E=s@}>6vlM&~s+RXX4)}2E9W<>e z7C7sqNXTmf<^T>Upip>NQXco|N}cmNUd&fzx}iP!UlnkJj!rr2IxXUVdv}3T`0k$T z6QGONQ6Fp?AL`TxfGmY`P7Iwv{HD&BjcF*@Y%vmW)((jsVzcxzWyhJgb`~{dg^# z)NSwSnIEc5pW2fa#Bx3}pD25SI@3($;??GiJrn`8ce!5_aVJ!*WZPaGJG)H&i7(`YZ z(~j$sZfYpeD=D>XPI|QD%-Wjfq3H4O$)aRJ_TIUM(r4q!5-JcDr_`ZD0T!hOa!l*8 zs|$2ncdc;vi+e~a!B0V0F~@;5n&dl$N%!CBvt(m_{bXE|!x86=b`OE7O^MB1#$xMo zSpEZbi&(}BLBh?0*XmF>p0Ld^R9{~nkje!ie4Y=|g!hK{T#Dx!>=Ibqa*3hgw!r1> zv)Cnk=9t3y;TpZM77W_8^$et z1=ob;+M?Ng-UgU-MA)W4iseAN9d)2>hWmvqB0Mh~UMDAMb=b4wVx`S7Ln#Mv*H~N$ zr)p8k*Li%{<)$CYT_jUACtTUNMRphCtu|XdA~*y{TkAS!QS=Or@EdeEOiZml-p{}|dSuhn zsc&;)TlZgcLOxCHKb)VA2UaP~EyuL#9SM(z(@2H3h2yP&i}a^r=+QzhLbP+OrGup? zCo(Ko*lbGbEEc606*nv3l{U{u1~%tIn07}mHmQ}6kgIBYXZS`uUA4`O(}Lm<2@ht& z0&i~Auk~K|Au#yU6d-46+YCF7C z{H*3OZQp=$oRLpMmWCFskej%wG9pw4M`M#F7n~e9V^!(J8|$ORla#nxLFjxQCYasJ zKdj3B(#3-cLAn0BL%kv3{iE?Wk?ClAO^6l#gYdZ~{s`3RM|1ij4&@@6Z>glzEIL0)MqA{F_l#QMqL zvLa|~E7Om={HP-t`|1rQc!g$nwKgc|Q5PDQdj{tXSK;+pSDKF6xi}iU=*UUJ?q0I8 zxNl*|PaSd4*-jmi>;^$)T({UX(}byxIV_$7+nI{VQ%ajY9i}YdzwcpSQruZ0`jPkY zc7^f9Sxr>mn5y*aDr51Th2ZmeBtHww;cB=n-{r7a9K4z#T8^ABI6B!PuV?+2LgQZ1 zQ}ID|e!PwEZ@|Sp{$5vc4^PtbGV-MnL8EtGmA7x>uk5Bh4vU{Qlix%3IOwC~z6W`& zVvGcM(!bbkbqA)7;_n)(VPs@$mY76)Tvhw+y-OC@`}sHBWZ4@xQ!&>~DXP78=xFe&OcRiR^_?Xyk~Eal>~VaP4I zh;{|qVmoLOlpO%FdOFU}Nq+JF_Rq_f_q=p{w!)l7m3NhQH7$(NE`qbN3z|B# zp}4)61S>*-8mF>v_7dMugFG{!;HMd;HrsXi&K}rh>6yZDkQ&tUEu#b|bzw}r zf51L0#-&;H*l%5xSuOcq2vx->8phMz13HU4cqCY=7@}gtOPP?99yI%Yd{8ro=l!uj zQ#+qpfT;_CksJjKbW#(=P(Kf^Mnd}4w5B+lS^J)FJs1cNl~X&otCM`d?u zyzAS~Z0*vXF=-E_y%oWLvv6IV-6Q^hzVgwKR4p=p%m=Gg&o=InP?Dw=&oQ|n13vAo z9lnjtbspZHzV^O=b<HcH@^zc` zbDQ>cllOBQ2a#6vy`v*;2C-sTn~a_RYWHw%_~G2q>*ZrbzvYz5m}3IG0UldgMu-(^ z*oVdnhiQjLy6(_q6|PZRIMc)ZV*UBAZ?r&+!3#I6!%9%yFIUwt4T1diQ?+^~TD8+E zLpG+lWAl%6nJbo3*@zEbwqD{3ZuPn3W3sa*nxfpGjLdp^=!l6Cz6x;fJK>1(J`My= zH6DrXB?O_Vea-hkW_yA`-Z1e127(7I&t|rfwYMt%yd5$eq*3l;TOPGYOlnOor;0%C zOlN?g*+T181pb|TD>@M&vHeCIV8>sTx-m?gr0U&cH?UT?w;m`&+@d10e1da#Tqh*DH12EY6i;KlGA#wES z)U69Ci)U&*RY%p1T-#06E-il6u(n3RjLuf}j#d!LygOuT%fQ8Ugd5L7Jf5`PW8GL> zD%P0UoN6hYYUneiay6CNT{f*w*<20=EDm|xjJnEN_3c^y4Hf& z*hi?DMRKa0W>p;^=V98qHTc{Z_huel-k(bGLbpge)?N_c?rFX%M6$0T5o%92^|lAJ z^!3UoRNlQ@-m-6fUQvIV#*^R}t|wx*ib>4=cs9Peu<(pYcV%0bykMS$Qy4(cu~5us zOtGo_s-IxX+O%L})VMDjZMwRnjK+&$L`b6LH_KUI++SQ8%$)I1x1?j`h2ED_nCnFG z?wkmaA#5&lRmhlZU3LY@I8Gl=yTXZq1ntvDWKYqKZ&^-m3B3US&8Wi@@Ld>JkMh83 zFr^nW+aVqqnd|knEgp$o&Slo4oqb@`({j#uYugNDdou;ZKRt(djf94s-tYa6#EeB} zBXCHI-yH#Z;n#OXNbSj%)GJ3Ht|P86p*xY)OkIL3-bD_lEsCSd8m8#4pc2~M|jKpP?Hr=0Ml%6(wIo|6cT4Iw9>>M zHWyOQV$J)tuZ~AZxVV|y`DZ?CbrE>OkN(BsnZ<)q2lO`C2<|1FGH}NiPNB!*n6dV! z%j>&afo5-i&k-6ZqE8l+YJS`9>MMEX1Nv|38v6(MS zZ8kCRqoGhn^0y2ZTW`|@6MyXBBtk=R<3WI;KbH~qtrG~BiyG~=h{Is|A{KFjX4p`9 z27?l${>&6nJ0NbADD{s;ch}u?A;fk~>hwUpR)}pj z9dP6)+m5jIcy(B{!8K$>24~wKc6g2{^?}$mCoW{?YM;QInPzH2f6{gp+0hA5?$$$t zXQ}(UIwEu~`j7;&iopZQ!E_@~$M}wE*^`1p4wosxFZvWt1SJT~iEZzeA0FzW2nFu6 zKP9(*=2Fq$`egR}RdM(Em4q_IpCPd#dsy`wz9uacMlQdLb z<2?>Ifre6 zWb=DNYa8vY&ZYT*W2O0@5K8iZS@zBkP*dqDyNdalsgqD2`-$9#fd_xZ_ZByya{njx z0yUxE1#`3o*t2IGI!56{Q)g+-Wn2#f>;AK4Q=zP#`ijqFarTOtjOo*z?sIEY>`=*V z_Mme75)KQ7$EQst0U4FzU+J+R zU#D>(UqW#p*Z@Fdnun=nZB0!rWcEru<2-|#eO0|e%ZLGDLcTrEx%u3*6}OFTF*|yz z-(-ueLmP;r9-DHr!TvG2nAy;%f!bO=5o6adAHQJ;Gd~6OAhrQtB|g0k6ohH#mD1y~ zRPv^OHg;=P{zV`~+Uk&19nPIQ+3U0ELuo*ce!YFNHK=w7HiUw4^lDkLN1Zh}t#wGX z3iV*~YLBQ!l_p2KVw&P&aW+SmrJCwRFzk+1>){N137AW_Ntl! ze(_f&AWnTr%CxU@ zUq^?|2Bw3q+P4^#Agv4yaUZ~tW(`7IwhRoXK04<`q3pL6RS4y1hNj38B;l1ydF1Fs zk(AR&lU^g6fr5Zy5febYsFa?Q@MT&o_H7`ev{4b%4jXqwd8X2ocbL4sQLSO#R~wUw zPP@~tA^}I;*pNcGJ4TXE6GKk@_vPm%}{c*dVIYc*p{A@_QJ%a zzF?1u^)i|B7AhZid^OjS@a(qyom!X%j2PUMv?GX1G6X4gswskN)^7hfR(8IoCY2yy3H%gM3o75IZWDO-lgpAE(nwEWd=az-OcW3ftQrCh?%upZ=@F_*t#j$!w z$Mi-0NB{Zoe#4o3rD18-{za8m1Jwe4|j`tE=6F!AvxDW-SpejVBfbf?1=jM?WoArIZ#+12KY zZPbb6uYUlY=gbJclqJzS$7DW~R~&j{Jq8ChiRD`ruW7PU&XnSD;7 zhyG?sylqf_ZHxV@piL`_{_k+tsN4J3(mUQO^1PkDy_T!VkQ;vf#@%u_H5V{o{K6Sf zt&XK_#cvI_moqi4>pjUvp3_f_*xclw^(JjA#YH=bx5eh8u_T6hpg_lq`#qYIJO`^P zQpwQDyjje^5;EY8z*jT9UQUe$ok)~TA*-HDNH8z$q&l*ocXs?vE<#7gkXR7eVdAcH z9~i|?B&3(0)EdUsG7rU7(vLh0>gFxi7^KAhMiw&1=AAb{joUM`uK-mK645VpSkaGG z2qhOt#{k+kfM%YSz}_mETT2C(+sh8N0YgGk zrH%(BMbmGQ%YgyTr$6Uyr;MRF{fd9+H8DsN@Wz`-O^?0HhkPq`v%Bd#;;p2*8s|4Y* zc$rDb1g;U8mM6{;u3d9Ykjpy!RE`sOF^7j2N4dha5?wM8`z(Az&4q2OlY<6fKbe?1^T8k0hDHPd!7NOj+Fob(wjTMeqFN+k~(d zV*Ihs&T)Gj-^PQ7J%9iH>Uu+f2&|fn5##jw7yQ3(1L9A^_!>2^xdMf!le_Bohb#!! z&72oJcLKYhZRXd4T2~hQd$s=(A}+&M{te}u4DDKmc$C<2KP+O#7`i+O!XR<_Z0$r7 z^|=5?Aqf_^NJ0LmW{5ytAmJ-oun;?%A13}3vsv&arc(eYZ>Hia$TwUE_>M1R5>;^k zB6#91id4*Wv`QLzpx7Zf9GgHz!5`O-dBQx`5Gr;wzzwZ{pK#0MtmehpEb~)&Ha7sE z*;5WNQznuLDrUgWYO|xh+hp{$AGZ^eAOasHpk!|RV|x6<`OXn|xyi2B$*+b;OF9P!-jVg(pI>5(uo>pHvQWMUHO5Xn##+etIWm|%)S2NH2$|6)*B2CC=|fI< zn=C(Tbp0(BQCxxHlYBrg#O?(N$bp-$4gu+sKp)MH|~qCBbSB!K4LOnhlxrRejak)ivg4xT9N6MvoVmt`aU;FUw+IXCq%>n_^JZVi;3+H$ z{{7tiykj{HVhnZYPRJ-Hg~BZ!%zV#SdGJR|ZpKdc7?k^Fel(!OGT^X-N6soL?}fy& zD0##1b1P-vK9qyc?Ty{3lCBWA1JWPyP&sVIs?J`S`KC}!BS?%~Miu;_G$7@Wa97$- zHQ?0dCaBgc$61F5AO7qGB*M21RNRc{@KtCHHS@7(xopynl;Eu#6_H}4wGr&a6jrp9 zJO-aIP%+300&q-KM5ijpVWQ#a;!ObF>YKiiuRcvBppdB!Y3}caXzW&}AV~I^h zje`t;F_Hz=G9kSLhWPC74djI_44B`ef1!a+{6YTBg;J2@33 zt)qnp)>z~8cN1_T_IWIw;QOS)J>I{kFSSJm-hcOTQ{MvaSxXL*x=`fiXaUCQcAMdR ziw2nZ>)??uw1ezocN{A2x8r9~b8JON7z^(-iPYPFVG<^a97_E0SkUSXK$o>^M5JZ! z&JEn9k(Xf{oZ{kPfHOUlp}z>~&0!pp;C~uI*x0aF!X8WM^WR5vj*O_ifS_a8EK?DF0P( zAIZPKtGKN4w_admKZ-JgU1B}lh&N<3fsjAs$Q0N$K2Q zJeKP2EI1rxB}jzKl_hzg+T>)xS>L_r>14kDxpRK^T<5y{y16;QcGxb7^DXz$^bqscH9L|!;>b?<|)3DaY&MYn>@U+WfYA# z-EE}ol#Gh*duhCp^xVfE9th3t@y88Q+1Z>P#p)hiWQM@V$}y(QfappE7TFU96J0HzF9tE9 zLB&iOd`OoPfg-9{w3`3H?facSG*ay%oF~okUnTFJJcW7NNWgNjizTMjz_SPE|Ng$P zNX2VZc1|mqK-*P%o?G0>ku4W9f4WH4aKp3PKX#U&WXL6Q3{Lhq2uU`Uy(nO)UV2JT z&Z4m4Zvnw&gc~%YC1w1WhI)=5qGfEw#fK3y8i#|}35&|j!Fg=E<)oJg?U=PvWP}nx zn6@S5C;k)mynRa%O1vD-)L4wSmXZ(RDe{NZ(=((>TmtA9#(ar?VW=iw>bz_OTCuMv zEGkO&F11xXJ{tY9T7|Mwk(gi@-1D(Oc{q<#HR(ae-SH^Eut*z@70knWB`Olh!>;UG z7v?iwg&zG^YP|TdCP+@-K)a2p?HxkCtM}{yWkdpRu2v4zIzr#Xfj)yBKS`EjxWJs> zY#G~`Drq}=h+Ac1b=?guS5 zz&WyL4j_&Ynu)uVZz|W&;76y~i#G;4(rDgNC18x?*@{Ca8(z!e`bp8No|1!({waAG z_U(emHXVPjA{0A)jU%ms0x5vV)cBLB&Qd2ZH(K08WH!z?=047N2(&Mt;dqSK);wn; z`dT{9oo-uCcP_we$3vY-!UJ_|QZoKK1ZAaZ+7o&b(g>c2T0TrPXCRdps(Z<4s6f3> z;X4E-A-FFaMG&oQlSE+3WkSKkvZes!wSdvhg1)Uyy200`wqYl zg{}uB8XcK7mbEes(ND0&L}lmikfo$W`@i9&#l;3xfGM|>otMwZp%3nT6mw(7&WzK1 z#L?R=B+)ahB+*B+*};)1(e{>#XS}jAsJmawh3AsU5B9s(I5e z5hq}?fz25Wj!a2MYuh$4dYmk`CN`YNY2FPs1c}q_nld@`nz%5DMOz{^7Ch$(p3zFx zyUd_+gr3R%90ZAy7xXVufu%%^3eN2W>GxHqs^s@pJ8=s7Lw`GbZA#SMizr7wkY!v| zYH5ehPl{Ga0o4)P?mrY)oMdg{hRN&kEHb8BZZuJMlG5r*z)~UWbS~*sA?;Lg=TwpH z)F9)8irR|qAt2#V^08UJdye}Z%6OQc9MQ$hR{U`L5H0lAy_uId(xn>cub?6!i6ipT zJyX6oyF?+#C*DG4&@Y^MB~U>c;W*92@96U(AB*b{7oq_ZR)J4;&y`&ZA=eX?K~EwA zf@tYQ(1+Yc;`|0bteu|p=9?w-p6T3p zd7{rPCtG9@8trbegiEATHq)*rhO-fN1P)_cbz=~Y;iiL zr0|F_b!B5(3WTnbxlB7<)8oJyTFAPu6iUM~+6z4d=aArQM`xO<#$VB+ponmZ6%1oK|2K)Y# z$4!agjC1oc9fi z$=KNflSQ_|#1fo;%|M=}bp)Yq7j|zL9?Seb+x|SJ1cB}pO2jJLa8Y|IdHhgCQ#(1- z&Uv7T!;UDHc=%G}0gtJ+6vB{ZffPa)G$_;q7!-k-$)zC-kF_5{9@M!36D$*W)+b@bNdUXz?~ zu&K#B0e^+&cJ!^EwD|UGVtB_dQbd2zXUn+GRLS?KfwOoLefmJ>axkJA;%u4JkUo?de&0al7j+Vqwe3NO z(++;Nt~Z)hFaS&FZM7FT5-&-aRM=?B&Xd>$Ko4-D-p*!`Y|Q1xnDP<-|CRcW#*6TU zPJ;votS7OY>&6R!0tZvpdJeNNGmEw&{Ur0RUKsOl#v4ywsp(3?bzVe~zspI2{@5>H zd^D?+U(HG7)d}NAVM9Otc=HF$orENGK*piRU*G9ji*Em}_oF9u6#@H8V|B!WvI1#) z_RU{k>Brc$`+70)eWMsq2O1@-L`1x9;ou`bh~DE-Q%hah50EWWFB(JX_zMU^g;hQSgU#rhwUA;(0(%^ z0Lx#z!?~Z+={YQD93Ut8e@lIW7raC6E7sA%k9R2PU(zv&?$;#}r&&&Ov9z(y7#<{)yo?|yAtOqJ~ zo{ab07|P6WP9AJI7D#*N;*pYos)4S#Z~!m#l@NaR6Y{QFQX$0*gu*qbQ~db+Fw*^Q zID&$O??)?9u;JQ6j^XzrM3-twL>GE_MA$1?ao0eey;dzw ziQKVyb3D2Duj-Dx-_ZebII?rhd|VW%yMIq%!$Dk-pgv(eP7xan_*2-Ci?97MwkWO9 zl7FcrB$zRxI>!dy5q70XR8EuCOAKajOZ6*2ob%R$)PV)!VS+6`vY^Psd=NqndRb4A z%VAQorpSXzXmIB#^ifP-t$y@Q{;{;h^>^Qx7q}tybI=9kAoR?NwC|IPw%3pkm_ae& zW=_#4M01S}xxqTa3+~z@x1Su{+&b$CZ)PCR5kq{#oX7g^{|ChJVDE=|aDoR2-KnHS zK*%@n#NT_yIx4lh%3phl%6rNs62L7g)OrW_I$drIuRoC!dE)Se@efD05m`Nz$qxL+ zH=(2(rap~YLiFprIoVo4P1oS91T$z0iCOaWX{FcTgY&)<&OeKplwXAnDhfkAi6FQs z@9|drB3RC#5=bOk_JX^VQ)81xzWhWkNQAkRYy+BEnnilLXhHhp_Rygg-K@Z8u)~$%TBpSdgxcH>W|g zOQs+rh~cPRX_N4{Jt9I(Dr<({oGbme!0Lg|H=R*k7Q6OV**|Z!u?oUMw?F4v9#pI{ z>xKXP_V;~1x|fHi`sKbQ^SsN^k8NubS`Q_WwV=U@QrKapE!+|yI)R!eH{K3t^*TK=2x-Bqa%N*a#$pXr%ksg)$lqqq} z%(iq)$98#RRJA4--X5E_x(Q{{Hwemc>|BweM@$A9*n%=TNb3EQhqto@w9_h=-@hH` z$Pom$6)tTwm12DPs>)M*`NpY}Ytr%eN=sx8A=ahP`lRG&`~gzvLQ7J5!{hV2B0_3e zkF}*)iZG(@VVeYAh<%Y24BD!zIn#)eaBftM?f;Lovw(@Tc^W+K?k)!rr{^_x+R0m&@gnOS-!HndzzSk<63s`c-v0))i(vrS~iE znM}Eo)J517KE2r$e9rrOKB;k2Vv{YjpMNKfoEUgQWiZ$cmv~?YOygm1}Ds-@H6|Z^Z+OE6$aA zsKXl~Z=jF|y!>pztm+vF30S?7P0FDJsDCAyxa&mPb~9rG1T$pdJO7TFF!J=Nvx85+ z*@C4>ZGtgQ_k!cK14p!NVRr>J6vj+`r<6-VE8BKeLowuAqD!}zQ7a97i)Wl$(kAs!tTR)!SLlTo>0fI;E z3AvOx{+(1l`GmOw67~AhRS0}W9|!tJPUQDFNfDYfmY=hmCAwOyPB`q*uFYutR8� zgj%m?2et-*Os2LKY|T7l!&v&uXbLf^c6~7Pgyn|}PMEB#@_nQH5DFSFKP{->FqAXZ zl{5KObY*rK#4uTFtr!c6k^ylEwXt0B-EtA5Z^Oa<5u;8h-Pq)5sT@v6?5(k)ZDed2 zI`CffUnGt69fMuJV zF+yq!(W2SVADzayeNw^X$t^3O(%QIv9n`$yd3Re}lU4v8>48Q!t{Y9azdO>K`f`pc z+^uT6qQMyZ)>o_)2(zUA{H+COtFx>e7&fKdr)@((ONtM!K+$YvyW&ZVd?=H?oeAh&bwT5VOG79W@2xEca@v4-fo6uB{m&!; z%Zn(RwCgsOr#*|3TwdH&x7gM8b_H2A{_`79Ka~(m(sQ%&grPka(2T^KdV_xRLw9Tqkle~*=CsOx_c$APvQW~ zZYnffnm`c|+>zklxq~>H%^~#V_#3v3Lr;*4Lh|9=DfrtNksiUvucO<5Wap;+D;xBu zuiqbUla;A`+}stHrkBSs3$f3TQoz|9v?4L{;XEIKjrcC9Q6Q-g_~$Y7ODXPdzflh+ z)H{ifW*khE%x7jP`170iXLT{)8KVAET)3T11m~FAqay(5tx}ZtK!F1BTwf?Ni_|wBM zOK&S@MA17u`!SG|lRqx~GbvEo^QJ=^EF$8qqSCtYwNGi18iJiPRnme5f5kq~@6=jb za9<~Bo;0?sMf1d_5A~&#l7xb3a(bJEsu9vwPMD>ic51tr{B>0C>5*~rL@FeVhe zUt1dK`}cih{|B~$wu%B~?fj#PO3OaLiW|hV`dM(`Z{&_6MPU*%=i(}|bkyp+fWmmv z1f))%=d|gZDTwv6i%6RLb`{w^h(oUXz!-Mj$s?>hYEqx+1Zxo>jIE@w84x~M4L<&% z5+!Lml3#{-9Dx|0TVyeDA~J506?dEAXkD9bC~B#WQ8tXLXv;WIv6~Cb2eHyx-YqDT z(L)*{$&_0AHWe}dO5%{>G&d)_cqvUvvNveu*o%R_3A&|s2{%>*MH~nPG{Fa_-#;pj zkDG#k(40a13bQ#%5kM;CV?v)q=;@&%G_-byLM|)4Z*WS{Omj~{DJ$I;^vv%A9I_S? zYpmx^FH)cPNJIN+xrbR2HzVLihM$?*ggBla{OuN!UJL&>dC<~eoSZ-@89t~>taTl; z!g>wNS;cR`88CW`r^IhJ0>B{}SK?RM_bW2|$-{}0Y;=&4C3FmEtS|i7+qV1(pM4PO z<-%*U@qF2AX+3s?#`|TI#>MAW3{`ZrM>YrXiouoYIAFpEcIp^g78MKQXQ(E8vMKnh zAa(_ES(?U27QcIEz$yobsAjlL{qxq|{T$xTKe*iegI>}-qx-!aHm5;xQ53zykc}AL zylfA-0avcux7ig^^H6S9Fwd90n)wUG1=~TPsnznuwvk|G zq|Hx}w4jCB;6$0^IcHqkT+^-drZMU={Ggs!ordD#&NB@$+4SnpzqN zvFMo6l76wKSO4xj?rEjhZAXz5`R*U!{l4ARA6|wh&+Z@{iE!7X&JzD43faz}rEStd z>4%CJBlxD1E>zoA6P$yg;p_uzq5?Y0e-zbE%;Cmg+&+=Ug#c1c0| z3J8>cv{Fm>G@_#P%R3XIHnd79J*7vW(c>dBIPsh(ao?)NI??fmu3TUwKMVCm5^9%x-}=YxCL1R#9MJ&>sBe2{?rX(eRN zuB|sB-*bL648DCn-9FF1zt5jMXF6A6@hys4(XPe09niM794f!o*K5}EN`g5%iGw+Z zi-X;`fq6!pb9bueK`8%BB&`+2zxz)jN7@t0#KF;(5T8$f5L7crp#@iuar%2(2g zSY0`3u?vy&Z(GkdHflezaNeZr_6Io%t=p_Dcvv~kQhbBPo$55p?pqQDnRW-wVMscg!$iFPR@ zZCj}&%CTnO$doYHIqx)*Ur#lubxr$vDiRAKwUl~l5({(@@9>A9X7wU)rNmRTr7&7@ zDIeTQe&(Z16Fo@$=AJAm(WONe5@xyRN45AnjKxt7H&7WUMXlnD@$tQ1-s-!*Q-!?V ziN2Bq*@g!}QLTumN3Du(C`PH7O#YKcEmg6pkhP9GEwkMS>p(bFhBVTBplL24i{*Wp zr4IDnOAoN8PuQT11j$>T&XtMYKc5CQRCP}bsD`XfYCGUU@Q;V6P6i3&LE4ms(-#1c z481H*zy7EIEM1-?CLAZy&r{INfl7ed5UYU^Mg<`Ytf${;p+u17Sr_pmXk~O2BQWrm z6g~Wg3kJ)?wt{O%_MpY=L)zcOSPe6gKxCM!ZMHQGu}V7 zrvch06Jvs`<~V05SBn>k^ZipHk}DlNY|F`;b3F3&qyNW_gUDQn&#J*SMc5USgj%fP zv@LB^B0xA6=|RwpH#W;&Go)J0-7q%m!p>r(K1~e29`bw&D5`Wy&O-Wb~gxf^AA7=Svc~ z$D8!vN_$o~WpyxfZYlY7+fZD#^RUrdZ?sBc8x`e53U%-h0G9 zDnsr(#ia55J)>hbKC=5m)`?#W?p)8G9`RcZ#Qa`M)BZge=@F^dWmI^c_h>zgH@{B( zzf#lNPXa9Iv^2UCnUxCko7-#SkK0>`)(>4xZ$SEdn>)s1xVR9|Wy!g_ zct5y*1-ir`tz6W%PuHJR)NXH!01=4Yycghs&{f!^rKBET&fn>TPbDqf)%_PDZA__0 zVRIwWEZOh4X(~7^*S|Exy)kHi{O;!xu==&lyf}V>U87Ky%`NUPfHa}d07~v~SEnW; z0KNfXBcpJzFa_kGyj!G^R)8=#S2)Y`s#{K1zgONCxwMIxPp$Q5@#56R*nV@C3yjA7 zqBC{U_xb+%w95{^Z{>yQoieB4+|r zZCg63Kpp&P2+oEMFy287YQ(0#iKZ&{!c1QQAE1;;nwR{=*cq}y-hw(5rP|yY--sAt z%C&rI&r+cyp_WC1G$9~!#rt|n^8>LPnrpdxvF}LnW~7u3jF|R_T$%n_N4#JMDkoD~ zc*gPNZ)kQrvgOI=PIkw4|CH|XimC#E8R?Lms$qu_Na5b}3Ekg~$Ag3Dl9sS-UrN@M z@G~k9Zrox9FOU?g2A;TKlB;gTm9@dE{7tP%v+^dKm-9@K-2O(1rs+bF7|{KRWd3nJ zosXn&)NnErb)ps!LRS^<@CKohHe~K<$G8y@xkqrRN|NiZh;)2AVrhmMP9ual9)gls zX0xAxH0KuQ6=Yqye{})BQP%y;LMk||Z~aj+6F*O?Tdu;>hKdSK$u0If;85&|(43Wy zW#0WuLOCJZ#4&jAz8NJH^)b`WB{v8Po_G$7#tr9 zqg2*Z$mne^|q@J^h(+$YbsKMCWwkTa^ISxQm2FzEP=HyA~w)NQVbbQO&Vd- zT|U;(wI~{5qvzybc%G{gF9@h81+EofMX!-%!WTX*RVxL!;(ms$y=ZA?>g z=T^VSv-;P)Y943P-wjPJ>fs!OhykB@B%Tg_i0%+}3dS3?yVBVQFVBnjvX3 z2@J1Wn;0ri7q(VOvw%s}aqEeA$Lm#rG#|i*ZliAtsNlLIfIf&5z1l5Y4A%Cw7SA2- zaFY#RlsjbPhU*|dH0a|Egwd39U8gzn!{R(A)Ba65J8$?MY+?*p8Q?Bs-(-++mqodUyO08-g&58aUy4m*IEG zD;UgXczbK_ulW>!>F!g*pD+qB?Ani?KOwu@9Nua7txoLU7a{p>VMeFkPGJw-7|@k+ zjb*jYETsOS8t9P*g`L{qRyz%mEG*b)xka5Zi1_I%yo|`I<&_ADxy&{2W@6!bllRv; zz|Yn!p<};|gAVP)fW+=yr?_Xo=&?q>y{PG`c9Ft+nJ}g0f~aW{b$;dNHS^#3`EUFt zRWo&mfvHj0+xt2>z5RfZUM6ZC+u!quZEqd%>99+7n%1ToqY8~T zB^82avT8m{iA6x7rYuYq)%*AS>J=l>JeK(RA2mhc(t1GAJ8jehI$~|TTO6n-6E3vY zxh(10;&QbMO1l;Q3kb94MJYU^lW7_tR@*|21{f9;)FWa&cmjg@ zm{kk@LbSFi6|XYed2D!PYo}a}tfSy>=L%FWa!;BPI&IA;_aCYlp%8N%Mc<~<8Hd~q zzh}TRUGh=4NS{M*6|wt7yL{~!$R3WEG7*Bxi1k8uz}3fZ zV}>F3fzlMK6$!_C!p;VVZybGBNN?M3pc7#g*$RynQ#FF1`0F`}@wYXd9%$^@+4%R| zJMe$V0Am$m3Jn%0X?^ybAD3X?&#o`J{c->k#&a^&Fcb(&8#267LKx|@Fo0T@sY!|x z-X~h3au7>4K#ar)x)Q#3O>=N|7?PG+NW>!_#ez`PNVu)iP}W)_?3#>vmL017rQFEc_yn*uDSDQw7?r_F z7lKt|*q1Q;Vzouir&dMizs6GVBtHj6_4p63c&Yy*E%Lt+V$$48TO-6;Rv8@D;(|(V z+L)UmXdV)`j+}dqbEOJMv1ga{>h+5!+&w}iKJ(0*KJ&iM!+V?Ia{L}l|0RZLRs2WX zW;w+C%nH1V{!qU2@qQbB`ZVtleU36`<0C#SWrKFYqMTP^Un7;m)Fk*rF5h&>^QfF9a7w;e@d_ZJu%gA#iA|=6#PX zp*JR+oPA8orJgq#Vhh+vakl{-%RIcl@{_oY(bE$Y^v%A55braXU zh%#-iocW~TWiB_joT}~6k?|~iUYulA_A0>A1BC=8LJTb5O3W4X5xgL9^S~_P*Df;| z_nVK}0e1i4c7|rmKZ)}hd|5wOPVHp+Bxe_R3u233zun?X%iKTiDs+1`H<~t5;x>gM zI>^mc6&&w5Rj-?@U_VchjYLrtYWr3KoM4AhKcwNx+WVGJ%C=n2!=yau+4E{?0FunVW#yuNT7kDuH_Y6YsO z`U*=*ymmgj;i0Rzv+ug+rp0&XUy~Ft%$Pi64bt)i)2ege9a*u$&67zIfDX2bWSo5T zUGU@+j|reT?ZU)6qFGR)*{xRehwms{^ifoCx7@>{&O6=~fK1H~)Xhqi2RzZOo!j2I zChI0)vfpNz7|kg{=3788u?3sjA7?KUBbR!%<^$9+eY`n1S31=cZk2B1j;934gQ3FQ zllfG$CrN#SczsQpo78KO5cEmxxnFW z2s94TMk7cHxR=OQm()O|9ln8mvrur$4No0PGI(;ZV4Sp^KbFJJ>rO75i8HI7q25w; z`ZMjo-c}Q~@8huQ0mv~L-Pe6Ny18MH$;OJ;RjlC1lM$KX>K=|TWgmyl1oTn-CKjhu zl!&GWX9ooJ#K>3S1xoWXnXOea%AlW}-Je`AC;*?lmM*MXR@A;PhKE22Ts)S?-MvY| zv>Et77i(2Bt&Hd}&<$AW5&H!A21Qe__%l+B`s`5;`aDt%Zn|+tYs;YHLF?Mv+o3s5 zpQ1TddxFi@>&*^V9PPzP7w&9W!^bC0Cr~+(GLdDK&(eDk!4+Sj2ia&D#(jYHIPQ;e z0yP*?bcctp`Sv*Q*;Ih=Mjn zzS6}zk2jJGi<8QP&S9k%cZKMp)~n1NvURCzzQWZ+y>_$1FShVXaHNu{uuF`F$My@) zv&@q^v#8{wdS3MfkX|Mb9|f2_p)v0_hlTEIp78$UQy*bbd4!M0oAdA1A1-y4&U*2IHxu$;j7ry0O*rD4Y%)b|C#*Ks zRwB}bX#hoQtVBUsFtiZzx2$?niAp)bQEUVsJ=M4$9d#4-k!NdcbMMzY0eZz;F%fR6 zy*b@mwa%On1^)W-ohmm~^3pQ_q!N?jY0(mG(t!b=1&ZlSsFtKFWm#^xI~uRbq!$Iu z9mS>*NxsnR2qvqm%M|pAntILkf>7Is}c+ z^^DxKq!uz3Ap$S1)08FtDKQkfS+5E}nVKo7D&(y6pR0oWKyS$rNk>}%GpDlO7ssb| zd=GhRB!-+BJS#n_nT48#VzRu+OH<`y$ItIz3mw==_--V~o}R%NV%P9!Sp|_9uM}Uy zsLhU@%REnB+Gd{Qw^^L)<<+Sm@_0wSm&87JdQa_@`0!F&KkQQ}by-jl!4}63TIB5S zcClr6yl`G#@b21DhVrEj<;a;Tf93Q9oMNg$P@BEX%2uhlT07l9DOK_Rl`&_lR*JmL zUaJfP%vnlGib)|#LMlr|!(ne6%w`$*l!dr&9SdToCfilbGHm_4e>nI!xY`@P7&m@v z%`FV1KR<is{XrCN#b{?_0qK^@N3wP~Kbm6a{Z_hE`v)=i2o?DVYhkk*xi{8c zr3T{h0?o$*OTQJF70s~5zs=hs;j%OmV$kVu5;2oHt}=mz@emqJf5o)ahw`Hd@G&~R zt4=1+#j4;G!R~JpQ>9L!Te+05(mv2!<>-T7(NmX6rkRGH_qG?#w8QJ#?2m9+IA4T8 zY$jWir+Z2tR%402z!o-QdLz8ne^A=2O&Zs(Sx0gkfN38Y)3*>69yxNNSyzUNXNTjk zu|r=0~*h}EjwwXADL>}#x2Gyh(#Gkbmad6z^pn>|U@ z!ACvoSTMfrXy8>D8Lu7_e7c0$mx~u27ds$Q@ulnr{^IaaDxiunqOEVPh|sb4^J*&0 ziRANiNCAZb9FUq{b8p0YoF0vkuqpcqxDm8=jeSSDN`Uv(BXM$kX1|1T>RnyD514rfgv*I8^YJ@dX z=a>z#p2RoJaa=P3zI@7z9VTQr$>RaA9OrKRjiS=V@8~ZTZPWe~mBK=4{CjT?Hbwm? zL3sEGKN7y;GS!`Zh6f`<_Yz2T#xS+F-@Zepn}!GYB)qw(V=a?mn^d zJ{aaT-SA8$bx33Jf&o-?+FMhoF0$eEQQz!go_`=c?e94jj)XB+aj+m6uY%ogJdgJt z_;u}l`O9dt>$L;UA#9FP)+^3;+`qLiKLRB952R(_Sx>k|uaZ zt>%?C4}$kz2JqIXS0pY|l^1nw{?-;j#40P$Tz^{RbfjX?@JZIOvN_bS4A3nfAJoG~U7`{PZ(C-*@zrugpD3Bj zsnjQ!l@B4O$9#EE8-+S`--Y`1;_9wg@tV7zRjZHkiy(tipz8_}RYshY7@1!V%0(GQ z)sT*^N@LnL#9-({IT!ds?7$UG)&m~&It1+QDLUe?u|%d?qIvxptOh(P^|CN?G)zp! zdia&Pdaaf)^TzF|(a>Uuq?AP|hX4kmup!@j*NxU(J?z6hqHcQVxlvDqDxxsXt$lld zpcEeNg4i@<)RMYOR%x2I1zUuY1hmBR0w_C-l9}VP2fV(b0aiz_AvusTLc-fuXR{ zkt!_ySDRLbqdoh_IbcZ?{E#1gMv}d!%SP{7iZ-yEL7w053VkF@@a(cRbcW!bNMaqh zkfNFCg76ob;B(3R3xwlP;R`*EFAebsG3}!=mav#moz~Bg`xls zUvxmKSolXj%cVyQG3MoAV{}A){e#Q<)PAtXlqT)AD=dKPl&pb*7)srK*D(k@d5!h= zb@ow2qXunewNdDG3}QR;3UI%vj&Q&D@{YuJi|D7o6%8LmUyAJro4YD@2SOBRIwB?M*LZ2GC2` zXlQ4&6`JXQSLlp`Rp42G(|i0Zj$Zg0I8>aE?Mb)+;tye2kq^}desZM$wd9gfaxOj5lo!0L zoQUyqI~Fulkzi^446ZDbuSQV)#g80XyR3R)P1~J`h{vrgao=KFp0}hdR9cj!p*CAt zajdDyfsyKgivBCjFvX8t)SJ$Hv`7IT-V42kT-$@L*rh?Oax(!lcy(H{;86t|FQSpj zh`b?Il#TYS6gIJaS@iIV6U|4!5N=}gj27s{AJvl^_rohL2qL8OV2TpU0TU(MXu4(5hDrwN ze&ULWLX%E_?q8_}nrH%d;p=~*S&#wHix`tU)|3>9=2?q1;TvWCd0UTPIAQq844Gob z$i_qdm4Z2}II;AXr7DDj_e<^tWHG%8!R{a@FNs3Podp*}+e{@AHyu1`sPHb;U6*My zKs=xS7hZ(%i6^SyPBS)#ex;8RiK2&SE#334OPz?9qVS61XFbsLZ@Bk5cW| zE=XVJPnO(zG&kWDjGrZq1+bI|TQL%Z#{*kA3#5pMf388@6%zQ#X{5;O^PxDvM}O>B)OVV)@hn!gH`3>}(rxwm*{cORG$TF5ao^M?Ltv~!$psBcIGGY~%Jk4U|?xEL-k z=Dk$@MFh9Kf{vW>mw{&>urLSVphN)RC$Z4Vby|nu@h%Ywa(wJsg^`{2GjOKPV|V@D zDlSF!<><(Qdf;(!|Jn&V(bVb7BuJpc4RTLFvzVuqfBnAW>T+dx55+_N+EQRXKk&!R zpHM^4S&Xx9{-{64Q|-GcmE2V*w|d0QO8pga@GYDY1(H z94tGK^HzC&3?(O#2NwE1rq1YepW8bd>2^10)7F10kiAgha7u~KPNz`EH_a@Zg!_Bg z{=Hi44CJcLB6C~Ft-OE>-5UDK^KF&@!WX+zFsH9s>+tMeqhq045z6=z5PYULy{67L zkD{jt#2$}dx(pDuVj`HU>7RZ0g(clzQ=aL z>Tw(Ed0b)N`T^uVb1z8vcniz|@iD$8W3dua`ChEM2b`~2ufAfZvc(OVLD!~T7 zL0tZU@bCC>Nv*m3oIq}LdW|_Odyr~=Xj2%t_{}vA-PRZ~r(hRnb<|4Mc1!=%O+fYm z`UHL5`Ya1tDK5iGfBq4z%W$m!=T~nlU4bl(u-zq*wcAq+G4EKW=UryNm3lE~^(TQL zo2U&iOQDdbwn@AHaZR7xh6-NfNJml>5Dgi~H{+G@VwLhjFXulR$4FzOc9`7# z>GVO{W;YYjsgVpkLTALDIV)91wZ)g+>a*&~e}&Rvz>3bq{Oe7@r~D}~nLqBREeTQgVE##TGQEl_V3=>;&ILyySQv1}AD z!5-zs&Yyhyt{nmF7Ed9rMH5=6#BbO%g+Fx{&4=hBknJd|5@3_kM`vKj4?fpZREz#^ zDW=d^QJugN;>SrMAV!?TrMt6=W{RFs^EFjEpH5RFm!Aq2hl&dZj+<S?i^GT;j$Y^-kd0 z`_J!R5!^*Aj!HF{{bDWCTfSu?%g8NP(|QGh+`DZ=*g(u=iKs11I(C)#%HLQgR=#8#!4k;$9g z;!SNxGk(XjJGlIc6?DW&TlS=*h6`9|t8 z^K`3Bmv*;NyET^16B7Tp5On{>u*dIZdOw)5Bkr{Xp*D+k^Mv$^KT_w2!Qsy_65~R( zotJjAhDDpjrqg+=25tgR(&;WKAAjKL2j|1fx^jJ@bmPp^g!Tol6K8&sU~ey1EBkpI zw8+S)__chrfKE0W@z^M~EEj4LyjpBp&bxNq01Za}4KyUkwA(+by7BxPeaTgO3#j(I zCb4R0FPmA?@_@sCn87)cx&O0Aq(xsF4K<%fVP=W~xy_Z2NpNmiq6UkZb zB%WS2Y)T7Zf&v?UJrTo&0%L;O!+NE9!_(wg=m9T9#Qcpi+yW_}j4U_#^>6N5Aa_5? z1P8TBhQEoMG3K#h;O@w-{Ka7YfZ5fN?o~j+tgoelGQO=mhS6t0Ve$1QgsVVTjLYZP z=4vkbxYaeZEc%yrVw@`-u0TWR*Nn*GGbMUWCZqdy$&k)I$h4^C>CX$?>lUODOr>3=?|0Z&!tWM|m zm*blW%{xU{CO6P@#kNo>C>_kErNLx%=2$GVOe)V3e&Mek$R-h*jf~;Z^37T<>m9G3 z7Iqvzv8|Y49QsVmb%5dzG0XHuY%V0)(5|#1dYfGVvul@>O0Y-VsE2x;Emaw*?=uJ9 zYAB^c+A1~@AZ68FW`E;cOF118U#G`T-E2j0-i(iqmQt<%33T@2w9wOS694U-ufo#5 zs=fU~AWv_RFmb%QRdOdCPhPzh!5hxWM81EH`kj9%;M75FsKg_O66$L02V|2y`Gsnm z)?k2TL!ZlVT2me&+XpA|w<57Gzb9bYdj{oy4{h1P%Kpqo){OL7R#-6@o+WyfP7pk? zkQj2gm+!li3Ltf{DFb+b(SawYo!py=KFIMDQP{(0cu)vu5>Q)`@<7lb$w7d?w`z3| z{#M`o4W-SOXtpidt^UG#-OKb_RjVQ6#+c*#7bd@D$62RmQSlZ>F@Vh`JM5w`yR+y1 zet{6}Rnj~%)1!vXPG1m%@l)J}|LoJ%lIiz9ynkO>l8f@|mEFF>7VVUteq*nS9Z^)v zj?FBZ`^ENMmW}Bk-%v(N(j8k!sFN*e@o%L1 zDu)o3H!T#^D4ZZxP}WC7SMNYv?xoJr?Ca8KEpRcMj^XZk-rBn;Z%xz8jC6fGe$aw4 zhu1b}NSp@p=JuBadrA5M&SHb&2|-hnI&SRZB6*^sv>*w!jT!IDLXN5sNydX-4vwB@t8YS+>!GlVDT{-TlDT29$IWT@#4Gc9VYpU9X><%6re;Q2ato2lj0xpW`*WI| zrdP7#mEL9K_^)JmCG+3`2&Z;*tvx_2Z0E?p25}`6l_^|DSk4@hTtPV>sL&NJjtGZN zr-!r4P#&go@68(Y-E#2lK3C`$_JMf)krJ@{jc;nxF2@04)s#kOX>UaZfi%ftp_{dB zc&JjEAXY6gWWy*Ite<3;2l9^>Yrc6vb*c;v!_$fzS5aY1wvK zwQV&WzHA(YZKbS~1cZocn4q>sHivI`Y)UvLT^C;9bww5`s)s`ndK7nVNn6~xd6SRC z!FwUFZP*B^MsjQFhpjzNPrG+l4-}R1J67X_ZA!Bsg=_T=Ob;9~23O@u6GwRk93vGA z`w{h-tystZ)6i{Oo@mOIPKy;TKSGjFi>LGajblY~9&itNE0AG5dmwzKeT1cxFu00CA;(P7YAik58-rzqU-_FIc=+Om*Sj60TD2dE^g|>#g+i4Hr}##pgK_gpE_d3g}_|H zpRDVZa0H60ZG4`% zWeXoZ(*X=m8UX6uU#P=jeZ|vQM`e7M1QV9R0x(}co)Ul9JI^#&viwV>kq&Tkg>-Cn z>GXjS5WoTYmJ9^9nyj@M7lC;??E-EPi_6nb2HBb{FYIywN<#PBy2jl8e5myGpS;uh zf?Huu+j;hKUivo{tHBD3zxvHO^GD@5jHk5XWKJOp{qm-yNaKzv;o5hC31PlpWMR8- zNV(vq|A2*iiM>W;Xb0*YM)P zSDO{hG?<|cmWRpBi1=y{i#G9o-3_tka)+|c{(_k7zs8e`8}n;DiipS(LD6^p4{i*s zqqPZVR*F*iW+7Y5Du;@9kDVWZ>th=x0{LngmM9~heL0DE%_}Fi`}j~5>)V22Kr(mQ zXRK7@BA--xP*g1~;rMv_MPHvLf_%Hlf8%Bq=)=8zYRjxH3P^m{XH=A!zAncRt3=XB zmu(hEJuXRX4NO~-7JY*5P`hZe&zLc7d=`8+5oLJ=j;`PZuU6b{C8!f3n)1L(>ZM1e4Vd86~U&oUCe<%`gCAR%2vC%4C z+|H`$J3O$q(K0646;FAFl!KI8u=CroX|-0m{kVHa+<+FM53nAaKK-}PVFIzB5 z3J{IvXyBHuqXWUJEHPf1;PZ!9d3|X`ak(O(+pm{?d*tzeaKtyN3ZZM*L2{y!e@0qx z*ZoIi&e>A~8WEABrk4qWc$VS)AbLiHyl;V>3l>8q#O5ZRV$~2LpCNPwFf>=l%J)mZ zl3y6x#0LX}t`&Mk8J_{dkBA9UPGESVIv?$awU@EaeDNEch9>Fy12oA=2&~8+`r?QS zavNd1@>gmYcke8Y#>iofl1Ov$6D|!-S4Ef;Ntw;AX7yO^>~`DJk7?L>;OeU&-TlG6 z%<9>);rTG~N2HPoz6{xWEL%njPcK&#h&B5q?B|{E$O(gapJr#vr-V+UR5IX;1MrZt zgrL45`puirg3shb^&iv>HR>PKEZ}=@V(*4QyO}2^vw=vb4Ot($O@C~%T3z^+LNZj< z@b3KvPPr!f^_up5plWP^D>F6`m80{B+_e5zvFH`LZcsAjiBuKm5P1X z*RZvs9X8M!T3%Bwx;!^Ed0AV>~4Y&EU+H73qF~0r=sO|sK@aO@>txzed9{Nvc2`hTH=9Ry9 z*L$=@p}GRh8etUK&iuD|mX`22C2+dEw|C&}oN;7of!#*DNLhQh$ab93&HN~lfA;jJ z$bYD_9wsRULUoQmpD%xIfhWV8&*kaHn`siWuHQTT{B8vM*1sO`(XF-f&~0vmxFc~b z(YeCV3cNHKdp?Gb){g9l>|(IRW9Xr-wuI)l)|2%7dD0eX|8KAN*OjB~vl)6Tdoh*J z_5aKZL$GP-7@%j`9Rf0EQzV+hSu zzk8=q?FO#(*Iwxp_;GdpinM&&9 z{o_n>OAf;1(#R8y*NV7>TMf`A+UmJU=EX ziQ7;a$0?aD@E||Wxa6N*N9igk79d$zBu4$;I5hY-?8h#djlcN8VtzOaULMeTn1usv zQ=tQG>>&dT^%C};Yv{NB&ksQ9E~ql%bbiG?A^&lWkkq|BAS^th2OUKI+9fF+oNf?| zbKU91&S%rx4c_IOv?ZAzy4P8hh;V-Jh%@Sso%34hrNFNu+*vRiRA*dtG8>RlQc zQ~=cZtBGpZGdu)(dQ%Z2X4L0R$6lE4-e%KXO02=Se;)m>#k82T+T#CzDKwpm{}&2P zFTs1{?*ELTwM3hI$tyU17SXQk6&5rm$^WY(g&Ny(2-vSDk?x0TE1JUEG9xqyuO1NB zUf~D12;%ajYm+O~E==sOc*~2rO!njo&J@$j+~KqO*V1&0&t7tI*Q0ccJ5+EV=IVO9 z5>in0=povh1q%9k!XdFMk!dG_93{{vuux5jqmh6c;1k*b28LgsL4m!(({<8D-10u= ztV)r@`>X5Xm(+uGn3wc}%J5!_4OD~blNRu(MhwhbGJ&eDOf_ZbSkM2(pe?LHBfNEq z0zMH}Hn?N;x+@mFishvym2<8V_O?2k2^^D&+V)mwFCP>>504huH2UC-Gl5bs!aebs z3qyJ4;tC;g@J!tN)GZEY8JBpKoA-f))r|DX?Qq(mh&_BU6-cWRf$KK7gI?1ZORKCIvGysA7-d0opBAR(T$zm?h+4(l1ML zx0W2N6T{VHT!qhB^c_Cl1(px{sHZp}@J#LS_$yqO4|cEMeDp3n?Xi5~>Rcvv+!a@MmTzov&(9fGTwsmOV3jgrfry1lm~o}q z(5F=i3`eW;e%6e|en~RC$u1bp<{fD|FdL>_K?^*Nc-<}E<+54ZORgkk4FddwGgrV- zQoCYZ&?iQ{#D&&c5mtzf;E{1t#bT&w1A6!k@DII%qlkZ?RPL6=$)npySl(vJ2C#KzU#U1YqTH_JVt_3?0u+EN!jV4*CxLqMTAxh53w$}Ggj8|cd1TfXL+D9JLLAn^s=!9u(2vqGgw?_mkf-h(S0 zCcxz#F9GGmreSMFw`nc?nHPa-3vl!QkK`Gc+JDG1hyM$CR>S$%h(G@9A5Ls|WcjzB zbpa5QRe?jDZbA6yjfY9tiCw`>9L5N9W;)Y$H(9ZO%8J!t_J7g#&e4@T(W7r{+qRR5 zCz#l_ZQJI=wry)-+jcUsZOuC~-*?~p-MikuZ>>}4)74$odsj6-dlwqy@PfRi+B-H@ za(WntkWe;m^I&!DNE9m&HW^cvlQzLWj*SPvu`z?L2S))hiGTBiWD5Rv0{*RRBg&Hy z>z$HmBF&!=;1IZGljhp|stXl2s-f&h(>F1rCdqM0eTf=Gd$AU>6%TdlzrdO3@L%>U zNBQ@h%S~h9DovvV2b#;PibuN4aLSTAPwZ5Nt=B@OIuC5m$EVMsdEy>(B(%%${fXEw zQ#UMT>7y5-Z?kT}9YW#37Ul}%KPcqmQWYf7L1)EFMJ~9L52;AHmMYJ#d$zV^J-4D# zxW^ga;^Oqpy1o{fHFKGEezC5$(A>g^R;y~2{j672^q*?tzI%HB!xYm!1KtHAEIwXJ z1+%L(CDTO}(MN-!kNg4nHZi|`j2~{=M|@{5Ryb>S*%&M~W-^-45{rQZF!Dg>MhKpJ zf)Ze@WEk<4oUH=Bw-V$s)u$7;xs#y%)8*Db3_ew>h_}U(#&*`j__9USxn-c$19_t2 z`}|v_!V0g9TA-2U@b;2(*+~6lzic+Wz)?As>Aa6V+k40?(DZJX{9EBa;w-wxY+5;x zXAG{{h=+N}z0ODFPwmY81i)NzLJ9k8CJc#WhA41P`5;I*4q%2vbSfDRuLC^7bAUuy z3W}iq7IsN028KWRT$5Xydp`TVfBA9aQ6k6B47H;+XxGK)sC-tfWc8k`-gzd3!<_Y6 z(G0&r7!B3dBov-&a3Zuvtb!m!s2f-Zj~^*Wh=G=L-dU(Un3!^&l~k#(@Czow5Qsnr z@i}QoVez9N%0=RjacLWCvG-%oE{gq+PSHVHVPz$fnnCD#`fyItg1dVT!*6LrG%Clr zXz7B-tcg$xtNTk}V4Cnl%+sKn@ZS+(Ja54@qd~}pz!CR|v~v=6Md1oWTkv`KyfXYK z_4k&OGLs97ylI`_C{!<@W0D3r!8LdqaUyUFL+WI;)2ad zosC(UY!$`zmWPXFbhe~d=3s?kU4J4lE zXH3R}4C64w4`@m&ly}wI9gj~(-bXeDVpn2&w5NH*SDLPz!OgB_7P7QHN@rL;lT}U` zs~MX{7^qx4EF`Rl+)QuRWNNB8G0`?#!Ue*Fx*o(uW_4wOeGpC}r+i_lAjT5O2gGY| zHKeDUlgnDzhcGy1*(ZkBBa?ZUgA+<6`-y6mQ!X!f#atc7raR|B5uchcX!pa0%edM6 zlT`vU(3Ix~gBG^Rl9F52dS1j0V49>1V5}h!0;X7L>coVV;Kyi9syUI3GxdH<`2|!H ztHNRV=G%$kIY-q{tdLbRP?C~;T&r)z(_hzJo54Lzt zxyj8Rk)9THZ6!8wtq&uG=Xm3)IIBfXvOfqsK)BYRFs))k;nT1risFtDXGpQ|j&Af9 zvVkK-s?2Qc`2>%F&LK$6&tZll0pf9u@U;c3vYaPQ@V&Lf8G`gX5(oxbpGT|`%#mKt zw-u+;x-pGM0?(P44RG(%_;*o8q#-oeJbwO3!SguCG!+(<>X|5~RV9=;c z>M!O{S!QQ+p_SqBNJh@Ou|1&NaiU=&EWu=t)eqpg=?m6`iqGT-dTg-}WC&=HOiAmhjsEWFW|#&GYHbl` z$;})=sdz0J_kx5&;{rm<%XIX0NoMHe5L((Jjg*A?4)};rR=?eUxWkP&jaSmmH!+9iWM7TnlYTMsPFdi09NsE$I+ADBFsBVZl zrce9&LcDO@cX7-5W++2_=B44w7r?0LbGz3(2fUo>i|n254g-}2fptHh>Z{UyA1NPu zw`P`#N*d#8eS&W%Ej*$)W?L-STZ7PC{K4$Cs_Tg%gfEG8ZVDQ20iX~*3zneP;8O<} z>I=57(p=H8umN*vOePr#Ld*cZ)HtVlH0n%pU-u+X%+=HK6Z4z3GM+B7qo`FbUiHDy zDMF#q*+a4B%4vA1&+Q=mGS1F<8%H4KHh6}wk3DOP7_@t|%0-l;54r&#r{w46Ry zW}gX8W28d#Qa#cqeuwd8%aPZ!O~5~1wbA_pcdhvj(d@2-1xxmoJ4>z0=Pkh8^4Fj8 z@HCRQ@TCG8#~6H&I(oc_OaYy*cyX0Hajk%m4!YSp|GjLqtQ2=i<611VoG?f|E<>$| z{RbLFSfmJ?SGKMYF{wu0mjmNc5yuVbC7rTG|*YiQlV;?*OFZ&qLqTbpkns$;63IaBQT1rClhPbn)EG=2=rAmAAWPX zrR4j@*Zg+(Kp%*ILJR+%%tA`dCqQ2dfy>s|@+51VdSpjou%4yufF7x};1*AW)JBfq zBxMVu?XA|fx@wo_I#82G?%y7mh@8Wa?6@9zCGR;44#37h0CrQrBSuC?)HzebtG4zE zx8H4aEH)$C1qe|Q<&|1b9G{{Kv_M9L@_Gj_snYPF&?f1?GH_vcd!W%?d_lUPg%$RM zMo6+cFEVpXxYLR=QKh}poYG#9&H&~+I^?U(BMc|xYbFBPlvTPI74dgBj5qPr^TTD98V?{6$?3F0G?@r>U7&*Vqu=cez~NyURm0tCTCHb zqxhF1GbN)r6(Xst-l5VH^uI|MiV#VqL%ASP6skE%5E)2E*w<@kYp;{IOZGdE?f1J^;Yy?K0{8@ zCti6*f_fPEsBdwnX|t3HBT05zWzy_`$voGW5#^83V4);P*Cd{o#W~x5iBfi={-7^8 zgmY!9VHs^UFA}s_BcQ-Ie-dX1in}{3XCazv6xJy>|7ya9q-==PPLhx(n~i}0QGpZB zOa0TO6#!t$0(8$x36*I&N6Cw#A**5f1u6~a@>5C1#uYoigeOB%=d< zUTyQ_j+l+j#go#4gd-uz-DnvEMU@(0C4tJo4SwndXH8lL2g~VACi3Q9Tlnx2DDp(R zzyfE^5%_V44W=6^5Y@MZ_AZpZJ{LiK5S&_%F@tk1q7DmYgi-@kB{E1kCu|7hHJ=cNU^hK3 zJmzf`TaZxGJpxPR9MBD*f*EA9iR4LxZ6vVpggK7j(y^Upw))d+h|)E^JU zz{70eg0Tl@-IlU1&JWCf^`S`(ttj&QUX<)xozN`ibQH-!W-O}jX}$p zK?}W7vmc@;sNAvBu>`L< zd1J~YobBITXVF8?RZ09vED&CuslLB_|W_twFm@-1e`>u`~4V3YK2-o`H0BD|D*!Eb_0xKJ}DC!e~L}$m*&Epc8^AH zun{n-p8FJK`xNqvX4Jr({Em&^GiX`c6WH2X=P+@ogzWW-l+H-iE2;ZeR3lC7B&KB>gz3lD zB;3_X8WZ(x+tUo<-jR}3Txe_J0ftkK&Pj=dWt_h@Tto?XV!|?Q6f%KOITG+Yv2?3F zH}HXelSS=6_??qe+K7P)Z(xG>>mKgq-SUfyrDhrL?aFT%)XRF*`(D??SE(QPn3-=- zg3eZnqLFc0Kn8B4U_eo#f6au7AXGZ<;*89XXXg8$fu7jVNNGNdCLQ;%**4%^O_N(z z+?jKZpCuPkHIAd1c&0aSMzE|(r{f(0*)63Jy96q;$=m0wlx6hzeTGsJAS1(ghzei& zC>y?~NeV9nz8)I&IGN0y^fwLC%)QwzCrV->+<#qm92a0Ntc-mOrMJy@tUFd3uf%BF zHn$FvUD7j;oi|)(f0cl;<*;IgZRV~}a?fJ~h1qB+{$s0}aqjmU>2Q05SMuVN;bA0} z{3R+Nq;J{UT$5UK(9pH8F-+Bt!l}pcn1@Og|7Diyc!%-WDpi>(?} z4-FW0cCPseb3Hl=I;n%YM^htN_;PnNw1kqH*@V~Vq2@sGqk%JlQ~dcL9{|o$yXnj5 zy#S8M&1$~lYz*0n^i9Y;*EK+o%Wh)tCOPuYN&i zuoBGN`S6DbAKKgeAAxN@Dm?6_zee%iW~s-+{Ok@y`M!wj&O$^(Eu2M`vGJvK>p1xV zQWss`i!d^-DHun%;d13W)^FSD00uv*GKm*uxv$P-PHenDO=o|n$tG(rSK@_O z6fV!KnWdc2j&-GiO5SnjW-)p>uR$M0+M<-xJIBlc8zs)r1zYzT)Oa3Pw(|%3jr%Br zX3hfxw#J9L3?#1??uG1cdKd*5Y4j6^#o$f?_BQ#Mmy0la8Y13UyO*QV{;m4VP_-tj z3zQ2j+wB_&IT&dazbyhRP;z)7ZWaq9D5SkEb{Z;$uEs4e7YIPxF5-I|%$PKu)LTtr zT?&<63;7lhwnykX#v9GVGoDvRJ=A2q)@`;IY%8UbA6HwYzM}-+;0U^mSj8A|UF)wE z8jtWQ@?FMHou-W+h2WJb{B(0!0vLv^7v7fg?!Q{drKj*J@?6a=?GxzmURlKM*BtE_ z8O3;!`EHWl?wb$dp3c^AALh)|x(>kxTAif#&ECK8R2D-`kj6w3zudJMo3w4}FxXv! zDUQy`5{2O1#~EqI?8g;`q{DL6%&YP~FLWVYQ{EGOq;WhOT^;jITdWb3ZX)_jcCC&G zoNY$>NV{CzrOhA`*g>*QbS;boxV?!>ky8Vnnet29o*MGi#`_5F91h<<_hS%bN=!zb znuCr#A!qCz>+d#WJcd#4k||xzVP(A05qx-)6o*DySCf2U`(UO+)o~L3=t!NA^mRFv7VLYT{CMB_ z2%~d?ua<%BND1<^)E}GQI1biAIY89G2Tu;Y7|=Ps>h9S)6SFo{Iu^EW%zzoz*b50f z&I=2Fp)$bwZGsB7PNA{fZSxPPn}^;)VG+Y$2q7FCz653udY&VqhxROah_+5(-u87( zj~)G~c_C+84LXM%-T6ru&!3txfAb|F1xi9E#_JOLr`JKoK97p?>_`eUMrCeW`VWe| zY^602Jq3^pSMRm1C~m;V1d~n5cfnDj+2g)#ZL{qv>+~1@QUG+uQKfq33(W4no-K-e zz^+&rq-Wmf$F+Q9UXwkW?+=b|2h_1SVFC7xFnG+0Wx>{pg(T8JL>HK6-Kte4yTfo@ zn=7|da_C9FHYitXrpc$#U5y_=aD954*IRhRoAa=*z|ZjJupFD{yU3_%`?w$N+qoQD z0@1E4MZ1L0J56DxQz4RSIakbL0mx~jS}qr7xRjgHS87cB-&viCCB}04Vf4;|Y_SJ2 zKKX?f5x%h>4}|==eA|S7sN5n1RJSj{PCD11XFV2@3h#Yk#7ACd)1jqDUhe@O201F0 z)!e^JG-PIVr^!SX8Hv8_}wGSj%V=WP&7#6*g7J9V(<&(CT_v z7w-}97=#d6#hi53V$9d8-Ws(a7{p;L6cu&%BV{1&;mW6Xm-~ zpxZ_-Gy|%cVa(m(*KrznT1**YWuO6-fbqA)Ov-Vbl_!Vi)+{ba@Zv8evLD|+DNk;w zrWL%c`?@SK#+Kxozb{$o5Hx?DH8aFkFMq6BPRRk1#s8J)cycY$@deYjIe$_<2ET*i zCkQ+9IgpcW+!;pyoZsaeJmw?PIj+dn)OmqElE%Fy;yr1`3xZzA_df28n7z&PkJ-T$ zFUcwae#lc9?Semf>FOLop1%cnI}bNfB=%%lPTmTRLy#fJT@*1k-+1w6Ara~rqpajj zkEJDH@g^q>5H@}pAN{tw;NU-9no5K(8Bdz7&uvW}6B>A`@YTk%(&t5u2T`*Bd?za; zK5|{`4xEO7OY69LB9B(Gzp@SSDqjXJJ+f2`gph{7mUx^W4o5p-W`c}uX=-d&)nOlr z;sk^*cSQOY4ky+7TLcMvSvJZ}yN2EKZh=j*AK7HwTpI%YvSeQU67}{$z|rD5In*zS zY7}-kj+DyXvFJV^3ncruKT$ZGRuri)F$*2>$iolgG>))N0pm1i$az4_0SPQ<{33}! z%KFWNX3G8XvV^Z&7J@tdxX?p)vT@kA;I+obc9Xv^;m~#Bix0W4o}0lPokF)zrx(V91|Yi58R{^* zz518E`fTj>s@AW3F8_ljx>IZqmd_VLgjR(V41*CUKQD-6sSVZ8FZhmy#v7SSZwu~i zk`v-_XlCI1s*z^qi*eL}R)g6RWh6*ulIH|QTquadLi0b8y2BGwds_8#1l)X=F#4b5 zqsw$)T8e8KA^K7@T8&875@U5XBqo98ioV^aQ$3@6#J-FLesqF57<2sp^H=0Tw2(he zR#)i$tkC&Rwe5p;%@^TDIMfllw*{cMY@D>beg|}B^t&OshWOgO&fASOCI|W29Tx6r zx$(!PTk&)3yX9SN``U``&dLk~XjkWC?yhRnl04^5O>lEEp67K{*-#lXo`<~Wkx%8Y zddx4g`0?nSC@rg^9ds)^+*s9X1w4rg9j`^%P5y=&nK_z0uRGL*Tv9Zc$$O|i5?5qNffE6 zLK!+UfIVye7DEfXA%zimK@K7M2p6m)Pu5kYwrG4wo}Ek-@?XoGqNdd zzQ?xa#ms$rlIK9noOER@k_Is+0&#;N5(zEXe@U=uAFV)mRBp)Viv}R64idMMhZ8jY zpfetx5i~6pK3cQP&FVr6T;k*Mq6VwajZr`z+$$=5_XLOadkK$o!aiu(aPTQUF_dv8E{Q2_1NEm_6GNjGOU>Gz(J>HH7^oGWXviIuau*Q=t z#7*9Ea}l%jhubHzRlWD?(m%)#QhFiZ`I9tZAH*2da!YiJV8RogU3wz(p13ZHXDk>q z6Fa^x?!O{uDVeWJX-(hl^4Sv8D7te9bH_Nx&F;<@oz52@HKb`@*AB!60zEMnRDCfP zGyy@^S2dFTyiqewbHZv|Y(K!0{je}zhEIu7t&N%x9{lXJ{kf<0Ikuy_OiE5pP9~DQ z*z8Vj89Mz&mp8j3WgfLWJD5>NZpVD5b>cG2)6+&N^*bs4+1}y4sLyh2qp$Ygt9eFM z&BK1PZ|7XB=!qlA)JzF7*F>m$j2L-G>8Ernfb~^c$3q)-IC| zz&Kfpjes`Doc)rsm^R$xiu|>zF*!GFc;$-W)ZUnOo;`$jLw6Kv#%#tD`Rm|Uw8n#0 zr&^SQ8S_*2(B=)@@W{8vT${5^(?*{kWx^y>41C~@KL(+ZkE{8s2E#hojqehNHCOg1 zw-kOlGoH<(S#CF7*9phKtyYs zv76u3r(fQ!PP%$nn|AQn`VIzYM=T3&J^zNhd}k_u=X+T7#`BctLqPTo-^omM)@Lm5 zde8euMO4bca2>sgN5+B1IC*|6CrQJQ{f1EOY#W&MT%HH)x&D&*4@8Q?6fkH zrCG#YvSh}bzHi371_;;(X3gqkBb#q@6qz4Y7=;IES~&nCl3bbBV3D@W_U%oVq4x6# z7fLKow>Ch!f_`2@yR4&D^_`fz97wSKs%kViza4elg)-?}Htpeiy`d*1+(9-|oLm2o zZD>#r$|2$%TDUhIx9{dQ64ZBHR3p4>OC@ZBlu4SoJkl&#D~K z&+#?`GbQiNsdaprS3(eGjWbJ;T%6+vRE>oX^E=NOl1^KG+pxL{3)_`fUbXGlD4o|` zXSyxri^DZ*&q^y7UIsPSZGvUYT9uj+ea@ z8=}aGq17Rajc-hpT5W(16|fxlwuu?p#-CIpxZ}FCDDBdJM^)nTKt#>GNuWd9WKd<&nc9xn_6S0=n@RicS>4U^m2y3QlSlH z#_69~PQw_;G(5RV(uY8Qt1c88u!B%csm+M$CnDE5SHG@N`8!wt(R4y7}tIH1aCv{UE@Kt(Uya{tCxC0gjMl-zrFnL>^tk^MsFCiew?p>{|W} zQ`v0G5@q)Y>kFnjW^k)#n~ZedR%ZL`Z3LV7?@i@5vlOEfayhIE5j|b&0o{A0h^Xpm zd=#T9bbg0=k=4SrLyG;)tCDRPR?rj2r>GDZB{K+M4D%BJZ)9{kV!0?k>%0jaWLqw% z+zaG9G}8FYkPWbNyE%{z@_kF-(GAiG;u9h+7P})BRpw3;4UtpE2&KJ~vqpJ*%twOe zlOBE}!Y;HrkyJToB+=Lvj=z^#f3w_JdoWf{9x5BREMl}1_SDp~pv&feBaqzX?xy?R zPy;pbLG^B0q4dP}Mklq);`bns1SREjDz&4U^>B!VD0!~1gk+H3UZMFgR1G)rP<9k? zL!?)mykwBh;{!VwmGAI|AQ9ZGH|r~ctF*Vi+HUW>FCQXBxOZ1qftMbg8It^!{!=^n z@LqC9V~x_OI_b}|6QybL8gYy0)>jDYgqtdj#>NJVdU__mCERI#B zZc;XevThE`K+v8B3ojx}u0-guKs;J{Hl&E+`pJPn+dK(<1qn|X32gIfSPU0c0*Tdq zp19;jM%7Y(BF($R<89*E{sOu!4IO^^**+zXVmP4g$KQ!pMa|uoqb%hu$u+i02I)DL zT8U4*6*k513(D#xrQZ>@T9PWWnrr2|ja9o%RlChqyDe3_>69=d>z2hzN=MY!-Kbai zD{Bl?bw;Y%Q#7I_%gXQb%El}5D=CCaCi+-!6|)gkIx&ho$AU;$mMjU-Eama{85R3* zxBv~ehRRmR|E7@$DPr6}j;NaLbWfu(^mRMY91P9m0m_;AAvdys} z?$V~%Fe);sNR4nI?lhAufK8dcD`fDXo3g=3RC~}&T1tg$L<{~~zExJY(G)yrwhRY& zIUZ{t$|bcM2dud(q8n5qW*pyU$f%iQ;3C6Fz>*7Xm%!K?m!}*9tX~qD$2Z`q0vK}K z5Dyf>Akxq+s0~oIZ%Bulcv`*)yJghZr;v594 z7PP(fe_0r|ARNQ(q`OL&L{-(oJn!;ks0l@-LZWte*}ttuOJYp4lJSQJb+k|DQ-FZP z=1GcVVbt3zkj75Z91=+vjJf8zyVAL<8E|AkjErRQkXKo+Fh-E9-Yb}-BdB-p-8*|5 zGhgamGj%zR?bXD>R(3$wgk^!WVm{Wd2IEps&eOLUMQcaTuRsowB~fn8q*C@`hLLd7 zeeb{6RyA>4O8u%-`NUJc6n?8sImb)-syyU|w5p+2caq7^u!33ARw_Hn5M*2Vl{}Dv zj%gy8i-T#R%H>d)FF)Wg<2*+m6;2#yqq9VZM>Ubuto%C~X zzrCO7z!30Xr2M3M#hytL)c~E*Ih0axy1_W7N@%*l3iFjG4^t@zwSaaKHF(f+lHOPn zxaIF?9yY$i_p!_o=gxk>fe$3aXB>HbGjE#?$dY1qtF6}XPKEY{?o zYKmbl<6&VMu$=TSn`opZBMG8fgccLY;`cL>CE2{Gpf6%MK?2i67a;dX4ljdCb%d$` zi5@`BD)L(j&}wS({mo(2zt;zn>@N)@+n$)nt9I19(o}@db*1QZk~}O{*E7e?2BcHK zDImUSU@#?`0RNW=JnoA0N{bqpvcP;xR8U7mTEG#4reP=*aRmS%~RdL%9ZdMA9WZ5r}W><@dWXbgJ0?eidkhz9B zo%k(dsg(L@YC9;h>KkB3be!Y6WI>H^6-BZv?xaDjEM-yCl=En+A)IA`i^(ncYoi9) zSu{f3t|A61BL@Ygoe9DHlDxjf&>=4PN;X6Qc?Y<#<6@cs)cufo3dmpxIGFROZ@D6< zwq^${*&OR@Cyj~npK?@6RV@MPW0|CILNiU|g=rik3K=p)zIKvIlVPW(!zgsm%^awn zp*JWdyoUmGq>gC%Pt$7?1b|Ad4OBg-s}_usQ~Mnhj^4g8j7#rE16SHq2Fu_qqo5en zGml8M1+NwTSdK%njTCWED1mDDh__@Z8LK6Dx0KX3~3&&x+`I-?4%y0v4df0WZuEVG$~=i zU6D_VwxX)q1}xnjZnse>1;1v+^-H!c8>Q6EMQD!TmwtX=#BL}Y*gRZ%C+2q<)V%AE3~0~kr# zN$EoWPP>5N#WSb`=zLn?r6`ytfU6UroU*}ddmEJ#JV3DY4}TU#(gz+6H(X9%dUkrc z`MTuSM_wm5DNYxtuE~DA^Ke0JSsxH3M$%p9w^|u9|L*fS85XhCIhYnW2_=NQWf5+D zNazyh4F)Lqy_(Q{F)j3O)buxS(?~ z1PLoRPWYEyl~!*n8bNcF9U*|VGHk=s_mv$?=X9TvD9ej}rmCEUc(U`CP^ao$v$0C3 z#A7-{-7bPLEy2NRh*wo9NQWw!0p#!~WkjTe>uC}3?&o;{Jb2MFL%cyNr^PWMV~4|- zEeH<&S9Tf5+dCl+OYU$b0f?0_IA7kpOiuhkzu|J*MJp12Z*qvN?W_+5h%97K4>vf@ zLX;lFd|Et(L|Ob6rL=5Yy67i-cs#}*ymSzF9a%gbFZeuLoH2yA@cSx!XHi6s53Gd- z$Pk^PqL|$zgM>-j9(MW23=nwx3t(WNvKSW9F~FsYZ=`0Qak!<$D00q=mxY972I?PdmhgogPlrDWC0R(V&tb?9l3>fbo;v}RZ9LXZ! zle~hz^iSYYvhvR5)F$lF*Dp_g=4A8+v_oi;p6wolO;067r04a}nMZGP<>!uUQZsbvXYp6yaA zqP__j5I9{V{hL6bAWSfUz6c4S~$p z=j($MyvH5CO}F-h^Xt_2bEV;`icd&C4;i1;{Gb5Ydc)o=H8|%cH5Q9yk<9N#W1AD= zVyT1g&#|e;&xCf7KerWEN}W7jKQE0=1L|I3+7_!P42u~PZKT0_I(dz0Wi=g;O=O_D z#G5qpOsd&SLW`tbEyV++z6ACSs4gC9xLxPUBd0UTLEqa}W}e^gj|%rqqb$A+M@0y; z<5zBfFE^_=D_$sJ=#*J=EPA_rdfY$FwWnLs=M1exm=EHX3ph&a!kQj2%-E}5<1qoB zNqxT8I#c*8?L{Ky2Zx}-w$J4(+MoosKOVKif0dEjDTj1*f*F?6xGpRl8H@M2H523G zx|@qfjKSl4;btHdm?q?BAneI;n)X4$ZVGI4q1dVME|n>~oKwE!KACIrf4=rRpqTYR zdf^BK*%_7JRm1i?(b%hj+y=3C(7+ZRQ$>=-bHoR#@a?$skxn#SDuE4*X${!Y49+kC zmjkMzN2FHn>vM&c^W!0Bg0D(l+us0h37cSn3cmdPb@y?LxZ6Ba97dg71_`>a*AA)| z`jm@*IqD|xr;U%-Z?=~hUZTmAtDogU2AOUW9kPNst$OTRP&Ol@UF@HeQrHaiAiIte z8BE?aOeNxOiq@8g+ELw8%*IrFMjl1-ybvJyd9DDpN{kDukrLXuPc-K7bhwb+A}$IH>r1JpJ5%{o@7Vq>OH~9tB)dKm&p0R)(eD>0<)> z#qMV;(+Zt8l^fVwakKyR+N=t`F`TQ{!_Qwd3^niV`F8t-Z;{J5-t!TI;`~ge3I(^X zi5faAxv+fE(;LL-_t607?B^w-OXHDWT8yVlxLbIbtxq?Rf{Z`=oB{jtd3k6pb^vBT z*$sr~*SX{8-Rr3SAgznVqv{Amv9{8%$^tZ#`~+N`tQ0heZJUkCqyqYBz^^ko4?Gv# z5orJV5>3J6e65TKUT7XlQ0Jn*rSmb@>g<&X>yiV~2(``7x0*aK!Uy*~6=GnzxUbI1 z;zr+Vn5D8^H>bzPtKX^O{@eFcqJxiBe=rC{EM6exH@Hax`=-M#AgM^+&^d!fSD{hS9+oOB2BTKR&$e4}wSu@qaaTzVdu`G<$tm)3EjFuD4;d zOYtC$j4k#1x_fwg0ZZYugwXfYw{_zheDGje6J*Q&?&Pmx84EQP!O+gXlq_Rw0A1B z5St3)=ILV|X2A1cj@cI$#gg;okRJBcX>u^Dp7Q!l>gsuq&6?*Kq_bg3V!1Prv+t~R z?__00@H}n$Igi|H!uQ)C^g`TvE8q_I&cscvmgQ; zt%>AasH{5hg&xBw|!9^!Itc= zQ$F6emwA>N3lCVVgqzsZcfH^7wT_*v*&>bY$q;T5R`X@i{RN-In(TFl6YsIwxj$@l zn3z)0-TbAXMC^u-@ACMEIk!Dd7<-m>G~rf(b8LMl8T!FS)h!<(jb|8TR#{`9n{IjSazJU!bC`Um zxZFuoAD7wpNPKEZxCVS$M~1zQ=%9rg5q&Xa2E}uBT;Mm`-tzp{Qs#wALu_#Vf#p&n zSU})Pr43Md`LH17KANfH#q<+r1=_?Pag9`&()*0#ENC5UnnX|zkKA^QeD1Hw zvakp(aC|vEbC5B{*gCrt;_l^C=MH{ZC8fa|%Dog~AmcQQ(pJ*mks3Kyjg!_0+6iN9 z%f^XhIv0h6++-Ex6#1FK#zoFvIg-c5x@>1uVyK5@g`1KB?Ujl{@`27X#VD(IrK*3pzOZ^0FiuWO%1kWuG1pg}afcCsZ%kB~UV*F?>syf=)AI}gi$Dy^l>XS4M4 zId4@*Tv)-^=)=-SKhg}Gxqe>6H&RBE~)-0^%v_HmTl@d{SCq5-6 zGKWzLTX-lm%u5?0wtrAgxUazC6&9CPlDQTb94sjzk<{NjQ+0jShaKIKUDk;`hE1s=Jjz6lf+Ss)FB5}DNlO`}y1>FS9a%)8 ziA(x^vXe@(0JqzM{FH`*eAa^r|994v;XH~C>WLyvc3iS~a&+vNvZ7?cl+jzk+QU-g zX{FhMnwcgY`J5dGX`FNnxlNf~PEG7QN;cD$a4p$7k27gkofCs1^3QQ)i!yRm5gbeE zpDhpym{dQ{x)Rx%V%E4KkE6&IaHxN}c9qb`Do<}dm4L`7Pa3KxKr2~AD<|Kn zSgIRN3@smyEgp_>Q{liy1ouKEaPlMxL63Wu#DTW4pdNOm;+G&LP8G$SclN$@GVAN|}BbI`JMFlm&*)`5N~L^`3E zg!8;9kwhororx=sTri4e!KHyHg)?$vin$afL>ZmLg!!9{YlVzL(T^#DN$GfqoJ#*~ zmOSv$)YMLPOWiV@H0(l@$Y_mYt@*+s(exf%biVQ%g%A^+;`6uLNMqPm?sZFB3LNLd z>XZ{pSyVy=ql*i3ro@Uq=}w4mToc0Lf`)Is!npZ9>C@%q!a?bMaHbG|*-72bgIfa2 z(9(_NT_jA&$&>^ps49+1CI*JSdEg5ls2&EJb2SYP*SAycFR;C9_BSNmn-^kzJK@lX zmQfiknv^V%bRq9HO_5h2RWPgcL9 zNJA^jkHHQ_P7O;r;ZfkHN}QXLtLj=9L)3u^DvuG~Eh3nYUZv{1n@O&o=pSi zs8~BjPL3+vV6}lCrPB0c-kVTxuCH!#lh!#coSM(oP?ad6XlDtxrENviF&8hOEw~t` z!q&l!I-jXJB#A9iBUh3LxRdEGKIYgERz5_J3|QMdC;oY6h?vWtGn30Gr&lX5tct`a zBrrUT@JHMq|0~|#%૒je`6=o0JEv{a{a{8OVa7y()?sRitE_p3Un>H37v|3y} zIJTDTRAc4@G@7yXO};D#1|VS*7={3*3=5j@IFuy~{JWFR^=lMyK+(8*1G3Ro?Lh^i z(N)g=k1oM91N8oi0zvKX^pZh{;r}XR!7;YRyTMI+cRjiw+hzB;vO(W#&kb` z7F?YbAg<~7p{Ic{=puDOI}zB%{&&Inhu&2eICiFRP}J0=WMEXa_E5-_S}&1NM?Wkn zHn)p{{ahCf_UZ_r2;=~>c3i!~?sWVP_g!XAw-VyT5n5Dk!?u`MY$ECN-b{?Q<3S-Q zAs(0gjf*y4VAiqKkN@|tn=&CBKUPvGvuCX-;M0d!55g|lPzyRb*Qr>U9e@CjB0T5IzFFD{r;+V(? z^sj{^hr=6@HlOc#9XHrtX$kC_PrTz9TSNc7S69Cr2U4e)1o}Qj3|yy?20Xiz9TX)) z+8F5%Kcy((z17Q9L*&ktOb2uzFuV*bkc(+-eJHR?5g0X<3s4ho*e(;@=;~~8A3)d4 zyD^HQfQ^IlV%PwlaS_fXA?7+;e+Uc%)OUh&1u*ep6c{e4Co+O%X(2L_atFvuI_mJ^ zdr*{(59{bEE0(b}0fM&JKlO9`|8O@!WaL$4HlYot&M>+Pgk)?D4#(1Bsa$*iv=@~6 zpX?$IRMUaA^XwSN*xVi=v2kMy?=8jvolQnWaS%;lxJ8t?g+=WGm%EC{NXw;h{mjyq zM)jUWu8h&3it{!lr z&&R$5blmBtCtZPI3bKl)xz9n(0=hK2i==qz%>3^<&BAO>?INmDM?^+e4o!!btT|BR zO~M-kQPL+Qru1_u2rMm1fQoO zwqE1z{;f^>qH#wPC>8p<(Htj5yko0VRv|5~Q3u20qwTfBKBSunXtxIQHPVG!f&N{9csSh#v_*n- zb#=3bY;|>9M|;&99FDz(wv#y_UkMYOW2-VDz(>2T-h&Bm0MHJ|E4dLxIVqaNLmtjC*>@dC7*T4pHL))<}O`gQe7 zDPd?8L~WXktE)eP^^H*N>+10x9ue8uGva1iYF1uxg9D>&MDBH{MjQHuDfD->IC-87 zc5yhp?PZ{GzS#bWwiw&m`es`R#HZE+ft?-b?S8-;y%e$kaK9CyTIip&z+zfDXZnUy zfd0Rqm;oAMW$A!gouxw`T!Dw%hY3to8Uy)3U~P?$^x)AqtV#f83sArZHb68EfTzsB zX!tE`JZo!o&?_nvfT&oZ&eTB>8BS(vjFG0iU;+B?8xFntZA&Eo2slt2SoRiuBR~`k z=l~;w>eJodR#%tBf}&-D`i75w_qu@nRlm!(>k=r{wQoRx;GZ%B1yp%~4K!j_zU&b_ z{g^GUB^aj&MpO(1B=zTz91`;uJ&2Yj*uS|Za5CrrqV251qUsv8PnVP;AdMm|A>9Z_ zmr5wzJxGIeDIi^fv~+hPDH2Ne&`76rN_=aE=RNPa&UwyxzxR)GUCiv+Gkf-$6?^vm zyYIywOa0w+p+y>fwI=EX&UC*zx(7N0p(U77eB)R=HC=8sy&XO);1eT&dbH{r75+m@ z-?p91+fO8|I2Wr@uN*YI%gXop1k&P!L0wfJcPQM2K&+BcS=+KtWOcymeLxO*{Z9F@L@q1V@7mWe2X{gL5E!%I zbKuVKA81K?jf}W#`pzc%?W>IcSzouaU)H^xj8YEwa0DI?I&O1#k96dr#~-u)db~&e z>b5og@9oMEdB-Rf$4dMut?yvq@*p_?D>X;nA!$W1QH|{ATU-w%v{*7vLwygWb?P8e zoDhY06Et-YJ~;#lv@uP|HE3XhgURt@0dM~owq?cU&4{QPJbX|TY>zvEz#Y>@4#X>A z6l-rmL)Wq`tLF0e$C-1M`?AYD(AI|b%-icT+rp7poyX<)LkA34pZT{A#hvo=LGQtg zud=C6h-C_&5uFnykn}6rk-roQqN68CnGz$CC1(4bHTW86i|yGnX&`j_`xhhoiLmiO zfBLs7f<6&7f;CE;!5iDM^dvvWF#qBNVFMWQ{GwFhcK79dt!GfI+Dnwov4(s%qx2v=F+rR~?TfuGc zfCLc+>kDU3#so@->YE8HvzieDBa#96nTR2wQ1Er{d z7{!B56@LOH6VB-vU7BreCvOJg>K~M8+m<0x^Fd*qJ(j1tw$p*mcH4G@KZ-e!>v-p9 zBrHqT@o;%4rPoI6r9fSSv(6a&{H?9x0XLGmi#7_A^^!{7o2?)S>85*LPCa;OpeRC_DL`d z1E!e{EnDQNfus=Y42YsOa=0t)3seTi;VG6ufEq?&FqYj;6$6e4HBblCMm^?0U;T@S z3CYt09Jeg#rBCiGP9cDmNJP*2Q}7Y*;c)QOGGlVo*b@@-=pp)t?qIQn8n2H^wn?5A z80%936-bHQWecReub{NoR=17Yg_3Ytk_x-mPd6$GVWsey5K+N{A5jtEz@%+$o3J~_ zuum;T?BhQbGakh1A5TCbNkX+j1d6YE<>3b=jJM-=IC$_Mc0*BsE|u24@(&H8TdpkL za=J!K2U=^$gAl6%5?PM<;EV`2!t+5L8ZMQ#ieG>?4y>kD7HA}7jVH0s=B-lwLvehr zFWKk6Z}oL0cyFVJ33VYVO8X~#p z<*t0T6mW$++xwN#PYrlV;Pz#O6A5;Rw(e^bIO%3Oc+!u?;e+E+h7qZC*xU6-o1{m_ zLNL}t{o+FE%3M;YJ43`7#v3&@j5CEiYH(tOADwr@tNlWE%2Qb!p6b_A8zDc7EGJ~| zh8DbR>Yon5)AfS49dopn37V~MbA9CK%ovq1L@2yJmo@^_l~DGs3JS?IX$SPDcDc=Z znO~^&Sf6EKVg0@_sKsNK3IQ~dq^R|Yq#Q67US(981j+h^hRHwiRf{a&V6a30Ev4`U z$C8^TlnjXe$5R2ORbWH@ktuhekR$&W>h}*V?ih-z9eMXCcJQ7x9w$e7$miwg#6we(yRcAduL^Lj{-y(_(semYK%!(Y64n1tBnky2Iu9dJ$ls7CtfrcYprLkeNmT!q zL|^|!qJsi(NqI}6kpG!P!~T{;+ipn|a!aCNFcKw$lPDBAm;%1C{~}Q!U;iS}om&!Z z>jd4mP6;PbAOg$bgFqjUC}^)+5)A_+3e+-CjxZ91kBa{b64n0?5`_X11xNiB5vS21vB`e%mdHx@?n)6zTtyM1i1%ktpcGTM{LMlc;I5 zJ|NK^eV}ULBue%diLOYM{ewiw;3Nv@<$ohlpkx1*M0sjdK*6^p$^%GL|CU5~;3Nu& z21cSha1zZeFEk6wc1iuZ3 z=!{R}rU*2&wFMyRS+T3-^Xj;f0_|*D1}9S0XF!j^iL?z6Dfumts=|nr59;R+BT^ex zn4Seh>JKMU|Nl;;Z~0)`0wQhu*FLO$J{`PD_9D{6-(Rt{wbu6C zc6%$g?b$-&J+4bDxcryr{m;qFB&I!cx z5a z4F6c3>}du>d<0A=1JT&-y9F2JTc&f0cZ({_5MVc!w7W2PMF<~wReVUAvL%>a^BxfE zGr7A6ao0WaxU7rJXs=Z<5Uq4j6NJZF=OkReOScxdiM5)EquMF5H^8~owuq1%5^)Sk z2tn)tpBMqu!>6rHJ#5oyPS<1a;I{3eKrrLue%L3RwHka4YG>yt!eR#MnlBR^0fyx` zL#O(xsb{+%*j3?MbuFE~FnXAlt&bUd$_EX7-ZID41Q_aG3QN26J#vV)p=%)!w9+q; z%YZsXvVKum{%{H)&#g_rpx=`)KF7=legAw+q#^B%d@Sl=SPDn91*cdgR;ImSYb}dlFC*2=sCEP#=I2 z`};bemDN#x@?LS_)--Z!>TI8#FP&eW9~>P6OBLoN8AG=BfS2^^FE6PZ3XD>imqY^h zl8hk{6iiic&oA`dxm~^?a1KeH0#$_0N2^d+(NEKC(D)N5g9o-uCXv8VOiGG7jRV>? z5~$rHEDoL{naFZ(*sQM{<=4lJi}#En_(|Jq!AEUYk>z)RSUms3iCq>If&$&sMk;xj z@Hz@%3-$2=FZ=0E@1$(2^~KCI(|0FP`NhvaA=EXCotnX!=JuEtRo0XD%4FscKhyETQT z#c7#W*fW7=uATq9Osw4v18&^PfZ-Sq_L43lJ#~#s7^+VFD8nX(*1aJDf;? z#Dx*5&EhSQ8aTmm;g(3d|7Rj4`FkP_g%fG_Es>JIh?E0Pq(Ia%`vZM;OQa+FLDpG*DaBf03wYnw*aCIMx^jT@qa<2Vx9jW(#Uc|5DH)c z&iE&h!rJbZNT1DX%UJ&2#N&VyX|yyLmBCp-j6mwbh_nRC>-YwkzW*RnV6no9H1sbb z<$x3E_s2k$(#Ewib>9*x$6rL+8AfgN7m;$@5^3oFN~FMD|63w8hU9{RZ;8|x5Gg1P zMx@5KL>dYwQe!xg4$v6UfYWP2=+43A&L3c&VJ(_4K%p>`w+u+%z3tt22e_gUYg_~_ zq+FjX9TZ9_sBI3HMI`$NOZFYW3F9;bau3f|?sJ?;inIr~ZZj}G*%wrQ!o(5}FUu|q zumq{WZ3763^(deU7z)wu>1dWDyw(O<(J&v^4iAqXP`lnf0f;>IQ3zzI@~zyD z51H=iH~FAkqOCTk@C#zrDWc^qE^ZLtoTfunNv-bHT(bqNk^ zg=8?--3Ex0A$=o~C3~GR0ZKaeXB21Xlsox^f% z_+?J+?kMtCe$=JhqSK+FrRDCXvr3vY^7Hj>bZK024~qB*>a}yq!DI)j=V-+XkQCXn za*aJAA0QvKC|~9IEm$@I(Rvq1uGY3r=kH^pP^h`M$aI75nhiei8VUPc(y4pU$dY{i z>-;jfs*E!&D+iZK#yo0T1>P;f`T*XcTCxa6e8jv!6)wEN@%g3>M9|5!kYH1#)W32d z#9?x_Dmlm?K!{8c9Gw86jC5~5(wC@I#nAn`8#A~f)mD0y18<{G!a zgp0T}rkLDapV&tTPp;zQ$+f?~#xBXjM;dRy;&3nUZ3;XHittf8_VXEdkF}Vn?+8DC z{(8d<#@yB<2QhLS_{0c+0ucSfib=<|8k^1*Rwm$W_o!aY6oGd=iA=W7+bG!lM6rO>m5B7yJ^+nxCq8?OFF2mYf${h$)&f=!mW#yP33p^b~%i|dCtda?u zJRUJ!S9;CzvM>2<)L1m=K}{fa1jFdXF;;hI7?j6 z_xFiuZ_945&%Fy5MY=mKu9HHFo!cJ*msGHaQguT54G`Ih+2dsQhzW@JuwTC-t z{A(gX*qUvV0tB6HXxfVITxwr&-KtoCy@=84gpilW+GicdYoTsEct?xXUB8wn-BhEE z0Kuw90!s~FJCB$gLM?7vh6%)Ec-;j$I+ z!;7EihKb7)28?;QJpTsVc_YIoX7eED*#h8_(*`Vc+XVr5r#B?HsGz{|8E|{nV}=4V zm3)>eRks?Y)-IItSRMi=3B)x%**6E#{vl_%<$gKb|7zxAYTD7&I`G9(W&Q{BGOQ|KMYP^KEo%-Y2pV$j?9u9c<^%oRP~$1=*^L6)f`k#43QZ1lbghs~7Mol!2S1&5iqh0rocO8L#~Mf5vJ_asj)Xj( zpceVbptO+mEdyZl8#^8X3z=M!7_>4WnBO28=FegircNyAdHqhryIIJy3B>fU)P{si z@DijpXMd$OFe4I{+5ia$QX6>Ao_+6NV?_I)g4&4Ydu6qmP{ZC{$-5%2@b6= z-3ww=u!459G6!^!EXdsfv;wK828UJ-0IlqBXeGXlQGr1Ti&07bEoepiPcf=7JVuTD zM~te$)(v7*Y7nDF!edm9{}iK!f*4f|#HeC_6QjbZBAW!v1DU#wQ8_@2suJcw@K=ml zC;@LRc#H}p=l>L=f>{QC7o!@(V^p!*7_}P~qXO?77NdsZ=#T)&xQ$W4oBxVY%l?W{ z;ZA(XZH#IRVpP~50C(>J``pH;-LM!H%!m-XjZtCy{XdCOIsPL?4aGqK^#F5J{u!gf z+YTP1qF$_uzjAo(9eEq03W33<`dCuouJLV*+R>cej>YlM7?tEUMm7E`Mg_wK#HbJH zFgZYsilPo|U093?rXj#%RQ9((KHtWuk+(6b@&6v9a{PUaN)2LEP%u131rnMclm?4Y zsc&OcU?GDT6&z|vAV#GmA;T;P=`)7Jui4C(0^tT`8-a7Y5}0k2@{id@Fp&eBZBz!I zZ3I_dAb+{$if;lE2$sJ%!15O;Jbz)4`i7SFSN;Oz+XM>PZT_+W%U@s-ImlmnsDbVU z;W<#gu>1vhYyUNWX{H7Vu|CLOfUEc~^A}Ti{xWczznH@EmxfayX6^wc2J#o48hc`R z{t~u>WpkUqDBk8Tz@P;A3#jbBk-zNz=lq2Xp1(l;%3n&xff~bq2nG2I1fIWy{WE_7 z!f?og800TNB>lJi1y)m#zmSnNp5Ep!VIY4|rP1rS&0i$8fV&A?V0ivg_CMz@Jb$0R zkiqkpvfKQH2bRA8wF>eVeIp4FZ<76$zW~wtSN>AnfxPv0kweVFJyn^FA#YC@>v#4wUK|~uU2-OzW`wh z&tGE6fYp7QzkoRiu>6JWf6rgS{yu+!LX}_z!}Av~`$7qn2FqW7G=}9bWbph2_|~xe zrL&V~aCO{Fz~=1a!bD(r?#MyF@Mu552xO(r*^1S*j>I|NaLKjgCAA}V9LlapG?^YX z_;h=xvHV<1Ka~9%6xc7;c$&qS9r%v)l4Ox@vHF>eDQ3YEWdVOaW!7r9=umH~Kydqk zuXUs8`S6dHAv4j-*dV`|i9=*;B-^wF2}#7g^b`ak_v0WHa`{#HD~j*TPt$@jy0(7h zu7dv-N>eAWeU6_hGhNnhb&1(x;aG4_P?YxQ;BdE6_*~&Jt6=(JA?F5 zfmk-BgfLbcl~pydk9Y6>OmQ9xY2IM?-E~+y5Rq%c*Y~pD1%y)x<7LnCURF#VQ>BDbew={12DK|bPn2O65tNozfNxNu~e7bo>iDvs7K zAB9v_J94E4;&)0^R2GxSL1%G4pZD|+w1m_BMz}}uA_G~*k>R5sT3u@Jd)KY%Xh9>z zaX(z)!8l}OYfO&823oYK;I>v8dqUdXNwqhkoa~~=*r)2riPbLz`eoF9c72IpghJcC z?6N$dl<>^@!~8|7|HT^P3xjbsO+n>03#kC;195Shtr@~^Xd+k!{um$1z=hwmalQv4 z-TOsFEc0H^jRre5uy`t6Xs%^&|E(IqjVr72$}W*kU2>#a=auu-s?zTGFj7a0cnZhw z#~7<2BpH_$<}6;&NkWIoNn?wq%R*wry$BZ+eKQ4A{XRrFRXB8~apc{1u^BOO(mB5Fs9D+AD4U7g zKM__NilU+=E$@oIpX_Lan*}!HsvC;GRFN+5V)(^;P!Fo{KBCOzw@(%^AAEUKlF4ssEP}uxjd~Ez zFPEWbJ@6;tirJmZlSBGro2+-H^0ld~tmDsdzD}YOgc0*sIb(`fu_AdsxbhYu2~C1^ zExEM=Vcb$iVMED6Kfj*KWvK9s%S`{40!!)XgX{X}_1L~#oCV|R^2;^gCB;KcJnK$ADJp5 zNTPA&OO~lr18W2Exup|qBRk2s!5+#vk1naw21>iCoEarUn$>xbCJ~Gsi6none~G<6 zt9h5AJ}M)I(3f06olA2_Uy_UMRSd_?av&ceM|IZl=MAbfi?Y)^&r|O1*+asUH4&G+`TLXiuI1h?lohs?G+8Ws{?er^pYd#*0`v}>D74f zETL{{(<}uo&BR$qT+DCBd~>nD&l!`v$9lK**#9)o?CAmc*0+nuxRx!L(-0ZZzfKUl zc@ppab3l|t%8c4h!%gmHqdn@3TUxbm^B2Zf#2_`o!0`< zdcF8sxiWe4Kq-QVP~b=f5mT8mGG#yMB}*0VjB zF=u{rqxvzz&YmLd3NINIKk)exmW!s7XHpsTqp<$|$kg?^kh_UFF+|BS{z<)2p(pzCK=3%tNw;li<|7{G zb8?r4^>Nd{S%RGn34UjZn{%CB`=Hi$<{qoRY`jav`8k~Jv)n6?2v1V%XuX#*3G1Av zNyHE#dM^;Rpm$p7B2nKIiU_5jirVps)qZd=?Q~o`ER3T4Rw@;Tk(A5yJ=LD6dwtd0 z@ldqkoND%xO>#+qb8xK~fBjG$9&VS+s&zOV0XU|UBdeODSP$d z(Ng@p^0R=&1f4=jQ|DTugh^B_W6ns55fqsgBk^x{G0`7Ccbi9?WQok*LRs)7YU;BN zcU_iXvl^nuOreQHs!p*ixj~iou3~a#o8NZ7aiX_q-Jo*d`Iah*U}mWBbAjt4BbvL^ znsRE^({ZR%bZ2TfhuMqkWm!^(w`j@7=8gY|1R4d6%ynIsA{0gqUfs1bwKs*|@JcPl-B~Gv$d!kL))4b%F!WtdSsOGY6lC z^OuZBwUJskA=H&2d`I60jMmnEl|`r$`Lx{}L6`G;GDjVsTtl#iKcH_1q1Tuxs-y*5 z4n}shb>fc8J4nHfueK;T@@dx)BSA&-E@$xOPZ8lW{iqd}3*8ZK`uJ*)5yyMv^-mC9 z>$%HhAq>9kEKlL90Xt8;48o=TUQQ8k8Du0#R2JTZ07265sov~@y)FS8TIL+W6JLdD zG7D?@YDOfB#l2?@DBSJWMLpPp4^%LNjRe6M!FguN(+Eb$11)1yWzI(6Pj zc6lundtxHASA#w*^&Wn6CM0!RfFfIb?LxNjZbv3z@ePembOxkZG>|0a>Q;VXQ@V!cWYyKUSW$G>pP!l)67kjoGE92 z9Zi23O}~Ml(!pht-CLLM^^FgAblh)2?_1I{2=k4E2b?1NmE9U>fJYU!IIt?#ZM1lUq z;|v=v?p-PG0Gp1f=I zI!Wfv3qiw_lkakOB(^?tJ^hrIm!GEmbOhT;x{3=CoQcYqH8pW333iU=%<=3}_)&S^t>=XX#Xnm>39J%W~deKo5!8&Fc( z?zjFvS?=6q=E8dXMa%AFyT7A9iNIvOe>%?f6k@(R6##u%?Q9*gov$gFxL2~1N}>2} z;AEu4x`?9BV1Q_?s=YsdYc901y?+E(aCa(k#$ZiYD?2|E{*(nu6-i*VQLcl$8iX0k zL02hO)wL#r{bu#K?3^=r;(_k{Rp&;AURT$b&Yype;x6&Mtl^Ax>nLXEHE@4fQ?018 zs2*$GlimN8`KQ6ilkK8d0;8`Y`~JmBu{#I+Z#zHp8Yv__t>LENG1@lwpXO#f|5nT} z{fWhO7rr?E9me1>xbr-rX3NNahU{hZ{9JHFvHZal*~{TKZZvYF(KeEk{f>#$5Xnha zAFh(A;0hfQDS@CTD;^&>cJNs~jD|!yKfI6~`ZIAX^?=YiJUXJnCg*9+h4qBy5gahXo#%moeZvK_wNR}a0GH+OF!_H}OM zeN+}f|LlDuTX+pOo2wQ9UZa-p#TMt2aKR0IyBS&OkfqXrGQQtg{vCGo6i@moQ)XpJ z9kwCoB!dhIaE6*q8KhYRdY&0(lbM0VVm_IfwD59B{o7;;p5;4(w~NKw z#Swf#hW3`cwlL>G6~qQek|7c3lViuuvhbWeCP^U3OCZc z??OJ3QMdFUr`H)gY_DjdFbVW^v7X!pKVkMY=H_*6Qx3((WtDX)h3kBEc6H{H6G-`a zWhzluns0@p^ZNF{=$m9=f!(r7(HN8SsUhdf1n$biR7dAgF!~3)+t~!Vj@<_C1bu3j z++1|bY4jgSIKWjh8~60c;@lSz8A><;YZroiDI2HzEPp~BbLP7a6I`j3=c%3c!;VH= zWQ2u0KaO_rZpSoBZ7!0nBsI&x7HQ3LU~wW(hP$PhfRT&$$^%@Obl>3OqAPI2fru(6 zA_F`TeDEtQbV&N8hys=5`JPm<+`NVJewgN`7Oss2vT8c-jo~(4OU3Kq9DkdthRf`T zh&8qk0%rl92k~+g9_?NmOLMGVGyC-jo^0=pRywZw*w`qYB@@AyRr9z)|Z&PA}L`mgXe zykB8opHs&hWY)32gSdBst9Qe`Lek>y)!g~>Ryk`L{>7W2tk+U){dItLIAS24?I@uz zN{{GVCMoJU=AW~x>*M1M+WF_Yya<>-cnydaSm(+9*w)|dujJ^`B>AQ7ih5<=eEe>9 zlKzwy_seKp@!8VG%EnU%TGxe9sBdQ$Kh;Sk-WX zwQ}Bn7QwGJWV%b!>_4oLCwvEa*9^XVde3a&qQ%vu}Lg2=B)P`!l9a1X51E(kKz1vJN}5 z5&>qqiNaTIUY_XXF=sDkpC~Wye@wM~Xn#ql8DMZH(JvMJYeOb%LdkY_#J8gDrr|m4 zJ+3DB)RWFA-rqrw=-u{wxhXJ<2jsV^dO-6i;c+|&RZCJEu;QDxzL6e+s z4Sy31e`N;>StFCg7F<(r!dS%KJ}NV$mvxbNYW)#9u^7wmgCI|d=uD!N^ zghKavGV< zf!%Cb;tiYP>$`6z2&lV!@J5ldMaX4|(Z6G@(MIG{uURJZsKp9JM?D; zZ(meMDsC6bIpc)h-Sq2Zu&p((R#56UjOXxXnVLaN48()0r=;u>qU>j@)llq66~Wwyo*tlx_>LZJN+Kb?^MvvJ|(j>Z+`1Z7sG$>#M9$z|yzA z3dcRT%8mQ}S8E4b3o=F94IK&$3~*rq@t|qPr(~Pu+UQn>HBxFcHLiMuVd|q&NV2L2 z-Kr1cC-2t!hT+#5MB-C1Swjd%_P%3DKPHbX3v($Ll!35niK_ORU(hq!T*bu73lsl( zl{CNZFv{eSL*r>t>78KRd~yY6d*$5m5L9>@9y%7Zzw+1c@&;^io!6vc~CaRpQ&WubHO@b?b?2t|k(d@oIhX72-S1f}UgX)N-T&+>G}wmV^(aD?om%kc zg=OjXw3}~i?J*LgGUsb-Y`x$>xASNvy?7Ze%|~O=_K=J`pSU6dn=eeY|03|u1Mk5uI}X-T@{zeYNhymv;t=|*Tz+9O*1Wh3rGsW^QL|mhi9}3jFlggMO;l~ zTFG7HTdC&v@QCfNdZF@is2;zlezyPOlen7l`YYqSsfwDd|@8TYs`XAD;bneEG}-m|b~pK)8U4PT;Y zG!7{=O$pNPTpIa};w_H|8tx|yW`qB-mCQ-;y!bd*I4ypGxtZT<6P5n%OJDaT5s5kH zaK9wl6cTf0h<%@uQ>%UH#k(;a^|iN$h6OGKI0d?S4H*R=3)pRcmXTUceD=z)3@W%+ zV4r7sozE0l;GN5~Qyh@@Gu^VOg*5J|JNSJzb%!3u=lXfCVT-<8MT@yyMTD^INIvn? zfemvxJbjqAS|+u*I>4T-Ic8qyGpap(%LbVVgUdluvn+VBMd>_8kgh6uqUZAXm1t*O zLg%ZmHHMIu591r|PXqc2=>vDRm}^U0XPTuP{xJ2sa(3n@#?XvE&3j&ZEck~0q0x-B zWZi7AZ6)EaD#BmDP?!s5x0Xccf2Co`>^Fh6@gVxs2uJDtHL*vLxI7X9aKwd zU77M5&PIi5=G3O#u|KQm1qKg032kB6CoYFS_#ARcuOviOk8IyHoAZ(?R`9n_*zlK` z4c6g2?4QC{7RB>Fn~cdbhpuY~=MBqM@-2A31#g5_+}AtUyUzMvESvpO2A!f|Jv}hT zZ|93n@vDa}gK)oPEX$wr>-vo3pWU4){$sp`byBwpWE=MdMLeP7RwKM*_ggh{^lV^P zuO7Of;eMe&pM~XBLz<LqAU^6!1y-1AYGxIB&G;7TR|*dMCBj_Qy1mbqU1=&NT)4kgVwNF(`IP zhf_z${tog@?b|PqWF(de60J+r*_|UV9`A^KU;g5glow zU0H*ADebJycvUzmv&_bdU)LY~IbO5=GwJwX+Q>+*=u)ASL3AWUzJaB@UYMu$`)b^` znOC2+upEBAIG>D7R$1+t)_kA8A^0?@98y!OxMNK);&N%P;+2ypAEVc&<&_ivDQzSF zfHPaotDQJ(s|v0z+4;5zLr-~ho!MY5%WrtC;MDPlgw9g%FBA-dD29M z!;p!6?n>ydksyCY)-Gg_NrNtXg1O;I<|XgWDnb{>8lt_k z;Wzu&h9$d7q$|UHaV^Zn^b>`lX0yYk>Z^TB8uNsGEqSU*&5wK3e-OPnobsYQTA4t% zyve;}rj=fcir33!vzV{DdJxyYMHc3AaC4MM?sCTA?RuX2TTAOY`;4t6T1#L!;;~HX zZ`!60UJ6?gj`w1{dbc8$E|#jU>tw%<91$vNe!li-P^Qn0P~*UsyWkek>>i@CkLLunGJJ33c5 zI68lIadvh-eYj$Ae!g>@>>W+!<|Z5?@2cr-qG1=aGGQgLL7cuaVfc_ITUKW?ctwhF z{>98fB5V2%&Zv;AI{E0u6XBs$mJ*puOXU}CS$`tKy=-^FrDlJ~K;rV8F@K2Bd*q_l zYT9O^{&>+sNWg49?k9VvmxiuzH2uZFPW+E<^9s?#?T8abOTon*7b)?HXPC02H!Ilj zzfmo!P^y>TY@v_k^^{#_B#PH(YQAj9KG@>DP$Ty`|5QA<_(|!Nkl3u4r}VYt08{ML zGs*aHg-fQCg^L=do|e3&f(kI;7^F9eEncK`x5%x1y)ICGqGK4vf}Ek&Srlhn-biB+-`L(8i`hKCsr=HAB@sv!MN|N+x)`k=->gMZ%flG6&SNvXH z*t9Z<9?iHLWSi+#{*Z?Iy-~y+bcM_xvr0zQR(koz$#*11rB|M)vN(J#c3VcfI)6&U zB~5o!;@mEM;%?gFnp{L(ADXf7VoDmf=JP1#np7<3G&SW8ou|bd8W(mtWJT`PLE9tT zCyNw+Jd+%ftfPV%O5bUlcPu@x?0y>7HXOl-GazGMdtImFomIJZM1#}0F6}9V16`LU z{q|A-;<>z5lo*>mOC7?+SJ`RZ_=Y z6s>-$^zD7_y`U-PT)8n`rLyQ?yD{r|@Pm3~ZQ#vX_lEMyjrR4(xABN7^0=Gaa_@_l zDeE_!B1I&*{ODJ2IJt`^$;U(S3K;{&_}VQ?(#Jom8g*RC7C(=DYo4M~P8i^Xd%uw2 zzRlX^d)*j@6dh0JtK*4cr6Oi*<|(f1)8Cr8XD+Yl$DNS*Yt5LExKo^z`d_2u-Q=uU z*s2&-sJ$1+v?;`~R?8?3Ez|HCiz~G*;=HM$%$Sg|XDaNtutK$7K`MDU`U$g2x3a{k zh@-EDu>+$1hQ&d3>VxosRn}qqa;m`6 zWAZZKyX?@4-pB89%}-V%`HCNnW+T^pdG>bGBIV`i`6o?!YK@TB=v&qzrE6z9lQUfE zRmCJ#$G$wf6IO^FYIlm)DF}5YXo=jbHO%&_Xb%MB^(y5sV>hDSDP)UFj{2!SXORJm zD)SLP)ppIu0;xO02oy)(e1z!LExKe%i!3~RoiY?!T> zDhPHj7G!Hzp8b9NlYh04d~OAideYqqew(DpT37F+j`mMTv{uJKo1vQ0=G+Nh)wT79 z*C!!Ih5J*9zPi%X#hU~-7X{CfKGq&13eyj`7LLpa5ZDx=Tbo9x<4q+Z>PefMdoJqf zzSJ)yDrb7Oxvu6r8hE2zf_X%Y25h3z9{31-8Iusp{L64*n2+WmWuIz0~<(OblnNMaLuu4TPZ9$&on@-$VUwf9_Yf|SSeJj3tO?-%5GNFItj;*z~=bm$fMcotOF zP2ob8o8W!9m76exadU6}Zh_g7;5Wk1U5pT+W*V-82c^WW-foohcTeJ_7X`&pPU969 z1-~&}SuEM4me{sM$Y4oPaigkqV^BywZwhaxeRXCd5Ekb(Vo`Op6zOcsklFHB%Uh(0 zuEBxDvb>*1ozNs+ZM2)0)AT)B(zEMxyQ`J-ysoi+({9q{;sVpo^`k8+W#wJvkBx8D zP(I>q3@<)bq6Js}UAq27JD*zmLG`F!-P22`#Y^7Kv3v~c1ycxv<4bPGrpYG)F9c@A z4QLUHR>&|-8nsw+bSYKTQ{!`7+h~+kLh6N>QHTeC;y^nyh%Z`I(1D)3w{pfxKZpse^K5r-(S5aEFA4WIlAeEZ z%ZH~8X|KXHgx|^R4n`#^>o?B{na{oRu^|u35~!kGkdbnq#w1LS(TU}NS zqTAF*N?*MgI5uH#usK<`ec843=<1zYzM3pTh}3)8Y^Iac)(i0XRV=3E{|VkNGoGp#U>N4;zL$L7E`*@y@%;+ zs~@DQr}ONJzArC98nU%@V)E^|;|>DH2xRuBd_Gt4P}3F88SFfdVyGKj8lC zfkUTf#W{Mexnh#jtIEl^`bm&-<@|Vpi%ct!w!una#G07Y!~7^+z`kNo+tbl^-1#N> z=v1h#V*jhYUy{`_ui9idUq&QHGwUv}xFg<$;66c3K+e?PakGl9Vo0Fr7B4u?QccOW z{_(jwF_BAAagc@kRFc6}n~q%*~n{Yr&7bb9*muFK^8pqYRH>`IaHB z)Y{zf%7c@~f{*D&6nkT}NKA6YBL)0-6%XG$`)>n||dk!j^8+p~4atBv4HYVd2$2;OP z{hF$QN{Xsmx&&&uFI7rs#XgPx{vu}?QySgg&{%J8SUJcb?vF7h{_X~t&yMGf&7O1_ zi&<1GjnB+=Sh87SxW2BoC3;=_X7hdW{i)`>VR|rav1*X1@D?+jrUJe}a>!bA2!tu- zj%6cDLex0s^LJl31^O#l<_qtLugVDosj8WpUyZx>?EP7r_2nti;ab5HO3`I@%xW4j z-9LI)@d&;ChlN@V5iW~e1%B7?w}%zBLq07T9ygVy;Ge?A+gNvA?MHe9b_cS0zsk$* z;fVA9w&1F!`kq#a`z5%megp29~Kn<+VS3%aSvAmCYWdcegIIRL#v8H&eVx}K%8MNOo ziX4ASnA$`uZ)(2Q))Z5dPgB$6bf~`%96xUv(`B3NM`jN#40Q~GnFp-!UAN9o>O}Me zE({azXY{>jLVY$qDsB^jEj!6d6|=~~+L$mlX)zYu7SBJOYy0QkZ?A8kvkK?5eEx*m zq6d!hl95?YgmIPVJes~YKga1%+c|!E%nLk)xF7lz|BtV)fQn;z`UQd$Ja}*k4nc!E z!QI^nPH=a3cMtCFi~C~1U4py2z2)Bfm-Bt^y>q(j*Ht~U^it9_J+nR0IvpCSzAwfO zrAZGs^#Wh#VsTw=Cm2?r76c}G-qiOxmHjX$8pyIO-4qq4j1WLCN+R2Kv?9m3K)bz_ zV6R9j?SsD3)95_2WI6yq0`9ulbEgQ@TsPBHGzHXtr zu8SI4y5Bkd(DfOls$4&^KCYF{n_e2Axrk^_4Bce0lt;F71kwGR!AQoODWi5dDXe&| zZ#Jp5N0C?-FZ^hcF_39q+ZU%OiDXVtShVJLSXH#f>6JRVeM(++U40OiwSM?ekQIIS zP?mLe_;4|hPs>12Q=PWH$C`jS4&+!Hjl*b8Z5nnh%L7Vyc zAaM{&v2vO)d5~dxtlTt|R;g&(aU8TeT;^eyp;dkh29jQ0P?62~^{%e-xN;|4_JMJ{ zASmh!pTulJlhT?_27EJ4Hx{;0A#7Pjk9k_g~W{Y;}H zF6ctRbRy>P;G#;sY6`cZ7p_dW0*7PJv_ZH+bLT^^gn???ao8*z9o2!@_XbYo@e?aT zlmf&0_SVZ^wYQX|+R;ljlqT~lK^nETn>4fN02GQIx5WWIIg99*&FMPZK;%s029cyr z{qne*zOgpUUUa4dvOzr&N3_8yEdY^bB_j=3{g7W&mPsci>kNmuWbkE0rVz_x1g);U z)>r$G!i*j|q(oqb$XADRhiVw{I)jF+Qe|<@7?o0A4vbR6QmA|<8N@G>>T{nSs1VWU zpnH^s+8&4It1f*xpG{;*SJ9RPR96KL(TY9Dxw;y6hv_#C4C9VQl6WavMgWf5mM8u* zCFL%|Xi}1YYBBwLT}-}C?UEB-$A3={i4m0r&8>4$)3XYm)ly4bKfg$?K2}oK9Oat$ z>SXJzIF2{p6DrSeP2i*#{80#2@#P$LvX!a5An)==Zm9Gv$)e7o*RG(&ADWHxP-PD_ zLcC`lRGcQ^z4LHv6A>vJFHQRm^!usX`Y^jvw%atKd}YDo@2%l5%j2kEO*Mlt*6{FW zE9zvlw3N-szE{b~^T!kau5D6l!=V+C#tn0>lG_=cNWPRK@R8~J{*wvLxIMTLEmO++Cbns$7khl3Cv!zNDO5p4YU*Nlgv*g{4U z_qN8jDS3QEY5D0X0)>`LLlH`4@M^_cM}wrnO5Ay&YHqbU)rrhYBKD759U{c9n63sY z`1dnUq?#~dZ++nMuWZ^i*VbbL7jqtr@h59TGur~J8fnJFQNtgsA7Qh^l(T(TIDeHm z=f9>gr%P$#Xr92nm`0QCp(l-EQa@*Nyir}p8vjBv$wSYfZCtVf4*Ik#qX;;TOk1Xv z4l$Xz+A5#U4%ekFVF4AhTbT~y$K8Zt>y6F|>dmj>29(YA(DP}_+1YQ@FDePO7T>U%AjZ;PgPmT^*KldO zJI5+@m!&PZG>=zk77*%~Fp*CxF2V;_@Y}{#2OF=FWv?b>0lFbOMzM#oOV(s@VNYHT z;9t%j&(6+wl-`1yuFFwoIBc@3C>~Ak9_C7{O9aiB4GqI3E4*9Vyx(Bho<<^C+Q)Tb z4adH(#iiyp70vg$Jq7XEFic9Y-JNfYXD{K8x*O=Jo=u!>9$cGV<+O+uf*v3v7rOiQ zf_nV+lK$lKh+%%0cne>!WCo0diG8ufbE;2)HY0+<$R?&6i$IL@+_HT4)&yc7pvKrQ!9nV%#M0 z+0+td1Z%Ic6wON0_o?yT;mYB5^Yx{I&osQM+!a_mw`}m{OUK(V+}Lo0=zO(Azd`-g z-RhhpQ@ai!#Y5xx3MX`l^QzHyW=*KVvMi?#3%kvY?XI-H!fy7D24RhjstrcPn1apy z!jaVL&I^yC?^^+Y%NgNF&)|sH!I7vPxq{%Jvn})sV$;ou)F6DO=VIrbi zPQ-4@Pc>!9SCh++;hr7d-r3P~jXPypU2U7Y1WVYGt&?(ni$|PCV_eO2Y8v(j9cHT{ z0Dy|jFB70{tv!${u&?X~2BxvW>gw`JfJGqmEuwl%qoS|K>kUA_DOy@kxwvCy`N%?` zURG_r2lIL!U$oGdmZ8D<8td%kz?1o9C&{aA?a455bA5HykT{A8@p3$S1xcS6$JsDh z_6+Scz0J!l`ogB+$h5<6`r?hI&5ML~^cNqhQgT?y#O6%z+arMSSh^O?!g*8uOky;iK6kgAi8PdjUMu%-M zUG%gvg?E#6r0*c|ttW}aOnbK5tyQk`SZ`*PI6|W+y2Xq>r3~oT;+iverf**hgsf>Z zl0mks5bqcs^pK9qT*2pR;c}9A**|J=*&x{Mu<6#~`Ci(xV8k-j7frWXn;qz1Md7%I z#O6fJZH4}nqAUDT{^W|?no_nGXHtc|!eYigHu&6rhig?-gS<`FZs0uo*{#F!dU>Y; zIg!)>7MDsS;`h*4?-1g*g$i9_%u%}bTvLn@f`KIQfb?CzmR8U$x$v9IwcZsLtkGHH z?iFs7#i-!e2_B{6`6A%W)%=sLm$&Zju$s(~HNTgbq=<@4y`zd0jkoACr=FD#zSWcj zS(2fZP7Rxxc5lr@q>XAC)_sc2RlF`1)@W7lPLg8CZyo|9r^_qGNmqERtD|LU)rmEG zueIsPPl>zkr5N|AHPf+?0Hhe`yP_~U!-=P>2PSYFx}M6~qv^q$*XMgtye%=>Lr3(Y z#~%t=50kggq7E^)@{b8qVVMi{;$>^3OM>BUJqC}KDh2#?$%Q5H82#NYf#2mQaD+r_4Fg7silfE)Lc~bTWcGFrlA_;5aln$J!BwzfYS=1M@0(4 zWd#ZjwIr?hx?w-EWy4N=FvaS?hko#=j0g)+{Wc+DR=*!wcN6=aBQk%Lj_H|CohLF7 zMfk8rNl%@)Nni0?c?tRvUA7tehk;KrA;W+K00l!UEd`eL=XmrRs2KENx|nIq{2^2; zsq>UQ7{e3$KbUhQzuB_ac#UFsMIPT4-IvL~JAIZsSN?;ja{BmM5|facRu-cKFS0v^ zZy{-sUXrQ4+^AERwRoq?N3<&b8BA%WqVhDYrtxv;+-~*+w9v zD>L{Zipl)5xB-7S4w&bQOS0^1#{BcItOFUDYDQZ$gr}W zs@Y41TddC~)rmTA<7HOVFj#fAd8`cyQAeBx7rLy%aE#SIvJxpEj)aqm!Ep@$pwnha z<%o8SA?1H5p>Ra1hGY!Tko}l}A3Q9TUzgD(xM9o~DHUV?WniPNzP%cK26~_^Dc-UE z%h31SZ9KfEaTY=f1>k=uyN4d5@?q1?&YsqvIU^zAlCEY^2ac7s zSCt$b4;_SErcgJH# zWNxm?FQAtoctd%e82{JlNNUINb%9P#7u8(y>&KP!#Xzm^ext`E_AEj&+9uEgGkfs0 zdWK!eTN!?>%TrROD11Kq%Io3+v(A2yZkHayBi#0Ske!LA-V5CuFuX5~Sps+Sz`Jg| z!fRf{M`w4MG4R{nCGcC!g%6(*o27lyQy`e}iR09YQP35MNQnCSC~U9#i}ujD8i`JCi>}H`j72*^5qTfExlBQ8bE(iw@IQIkmfn)3A| za9S6kNEgd)Ts|*XVxXJ<14+A(75Hqx5=1F%!%@;r4x5vN`eNcmC_lkcTxlqOR`?Qq zg{`d3K&fI+T({-=w=K8LNQ;TFKOfV9;u0y{qxO5>;_FgWvl~P*0P5;eo;;b6!vJ2B z9s9#{_mi9U;4L?IS(73Fpa{!`6KEqFy>N7MaReOn##M0&@24H{{z10*Y?Hk+J5p?6 z;ySjnQSozXO@i)mo%D&O{3_Zch?SX30|z#8G_bSGp=Wx!|#QM(PzG4gho?HOd zEIb7|AWG!-rohZ~DQj~w4A%IPrD09|%n9`2m9_IbE;57LBXf$-2DEMsxYS6<7L&LX zGQXC~!3lFRhZp5gy|42Z#IUt?$80C8p7Z|UubY;cfq}aj@wxQtCy3_mBm$XBEY5M| z_d%)jsK$B4_$}~vPW8UuZ(R1ox=0gg_>?!-!0~K{Abu=%MvnooKc!0%gX$G*J$}%d0#W714T)r zXKG+|)ECbL&j6z}_US+)14bi2eEgih7E{nRf*8C6m8e#F;;iIbP27P}-lt*8PL6@7 zfMP^Lfl;_3uX!S8!I2-ie$?sY!#PXLfo&g4ZpnUFn>%YXZ-0u)%s6Q+zNAPID5ZID z3ux)B8%{Xd=>{O}9y7GEav0LerGID=>mjORG`E|kK92DJ3|2Lv zU>yqMPN0B>thI2}K~>Ui1n;)Pt;!IJ=_NU1Uf9C}<0dE=s=I=4;p0wBY|9V;c^a6} z1wg@Q#+rg)zuHJJRCQ~PRrf=3g1%h=1?$5$Y(8JRlRP{uRys)tci!(zA!f(oqIs%Q zP1+yHk#DV@b{y^3QVfyIJJ&IN0D&lGyUl`kKscRA~9^`W&im)e! zFLt*z3YcLIe6WSN`#u@<*8Wvlr^aFEI?=-G%*!y zw0z5VzR;`YH+w}i7gyc-jkxSq!l|YpGjRmL1LJwrD}TSQsrzX-XaM(9^y4=2b#BoJ zBRZQ~^_r1VsWTxFVj1T2Jf7PxJLsvIyUn2TCTah6It`23VIcP$=ou{38z^jn(65hhyuMHto;$D{} zK>KC* zqy~?Y7$p(c!KXS7SqhpQm3^kmd;mRRvS-#{gB@w>`x_SPZ19dt`Gv>58eTmdXl&}D8Ls< z0E!b5V;@BcC0;CEQ{$rn+NNKMa5E7FDt(B!iR<%|7E^f)!aq{Ie8=Ht66$I+Ip0Jd z_;N@kJ@Om~8gL9XxZ*Aeekc_P>Pcv~f#ho7ExLS1Uce;=c|sLsF0R3S7}|(xg52LC zB_a6^wm8SZget^bzg#k>1(%d6q+Ab2*qt&VN?m-xz+Y^k*mk+j;`&lUP}HcEJKBCY zTFsMf`Dzr~5NA_i83ExXU6}k2q(YS5MzElN6C;$IFO?6x6u6Qe{M2^WrvL;gf#!c8 zNJ1kIGKok_53Z+yme>|M#K!L{ZLX|TpeULsX^voIz;D>%NwJW98Zp1$4`VEye7FCrstDj>9iDxf%RRmEgO5E z(CjTdNbGf@u6tEnC=EqkaC?U;1UG7$VX(^_S4jC7`Yybs3YuuK`F5) zOEIY?_&>(f$9ZW56=6f8=K&H~2((gp#n{kJDXpsoTtbiDfl z$V2`G{QTZZ{uloqJ$l(t69!{Ph0nXF3Nn@J1u3EMaeySjhF0)lfCS|D9Zx9KOrh?U zXm<-_r#0d$r13sa=hA{6GDG(i-~;o0B$9h8kRwf`j2-yC7bmb0HsAF;xFFDhyU1o{ zF*c%t4<=*@AYe_{OOKW7z=7KVK@B}F#G^|6#|qHlB6=P)5dT9dX#c3kti%QsA)tv9 zs)9t&CR)Uu4F98&cg=u&gy1{ny2>Q&KOU|U_m5IQPOpnNC41rTVFI*kDGky#S-__=~Ht5@&xiWf9_+J1(x{>q= zB|qoV>AU69DHb(+iNYE=bIE=FH&D-s2*G!*qZWWvccD3SUkMh$C)h0vjoOb2id%oF zM?m;zKs^gnh`S}q-H# zck)QGe7ic1Z6}uNUnn^;4+a| zN$8_4j^M-JqHYf`>T?KC$VLDS8hjIifhePMBA)zbK!V{j^bWf;r7p4HgZU?F-1y(O zqHIw<2SOAoeI!N(izMEtR-vY4ux-OlBcrO5AD4my9a%Tn{?99Sd~*h z!;1>i%ZWvKv@eSygNy<{f|qPtgO`;t;8>J|8brn3smU`s?!WaR7hF#1pG)_*8qfkP zW^w+l2&yR9mPx5oMCO)YlfTuFngX9NC1xq9B?T1(vLc>`T0-P>@(^|C^o(4ae?eP@Gq${M?DlAH2z&%tk=XZtImSQp z*V2&9nv29|-2nKOF3|ziTtWJC=`ElfF&6glJ*U#pzve%&Bzvj%xsDN(21xV~;n_ig zCjNhN0&Vd)(rpN4RC-4A&3Bmm+b#a_j=#X@Yi7S})(XUcuEb6Wo!;{fYl<3#w=3d+ zu8#jj^1L1!@wA+*9w5h09+DbcBnKki%(86A%C`y%BZ5S(n-bz3UJp5|q9G`lLVq}B zVtofkPZh2gN>>$qZ(t{GW7$7@N$?Qb`L?0ZA3w1m300CyZqNrHtOVM=i>if+$+3|Q zI=*5=z{qu%Lr}|wN_il1_+5ZeV%0#=0kUp@^=WMF^j{Mvc<;*X$w2Q~*n z2<+X#`H81&5K%u!f?=rn)pIyt{rp6%wGY}ESn#iKG%DQ8PtyZs0*YA_J%J`@rv)q> zurbXk@4-k}r zM12oOpezs+jwC?g2u+kcN01cm7sw{qE|1u<(E5v@oIt~c>4C};eh*9Yapa7Un-D@M zmA|jMP%bUxP%*Fty-=z1AcTgh1Vxl4mmd;~Lg2M}?xz%opy>5IPN=p86+;Y>H~*V9 z-h*RpS{E%}Ts%Fy@Se&BU;WhBGJSS>`Poib$#;&P{qRK_ZJN+28h;yU#Q8d)AGEmZ z<@RQUxq&~+H*w>>-y`CVb#s)t$?l4sm<@Ud+Lo^cvX<+{)CVkrZ+H9;Cq&R($h7Vf zI4ipA)_c>ZwS0EEvbc9YD@&@LSoE4zpN6yQi-9X1v*ZY7pPIaPsYFhl1?Ccb%BjFx zJb`kGKz>EiaaKA(X^bG&2Ff(Mgr3{%$=a&q-=$DGt^}Z*AvRWy0LSlg2qorwkPkN# zRWYPY+SNl;OhUYERM8J>a=y~e9y2*3%u39ZiGQK z6DlM%oW-^fbglp5aGGaX3}-APO^HB3iC5}lSqx$K9bpKcj>x&*N2tth^ry?q<4g0p zJyj~IP9wsI6;{kLJyDZ3zjd3Bb=krYlo2UbOeDAX8C$5Q2BC&4 zq1r=8U7~YtB36*6FqX>>i^Sq2`bbcg-05+Eo#~eZ)_A#7OM#|>{g%!*FL!tEV}ik{ zh^@`9#ap1o_4VLp8=Q?YFkP5?=+3E(?6hMqgOz2d+e0DL@7zxz9*O#pqr}od4 zoh@OMjk$PoH>eWHIyxBF&7EF+YueFdF|`rCDVfK= zwi2#KBOfk4y*|BwIh0Ha*iqj%wt4exs;b~8jFIPB(g$x{oZLpzdTU39b{_|Dtk?t} zg&j(P?_~g1!+cpk;6XRW?=pe)QUnCVuJh0(_uW3)RWe{b#$i#5fM3Tnbgb+Hb5UE; z$bLsua zm1zh&S%e`TfXqu#Vl`3%QD7t*+K7Z0B@JuxBFT?ZJFp-e4u~pv8VI5 zm9H>6tU};TNR2IY068)ViBz?p9mVXMMQnINxkRzT+)lQrj@t z`taP!#XZg*OFH2I$DP4kI%=tuS;Ip}Mv5L2A;rkV)IBr=NlGdyH1~G{JtBe@?nLhx zLm2VJ$Q3SNXHlUX!ZZVJfZBE-RquZ`RX{RlYb#vk5jvhQO{SczKcaFit*FUE1Uq#gB&{$|No;vFBu4$aLI0$rNlda z9pTZQogrGasEB4Ajg^{kdxDVfi(ka=sk@TFJ7CXUeeS^5pzbYu@W|8S!W_S%G zOi!fPJ>n?J@EMLAm`ZWHL{Js+!Jp`@M6om~`D=Ib1*&1@Q(LX1epQH!rt@&v> zAjZmeI*MH~Fp!CJH7duj%T&w`Ejo!u?>5ZkXPs#o22o1|zdv$-hy~cj7qDq|&eUY+nr0tsme4% zfo-93_?Gv{OTwYzA?<}{`s#DY4CjLGnHq`=b;=%;1$AAg4#C~g!v~Qd<(-??Z`vJ5 z%yErAT8Fn*&aPVPB#(CZ5eJ;K2D-bvnIENjC>U^*yPH-SsZNpZwz3~hp;}F$O!R%1 zPxl^Lx%#4qp#FRZD6t;xd@nZ>&Bgc7JTK}FvuG>S{>WS8U+nx@T5d#r+AVP?ktncU2Z-3C0<*L!3Fw2F*p)_Z1Lw~X?IEPvs@6%-!duP}* zJzW3~?&ev}+bQ$-_%=#cHgfpzD|=ACVsb)M2 zCnNq)Yl=Omvh&@w5$kZC1P6J4UMC!mWgo%sT#G(C6Yo`)o!vPtVwiu5UPYPEHkXa# zx_SxWC^*fOYR9(^PKc6Nfb3(c9X zvrhcGn??Cs72Bl%%OYMJR2Q|NA)t0&Nn+~7(`(IJhxhX8NEzD)PN|z@=e{rVl1od) zAAkKJ;IkpG%dH&s7T(JPgpX@GZ07AClF+oK(lRch8WdtnIR~UrL8B88n}l_1Nez_~ zTewP&*zKH90~4ESY3Es+7agdJTHIVZva6zxbz^``&reP(-dve?{u6KCI+2+L4^S4o z=$~HENddS8*p&VLDRGW9Bvn*N!HHRvr3yk?$$2&A?W=1sKi!_Et>4mdZTtwoc4t1d zTqa{os~g<$DnSb(HgvD|iVmpXtRNeB;f+=uAABl5egRcfjPhf0X>7JkM3u2e^uH?8 zZ?xM+F666zycI=j!=AHFQiOZp!{e`xO(*xVsN9y5ZC9{F;}yun{xwLdUILQMyS8%k zYD^AJ)&$30$i&%w$VAp7NGn>U1Lrt(5oMe@gRWqv?S$Gf5tku3^)Iq9R^)!dL1Fla zrS9%;VJ`p@zUulOdiM}08Ygu|ZQY(QZOrn0s~+1llj=$WuCCKy!nAnj_jam>*&SsD_34OFm!JGt%fJdfFV0yZb>*mE!gNZ)d`TEs0c79L9>4w)rIlr;U z$@irWqG|&E`0z&wHDl&SaZOfKa(Ke z5{9;e?#QM4dr%_ZDhJ-r`M+56CmeNq(V!l=Rj*43weW441c_|)|yczYY%_{rFnN3dh1#-!dqSEKA>BC2oSi)w_^oYnxP>h z{x2#dGFN(?93e713pV6_7Vo1&S@xHt@#f3qqwj^k^P68EA+>0Z)9fxtgs10}xBZ>t zW<(?&`!7D4HcYwT!-9>maSc4^+}|<5GKer|_bm${Se-s|aD^skd85^E)Ko14LRJVGv!v-QR0DHO$ZayG;b&M`#H zZ6#KIgD9UyBp21^efm1vx8!P6W=I9dGiXy3ehiHKsn#<_g|n191O+1LKc0F|rvM4C z(x+9zSmR4&(ryvpw&pM6%ZlGj4|Dqxj%VOOn!2P!Dxj1+YuZtEe#rwV#A)`b(E*Tq zNlU03?|}_COxyNnkeeqX}6Fc(vtgp!%Fzs_% zcjpvrh?-TnZ=mzg9HjxiIsDp+HV$NjB&d$km&BFw^G0;AG&8KjFzoLiOufT5JP5z6 z5U5#dD~VxU(!ET_qgS|>4!)1QkCVdORo87f-1UB8vT}*zIF>{RvHTkhA*|*XxFQS! z{D&zp1bFl*u(D60`QS;POx{UQKKS81t0V24&|w-aYSouw3#QNQ`#F^@UWZYvIJ;@0 zBkyHfGv33(nsB&@{w1bUs|O>-2M^6w2*O&d3lXk4_mQ1!BM5RO27*1m3vrn_+A_{Y z^3p0i^dr2>diEK)gB0#p!l9lyALy`E;~X^;=&+OBqUV7wZ-b4#E_Ub+rt?5wQlLDv z34M*}MxyVk!#plgv53*PdSUaNqq?@t^vz;dHk5rLiFLdpwzJAfcx_V z!Mp^iid5yNx)}Sd6+r|9I=cGW$t6KN1glKUvCk1ZZ?)g2qNr5*o6s9=s|);HN3^*X z2D&p$luUm?9epl$W-P+T7Jb;?cB*1K&Zw@Z#m1j#P@~w!BK%>q)fE zXFUYlYbK{-QA9%6qz@OxRrW}5cJ>RBpfrmo_n#@QV9R=MxhXqNMWa>|x_|qs+-82T zT5eB34M4AXP+it_x8+*Q>^W#PB>+(eCajHj|TW4_Mv9 z=gkLP>rE-;P=l_mwC4oAdU0nE#5p_DwVbp@9OqF+whNNtcBf^dIGI$b-6`@Mhw0Yb zehDawRxN*n(}#Hu;{g*~0Pi#)^g*GiYXM5RR+)^rvnsBYrC#ccCES@8wyQGl0Me+= zX8+wq^BgN$U6!+p=us*E;dASzVI(f2>_D0i$~43=JBqh8j4r^CfSLfZ_og>#fN_%HkH{Q8xUbHsNh7{g#48FMeg&ZI5O?H~QLIZc zI?)Y`8tGU)sZCD;uu~(?VtXAyCl1^VnQ;<}nryjm-)EqZGJ8bhN>yo%(fA<>-fvcA z0>TMj%}FLy(Oo;zhOQDxCsd=jbvZ6Qw7+f$%MF~h*RH!7cV3w*8N1Ej<8zRG3BaQp zJjMO$^&ROF?&lu>b*^oX9uV4|#qXy+V-fEHiJ|h80o5M&2euKl#1*v>*RKUvS`nWH z7~yexv6)jqZPkHNVIZ8pEOQDe^K)AF=ZB4DY=38F(42WsJWvWbGlf+2S(MN4{J`~r zNp0zAkf!GfZM{%7LQI9fO80kXbdF-Z{cp6K(^w>LffeUg54z#fg0+?_TD3Ot3rYmc z7tHGYCOwf(C>l1EYJ+en8cqha1|;f}TLLZ4qPV4Q6UDuc)K5sB%2aFUGwMHf#cMCS z@@C=7@2Cf?h|~|XqWCZs5wja2S#gMjd26tY5@|~_tu{W+)5juNJ6_eQ^3EjNxxgVZb z30smF;G>$ejcF>5t5qg-VQARFF===`nraE}+F*J_q%nQ?2wC0-E&e22k`s&FkK^g?ti5WtOaiVrVD8PWD&KBpHz=BY9j;YT=Y<14O z_QLu*1^qM`%8gF2JuP#brMVwxAy1742jyoC_ye9B+;v*t`VMG7M6YwY5CDpcyASC5 zj%eQTjJ}|ea0P>gpybK*)%9ZbZuKF*!@Hhqt;~ki1K`pA6RZ(afIG$lt9nS@cemH) zG#C;=2Z{;Zivxh%;|aS87qT!f?-&|xOT!kKHN?yU+!lFgjUDp-6iDjLlAbfbU9^d zB5goZt5%EYmwMUiWLXTouQ_ALot*Ni*_gJe0|{)u3Tzy3Onw0waaNxg?T7G`EggW= z*QCR)Im(@6g5*|Zd(c#xN~ETTc%2w7oijXxEQk-=u zri~p_C~T%XGYPiz)9AEk6nsT|F&1Qnj-6hc3zV^Vo9x>6*4az@xH6zgyVF8)r$Uv- zL^d(hRE~Pb&Mv1qOBn=YN(|7Ht&GdIJUiQ@mVhRRA8GP{35Luo2~z=9%Kd26)oOxJ z*1%jn&igCDYy)i8kpX&(x<3y`dHq-O#k!3P0|A8_j+m&2I|pg|qy_}2i6w{#57Vzr z+2U0FO)K%X=%0jago}wJ6^xiH4L{!1D2N3 zmFHf|n_@JrXJlQ5`W22F$IK03XHC6R$Cv29qq+)y#0{>QRy@p;w`3#JvGx!l?Wr zC#(EjTU;)$I};ghUF56$>oKw)B3Zw9gXsr`R(rT#ID>-ig%-Td>_IlN#Icv$Zm%ZSYv z#82Hwz0CY6>0_{K`JHUx*)o+Z>De-wZ11^v1Z2t_DTp)oevJ&E2mBP%plluIPc=B7 zX4_l#e9H_^AQ<&2X>cjI6#ABY6m3QUPF=?F;3S$Q&h*V|&8${G5X&ZR^V#f6QkO#$ zmAn}>OvV<+t{&rqh0W`?rTgkL%&&JY?-befoql&i3@-=CJcQ4+U%<5C0LKs24DJvH@)|44b2G@!-uD3;*=LL{z`kX`n+ zlsx!@eqY{rNRiq%pD2CC5S_WX+_}El>WF8Mj!Ht#gJ(RKYN_O&x}R!g|3>vV!?4J+ zzq17c@OaKijwZamyztlI^_m0@BD+1@3osD!{m;%$&q>K3WVhjS0R~;ZeezxyfYE(U z@<+n!xJ`c@CXau2;_&`g=Ve@OE5*Y#7wE)6(21RV`!|1J06Nb(^WlWoZlFKO;5B*F zkL(7x7pTH|?+k9&DFEzj7iuJqY37uOjE#ak>LY`KvYC=_HL zZ)=KSTy6}}t~f0=fHxg{bDbv&1)rj>mn-5rAS6wdavljDuV=1_WpB*RB}jH!skK@v z;TeK!xBSbpvs%2UBwai;^J6wt2V}adBDztj92vB2h$!7ZfV1Be=>$sa-CoVRNp&O&sNK>z8srzA8=$1_z zOL%9up#1=6h{JRZM75{KMSKxqyjEQ0zD6Ih*A(2NA7R!JTnwl4V4w(G9D>@WlI733 zgnS2`ErjNC{;UhPGars{!g>i~W7!Y*T0esxg0x)c)U=-k>qZnNs9O~U-1um@|8P$X z@9XV+>D=<=-b5G*LyJ+SCZf^pnv%A;lw7xSc69@HG&z7xf>G9Um4k9ao=PnsRkJLI z2xnEX!<r0Y-!-|eAR#ZlF-t@W2v6FbIi%!6#K zR6d3tUY;?Mb|!I3cX$CFC}jpTCGtgSg#9F?66GfL(Eb6>AK8>`lfoY*WnY#*D0=N2 zYXf%UMCQ-TBXZ_9A4TF(ucg#Fe=zy2-A^;5MdX8H;Y@f=B~_wjlNJlS&LfeFxZ{(ok&rAU3omQ~SbfL?=gYhD&gxneH@AFphRrDVL_I9VYDp<7(>S zg6NZDYXG>Kg5`p_4|%_61+?1K?WZ0RrL!xeE*5{hr5b8Z%x)Kr<3e*EJa~&aFv&3a zT=1m&5en(9q)K3G`K#^W{TNYM#bbZIn^-a8_m$pGeQ)lB(G?{lZ$R0!I)BsEDaP4F z%f$ZSSgX?GPd6?dKBAvyP6oX6gX=bfFYyEAhacWZy*ghy_C}0eJQp+L+e_g{19cNi zWd5LWG`21!a;xz5Scbghe_0&`I-($SPDYFcG}DEs;~tj}J4rX%)Lv0&@=om3>|7}k zw3*jhFl8;@TzdgRUU8kRG->@(pqFRRdj6sisnEa+ZGgAFK~5S=cQy$JnJU@$t}r9- zQpi92a?u%Q|vG>Xv{Ajt{9Dj#uUO9_kf>ShF*|$! z&u88@$G3*BLrxmmQDO~8ECR-D=6;s{hpTstvZM(XMW=1sySt}t+xE0=bK16T+qP|c z+O}=u_IK|4@y=Z-G}w0AgFLkz%;oqIsT4Hc|#$-lJLu-{A?sa`8PoON}>Ga zQ9uhwAx+W&UL&zc(+|LaGcbOoPfGLBvnRNRQW*WgcvxR?aDF4Y|8SuwKc*@;F$=V) z1!`p`CF-Q3ay_dJR})Yy1K7w>6vZzCMZ`(91{ScsNES^+U-|g-W?82>KYUROeZ!%}7cG?m*(;#l(}26O&m<>5l0G!^v( z^vTBFbEeDyQm=m!^Hv71Delj8%-Eu%nIR z4@`jh@`afLzQ@9>CV6F&e#^hVW1l*JPfLXXn}hOou&1Qa7RKT&?n=x;n)BIF<_++I z_Ns^mEKSUzKhzAOLC*9W%a#sc={oC?D>|qAiMt8;M>c5}O6QaOz~*V7_C|AFw6RzB z|AgoVy{?vv-aQ{M7mk<8oyegBt9&sC_vy z0#1q#If4*38@US*^D|6JQtf0*1lQ7qg{@_P%`K6|H52&PfI;V$e#bVG`ZcmZ4ff+$ zB8MtZqfDHLW7$h`pik*cjsjwn{5rB|upwQzG?@`MFEM#HF0KCYujH>*0PpIs-3Rk; zoSSSRhI(vH;sBeEA%$36M$5Ac$x>`W7^=xOo%6=FE(6*Td{205D^hXSQT9TuVNPX7 z>%5)TG0&I^xdZ^4P{60Mh~h5({A(9rbM-KV%CxcQTeKTSLZTCZSVhSR0OI+~C;-vr zJ*@-J;M69injZ4n$j*_Brdj!%7A$`RACc$DS8eLl1_Q~d1*Wh=8?bo^TsI!olF-EW zj?{eLnIjNt^LJ|s5V()Vdf(a62W;1AfAj=Bcq_@sP`*hqxEo$a@7EXv^+Pa#wCY*w zBhOzWYwl9!VL|{ zt26Oi3EOP*05c$SKE6T=?MOL>s{?Y<3^4@R?5~zvGZc>i#G(u2R%yIJ33Ks6{ilBZ z*>%zUXVXFjq4}R)x{(mF*h7-}m|PL(XD|@m&j|_>6?qU`Wc(g4^ns zq|(oV{iQ91yz>D^SXmoVT>#8Sf4v15|1=%j$i<(xYz_)=De8+!%$9|iIG6pKSZnkg z#BkRSzsug_ccvR9sFBsHl{-H@6Z|wT`XTFyNf?6Z=!tG8yU;W_z`-s$Pqgi9{7+YMSesn_H5D)-HUt*bp_rmk(m$cnXtC%z0Bk7VQD`8JMXoL(MIZp9^r;Y|bl)gF z81VpVB&;I+osqvCM4{L$=(ud0YBB%A+K^&*ljPPVP<{@WFds~)*U~t^>besey#ERR zB$I}*ER&G+rO-AQ*Nbbhp)aSZFUM^y*r{RsTJ*4!8SKYmp@;&p-pN%z9kP7^4mR!; zgyoGH)y4A~~VfFqp_C=LZ!SQZkI zgOFeLu^7YE8Flo*KMhYrX&%NLoIdq5h#3NNlATa#j@Hog6DkJZ9xhB2brqP3c+&>tT_MI!ozD-A<$gSI- zD6mtLFr%1VFW+x*^?8!{Q5_B71!Ox`qkiJd{2Isic1?QpRTF`6v?DV50d9}xpQMo~ zrukBWqoTujRsnHv2AWxKlFn;r%roY}TH(XNJWg>qP85FQA6-5hGt;9Jrc9nW_=>LMQOKLnNfDC2^j}wlc!Q#W~0WOI9vYm5wp961pLfU zJEDdI!%q$dEJB5N85%q@glwvE>A!^M;Q{um`*yy4qq(3C;pS9`=5EPx_V}VIEcno= zZ=)5Q5b;?K(6KSllUdAKBZ`v5VIgsM!;|>hSCi)lw8yilnq6m07NJK_If!SW3>2e! z%)(&A9T?KfWH$4%V#Nc&o<(9fpD>^37{f%-+z*0 zxq6RONm0BWuvUt&3tCoUe71n7@Fjj54>l@@2@$BUfZ_I?Lx^&dmQ?V#T=2~>MVb@x zHl}{$09?I|a~AEM`9}$cDpnmHdpn8#Ot;UB7h|#_Mp_)yS$Y(e%VXMBAF=9h+YI?! z>)I`B&e<7UKS~Z%Ng)$Y5+hdu3#|oQh=VG@mMG=(L>5WNdX$ zZX)?HFhy48&7PTu-Q|6h4(fT%P_A;<7(F${A6KdK($u+X7<@Gfe>V;~1WjgB8hvS* zev*Y-<)Eke2qypNKlS78w8v}3UkNj7NB7*~4)2L7jzzLFoW46pKiZrzW|}%&t2c)n zE@`tZU2e5HB97OzxtFi@+RomNY0k1+N_?Yh2RwNr2Scdfs&m406ft_}mN!F= z(zoz10cala3lB%nLfrL~m*`iJ3H?TXW?f zA#4v2IBZQ68u#_WI)=G?V`KdJL#E`P7|S>w&@}CzW*6+t_}aW1r*(%QxA>af{yzjv z`VZ0lLri4-5O1e-*2*nOL2?CbW}c+YA}vt_IpNm-VNM3*Y!g#{SScCbr-?0yw*Wd8*M@8 zep9Y1wexrFKb@m(C0ERnsLViD=Af-`Qvo!MtANcrs?-Hp>(WV#h%40%zNG)G)>)$Q z&%PH1Ug)z*RpuoBH1tE;Pe7JK3w}ILKz8_8E2JfK1E|ETQRkqa- z5wKFZE&+2uSl`&guk9S-Hug<$m<9M0|GIU6!)GvU_|;$G?01;}40*i|J zhN!zv2|y97@C9|R0!A)sg63vY5ze0iR>Nn8(R2Gpdn28ow$%v3J^wpd^%8i7IqXoo zqnAlU|H~3x+|Hz;w?Rcu!5qVN30gYjr&<)9bl(JM^6-be0AkW=_(gi;>}Q%TEmf-G zcVw=)2Ii?#=;fUn&O9@w5O-vrfoh(!TBMQA0isZt)&U?%e?^dpFCj@!gOX^FlVWE2 zT`0^nAt=e*AXyk#IhszAUQ#AOkVAeK_T^sH3 zLLPTYkymg|_|GLvE;ig#F!^}R+El!dXvU*GtBO!$jf0?U)M3EtIOpdla6yJaBkJjkN@8Fa?29tqMy3wpX|5u3#plw;d-<&V|N)+@Dwo3p;o+#Dh@#EpQI%u zNNR&duM}ibDm{R+*m{eoQSUbfaj9kt5m4lWDlvmgbYA=Q9acD-%^ejuo7Ek3IGe2< z4N7YYa6)x)6~2GRu{4U+-uW|x88cSuRLQ>?RxQYqg$UI@?^=bLMIq7c8CK^I(c}IH z*Z$zy<qUE}{Cr=8zL#saEEtf6Yr%6v?Ah*QZyK4>vdJGZLp2UY^oZ1~OBV3vyF! z$mDvO6`$<<=Z=gkiu)#1jb#>tYlWSbMzt|E_%`uo2m6%pK*Fme?uu zYgE8pL2b62`rI?c7ejx|p&;9+YcE&Ia9Jp3{VUE8d`b&rN zVPwf$gIDddIsLio$ah6BGVuCY=Yb2+=8#MFaO&Lo-OYZ1-w}I4lpho7CQMCafQ3{M zN{-)5WV7v^A4hP#rM>NPJil8s6DHw)^3{KCMz}bl#1;ftOnBOMex85-Yd~Zt7aBI$ zm?|zE5jOZhgzk2vcup))fw@z8Ni{N>C8d(x>evA=P>_4n^J z!F9|2VQmiqLdnS2y4`oi*+AZcHKilp7e#VWCqmde{PX&5iKk$BL>a$d z#!`deJ1|-};S-v?ALc330U=sw9Iqv!f*zr!CvS9nRt8G$Jcc#CAbM0vcQ*!%4kovYg@ zv>jKxx_BqAV8I;q_S7#jhtCuC`+hoOiw$Q#$&Ht5Y%!Rmj~`{WAuwz?Cp#e=@mD-@ zK?zV?d7oqMFT20LgnOG5(Y#l9kJpdO>oeN5d`h!HnR;7V@G>>;Ic88vc69<$Y-{>b zf*eRzkZuEi*BQ;?E95Jx^Z*!+63egBxNC-e7V2czrERQ^T?|5aKRoR z9$#*4=Y;A!fiTkP&wlPdv1j7CDC+#q0hk7p6QHI7taU*exp1!zXUibjFsHwqW$%>5 zLIr@VBiq*OSI{7luP(I#Gs*Fy9LnH-fi#`wzQ&r+vR8#@vX`p?eYBM&Y$-` zOPYVdl6?qw48DPHww@$s1?1isYUJ+Lskz}IV0?qCGpV&c>(_8C+`yJ=zSI)rJiKv1 zwhF=Cr`0Jz?0WkJRhma)9tvU37pQdWof7*I9**GOQ9*fM5GE3MK>jeNrWgj4s0CjJ z(+dkSTAZGqr9lrOP$~TwRlqcbKkK~`K=ch$PyDp^R(*B3ZFC72?(|EhH+ClXcgWnh1$EY6n~Dq zVdr$mGx=1W(25u1o!48e%2^5F3XwcPGNiZihcOymdpl(y#UoFXx7brb(s=#{MEW=m zx_wO|AR-r=po1^KQ%i0Cw4jeuoaQN zL~XhYW~>1f4`HM3JLjH)boW&M6(S1`*;&tgqe*{p@5*RuVyxPmn=o-8HN_X< z!e5nyo&uPLT(!Nw| zw>|+wH>e)=CZZ}v7~(bx1z}d8aAZxO=jcBDs}Z@LC)C}l+J6s5!Ac2pjFki6lENp= z#{>;3(UUu{W6SS02wH}=Al_YTwJLQ60e+^#mLTNA!8t1(4lt>e(z$^5^HEl~ZLxu{v=y;}bR3M?U-I3%T6%7=xxG+2Mh--n-x1zySz z`?_Z(9b^!=_6sc>>9u~CJ1PU1Vh&?oh%y#fDHry2$>zV>adEy$bcH<3Jo7=EfjxR) zhB;B8uJ^lEU3`c&8RSZr@yA1y4zT$SS*ZIns+>KV)GZN7wO2^HxEfE+Ry}tN3Vrt$ z3Vm6wqaV=W>U_&|p0!cy&vf1??A0p@AiMA!*58I-{Rb3uxe^*qbrF$;RjyW&7tVlH zZq)Pwm2Rer1E@*;`g=G` zzLPPVE#tjoVSUx?AmE=Vp`m^t!T2Mby;XL(U7&ee7?PlX4nkx?1wnWd;9*rk_zd9T z6aa)7(2t0P1X99a3AflrGvI#MToBNCxFH5i%505V3S9ZGhW|0VEx8W7qZJW;y^@da z!b#N4`_r9rwO=YjzKsU+GxBX-dB6;Nm?}U2hMS+55|J2w>YY6ogA$c^LA3J#@yAV? zA`s8C;bA;HXztHk&-YJc7)GOK-R^ELFAv;mNYS!`4Zw#b@+=oIQ_q*a&E0KP46(Gs zEp6}nuN;UM{~0!$zwgiQmv8&71ozpS?~UqUqsVq<@<8qPR)3c(JbzjiM8DtKZD-N) zdlFyy3;5?Mx52Qpi>_SuIx+U!gy3mwR4j$o%& z>g~=cWGKwXlQm@?xSllqfw`OBru{l%TZY!G-F}4HWRIooz^S+hIgvSSf%VfFlip9q zr&ei5_%fBpA07#Tu_!I&a&^eW?fKlMtO;hU#BgZ}ZB$r{ zMU#zLpQdoes-v+K#%Ge=)^WC)=(N55AourT~t^8%ZseMw{b-^X2Q2 zuB*58ho==~u~!g=ZWa{i5{!J7f|SLgytkl^K*Pne@`;H?lzyo-sV79I{1l_K&{CH! z;c4L$D5{*QWkZ(lPz5C&ZR!h4*hIm+-A*idLOGm149V_w~xNhhH%VVHew2#Z)!$%u5MK zu1ehvhEfJXXAaHM@Ot&PhzhK9WPSJ9YBd6NRt zdDxefnr*?1>mMjVjk~^r)lR}gYy7e!8e6iM1FuW-w&F~0_Y2+V>Npuy&B<^2?R1R>0+Ms|byvml9;nRj6=gmy#%Kr^J zRKFsvan+lr9a{eX5dGCGoAcN7EbEOY8t&@-`jzG*qDz0D+A!_X7%7sCgh%=)#@0}> zaz+?Due=0XpW3fWz8rGrcAzLN(sH9)r0}0N54~DOj%g4OVE&g%)XbWea?`XKZ zZ)eVL_~G=V+uLp0f7y%&@mYZcJV88f{J6S1by0jIc%^>f%Yn14SstJu24@=YZ&X+x z{#e&)x#nt`Ui-NlCkb2*zj?l?z+|^XSdtUS(hE=15;&NRvdemmXU{Lg8I`+;usC6n zUxzXooiXMg!MO&DD_Oz=b7-=;U^yun%4(P8U97Vh6;5Pl5h|v{P0L7Ph=%?5CW%jtIE(zq5GGpG|x@a`3!8p9Q$3pYfML ztSFXze6oSWeY|!moTIrpmn-p(9h1syzjij;x0p9K=|;8^QPZz%^tnSgT=cHyBOlr{ z1-^P_5n70OH~b@@TWEMa$RWH(ETA4)Jgl^9A#_K`-I>9@yKneY+z`F)}K3QB)*?=cPdI`~Vj@P#N9AMCwTQQH%pvVew7Sp?s_06La7N*)ZEj5WxH|8@R(dz(H~ zF(hjtj;tdlU#B4X@ONbY(zOQkb_dJEz4s(d_w`r9J^$&Ok#f(LTuKf3z$PmeKP&p; z={WO>P4>f~TGCmTNr@8Ajv19o{PK-S4`zp!pj3(eiGEj%XpXn`^YM1>*%>85mg6IM zefI^T%U*rq2t4h&m??Za-0TK_P&8|6z9vL?(^sWHQdnXxBjGVDXRYBR9((WJcM4d z0kgqy&ZmZW9H-r=hiR#-Su4_XK1|kzGhSwN+?l-7Em?g~4LKqDT=f}(#3q$0Bhz0~ z!6rFLw zB0^8zjis#CSN~!p@3TI;`OKYWaHU^SGQ6C?t-Uj5St)vTpr5xQ_BpHLAPQyAzgqtC zpG-wC8htP?#*&)x*2W1s`~$jS=1n$9r91O-=d#brVM5U|wP1aAyLsc9>HPBarEi-2&(lSL&s>Gid}&8qa7g}}QdSlc zWLlC;4C+D;yM0W+RC!}@s`6^+dc;Supg5X)f3TGn$lZZ*FVEDJXkqr6pMzqS+pir z(XHsN;C>RjDr^mfIQ4%#^OCKcv5`?2IlhtnipVrEk+9&g+pl6g3A(LhMi1gig`Xr) zi6Go0^Uc>%$JGWK_w|a4jH>@AGa5$qL}&94?EfmCHUFi&a)3815!m=I&Dp7#jdQ+w zy0L=k5<7&j#d7dovJH7^fqdSd(!Sku#KHpM zT#QwLr~$~o5NFAK_Gi`Dh>npe36rCxv>twzcfe=$?DSeH@Y1v;WiI6k&A5s{n-U3G zM)O$1$+R44Wo7efz%dKVWT#K*apA8cYot*NvZ5B3-wAP4OsC#i2;xH`XS$nwt|zMM z!^`_+la-IIb0`Wyw}?!i$pz96nmiO!h7Wqjpg+qP2$M>rQ*c;%yp)0+H8p0!-kvJ!W)^Kb@)lzcK9f^Min<% zVqxJ?_oWo}_$(sR1;wLo3yGjy~Mze%Ub{bUn564C*6+;!3c>Dpk7G1Fc!y z(tgFWW&8=3XlC}0RYcFPA{8d&(fhaqXRN>htKB`a4t^QQWw5N(Mm!>kh zNw#obCHu3C$4Hd#A=M#TLTR!C$mhu79dP z!F?HCZaEb}@*hI0u$-Ehj&EPWlf9c1X;XGPg>8VrIp;nK_NC=6xZh_@pkfoBu?}4*?q~xwMGqCL|zvL7@UI)5n97m2uIPfpTqqgtFJI2O7H9?(z(p(&KZPsf_COI96UTm|$ zr@c`7&ETI2k!SiHLPR?y;RV(~I%q_FZ<3Aw0BCNnXJci|1uk<06I#vaa zyr$AxN-Uqe7^(|CdF>d&v0YF_QE+Yx8d}P@v1#Ti zb=(TG{%J02gwq?T)+s;m($hY4?L}s>;Ph!xGSqdqKs2CgBHZuGLV!q`^m47Igp)&w z>wUyeh>;V642+m@ym7-5l;TcMq8%FUA_*?Gt_5ylO>VvDj5aoo7+$x!X?A$938_rT zD!KcTUX!-RXSn=Xr!k;P`nY+D*Y;AKwZ=)V%U?X$jE_qaSt7F1kk@D#A6f2^UFHnR z%A=cc&G3e${_4El&t*&;4@sx%%*o0%Y3)AJ)X=%{jGwFOT;ILDjO9~XlPWJacFTE? zA97kB3sX&$4@|Yo)G$3_Co;=27SognuH(^qO>wDdWsN57MYz?UKEHn%N3#_;Yye}> zkCCa)+q-KpEu~~>pS7A>6SaYHndTkQZEsvm^=M~P@r})#R-p&|e1bSGFUkv+oi*zE zj9}Gyzcs8^^`ZCb<{X~=#dwj}h-K5E`{ps~bx&*io~EeYIQ`?ATFSLl9?u5Hijy_U zTvHtK$G#M-E&&ID_>C>R?-R<6(X)Un=Ih+AGPS&f zEGE(#6ZD)ZR-RWyBE59z=4CyX3e{h!Fqkoq$Z@V!8EQ5Gr8zT8hbl#5Ys&J?n76^= z)J=!;;%q0jZauP2q~dC~byC^VCUYO}r^=3jOg(Zr_lX7=bd&ZR8qR^e`8C0R1R zW&CBFB|GBdW0R+Pje_hY*7zyiEWE*@tYsFnxdB~M{4UG0SG-H_suX$CKK8$nVgln& z#)*yAfEQybkynOUSK+v26L4Lc*=6hp3%s;-*O_P(w{GWnLTT)*hh!PES0fv(CBnQp zMbxz=ADFfKO}&UZG$O985}FyW8p|g(SO}f@7OZ9+p7u)aA{)N*s=th~>sBeJS($+o z$5>$)SI=mA)uofl@{sYsN4qBaB?W1TC(0DR(dHEE!gM{lPg{e+Wu`3@3>QH6yIo&o zs!Dd*_Lx7$ClO5}qU#Ogp*{51{^5qy;^M9%dTaIar#%;pm%}hCwLbB zNwcF0EuI_G{TG1ZZkYqyD9gFW#C>cPz2=XE<|J*X>y`Izver%T$T$s8vh5N0^>(3B zkG>6(SQLEwi)C?WfneHrQS_XG)U=g!sF6{%ghTrBo{1UE_wf{HnKvp-^yut>=!>+i z((U^fj!u1XHQnc@55r2Og^*rd*4cN2gL)U`h^LYmEN`$l-ObPWRwhg6Cfs!@uz^%B zGA=c)f;ky56_ngXuX8Rsm%o_i{f0&Pk8d{Eg-rNn`072~{8j#YHp@d!jDuHLJp z@>7w|#q^tw8=6_}P4{GBhELPM(v-R7JM-i z!?DtJOwO*|CyYbEYpgT}a{c?At8kRj9fq=q#Cbww@lUs( z5#^|^Ry&yBXqcyszhz^-M>|*G9DRHs{3R&Pl<1oBoF1Nk9_91Nq1&{Y%zL=Uz0R|7 z4?hfu2qfxLU-m<|-Z1RrPQQPkd8d7N7-Nr0E%KUmFz?VR6C0zgTn@c;ygnt=I%PiI zsBKT&R}v%kd0o|e#KWm|WZ_}vJf}+8;@Eviv=H*)*020M`zc~7=Cj|x$IG_Q*79=d z`J@UL-1FpE^E0>O0a+iX`>|(|DPH#DBPD@8hh+pg3}4z=Z)q(%tsgxTWjXCCXS=GP zrv(NNoVc4GDLxp*?(wV!&Lx)#Jjv;B)A%N5mezkfM`b9F=qNv}r-4KISgg-`Cg}}R z&UJMOdJtBQM0`IpP*b}Kvpzis_730AKiMdP_`LCaAlltuzYe=SxQcP2QwD1Oj%@C- zQ~wH)FzD4e`kZ)mjm>fVcKW*a`6TH1vU@t${rcGca#;QzsQG?ZeLl~DP-kmMxyzyb zUZ2nn*r|9l>DIAMp@X>yeSR_iw`$dt{``Wc=(!6ui#T*^coUlPNU6$EnR(WJ6yZti z{mb~H;(TsEDn#iFslAa zuOrMya9yR4K5`an)nKdLq3Oc(iBe0m z2r3*Yyno93YI=st)8NV_(5XsnC0SfQ%n0XmUXZYjsWLD=LTSG|1#sBrc37DG2;Q== z=`w!JN>*DpC+hJ~xB2`H!SaC#7CR*sGl+Ux-!5dhCQ*)Yru6WOsil!aq%j(YV zb(@)2!Z)pg{;cuI;g8(;et-nhZ8dtT|E1ap**Zd;{$*E=f=qJKXJ9kZr)JR8xKm&O zHo{BJXUdcve3(veqIb=tr$NW&pW&Xx4I5?O(Ch|SrSwNMV!^h$kZyoezeDI)pIPC* z7pTWRHGS_4eKQk7Nml4hI63Wo(<1%i*>iBx7EHPw8{uvn5Y;#Rq~Ee_NNb+j8gFNTG{)jm3=D~NbHU7uu zR|rIysMI`zXHAqEUwg?~IBB2?(K(6~A!v@8C8as^Z%BZD7D<;?!u9~upi&6-ja-lV z1lB$7%z7a!07v4BHXFaidPm@$+1zsRU@O?BeNV30mMlTx20_NrHke78>2wC)PVj1% zLzE{_b38X4M5DirI*|06Xz7z16C_74+1jx_XcS{H z>tBcvx-G(qR7Wa7+WB7?gb)>|Z8vNmBnMOW`X_Z-X2`jD+6mg9Dc{fE@5kS(pKl|w zo}N9JS)GYfbF@v3^tzEstDfRM@JtP<@RMdiUQ^tp#ilh0QwVsSp09s>zK(Uj4|Be9 z=z2&AzYb@H{_tSk{E>t`7w))*Lz3+WhPZcaE0TM}}nrF_?--v1slVg4O&;Q3&60U}-}E>~F; z3q(p=%lZ$Vl=CcgMBbj*pkO~Xow&2oN@>`pS>A4xF_ykOxE-BG>rl|K-WO^nUKjI* zId-^*DnQ$aoOQ*91)F+YkaI*&EQbM(4zn_n$d2y*-~7VNG`_((=k6mPU{rEOFllL9 z{JRjM7w7j3j3s7Q9d$rEw_Vq$(Jxb&wjD_lc@z}HFE(B4LtqPB$L64W6fSHPR2b=! z8!I7-M6Wn38$Uh!q<;S(_IPGX_#nL=#yY7gSM;wqb?;UOa4fEy^UA!%+)Rr!x^lv6m9TI@=?q2n?y3f_@z4&LQztvv@bva5}~sN6!k9geJwE+h--E%GpUm&64# z@ZA%#uUUZBp#Zxq2h}+=BK~ANRc}t0qX={i{V^%=D*vI>Ik5^kRl$5+*CLmv54q6GZglUwUd)m3e|241r-Mys-PbGa?p<<288#sj!&B z5v_p?d8oy|CucKs3_Q7o_x|-2*Gl74gH_>u@pCQ1fWG{!b-J-q>#FwY^tGLZSTt6R z&7FmLdiolkgQO}S5ct$y!Qn|7_o+dvk;)M+hxDLV4H+9Htk8j{$jhNkqq>;!rC7Hy z?0jwfR&_~jF0JC{W0QdT%y5B#d9X>n_Fi&)DmBA-&q_Twq106UQ~+i`8Z2=BRK2(+ z*}9G2`E4jO?(dtVUN`7Y5^SY^PGrSYMe%UO@(tq7unm~R zm+JM{l_;VW@|M9yEcIroQ371hhqph+$c1@Cw>lOtxCyydT9XHYsNwI&OkwvB`nlOt zJCgEvMl03&gJtvfW*OlNPvm*sgUw0*DjANW@6m-1Z(%D&evSJ$x3P&J8J5%l1|5Nx zd9?Wh1_+qsDFwB~7xHLqx%Y>VyUjXR1YHJ1EGQ;{13X*R#!Uto(KKytzhAQ#aTDHM z>jdgN>ync=6GMP43rk2FG;6r9Y-}S3whee{m0vp;xlWM(=w4FG8nO>jQ~0{>)@rCs zoOol+-YqkN<(o^STiHf%0NnP+?2WXE624)Jn4)MZjBFj$ksw3TC1+zJtz4(i5TvQJ z;jiYF=3!|)Noz(Xu4 zG`g6*W_xQiCdYu7z-dJSr#%{xrU4^bYhSntdO08TsFHX_G!2fqa!ZygCPnd#ocJQi zXd)~yY*+&N@z{P=VL_sU(mVY-@aoKH>URaEAE#OiwUVVVnS>K$uL2B1w5JAl=R3Ad zVdgHGY{Tzr<@<%pScA;QQU}(y7)3J@9~%-IsokGo8LhZ9#io?!LdQgrx9`Pb+l5ZP zmpEZ;BXP0oDG=0O=^t!^zRC|PN4`DSbO&W+e^>}1J7ic{mk3`msXHb~m^-D>NBYN>x>A{|K(>5V)R3UKr2YIJAp* zOsTCKcoyro#lb-N+{JTSFNk|GE2XzE9G0lhZ`Y@6jHxMR)63wxF>&PNFW}Qzk2YFY zvHRzJjJw#lkz(A_PHQBWVG6v^eJvRBZ8E(FY&Flwd+wyoE;VjVWtgj7%R;Y3zFU}i z-~DbJj9s)Hc&mfpC3x%;F&6@>$WTc6rcP*t zul*~S5TOY7lb_u#X%#S((4W?iC9cG(L#;3IYQPXM4lX1F+n@$$3?70{qA@as9SV*p zx_FDikZL5=tu%qmAC4fgz@cll{d_f``L~bF0{0zB=-DoQUJf56Fi>1|w`)N9Jetkw ze8SK>+yh}L{FtF*;?ah|f{K73@e9t&CQ3?lv(Y)2*AoZBv@N+;ThQU7O$KSsJ1UVUh}MMfc`%kyHoBm_pDZ3-@S>s zB^PL&nHXas3u`^NW%6`CDfAoCi$TH$?*)VphD;wHzS6NmMCSag;By;q_3Wg2{Y$ zePtlx0Rpk00&H6n`^?zHQ{wxdW~y6Mg5k3R!@>BdIPZ-e2$m0Yq)$#W&shn|X-=~R5-)3zy+U@tUf56YEvq$-6;wz}VC~ zxi<6z<8!BC+v+W6Vo7ae*uel}NlK7rBvN_{D9lvq+2~^Su)U>_IC0y zSXlrIi%V)5Uui=!73{_k3?%@c*!MN=-7gVw=ekXbYu#A{Yo^KoN!$x9Hc@#Dn_+`jzm}h}H&*(}GUYRjLN*@ZfP&1JrvT{I^uVm7Cj`=t zQFvjs{uhw7vAAV*nEihRSQhYI{D?22&SL4!1a31OnabJWK(Q=B#uk{{n`z{&|D0i_ zr3o-mLc-(*fq(~#m{(BDPonw0|StQ8%QhV zBIQh2tsrMWwsA->{nf#LN-J0S3$whpO*M!Z;BIfQ&lvEd;rMk<$ilG<$YGv$`nkE#(qwVWEHOF2}p8|)m%_~ z0f;vfem}y>LZM47a6>=35EX5gQUBl4(A{C)XJB;!$f4>vwQ-Luwg~)_5ZH6-D&j_J zn_2t^Ya2Jsc5d)@{Zu{L0JvTOLqKEkses(W?5{e3VoZuSV2dcAe)#~PMD@Ns!mEo_ z8Av?gd19ULxyGr%ZUX|qpEdQxOe0L$Vi&khJ)qu-8_G{sNINMf{Q0u!0{-)`&g{6; zFm7`sqU$Wk>&PQu)2VT~XzUa=K>PmN2re3|IA`-iYDe|$uYpt-g1TdAJHBAqxXVI_XhlY$`-Uz00(b1@N-ym=m1ycD2ik%7le^4?jPj$zcc zhg=G##oBTD`TUNg8Np3s;$={jM#dbl1Nj`VXoJIs(qtUQ14cy`^@}^N`DkEjCP?^8 zdTpgYf3>XhPt43m9&lGwT~Gy}{CSJk7Q8guXLjlc-lT)!mr>r03o&vax&Npsqm#ViCPXDHW%Zfv6mTjq7_#0zS{WGn(8X!HKrO4_5 zhq3;g#K~J!DwF4M_l#w`_Jtk-&W&mkDu^eu4Vg(E5?6?e8F~Zp5|6Ip2zZHwj+J?S zKt6k^h~6(G1F?bLio`U&Yh4f;=ptZQKUpj=;~mLqQSg$|k=Eu60j$BMi)pR;mO`NI za2Q|8YXQE)S81Ye^oocAZw`cx$p4~{G2x#^U$HX)!^qwZ1+6TX!^Y`%`NrjxGU>jV z^tE^}HLB_DlrmaWN9R#95Dq$bBvoNN2z^l|U7=Z^_!qX=Y@iGnIqZ&-aN1n<@y+!a z{`z2F?X!bs^OW;9si~2|mE@Lv#8vnRq^VZ>)xxu7XM;DZ7}7OQ{Ls$1BLO$GF+w{q z6xJ}m3f~>tZJ0VgR`e5xs%aMcrvygHY%DxV2-TZ!7GT%QuudZ>_CCRqfjj30u=TY^ zdu^f~0#{gz&*~q0&3cIg!PeJoM;gEu2Rc$$;9*&Q^_hj!n~%)RBC9#veZhE$2J0;# z0pTKo#b))FrvhBda6*)sM~U+JiXi?liAA2LeKjccf|*re!^IoBSR`0CS0~Y_okXP` z{!^o-DIPMQ(Nj(<8GRf9WIFqwZ}Y&hDFCU$r~*}^q`OP}NT8IVniW)^;&s z&!iL%Bcdvj9GSpMMV?2FjmQrz2?4kcM^{>6U7QLslqER1EMh zG60iI42qSw2)-BH`HtSzirsm;S41%bhXvF^0%{n9Fmd_K9$OX6#$;2@npFEI3Qp}_ zGa+6r^F=$sk5{=6qd~jR!00y{G5xA-8k_e8)bhvu9)Pp{4nTCY>&HK27M69w;h%m4a0E&>P*_BXMsH(D6BRH>5q%?T~8z z@i`!Mp-1upjXiivH|=vZhYx&B!)vrEjXZh=*w#sYN~mIaG)Emb{}1#pYX@vC3+~r3m6kV zKMIa6dZcO>=+d)BzT{9~DonxbOz~-PqoCVxqG`7sXqhb4h840^GE!QuEW!yIFoq8Rl= z5^_xJqb){9W`y%Z%gDm2nqmkq+cMoEIM&F(M-Q1^fi(?a1?6vup+_MD8y7MID9$pY zn?B&LH-JtAR(s5pZ)bW4=C?E&)EjD`7sAAJV*f%w#VbH+7x~n7G))^Cg%iFJ0js|<`YL=dUj062izUkwI-KNtr=|*D}drF^Lim~rXCZvPy%%(fIyN636s%O5jHFe z^J(nYu7Yrt-2d18bs%~z{`xrFx-%0!*0J4p9cVg#>O4Y|A_a!jQvHn?O%DSbz&NVX zi6q;8`lAchCZE*8V_G!rl0vn89MC2xu;j{$X@Nh}NEi{xk+B+-2B1}?H3T5apE28+ zd)jn^3{M9bPa>{TW6WC$=H4ic+L31F?G)oW-J1^~43eN0#6}%w(xDYNM9X94ABKgb zmuz52?`U=6$tka^E2l5f)HT$b4t7#%Mkc0){g4FoaivjV3EJiPSgKJiFh-3UlITrk zK+QJ8)765pCWsX>eXxmTy7XE?rWX{iWO}^HHb7zq*Q7}~%V^xgGT88y8M_RPCH8nX zTX29g&t4xV6(dureW(OeseKx9K1YruHNNz$<#V>%7%8|fm5n|<(Zcz$$sj2rEIT7e3P~=1=58A2}@07k|8pS29$hd zaxn-$9S~^>y@kVb8|xagCgVUKqA22PZJpr$55^eQv>Hza?Tt~-v>Lf(M)d@E0%C+T zm46+i;JJ3EnkoylmlBl1LsO-u0lERHzG8v`ZLiT7c@9rX3kWibYX}O8b}a}3G8;50 zbb}$NQz1x`K*x;QExT1pKdO!vz`0gPpq2tdr>NmAD}y9ZZDihmut5D_fdEzs$}mVk z3Rd8t$toU!#X+g!+bJjz6Qxq1my~c`D%SFBv*hru`4#44=#}x{WQ2Z^z7E94LU=-; zkN@atr~U{JT+wt2c1v~9gO_S+s;LVMW9kC)sk+d!1a8LY+NatA4(k7pw!q`rPCM}3 zFoDNhK~{z&cmueLPZc}3jZ@bgf%VHnN>r0W>OvB9OnXAOU!0+Sx#Q`k*aBMADaHul zHxD!oFcmn6gp{{%ZVVGozzVRO+8td9VL%3xl+QL{v@nolV3A;*0`aAs5s!ptDDPw$ zi^~&`a-~=!;hek$faeE6*42*E#g0nB3vw6qwr(vbekt>^RiV7AiUPbs9PzH}jQ&a( zGJ1tu8%5Ho)zjFzdcGm;%R5;qTT?~Z^9^NSMn))Kc9V$~m_9<{{PDrYM9qNr8hSHl z3{@t|VY3tk&!EUvQWI2#Rz0=MrahF+%R?J=*hp47X*C5<540y}X)R1`%4TTLxS=u#Iv6wIQg6Brp#enbzh;rXEmJO0D+{ zzGVj{ll>>#i-Nbn)an}OnJB;jqp0S9aIs0vw^N1Fw57pw9{uqR<%@Xcz@_wFYh10c zRN)!*Q*4VH>!*O5VQ_L{)NwaE-HKJ>KA=oA(#^yjbPM9H%8%ks93|pTBLH!a1qeI5 zc0dQlstJ(2Bg9IOG64z?2~~jXC81{QDWM9Gy(QEP9}`-p*TIG*WCoEq_GvvrrXLMG zXkqA{%8V$DqLiiq&4@|Upa(~+tU=FE)5Xmhm9qhU(h2eiFziJkI@Mnkwyda`UX|CO zY&wx%GE49}zyY>N(PRgzPzf6IF%4aFq>}eHd!_2br$#yD4;`iCWZGLm12#dOPF&e4 zX6%tt8p{e}f&w}!PPHs<7DKKFu{_n9;BJUBRB|c%qs$_#`$ zJ-*VG5OXT5`uz%Yn7+BKE_4DMu6brm7Xl_bwCPw9tM4*R#vv0iKx_}OYM~8~f}4`Y zgO~WX2nP*6Q32Z9?M_eu$&unfLvyB-?cR{xh)Up4BsCpf@qUBe2%Z^pHk1{ET8@~R zCmvb`!@$T=?Xry4;#?&#eNfDftUFXU$_hh)+*m3Bc3;cCcS_93t0kssMQIS`= z!qZZJ%N8^W_k0N^|4mF<+pb^qL1nwhQL+twu}Z5Wg~Dspv}{<4)neU2_4Ieg)oz zw+Wog(6C*`IyKHoA2g10lB-!(=)ND4f}f)o`L|$u9LIp{uzPsYi~_r+92*e^Vm>6o zR(fL)*U3-!=;oL=rjUoHoxvN!{55Y(*^h)Too56SNdHN?N$nwtiusW2gkSKaTCrc@ z7(m$cS2*;L)qaJeEbsCc)FJXMC^EqxpyqjL(dDm?(}_m9^r-n;?Uw0xK-rMtMLx?6 zcEczjjIy;9e~fI0Y#) zC-iJgkAuHT{s>mZUN_RJpm7=kwubgx~1Wh9%M~c~wvwh!)+rD~T50!ZV`Ta}c{;7YU0UEBb4Av+mnhtG5OIJdoS#J`pHV^PvTS^!t5H0Cpb~@=0 zfC@xQJA@gGXrW<=^pt35Igx1b$wDAnR2GP)dpRXq;?-H;WK7aR2Q}_>NlQCeB&3qG zn%@XYp@$JIwA7jFlBPo&(bAQWXx5uVtIeZc$C#vr`4sTFq}4hEpaRj-4q*l(T4-1z zJtZ2q#oY-+i}zcBXt6VGMAQ9^5)J8;Xz_CrBUCi^B+DbxEAVJdGA{}FL6FP*>DG3xVdlyv64JS@Xz@d8+ND%FON)p7oA;V}m zSp!Cs$hAG12^P6*7&_H3so`-$P;H)26cChjw|hImB9{%zaT1HH^K>SxH@*#oTJ%Sm z4I8c%h2j+78rLu^MI!|)h2FqY(%Y^t1wXB9SVC0o`iLf*DE1_AEf2e92I-S!1(J6d zIINSujU5T;KdB#37y6}W)P6j5n9vVEcl6hI>R}m!f76zq|L4E`&Gtv~_Q|v7&YpjN zX8ySsUO98`%={Z~esJOJ%dcFNy^rioX3w3QVfJnQXHOU2J@exI*KD}tl1p~U-zA&2 z{Fm?j!*8}$L0mzg^2H1GmZlO?KCZH*C4z&-~v{TVQpq%6&WY|2(B> z^!vr`o0sD4o;p zUjLVC8Kvho`oEY8F>f8Y760F}ow+MpSt!E|{Qtk!PFzn@u>nH z-9!HWth;;nv@87oUT^oduyxn}uk4O|`uXep@_zTc|F^7@-!rvcdQR*1`X6lgFvunz z?edq^UC;k`eckyVez?p1Pc}T35C8q$^@rWvX8((I_E)jk=YP7+{`{$9x9oqm&i*_Y zcc=W%*V$jWe~bU+`q0n+ddWTNf3?2u{NJP8BmR%p*PYKO-NXLZ8wPo6YWMN7mRs~c z+;CIw%PO88oEMCqJ&(gB_Zfe>v07yD)Bc&pYSD6^@~gY@ofE6YG`!%Sy(-^Uz15ZR zcKMfFo?j^c&qH^>KcBP_0lw9ry*l3x9F(s+-Btcf(oE*|{^g`d)a8x-m83{uxW&Jk z6v>ir@-Oblx0Xet({7J{EiMri_6dJ3F6p0kANcceiLB)#{>`{#aN2#`zaEzekXQS+ z;u4wtZvRGHBI~`&za5vzI;u2ZLo&MsTjkYWL2^=@_@9vpm2UL>V{=FOXYbQ05 zsm}WM*D_V#_5BBHX*h5X`cF)r4#wg||Hnq4gIGYMiC0Wx3Z!sAc)FE-T*?hg5{PFr;3pFHNj)HIGr$&>!K*ECMwJ?_7c2LvWp#tzJ?p=|rj|YTG5?K*RkVc%5BlG2sue!p@4wklD>Jww{&&^{L*JeD-)b1A zEv$are|yR}(G@CprT;xKk9$nu$d74e8>NMO_TK9cK@@cNrYG5_djizMA-a2|I3C- z;m&vcuh#^@z+LdaXc$IF^P2w;Q+7p>0)5^8(OMez-JAYDuBBnuo%g?LfJ?;U9{;Cn z8D-$!@_*7WiU`H4{*SXfzf}Am_v_5hY|A&&U2?z5{O&9A&EgeMcD|GOTS8Xm{%+=P z4O!vOKgj%^kQH(M!_42dJ>P_^Fv1Tqe`lx^rukmxZ?{Sz;14r@SEv+Xe?RkgSfyb8 z$CW`1GP0J6k$er{5Yz zP1Q2%mooq4_4!A}rUmh?^UF;=1*+f9{QikO1y3{nKy$<;LdWlA{vWf6g9v9`?@!&H zk6k~iNo>n~(jS^Qg7DDIeq|C$g%fxCgEu#AF9iNCWd3+#yy75M48D-P+dh;OWD2rSE+LT6$BynS3 zozlprJK)bYH!{aT9JN;_!mN$3*LY#dASy57KfT;MNDDj57jK>9GDLDKzUWKMZEV@k z`7<;5_2X?q7*n>VZ!`l^U;ujOa`J4rdPiSk$dyGc2QM)_<0y=!w0V^Zw&;@xY4T@Sli_dsh6G|5RKI`k?rN|8!g&7W%gT%+9Ddtn;F5-tdM zT}RipSf($pZ`z)_QFff`o3*_iZoA}N3bsdOT@U3;6T9_Uz+#cDuKb-g0)}3}& z9hFrW(%rJhydm;d>|6)1xm~iyZr0&qwV(ITCQ2pfrdRyMvCwbu`?@&by1lVlEXM=> zj>c*+9BqGRV|5#cigz_uw{Svq_gL7Oo)lHeEq>30T6P}cc<7#{T5)jt{@$iqapDL5 zzNT7n8AtwsrrItJ#ad0Zg06>s`f{3qS{gZS%vX_AP|$yK#G2(B*y*m(Z2%$0a@brg4cZ=?XuJOJw9L{ev#g zLy6FDyMHJu7$BhjNK`OHJpJLQK<07&v58KIB3~w%^?RcpeeC2PjS2+#P5$wyKp@@W z=c58y@Mb^TJP88b3;v0yhs=7FUx*3>$6Nf|lp$p8bADfQ0|c`l_lwO95DH)POH&%i z%J=#wr!){`?(_SnG!RrR`Q<4M1juE7a7u#?LZvHH8ZcgbU`hi)?TSCt+(2Wsho>|c zAS`}#N`oO{-$$l2=paORa!Lb67>`Y9&_fXR_>=}Mg!?`^r9l_r;HRcEC=h`?F{ObJ z>y$s$Y&`^#Py45v>jjU`_|whxvc_lqYID7y`LzF7bG;yO)jzX4zoD#;^^$FrdVcpU z`Hd4>g#B2{-_qEGCbsSF^|v<7W1Fo9{mjDl9XtN)->w?kb)bba@1A|(%%odE?e4@| zLA!7(XluTC@!Xl$&z!&LR<`7q&Gz>0bz7tmi37(^x;rn=H_rB!j~{amsXkIyqT&3h zmTRNo!crRzAKRQ?IxC%ho^P7fz9Up0Jbn!6A$lJ_y13wOliqWfX0ucyf$BS?dXCdv zffT3Nj)s_~g@!iGqcY8$blxe`%xT{Ns%@G+`rrunaSX%x_DIn@=G!FgkU+b;rFx$8 zZ9|IlZIvPCXOA2?)pa|r%(rTCbr#KcMfsBlkGSiq{2c0Uit?zxzRJ&|{^lr;`WveJ z0_ta?JnC-*%vkyZfZ3M*$oBm5`9-V=xqHgoQ}grgQbEhStnpf`L6_J=a(VyK%2Vz> zjA9t-Zp*hIP2Ajz#WQs)hC8*ivUF_U%7V+v90tEkpjiM0H_A9jV4S<8>jE*DBSj2e zj)nmHegS7eI$R?#ENJ_}<=YmP_U>I;U0UIcOBl?6>R=*R*H@X zWU3{C@`R)gGPPeI5lCe;HzzAQG`rvyuE=xw21Kq1!VVo-RGhipf`>!LmzUk56dyf; z7MO5DnZrtM-;r;}e8^PAuv zI(yz7-k4`Cce(uAcH1`P8)n-s!>8jm;8VCu@#(tD@aegY5Z2ydH-l{7ZNg{C4e&YX zwnN0E@+QcV@SYn=`P`E0L8K1M7i~8}!AW;5m`)nr2$oXrZMhu+m}LN`ud);z={djX z?!#xvbuhrvirb3pesT@&N?ir6T;9JrN4n2*%0B82w%jbgZPH=>V8_k#Tet-banfCb z&napLqs4=QlLhwx7*asJUg{T?T!HLT%RR(z+wJDJ<8I-%a1YCh0<*dmFeicNqPH-n zeD)69E_{aWX6Xa!F3Utq?tm1^z)K>~5lgy*C|+?_2+b3gbB6@UODn6hRH~Agb50VR z?Oqx1pnFn!%DNZvX}i7nblg6C3ikv)J+}p)zS}H-9E3b>Ru%ot?;e!QeA``(Plwz;U#O&Y-L?4i+)fy< z2>Qn%fC~LFXomnj1;4Lap@RM;GzribqRk`Q%i%sIaueC#)r0PI)e3O4)zeigSYc`P zOw|f@n5{lqX(T9=8{=%-?TWJkcvL@ z=)2@z*sKcpdVEFm-hfv08QAJ!xFO(*N02}X^vC>RcN`yaTQE^@8aPqQWo214`K8ps zv(ia2yYUfKi%;Qh#i#4`;L~%r$r8=MAOL&eKPVeL1(h7w=m9kEyW0g^dLGI~FQZ9d zqc$=Db<1d24LgVKOYX&3%U}FR?qdIh4L@Opt2ET2x#3N`11|?yfv#UT>`ve#F09O< zo(sG7m@Rp;D|Dp?!`upO#V0q>R=ioW74Ke`UsH2&47YFZ%&)FFIvnm(;#&o0hXo&u z*_rol$ajvpKsM|JOjvh>IL>Eeoc)W7hZc{7#lKIZ^p>oKt`S>(T9$f{?h&&e-8V(N)ugLKuPpmris4WAPGc4-Bw8)JA3Yo zyF?Nv&R)<2tf0Fj|9?Ajcy0k0 zE$7l$Y8}QDm2!3#1Y1ol(yofel-^tz1;r-l0=(a+S8&E@v-$5h(1N3 zjM_LGn{HlZ+q4tll_!%5eCGeHin~l$Cd@RQR7)Vu?w~=0a7|)0%lH-((NYf0jir;% z0{aM51%x+-;gF6YUc-`4)=zSiQ&mf6l%68Lt5R6JODXt?xb^DTMV6z% z%FBopDd=fKUpvfeUiD3L-^kv4;~FHiXhGg;=#)8M>_RTd#oRvnIA|_j68m>7-s<}2 zO!e~}sr5EKM{%e-_D#Wnj9emn%f{qq+xbLMhUpev^TuhLYwf|}K(rf#x9@P9BH%n1 zBwhC?=`PHsZ{OaFW+6E8{M(7 zSj>M#OJKk4a@nl%Z8Dg0dvN00)z;eiHqsd_l=Mh#s4G79AaGxU6+3DTQIbKlLQ<&; z*k2bo$vJUP(edggeD}q_^oG$L`TNKJtdK9-)ThF`g;kn0WGx>6p60|Pd${=(XA{nLKT(ExXE3# zf#0mkrOcpx-irN(zCk0ZD>E5X%_kzRxpIg8chog7FB#+rRI#5crO~r>m@h%s`vp+9 zxI^8u7Kd~p|Ay4eq8?sNOiHFWy7TrBJnIIVjJ~MJqkD#KX<^*2zdXzr6RUVMVL!Iv zDv!e?65PxhGlGpe@9qf&G6>6s2bnPF@$W=-jQGt!+o+%U5TnU(NH$4CA)!E=Wtxg{ zAl&3aj!u)DBY<`~5Y1E)-nFMYXWStINlcyLa>e%0QG*-0``%%kS-@9>^9ICQV&jQrMlwR7NuKKK4ngGfEtG|AzNhv_Abc9|Z>jp4C|J6PKWTyvVT z8y7vsxpxnLd|sb?b{ARiO1y{FantH)P%@QztUad#QoUO^Rwj4!P!|EGT)oL?G8K1D zdgo*!aNMgyg>@`fEASZ*r#!{VJ}(e@5{7@2iBC1oRh9)Xq&-kb)|%8}eg7S~<2 z!|}kgHl9MvivG@~Ng?_kEq{x!3^nQ^&5o9Fi(!bX83wUTh^(_>p^e8F@pZbKP>udG znZK*9ppXgj@mfJRadoQ+5$JwyM_3BGf8X#a+G(f!?tJ4t9bcg~!ZFXyNW zRS*^Fa(LybWl&(Z2Y=xCG()>rQKofINXX$ZZ3R`%dToNC^^s0*n?8WgzbcvsW`M=s znv((wAtX%P+Tjac7V-qWHqG@m%AL6*`nXv2YHFg~?SL_Lt%rpbLmLA9e2le*0Yz_N z!T?cs1LX5F_lXs!qmqV6?`KA*LPChGgsEh}a*xYHGrOhow~df)I(r2t`a7^x4ls<7 zhy@Qz?lZosFh{8fX8~znjTayIjf|`fQ6+j&5;39Vz&VfBsK^g4y0*c%bR4>~>^yWj zEgjJY{~UdDns!B$BN~o+YX9zlV7t}aZ-bECwe=*mrt96)*)I%#Ql#ce%d7Kyh)m(h z8<<@w-Gb!RCF^@ZS?6T|SNH0?P7i|!+q9!)luq4pqw4Ry9rUUnuX<1Ly}6+6(4$;p z7qDnU1r>A*w-&n3ph3WBsBfI-@-lHln@(-}Tr9#1&wtPFHEYc0w?xc_87*`K{ei{w z;&LugSStp%ABr2;Bo-G6x3swv0XM{C4q3~TJwG2S>y)ddH`8HlzAYElvI8-56=*Nz z4?C#`oBknHchQguLaSq=f8}^knt5d*KF3vUB#RsK^u^DxPwW6~{L$_^Z+Qvza81&4 z_&${Q^PuOZl(YJKDctDSo-?V<6-tOQ;?s0pPwJD+F%mDY(70ej7cbqbVGzQH(EkpC ze(iN-z@2}>$mYs?fe*W(2zdY)+G6&LMuNicuBd-WkLAvlPMb}DNk#%aR3_wOvv3T*; z$^BLUGr^)8*2`s1HBb8SzYDNyh|uZr$5Zg+#H#rl7(G~LoXh&K0bczq5c?4GiZ!$5 zYaLnJyYBRgi6c-Z~fLzlI9SZ3uOAazK*0)BbAZ5K|qp?xr6jg-63&vyD4rSbGNz3P?a~8`Z2NlhO3F@bd-T%u*(UfDi0FMc zygXODCM5rrVk33K_9c$oyvYnnv&sWJGhm%NGUvCau+EW^ON@87hVjy3B@A#Ri$*eOSbuQ{4xUr z8~;|7`essWSq*sfs_@X8JdL45$YwaYF;@!+t6&>3LQZJ6>D;o%H6=wh`n>R_;Tv>cduoxfmt&vJM^t+`cj z0Pgtl1$_c~5E6LCvWPG^i77~l_AH78Sc;>9s9r!$LUSKsI_Ki46Uc5Wb2Kg{^qC8-4J=3n z=h0Dw+tSJre0Cn$Yu5*8;(v1OMQV=Y2^Vz!qTQW7f40dV8Go$*iV@HNZ@e2iH%!v+ykcyBr0V<8 zlvmx!AYf6f$olD*Iuomak2FHPHU`nj?%x!(vtM(&24`96Ec+^8_GrUro{1A^lNgc} zwJousuT2z10Oji>gm)Lvp*^i)1I^WJjz0GOdT@u&iVl72SgPCFzYFnK)Fx19@*^61 z|AZlZksm}IU=a!vGZ4ut!JH25uzn74G&UMv5``?6>T|WZ?LFIEh@_74r!#60SWGl; zUUG~dakPHzCBHrqZK)zn%ywORqjd_w{wLooU!)4M)0fF;oA7NAR%^Zj-Ix`ttAiZm zzN%)K{zfAze~4ufD@@m3fFE1(^Ym;YEfs(YSZ_4{#0@x-S3y;Dgi@_K-W@}(wvBO& z+<(eGU0K!o$*HTmMIdx%IIH3{x9r-2M2?Hw!xH8XEh2$<7d0pL^~1ns?7cE2>KR^z9P$ALqDc`lFFw+zceHe6)u}Jym<~+=P%sieyGB+pvu~?gT=DmW9Ee0u zt9c#oI?|P6npAyVVC1<4D8(r8`gxYhv>H z650?ixMsAX5AxvhlhOPZ(Le37d3^o}%wb-&os3)U=lX-;8JKH*bNZdj8b)kJhm#^U zzX&Kx$0~4Th=H0cF2r@rK?(DdvUS#IS&urSatqhIi2{Z5&OFMX8bGg~W2?Eu`{s;R z)ak5@e@CE_>bjSfkbf8W1!_eUy$j_}W`(|6GKLuo)Fe6V1#Fv|7$<54>RCC6&m8q) zM{auj&rACUdcXX0xJjdy`qiLr$zGj%JYw_?VB|euH{lV6Ub*n08a9mr_F2F{U2}&C zx7$lLZvp+f0?AD%W6-~>S^q;G9Gr+>LQr}K*|m^(J=RvekCF6!t+N;4iem*w6}7p2 zdu@2Z*;^!%Kve{)(su9W=Yt_ZsbegI3AMda);)12APY9%yC}XWSsa1ec6%LsY~cMB zVD@ux1`PJwOBk+<&YuCF0L!FuHmi8_YhJqI9t}D}?TpSbP3RVZ|Ep<+mK=2Z!nNm; zuQWaXR7iU3{55p)R}8DEXvhsxX>8YsZhyIi{9|bv<~kg7du|*B<$U#+@rXIUo2zW; z5R(vB+qOwhj&9EZjHAO~Fx@E7_&Ab0Q65xt49vXvvdW34B3y1Ie4Us3>3pk3anfVm zsq2Ai)jZ$x*>0;j(7Pocfq0j3nHKX@PmZ*(;}GW$Fa{04Ye_$Kng;ZqUA>GO9?+_0bB| z-vNJstk)lwLz!RXz{v?{1B;A$!Bg#-2^iy}q}3=ACrTg}l%zQhJn7bP+^mz(b-Hd9kZc;#jh)xP_1QFctcLB{dXQ1BrrT6UjL)(qFYD&u?0$Pc z0NlfbT>|IH>ewCIGS7%Awg8~rk^dw94CNZ^R-u4S@(wLIxcflQ4}#mR(G8-Yg#E0x zgSMp9heiALF!8t@QYmO2y{Zj9a81%D(^gZPSwV2ZfUcG|QFAsoFAEWUhB@xzOBC&J z*EivDk^i}sV36(@N47t}y;0DR?@y&gW-srRUH`i);@+J4qwPCi2jGOgfU;E&(%Cj( z+u*a!b7@D{nzM7Hd(pxH#}Mwda+azXh!L-*92Bu9G;-qrE0FelZ5;%c(_+*ix&ecS zC(9FlILc&l{NxAjlp36V8bh4#9fjF3y^0$$TrU1+k zQz)VAkdD9Os};LaquED4hoEHcc!gT2zq~5yc4dqCHxYV01L#CQt@b7)z86I+i1uTA z4%#_)UH;KvGVz262j6JHzI<-@MK%+z!eYat?WcMpr8ZYxw|k9^PQ@({Hsa1$WGMQG|vOFFcF?uf~HM0zR}1i zN}Hgye}ovnWVao&)RgSjDVe-JVPgp+im&yF=wr2GAHGmhkEr8J$w0r*ra0>D4g$^< z^cu0Cfv*}t#{1H8Q#}~7tQJK|@-nKinuZ2K*A-HQ(jKi5TT(GGd*ot!gRasmkc;Gz zDY79x1HCWvy58mg#v-8=8@8oTFuYYnxUM;9z$;!4outWi7C;|DVRL;6mo&Gxpqx3$ z=yy_6FiZjvS2^DlBs@Ven@^sGNycX z4;O8MHw&%jUNli77YE zR7-@nQyo+63KKLd1@dRmNni9TY_n{b6w6w0JudW4{Yk_xpd&Ek)GYBahcWs%kkS#b zp?*p~cs3RMA#jt@4QrojvX5Usy_sTKY10|hDzv8P_sMEmU}Vd9QDf&V={(zJY1w;| zRU(d>MT#$WN{lpbqD>Xe|7rHDOf~dX5;+D*^4FnO;@go|j6S*)tq9J{9N#isujSu$ zUv3`&AJ-I3-(a%nEZ{Cg6Y{skFin4L4&@4Qi2)m&(E$3wQ0zOgUv&pw7WX2mv2?Rt zGXfr`NcUj_tJX*&=ar1*Pins9}4nZzPOOFvX_x-7?}SfUkAmuV0ZG5M{}5{lzo5nUF}}q8s0Ke-A$y zs?MI#^{8lzC-m(TVDU%chcDN%1c6^?mo@++8N7N#OaijSDiJk)06`1n$;(srZ}5zN zEO<*s9@9RXDty9`DcBhVk0bCe`|hhbp4j2^B@4M=v*Ld~d%+XSW*!#Qu~mvVw6Ni( zqOjj|tdCG^QIKWl7J6G)EG6p$C{+tNe)%*i3$xFg6KNA84{71LYd2CNY+I2a{3<7w z^`V+=<>lsSa*({+Kt7wvlPK_BH2m1q^_1t=scT>#IYk+YlsyLC?(8^LInM=5}GWcN`FDzfbnC?NaZ@D*B_i|fwlxb zzY>LHeS6G5D69c02QX3{zVfMd3(1oQgHt<#HBT_ZH6;k7nFeW&L_-#(ENypoBoM}I z@297NUrQ1BX$^-{UWLcqoGF@}akY_-6y%X5KO0Dp09C2KtR0(s;CkN-yP-YXTZMAB zB^Uc+u#R=6NUny=NIX^uTLiOVgHp`0T-VVP7eI zz&J-TH4iX>>Z)TZ zD0#mp#DdEzu`OC{Q&0A#rg3n|Z6pTFL@`TguEVP#KN6nYZNAvkqEy-pZlasR9;j!Z z2pXz!X~70dI#j99bU@zWlh4hWYnth4oJ-0 zl`T!cMw$rk}dv`C_zga&Krl_g?Zo%Dw^^ zqr;FYF~_Kyh=6{zXAL6q8`v%676JXiZE?cJhRM1Y6 z!6`(F1(4`!i)1^>bhc5$3+bwMpN?ru)cV{3idW+V$gaB1w^mR0;x<=JR5UjQS=Tlo zM&AjHNdN+CLE1muyg4cXQT8$mTX!*ht&}PPlr-IdWYmZs{QcpMSh}!>3A_@Et6<G4ojic5fkFK!P2kK>LtVES$TheT=l0 zwY;~zx@}ROO=H*I^&S34Zeut(*WmU=gWEgBfe((I1z%OZ6O;`of9c~qfzbglPFM;8 z$<~qtJYM>|_@6F!e7AqK6dp126Ze6QEx6|{Y+OyHWSyh29k{{T05S#>xA%GccsNw6 z1aJc`hwqzs#IYb;A^(I7fB5!8!T9J>*Vk05#V0V=m$PF(?Hw*^kmq( zEjkOoz9bi*(1W^sD}wkl3OVQa9btxmxh$h!49a?= zFll?K#=klptFTLi@kbRU;nTvMm#M)#rI%Q;GZ34yL8wj;^ruOLQh_q31H@Pdk}IHx zKg79*kp|zfN`N_%F=Gc<#a0h?WAd{42ssD7fM5 zBM9{QQO+gY>wDi0(D**u?L-3%n#Nmw? z;g$wScyVh|dvXaPb9+ha?##l9?VO_=0wXhioBvr{r-N}}_{RT=C+)R6J;{v0EXH?L z+xQgv5?gXr>(OH<9V+qeW-F}Tn`tDK@u%nM)Dk<1nyh4I00#tF=0F_P5Mb%)bp?X8 z=%VTlC>B06E3qC1aw+V%y|@aZlH835bOvhX^hc?(N0iNb3IN^2^B&k~VKUeKi&tjE z9rK0MKb&K4^;WaOE=GQzj!lpNFZV8?yY7@ZiKg2Nzwnx?`dK^^R#|t2xCz-&(qk?E zms;$cm*Cu)m0>65hu0Uxr{pB6+g?v#?^Jw`fE9|9lG`V_R*8kiS@qKqs5*HQnc3?> zra#Yfr50qafGA8d1E*6jx%3aN*S8Q4QHW8+h;O-h8EHkdvHD8UhMCSYfaJOE{$sel zc%(DGj+KDY?caQLHbFput!0)Ii8xiw!(xOEN@Dcp-G|<04Ui@{3AejR#5U4lZd6LV z&;LYhx;0OhaR`~jiHnz1zVwOEB2F|U3<|0YfGS3%a`gGz&cwtPv>MvP6i5M6Co&ij zePkWlztH;O7}bQ_v$t(|e|qPF#9%FfV(OE<+{%bk00Jr7^+pec^BiFj9L%{Ty;K0_ zON>zc;s7uXH~3ThVT*g&M=I0ADS#ut%0~>rYzGp1I!v-nM!V_%fSmnBcUqhJO}g~I z_xdC?wIsu{d0g?op+)JM@ch}8g9g1>s(+Om*g>vSbe=r@v zH_kB+6jW}K(vUyHz0kS(C}htp(v*#mK-qpZp#*udGwmql|1l>$fO&JMambN30*h;K zo<>5%^ig6?G9!s0=sJ6Q>omhzrrKUZ%%7x*4<>k9ink3uK#!1?%$Mt_PslbjqtlMa zi)#wK9MkbzM#$j6V%^obyMHO;l(>2!h6x5eZ3d`Dc!IQk4he+%Jd~Dko z_<;|q9GF>ea@^#N*e0e{usU#4g(}oLt;5FkDA-<`^gQ-Ih%8F72Or5fq5S15?2Eb} zBBvBWNLud#MInCDT*UON#=89ejc^vl;B0%SkK5yRga1y=baIR($2>IDonu7Pn2ieK z@q76n7RJeOqyn7aQdQCNM{WFFt=BUJ+f*bRJfu;VQ(6PTIrKF_RcAhQ6+n0lr$GR} zil7(hc{kV2t^>F0<51HO0s^(}CbXlx?n^QYSd*r02VNoH&XrKMy)~vC!2mets-aET zLqJj%o1?p3@eeFsX^PVz&`I1o2q3DaqW)jepKo)w^;^Pk9xq(db1q5GhpH$p&S2N= z*O~wilZtl%YDDWB6Hvm}=#Z<5kAM}=WCABr@K$Y{cQjmG+r~$UPV`<9Jw_d65WPhm zgb>~6j9x-S2!`kqeWJ?{ee~#}3noNK^aRmgB%&qSHy+RTJx{#fIcuGD&RP4n?`vOY z?fajz?@e-uFEptc(EJbx&8c$}J0AGB7gIt(OZ;@&i?-p#?LB)66`sb6NIIth^vDQl zn@QB1*j`TZLP2rbZ?W!($%K{IT1PJhljw*o{$FDSK@VSF31mM1#evDM4Q}-g$eLRwC>kXwvS>|5EMwc<{Xw&f zveo>)>$~A*z&s2t$Z~UIo?ZB|kUzCEa1te5xwO9u^*0{qdn%WxBrYCP^V&9G+{L}} z>sEv0yRU%GplJ(;~QlE>!xN^VHGVf*`Il<-;Wolzx7`XdI?Jd}UzE@2a zw%2LyxVOC7liTHzPkpf-!jBbV+`*5t^i`6m681UQOjlj$w#tF{k6tZ$rt)xfce>V@y5PTPt#{c0LpF)e%9XslY0x+K`mQF|cvk zf^IV9eP!j1Ga1{~jbu=8APPPEY!exfXM#)o&8v>Bs!*qyVM4ByM)dA*%6+DzXF_OK z)$z>}eUg$#!6Cl^0|UzaL={bW=uS`6&9985T^4bpYAZ40>rv7T-bSYVc**Qg{?Pt? zTtLn5aR)P{bvKp`drpw2S^0e6IY1c4cG>p4-o$@-0y&(FjX38_QpG=D;q+-EuAPUg z41pW?N(Bl(k)bWi7f6fi$~Kv|>_$S@bv&wPYe8?%bS>tr3M=IAop-a+O`C6;`X*H* z=y*6352M$d9q{64`_&b*y@xup*@pDR_q}Iemv?c1^rDf)$Tp&;y3x{%`LP9 z(q-+3%Jkq^ws2lA zT^&CE;HP){PfdAh&k6)l!GZ6X#lXJpRzPa;M8svQYfJIg+ia4_kS zC+56GJbiJG%a{2LmH*=Gj-cT!y1uN#B$x; zzGHTXT&UXpuuI2+njs0>R1`b;cto}Ov+;*bTqNn(LTcecW%nJ^u1rfP8MJudNXR3 zv559k*Z3iXsfn+6o9Ka5v$#SxO;2ybz4Z}WS zmV13s=f!6C0rAbcWV}0mCdn)HL#I%R`U-PGml+;0TFTJGrDqAp@s?ai*5H9DTUH^k zTYkF2@3lm+bzMs1RLRHHRIm1ub3;SaUqvpweglEG9#RYw@fH}18h#dHI+u}&!K+`s zF+-KL`jmJJG3O@1v82K15rtc}CW&K?Z`DfglE{%-Oje5D6lqZ}q{n2Ohx?^lP?1D_ zkpaR-KJ`F#6fTz?Ii~kKfwbEB=|>*zr3&BFj-OrkH!jozXB53nd2o8X=OSu`T&hT5 z_gSq5-N*CEMq?+MCj@NpZ@Ur2j5iK8oyiKUGkf6+J3O3YvuWqLM^2p`zjHR|=>J`t zqU3E+w(jPP^JC7SyY(BdG;7sK8MRQ zdtkm68on6cnrH8I%W5?xd})of(#8|a0;e^TGvJ>W}t@811H=fs-4P> zpkJox4}zboB%o*(n|tBIdruK3gf#YfM!b>|=)rEygxF~gF7ArZe5(RQcmNZvMxm~o;J9Fau&j<)ir*J z?^1+iJShsdsrkSNn>T={rsDL{BOnSz{V$dRpK?al&()R*sn>C6MtM{d@(09=4W_Z(vRc#|+7}zL zA!TkHA;a_q86Mo6#{@0Z&njkK0)gMVL~kEuB7Fg(M$IF)xg9;BCRQp1ucp6JwdNaI zSsVpC*ohqa*l)%X#jadyAHkz|D#cm~?ZJL7((sH~u$liIFD*6Nbx=!;E~t@FXa6HA zQvI}7yxwtY9<`J~vZkrfVmlzr79MW7X#L#uA_{1E_&d4c9He7q6BM#YRc>`GsD&z_ zSlVRh7T>L}2I5h3scF1*x9F~CU#fE0#%6cTuvwc6FyX;}ZD1a~;`9gt`eQ=s}{Q7LEvc#O^Pe-Qubp zcjB0t1^;Y6N~-Jz_DeOL@jjH1m75bdu}{3Y zW+5s55Py8B_laxSZCfqG7R2qz&qz?K!!^> zy*VkbLYB(0Le#eyXsoe~S~aq;5@hy>lNVE8i5+{F+-7knB}S5Pa78zZ<-s23gAl=; z+!GXbIrWVv?+rTsbmYtE-M42LC(BK#)NiU5DX#RARhLj3TRPEYFyKYf($dNVedwWFWG z-D3nZ^s!dp!ry4OX988g%jV(iBR^B(!_5tk+d~#tcIpQLm_1m#+)Rq%G?ZhwUu@^& z;kBCFYYaD5c%7)8NAe+e!`nYc1Ms)}l|ESK8PR$8vA9 z{SnQAd&M^pUtJ;@72H$qP}LYXux8d+>1knMQ{!Bf16W|n0dQ2)9w0C-x!?i-_!y@y z56mOrBJ}dk&K6S~;O6>o=(2_&`qiDRS|$MC2J=7AW%G;Bb_{!2QDAT9@Neicl0bZ? z)Nf3qB1{v4%hs6VDpDHD73A!0>wTS~+!lYoL;?V;NnH=sz>ucASlyU6mtOe)w-*)x+4bC*L1Vy>sj>m6LrW0xIVSxH-U)xlL(yUtyo?f-DR)&J%&b6-#E#%0k9fDCh9U;_Yp zHP`?_fT|cwT?(XQBI5f<#8VsQ<>LeN*Hjb}6BSmG683O^2=o?#xTr}401e!f9?1R= DGmqRx literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt new file mode 100644 index 0000000..5aecb0f --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt @@ -0,0 +1,160 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:01 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_clock_utilization -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt +| Design : top +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X1Y0 +8. Clock Region Cell Placement per Global Clock: Region X1Y1 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 72 | 0 | 0 | 0 | +| BUFIO | 0 | 20 | 0 | 0 | 0 | +| BUFMR | 0 | 10 | 0 | 0 | 0 | +| BUFR | 0 | 20 | 0 | 0 | 0 | +| MMCM | 0 | 5 | 0 | 0 | 0 | +| PLL | 0 | 5 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 2 | 50 | 0 | 10.000 | clk | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | IOB_X1Y26 | IOB_X1Y26 | X1Y0 | 1 | 1 | 10.000 | clk | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 38 | 1500 | 3 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 11 | 1500 | 4 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1800 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 950 | 0 | 300 | 0 | 10 | 0 | 5 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 1 | +| Y0 | 0 | 1 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | clk | 10.000 | {0.000 5.000} | 50 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+-----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+-----+-----------------------+ +| Y2 | 0 | 0 | 0 | +| Y1 | 0 | 11 | 0 | +| Y0 | 0 | 39 | 0 | ++----+----+-----+-----------------------+ + + +7. Clock Region Cell Placement per Global Clock: Region X1Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 39 | 0 | 38 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +8. Clock Region Cell Placement per Global Clock: Region X1Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 11 | 0 | 11 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X1Y26 [get_ports clk] + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +#endgroup diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt new file mode 100644 index 0000000..c7d759d --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt @@ -0,0 +1,68 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:11 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_drc -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt +| Design : top +| Device : xc7a35tcpg236-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: top + Floorplan: design_1 + Design limits: + Ruledeck: default + Max violations: + Violations found: 3 ++-------------+----------+-------------------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-------------+----------+-------------------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| PDRC-153 | Warning | Gated clock check | 1 | +| PLHOLDVIO-2 | Warning | Non-Optimal connections which could lead to hold violations | 1 | ++-------------+----------+-------------------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + +PDRC-153#1 Warning +Gated clock check +Net gen_clkF_LED_PIPE_refresh_a1/CLK is a gated clock net sourced by a combinational pin gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O, cell gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PLHOLDVIO-2#1 Warning +Non-Optimal connections which could lead to hold violations +A LUT gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2 is driving clock pin of 16 cells. This could lead to large hold time violations. Involved cells are: +LED_PIPE_Leds_a0_reg[0], LED_PIPE_Leds_a0_reg[10], +LED_PIPE_Leds_a0_reg[11], LED_PIPE_Leds_a0_reg[12], +LED_PIPE_Leds_a0_reg[13], LED_PIPE_Leds_a0_reg[14], +LED_PIPE_Leds_a0_reg[15], LED_PIPE_Leds_a0_reg[1], LED_PIPE_Leds_a0_reg[2], +LED_PIPE_Leds_a0_reg[3], LED_PIPE_Leds_a0_reg[4], LED_PIPE_Leds_a0_reg[5], +LED_PIPE_Leds_a0_reg[6], LED_PIPE_Leds_a0_reg[7], LED_PIPE_Leds_a0_reg[8] + (the first 15 of 16 listed) +Related violations: + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt new file mode 100644 index 0000000..d5fc057 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt @@ -0,0 +1,146 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:02 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_power -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt +| Design : top +| Device : xc7a35tcpg236-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +--------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.096 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.025 | +| Device Static (W) | 0.072 | +| Effective TJA (C/W) | 5.0 | +| Max Ambient (C) | 84.5 | +| Junction Temperature (C) | 25.5 | +| Confidence Level | Medium | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 125 | --- | --- | +| I/O | 0.023 | 18 | 106 | 16.98 | +| Static Power | 0.072 | | | | +| Total | 0.096 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.012 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.013 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.007 | 0.006 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 5.0 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.025 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt new file mode 100644 index 0000000..21f1514 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt @@ -0,0 +1,119 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:00 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt +| Design : top +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Timing Report + +Startpoint Endpoint Slack(ns) +---------------------------------------------------------------------------- +LED_PIPE_count1_a1_reg[2]/C gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + 0.362 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[10]/R 4.461 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[11]/R 4.461 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[12]/R 4.461 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[9]/R 4.461 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[5]/R 4.751 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[6]/R 4.751 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[7]/R 4.751 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[8]/R 4.751 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[0]/R 4.907 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[1]/R 4.925 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[2]/R 4.925 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[3]/R 4.925 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[4]/R 4.925 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[25]/R 5.019 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[26]/R 5.019 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[27]/R 5.019 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[28]/R 5.019 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[29]/R 5.334 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[30]/R 5.334 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[31]/R 5.334 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[21]/R 5.402 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[22]/R 5.402 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[23]/R 5.402 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[24]/R 5.402 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[13]/R 5.529 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[14]/R 5.529 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[15]/R 5.529 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[16]/R 5.529 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[17]/R 5.565 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[18]/R 5.565 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[19]/R 5.565 +LED_PIPE_count1_a1_reg[4]/C LED_PIPE_count1_a1_reg[20]/R 5.565 +reset LED_PIPE_Leds_a0_reg[5]/R 5.650 +reset LED_PIPE_Leds_a0_reg[6]/R 5.650 +reset LED_PIPE_Leds_a0_reg[7]/R 5.650 +reset LED_PIPE_Leds_a0_reg[8]/R 5.650 +reset LED_PIPE_Leds_a0_reg[0]/S 5.663 +reset LED_PIPE_Leds_a0_reg[13]/R 5.679 +reset LED_PIPE_Leds_a0_reg[14]/R 5.679 +reset LED_PIPE_Leds_a0_reg[15]/R 5.679 +reset LED_PIPE_Leds_a0_reg[1]/R 5.770 +reset LED_PIPE_Leds_a0_reg[2]/R 5.770 +reset LED_PIPE_Leds_a0_reg[3]/R 5.770 +reset LED_PIPE_Leds_a0_reg[4]/R 5.770 +reset LED_PIPE_Leds_a0_reg[10]/R 5.796 +reset LED_PIPE_Leds_a0_reg[11]/R 5.796 +reset LED_PIPE_Leds_a0_reg[12]/R 5.796 +reset LED_PIPE_Leds_a0_reg[9]/R 5.796 +LED_PIPE_Leds_a0_reg[3]/C led_reg[3]/D 6.665 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[30]/D 7.130 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[31]/D 7.222 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[29]/D 7.243 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[26]/D 7.244 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[28]/D 7.263 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[27]/D 7.336 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[25]/D 7.357 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[22]/D 7.463 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[24]/D 7.482 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[23]/D 7.555 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[21]/D 7.576 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[18]/D 7.577 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[20]/D 7.596 +LED_PIPE_Leds_a0_reg[4]/C led_reg[4]/D 7.603 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[19]/D 7.669 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[17]/D 7.690 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[14]/D 7.691 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[16]/D 7.710 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[10]/D 7.756 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[14]/D 7.761 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[12]/D 7.777 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[15]/D 7.783 +LED_PIPE_Leds_a0_reg[7]/C led_reg[7]/D 7.791 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[10]/D 7.804 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[13]/D 7.804 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[12]/D 7.823 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[11]/D 7.851 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[15]/D 7.856 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[9]/D 7.867 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[13]/D 7.872 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[6]/D 7.872 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[8]/D 7.893 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[11]/D 7.896 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[9]/D 7.917 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[6]/D 7.918 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[8]/D 7.937 +reset LED_PIPE_rst1_a1_reg/D 7.942 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[7]/D 7.967 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[5]/D 7.983 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[7]/D 8.010 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[5]/D 8.031 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[4]/D 8.070 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[2]/D 8.114 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[3]/D 8.128 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/D 8.172 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[4]/D 8.201 +LED_PIPE_Leds_a0_reg[14]/C led_reg[14]/D 8.229 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[1]/D 8.230 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[3]/D 8.261 +LED_PIPE_Leds_a0_reg[9]/C led_reg[9]/D 8.273 + + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt new file mode 100644 index 0000000..73b4f9a --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt @@ -0,0 +1,345 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:00 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt +| Design : top +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.362 0.000 0 114 0.061 0.000 0 114 4.500 0.000 0 67 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.362 0.000 0 114 0.061 0.000 0 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.362ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.061ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.362ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.579ns (logic 2.713ns (59.253%) route 1.866ns (40.747%)) + Logic Levels: 11 (CARRY4=8 LUT4=2 LUT6=1) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.861ns = ( 9.861 - 5.000 ) + Source Clock Delay (SCD): 5.159ns + Clock Pessimism Removal (CPR): 0.274ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, routed) 1.967 3.425 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.521 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.638 5.159 clk_IBUF_BUFG + SLICE_X62Y44 FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X62Y44 FDRE (Prop_fdre_C_Q) 0.456 5.615 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, routed) 0.594 6.210 LED_PIPE_count1_a1[2] + SLICE_X62Y44 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 6.884 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, routed) 0.000 6.884 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X62Y45 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 6.998 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, routed) 0.000 6.998 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X62Y46 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.112 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 7.112 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X62Y47 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.226 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 7.226 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X62Y48 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.340 r LED_PIPE_count1_a1_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.000 7.340 LED_PIPE_count1_a1_reg[20]_i_1_n_0 + SLICE_X62Y49 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.454 r LED_PIPE_count1_a1_reg[24]_i_1/CO[3] + net (fo=1, routed) 0.001 7.454 LED_PIPE_count1_a1_reg[24]_i_1_n_0 + SLICE_X62Y50 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.568 r LED_PIPE_count1_a1_reg[28]_i_1/CO[3] + net (fo=1, routed) 0.000 7.568 LED_PIPE_count1_a1_reg[28]_i_1_n_0 + SLICE_X62Y51 CARRY4 (Prop_carry4_CI_O[1]) + 0.348 7.916 f LED_PIPE_count1_a1_reg[31]_i_2/O[1] + net (fo=2, routed) 0.967 8.884 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_4[1] + SLICE_X65Y48 LUT6 (Prop_lut6_I0_O) 0.303 9.187 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7/O + net (fo=1, routed) 0.149 9.336 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7_n_0 + SLICE_X65Y48 LUT4 (Prop_lut4_I0_O) 0.124 9.460 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, routed) 0.154 9.614 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X65Y48 LUT4 (Prop_lut4_I0_O) 0.124 9.738 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 9.738 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X65Y48 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + W5 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.388 6.388 f clk_IBUF_inst/O + net (fo=2, routed) 1.862 8.250 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.341 f clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.520 9.861 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X65Y48 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.274 10.135 + clock uncertainty -0.035 10.100 + ------------------------------------------------------------------- + required time 10.100 + arrival time -9.738 + ------------------------------------------------------------------- + slack 0.362 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.061ns (arrival time - required time) + Source: LED_PIPE_Leds_a0_reg[8]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: led_reg[8]/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.080ns (logic 0.367ns (17.644%) route 1.713ns (82.356%)) + Logic Levels: 0 + Clock Path Skew: 1.823ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.159ns + Source Clock Delay (SCD): 3.266ns + Clock Pessimism Removal (CPR): 0.070ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.388 1.388 r clk_IBUF_inst/O + net (fo=2, routed) 1.226 2.614 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF + SLICE_X64Y46 LUT2 (Prop_lut2_I1_O) 0.100 2.714 r gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O + net (fo=16, routed) 0.552 3.266 clkF_LED_PIPE_refresh_a1 + SLICE_X63Y47 FDRE r LED_PIPE_Leds_a0_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X63Y47 FDRE (Prop_fdre_C_Q) 0.367 3.633 r LED_PIPE_Leds_a0_reg[8]/Q + net (fo=2, routed) 1.713 5.346 LED_PIPE_Leds_a0[8] + SLICE_X63Y45 FDRE r led_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, routed) 1.967 3.425 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.521 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.638 5.159 clk_IBUF_BUFG + SLICE_X63Y45 FDRE r led_reg[8]/C + clock pessimism -0.070 5.089 + SLICE_X63Y45 FDRE (Hold_fdre_C_D) 0.196 5.285 led_reg[8] + ------------------------------------------------------------------- + required time -5.285 + arrival time 5.346 + ------------------------------------------------------------------- + slack 0.061 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X63Y48 LED_PIPE_Leds_a0_reg[10]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X63Y44 LED_PIPE_count1_a1_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt new file mode 100644 index 0000000..6adccb9 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt @@ -0,0 +1,210 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:35:01 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_utilization -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt +| Design : top +| Device : 7a35tcpg236-1 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 19 | 0 | 20800 | 0.09 | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register as Flip Flop | 65 | 0 | 41600 | 0.16 | +| Register as Latch | 1 | 0 | 41600 | <0.01 | +| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F8 Muxes | 0 | 0 | 8150 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 1 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 64 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------------------------+------+-------+-----------+-------+ +| Slice | 26 | 0 | 8150 | 0.32 | +| SLICEL | 20 | 0 | | | +| SLICEM | 6 | 0 | | | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| using O5 output only | 0 | | | | +| using O6 output only | 18 | | | | +| using O5 and O6 | 1 | | | | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register driven from within the Slice | 48 | | | | +| Register driven from outside the Slice | 18 | | | | +| LUT in front of the register is unused | 14 | | | | +| LUT in front of the register is used | 4 | | | | +| Unique Control Sets | 4 | | 8150 | 0.05 | ++--------------------------------------------+------+-------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 50 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | +| RAMB18 | 0 | 0 | 100 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 90 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 18 | 18 | 106 | 16.98 | +| IOB Master Pads | 9 | | | | +| IOB Slave Pads | 8 | | | | +| Bonded IPADs | 0 | 0 | 10 | 0.00 | +| Bonded OPADs | 0 | 0 | 4 | 0.00 | +| PHY_CONTROL | 0 | 0 | 5 | 0.00 | +| PHASER_REF | 0 | 0 | 5 | 0.00 | +| OUT_FIFO | 0 | 0 | 20 | 0.00 | +| IN_FIFO | 0 | 0 | 20 | 0.00 | +| IDELAYCTRL | 0 | 0 | 5 | 0.00 | +| IBUFDS | 0 | 0 | 104 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 2 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 106 | 0.00 | +| OLOGIC | 0 | 0 | 106 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 20 | 0.00 | +| MMCME2_ADV | 0 | 0 | 5 | 0.00 | +| PLLE2_ADV | 0 | 0 | 5 | 0.00 | +| BUFMRCE | 0 | 0 | 10 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 20 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 64 | Flop & Latch | +| OBUF | 16 | IO | +| CARRY4 | 12 | CarryLogic | +| LUT6 | 8 | LUT | +| LUT4 | 6 | LUT | +| LUT5 | 3 | LUT | +| LUT1 | 2 | LUT | +| IBUF | 2 | IO | +| LUT2 | 1 | LUT | +| LDCE | 1 | Flop & Latch | +| FDSE | 1 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/post_synth.dcp b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/post_synth.dcp new file mode 100644 index 0000000000000000000000000000000000000000..2df390b1cb826fc5b9a14692abed9f57d8ced8db GIT binary patch literal 143621 zcmZ5{W3XsJljOB++qUtpZQHhO+qP}Kmdq>=qgdwhk*qH0ss($004mhvl<)O)4AJN$0X^*6*6Fi)UE2x+p~HVJP@`s z-xCWukP0w(NAF-3n^>b!_761v`i?YkPuQ9+Y`$inX1~~QWwe#yu(Wd_Yf6)v5J)|0 z*cswFd{h+|>+ih_Et1xgf!35J(oB2ql9^t$+usgbEyDSyW#1_;7#p#$!C1J@r!`#O z?8<1vw)Is@!~(PdYWmZP_7%a(`vSb*ODN=t8r8!Q=TB66$E<_!Mc0Iz@g$K6ps=9( zUCTo(soRld*BK<%EEE#E=6VS;I$ZZTeCK^KM9Q46^zb)*FqrS4Nh%1_ z2@TIV>tI)OzW9wmb>@NKDA1)g1g+rmnk!I^dEizf6j`6q+;T=UF*0b=vc2$n@R5NS^<&~_KYR`3oAQXfB)azlm7zri5!)|w&9VH0lj0rCYX1I4aa#sW&hB@n);8B;#=terIH8ZxA23^_XYNaV zZa0+_;!mYamOoHz-2=Z5`kvQ|e!x}#R*?IGTic*_Rgxe$uNan-jSJ5?;tekQv?@&; z`-Io@%O1W#M~qEh3h6;%z{JZRFe*6RjI2pF(3=iOj3O1{p%wx3Ek70lkc?#JU>58x z&JGIhjv?Dztw~7&-ZNA#b+hJ8GOH@sIvx-${(Iq1G#ek7jLk0OdE(8#Y@13f9Xrm4 zZ%?6Z@3dx53wlv8UvAZTZffv7zUwwH1M3s>f(uBvAXX3C7s=zoNdLV zx>{asR$sD)Uq>IYck4L^ZmWOa@haev(u>!jT7gVrSBqku>wNXm)hp@`=9_p~*|C67 zn0t7$xGD%=k*|EQWwE7ouaw4x-EdOhX&Q6$YHB(acF#$EoJZZ=$TsITh}9z@FKM-K z8F!kR$4>--$SEyX9Ojo0BTf&E+qHUfzntVw-{Pi5+sL$+T_CI&%cXpWEH{xO2Uwvq z=dl^p@*-AhKInn?tNs!bp|F8)xpqYdok{=j$&@$l(8iNCl>(<-6KCb=dYOaYMr=dr z4yr(p7E+!<<(p>A@f+4EN*(tzg!B~V{mdY$ww*Y7DL`>Yj(?0xH4|i>g+^#qE%8d79r++<;{d0f= zljY@GZIesq86_Ec;_4U}OCpXRfUiwh$_zv?PG{1aRuUvPk(5ijiSOBsq$m7hn*gx^b|I1Bs5x<=E@B8P7IqQ7<+08#M%m9c?nN0qg-%es(ISOr=h%AS${D5>Q}ImqnM zlYR3x%uU{~SI*c6$`Y=T>%tvYMcPDTW4BK#KRG7(0eq*9I%gTjJfb~U=%J8IL0<*L zc8lYBwva1V?4gh~v2*l0z>;7`JbjhW5E|0H2#!(X%&{IcgZ?nr2h{Bn?|p^-J&F)n`Xxg$b^P;?X8R56t) z{?!9u>R<+6%u1{7W+AS7TYI*BJD&dISv7i#^Z0I%Bi0y0?r#0$E@Y1i^(9ZRBNrIw z1_ABwJ~)I=SzsmW9=PD1xeG~pFHI?Q4I%6YEveTQaD&EkAsm!ohw`J^lE=T#eZj!{ zQ2mK#{^g@eD)2t6LXEUrVb}S}0qON%sNOQyzVx>EEbdA^!1M5Eufbk%`?$MQhi5N| z^enMqAH8VHD!Fso_;xkGX{bZJvniEoK;i1QwsBFA!UJfwQ!a>$N|T*ULp&P7{PAef zL?TJ-JQ!O9%JS|ht}{c-R!S$(lPqBp+vatcoB!L*Rd3fBD0wPlF0xZjhweeZF~}l& z4ZMlneFY0-4;UwNIm3%Y5vlnJNX30|K1lP)p+H3B$HX=atLf4sb`ws?^U9S ziZ%4O#qr|)bt)aq+0$XExq&TcEuU2@c7(g<2oas&DWp#4QLHWIC6o?psg@tC2XqwT z&Zrn9{I5q!Lsdy^)dto({F)Skj#?%%kE#DcPy*?N@e@0#STA1MAL~%#)Gtcnm;?!1 zOgt7@pO0uAy7DRU6sobn!Pk*5WECfz#$A#U#j13840EpR!USxW(VlDtp0)L|;bJKx zvQy0q%TAqRlKw78)0=ng;Z}7TT9CA|s#{v*d^PO5HW7nFMeMxymVQ=a5Zx?`ojI|T zeM&>3>U~}ji3t5}9d&}@{Gv_IUB$~c2(Jr2DVTs~F&AP!mSn3QfV@GlSi-Od1`?rQ z2$YY0SR@D!$j?B?M;&@r$~36$`I`}BiRl|k*{|)wPYW)9WHccXcQx4dShPY7NKy)x z+hKYS8nZ!Od;`Y|>JgLXdeb=dG70oLo}kChcY^cB8d)3fK%P>Y;kKn0-M})LzmCq$ zxGs~8J;Se&_-E$AKn~L7!s5SE*Ze5_zMIk87A*=0YN~VW;*rn4>l2g4w*V1`MWXXu z?*Isy3Mw|}!PeI7{xJ2|8F=|QcCu-SvXP2X!jKgA1PiH#JhIapZ*3zxc(r^Mv@m~I zNPJiCI`(~?qcsdxc<0haT1?AzK|_~6wsaVHE!j%w|JW&U-!5T!`N5R1`WdM)yGY0; z6Js_@NUx>K)yXW00FlH45Rv@B5Xx+^DhMPTre#ut5F_S~43wXRu8_yB43%a9w$4zd z$dYa@mpP3D^!Bp5JHU`;^rdU^2;_eU=$?|+X}%s|HU2mTuN0~o1uF41eSK(q{V)W) z98A+=+()+qsuOn9GMxbVkqtJJ&uxp?PicDzXDf}x*@E!`{DIX>_ zRVNspOx|@Fi3VvrC7pwn1vth>`Ux7&*wLrZO~$30|(llD*!fTrMuqmm$_r* zj3#2<&4z5C*=>i3VN!#ZyIB171?;zCQ+1m6WzxUh-@|l25Ad*mG+jb8v03T_S_=g% z7~{*~HOBe8fH|&dQ8bdK4@2v$L4ZoD+V0o2fOwYWsG^Ljup(UDiClTI{XD95gYmM` zma1F1{S-%D{-9#yaG4`36W+5ikH-_!EAz!*ejR{pXd#-AtQ`pmkbjSC3>XNHYrN40 zr6oN>k-z&$J0W={v?2IukrnaKc#HQ(O@nN8YHTydWFrr;8Ej#nd+{vUtW)6#kv6K{ z`5|oCco(%E#mp2?3<%BlrCel;?yIC{;YaphZUzcB5&1e_QC=g8q9iTA9-Pz^7-S~| zY`GpCMTt@xZnC5}m=lCyB%d$I5(31ce+K&Mw*t|>3b55=l8GEMaQr#i3{7c0xiqX| za0V1q!{iHo?&2*-Y@vaW;yu7ff+Bjz$)`KGYtma2PK4QB8ZczvBy(3VOr3nbno8_4 z`u1%X1nffR59NcC6;J?e9yn(yWdy%e;kVY&!mcpombKOInZ6jP6+O-vgC34S{n#!n zb~Dxb01tA&sM$u()Fws4@RMFP%-)s333Q8Ea!y?r`_NZeg9!e(mpy-v1@adLYD$&9 zX|e8ykEstJfydHg3h)fB3%j6f>BVSH2GdJ5Ar85p-!kW$)f;9hFd~&e=uc|0X= z6+KINf}ZL9{JhAehrDy$t#lqekmqsJb(Qx`kcQ`yv1@DJLCap!-zSRgE9=am~?%o*CJheEr(hyDG)iEa-#HbnXHh4-@6$*u+49zTiAi=K80z z%SAJb@0D*WvBE$1U3$tZq#vu2t;@tq_0E@hDx}nyXD;NyADPGsc7)$U%?CqS8YEzr z+lv>7e)@PGv3TUorTtA-B>~{+FJWk#W{qmR7zC{dSIqo6XiQ{IRj!AqH7M>6hjbG# z^5Fc&My8uSL(4MLmU?OZQLq<;S_`JJi?($!_3x-Jx-T`EV{0jnSI3=V1!hzCP4RV| z0aF=rhdxds==k|=jTgRJTRKf+_wLp&p`Y5cuG7wjHSQXt7Zs%_kG*tD(yVV4_tNg1 z&RtjdHs4j-zXdsdByHbytv|~*zjHJENYvwc;sRXTf2-%#sF15>^vcD!WDfLS`Neyy z%lRk<3pvvtMJ~~t#zz%_;xTBi;BhUN=TBi5;zf^pm7e7m!05o^MS)M@10bPZ>)d zsgt}|V{$Pil$8&UkL>2T=}-MUDs)mL zejT6|;Fdl@)W!>!|CBfFmXJR;Cx*BpPREuCAm{tFja+k&UQ4~^H10~KcMzT8PT*Is zh$gJ(ra2hkeloFP{9gmhmAw_vbM%MbYP=TTl*O38=@Db!jCewtJu0yH!-An)2g` zfKi^&4=4zwki8bKAM{x`6AC84A(}T3TI;2l^ZVPusr1hFr}7(^ls$0DeSV2|`@f2W za@6U^%Y;R_iUBzM!<7(nD3Ak)^m*Itp*2hRD{ka#z9WZ+&y$t0)7u`=ZK9KJ> z@)A^jwq{O(H10=Syf9m>CR^7=2kl}O)GzR))-ef1Egj%bLqe@;p5?IJPebO|)+&3V zjnV2f;beqY_q6WcTNXK zZYWhO`INER#PJ4#Q1Nh(8OjbU%dAUv^oFp?Qkr%FKQsFm80iuf;i6Y?IGc%pIu?Gp zj~Qs;OGg#cKKHdjg`?FcbTZm$?i-yQJ3Gjg!&aGthrZxFgU{K#_Wi`GlM0~7$^~}t zm;BW4S}6wNi=7xuT5^^jbSysh($wy{v>x_vI%lM8OuH`gm%DIcnGG&C#WuCuxtO(L zyAk$e8z$Hh#mBQ;UIf$0w#Bi~Z?~#;CJd&2QDUy*-eoXufp~ZKsZOS~V==_JV&AKR ze%*^1`<(%Olw&ozUHbQr(y}M=gJEO2!iZC)5$shTgnXDi9kO37dot>XbKO8v5q$}q zVk_P??|}6}wlQmwe0^FUmxG+jsgB}Yf%a)fdIK4+LcbF`SsW^s$wTW>tv;*iojmJ2 zebQ~b13SYrRR17b03INisn)3Dl|PFiD#Zy|Uu}N?l2cZ`EV;M3Sl-iPE)*}^4+39x zft+U-npb5%R}t;)h?&nV55&(FWP%@?5c7-Ewmz}=n3iPegC)0|pGom;4$X*!-X03~ z;m4*|D$1eFz$^43!Y?sDr*GG_bnEtSVVUFwot5U-K}cxb04+??YK4&~0rV3>ImHJ< zi)`XDg>lL*grlO^Grwy!P>%)0%aNP+Ro^D8FFYKu)&^3CBA;HcPYxpVeBkcZEV)H8 zTd3o-j^3}##G`)ZoeOjv=wCw*P}a2|W$X_AykUOrf@GBk3X{X^z3{5hjy?6yyS zksjcT%o4!esatPmaPK4oo8BJ|NzHK}0hFoWeo_9|5iQfQ*YIUyjaXWZTqEHVTmV8Z zBIfa4brmqf(i?!T-lJ_ZCWh)(_5-?N5v5Y5_s%9k>g;y zAE*hjm{Zdah6Yf3rjgU~r>4y!K3L-oo|g~!K?m~yq0l#+hhJ15uPX~k|1HA|P61H0 zo5!`Fl#aB|4mUxT7}MO4@M*DIR1GcP{yX}tR$!pZ$EwTj=bKp$HkY}tYweTnaLXR8 zKNFZ!G@h-4LhaIo8tuO}Wi=T3ZG?k^)hH6x++{#N{e-onl451W;<|AAk}`m2(%_e# z%yajsC?^*K#vH@&k@E8!K|`Io7Z`#5I}6uDRkO!1Z_bFDV2+&*Ogxb=6%UT2I-}jz zJgwCg1CW(lpbS+~To+3dZk5iGq%4}KfvXOqhmMNyW;uuLlp;M$Uf?#&BjH7oYQ18h z>142h%Yec3WNvy6e%pf2wu|a*OiacZs}&&~B|!-1&Bv@kv$#O&AmK673ce@EpgAN7 zB~4}Zfxz}x3XqRWG@Gjlt%mRwWK@dhvZ9!C_u6GW`z!O<00s}1{mz3&Sffq#g8-!D z4N{GPX?POWHNLxG)=e@JU}w_T>B@Xda2NY6!Ahl$FtSlC3=Np>${>N7nk-v4WTski zwDb1UGd2t%nWExvp&kmRe#H7#7ZVmqR+RJh#BwYRSj^OKY(wk94Dz(?<9gl9ei9+F zhJHEGo+m#flchD3JVCEcvT-Z>T46!4KOyD{9shSClXui>r#~{#H2w0A42+%gn%CCbmto5RJ6K&79E=p>hode{=(PNLZkF?;zgsS)oiu*IBt?eI zD#rqEShFQ&&=HqfkONzQq8kt0+$1hu^P(tdDNuoyofr!cMM=3-L;?|B#3+m1mECQ@ z#dKS2oV=py{1{$%mYU8y&ixUAV0I{>@GClmddw)F9zw z?;`9OaU4>W3o(XtyWvO>*egJI=Ts`ffkiBM242}?fqC;)D(rzoVj2&}AfNQ1Gz%i5 z0_=;SB(VY0yvb)(KWVOQjfa4N)JPU^&V8!^8hVtWsmX{!RdfZruH`{~CSl*hoppj~ z`p(p(tm@j}hh9}9ceI%CbEz#_o6l>(*&O&SppKS`Pu~pceDgHc!51(ZA7RSiQSQ2l7oS3J>UDN5oOH^3+_ zY@!x;m*iJ4YNZZ_kiUX$wUloQLUjes;{_TeL-mk}w0|5C89k%kBaH~0hT zpC;^##pYsT3u1{zD3G*3>vJKIH(;ml?y)=SaqinN?$faEU$NgQ&E4_}VtGt|SXYue zc9S8>bd|QS*6bt$mFg@|R+3A0lQI689P0{}m+tpJCD+x(v7{WPAd=XrPRHt}OAZ~AV!FLHNWwx)l4s2%OSEcANu zbkgJZIAVu$!q;ZO%9bNiV56>8KbGX7;7`wl?S72YlmProhv-0Z$( zW~hR^>)nc#=*mlW5z76&ecnFcen4RR!T(P&Qu7)pNa>#l8T;Ql^8XbhEo_Y(p~t7D zr=;TCBxod}-6rWHU#Fz#B&TJjsU@ZyCdcXNrD&O!nAw>WDP(CUq^HKKC27W|W}6x% zX(VUHXrxpaSX3C~8GsE52Ebwz+%Xo+sk4a<<=WpTt5ysPLXq$)&{wj+3r?WU$W=}N-EsR)CI z=>L4t`@)*7Iy7~pn_`!BuXW`%?Y_^jpJuNgQmG^_c?)nYOHSYCMW?H4u+7OFgJ%wk zPL2OOvU+0QG}7~lwH+(T!CGw@$XqZ*;1_}}_UUXtC)-}CTrl{g#g$)Qy4-{up3Cfv zVNZH&gQIU+s)g9vzq@u-_)ds21s*lepXzg>(mKRm5lP z#qx7N>Q<P7h z_nlZu?mb$#;j<-SLs+EAWw|zC=24(+0WOeg%Z2!aviOs}i>4n-8NFQgD0QidQ{G6! zBB|iMMz$pP9PsFm?H9wmAtKNn{FFG%TA?f4PSq7(<@|#BZqeJL)1ts^wX--o{xXP0 zP0#V(d_xbnaD*;%4%xRdi_C#ClR6UtlDd`9r576ZDdU7|`0A$~@>CZ^N3_v})6n(< zgQ{B|7zfI@68AE07|rDeztTalYXv=K=`3+TSzwP=xtxtGxyh5oS)m8#k_vm7S$PT{ zPg2H}XK;~pk>dq{fYKz6dG&HESzXsaSwR1KnF7|S_VFw{3mJ&ShCo#$MbS9Abk;R7 zx&VhsY{RGAFl4Fwo>7uLHK-JZpqhXNc^j~rk*)SUvQ41aKL-*{BaA(4>nkR`w|FcW zHwt`b09r)8wM}8qhkB(VZ|GwH(0Pp0rRxe}3Ado5KG{-Vgzh$kW*!SpN z23Ye4SXQ~SpwHAP(l&^KO#$EX`x}z+WktH7k!0|;lnP~U0P(VgT^A|)2X{mw*f{IQ*v2?ho zQ}5RF6Dz!ytKz!W`o^4>m2(#}sMfZ@2(Vuk$Gi49vU`qs%Nj#_r`D_^zEl())GRl=*QN8(~Cb%+^uAkS*U3Dz&7Hf_44JK#2#0r~0=11HH-$-df| zXN7X;xjeT420ICJBXeo#`lh+VZb1CX_kF9|o|)g@P_#n;2xkhuyE~%J2E^d_4;V8T z@6)yJ>EMvMW1fqBQs>1JpyVUs(A_`->xfC4S)?hG+(OwWfsRQur^|%)8r?7l9 zpCcfun0KCbdAR;1KOim48Z{LCtFXx7NrcyU=K1oL!uGVe7#}`>K}buq zZ3`yUBDS~mb=HgieMSx72m=0t7Tl4*v-8hmhiGY*-*T=N{BG+n{A0<}ot z7`_R=K>wMlDVk0WHxK~;q?iBzAphTn6(@58dy{2NANzmX4tCoXYG-=4mv?%oHCA^o z?J%v2bS7J2*6KHOG@?jr)>5Kj5wQ)RtM@SKr`5#6-^>Xllmx}iB_uB6n<<~HLQ5sM zA*0O%DP{7#QN+2=!E4$F>rf|^E6<91VVvpQI{__=%zrCV8iEI%^OlbE#{hp}zDzlW||#Nj*bQ7lt|PZ-7YKlG9W8&|7h*x>MS@p@_dlOiWw$>#C!_!yHx8emc{ zkGgPx+A!%>z_C#+D|!^$mm_bKO!IY7hNs*2Xj7+LQie~st8A_rGXUch zuDs2{;7j+%gN(k;`XeUMH!F$?2qA%c0KXDdZ-JG?EFWrx|0c?u4+%KyhwU6eOv(C6BIN+&S+dq;r zxSW90gkl}9!=;IdWeI7lU(}_<@N8bAF56Gma~Mru(AGf=lN>Nz8v03AOLJN^95Emc zHj_lTdb~lh?_CLkIC|ODsUL-M!y)y4u~%@9w?@UBn^SyGS}!9B?_mzHUt%9{^|Hvh z0PMqbI8(j9w;i8kK|iy7+TbMz4oedge9f`Sm`!#YdmwCM4EZFbF_4Zf+YeW}U@kPO zSfBLWc@KIuAmVzkJPrv63}X%i#JEOF3?U8o+!w+mG$L%&ET2cQDvd9-M?}f0i%*F| zfz1Jnei+M?)fUHQiuKYiE=%lXg2a!#l0!W%+kF$}iBcECbnQofH5RBc%FzR+-h3H$QMAlxxelpf z0lx}O3e(6fYg@6`p2kggeF?Kf@oZkCiboZDWhM!BfhV0ujO=W^XPZuQ_lCp}AqIDC zhoc4rXC4NY5lC%Mo-uC-sc0`hGVe%+5GK@Eq-cYKgFiBPAZf80y49d7Qp>#p&p{W{ zA4-Wi9P1vg=4Ds&piT(}i;0<$je!-}55A6tjmkiaY|VOs+#-nx?i3S^4&8!<-pYg) zG|1#wuSty;*^h{cnZv`uf)Ipha~;{=vazuZxH{hIX+r`hW+LWboaox&uW^%IJJO$E zX;28vN3wZmDBbsrgfM;0lU_x>r@q)<>9gkWfWdjg@qMm8TnoWy(U*&g?l#?|e}Tu`EYazI;Un+ej*Dy{?Q zromX7-?=En5SG5ki*`e6<1%pfPe-9!;!dRb$Ubsv^ac2z0iV=Q5V%E!MwpwnyD8RL zDOmhNMR8ry~_o6tHJwPc}w2QEj$d(7bnnJ@n4Qnpl>SXSH0Ua9c zh=31NsePx^tIAk87Am832O#GC)JfLRP!OKrk_GDAO^r%e=fP82N~jb^Bky9y4GNs< zrG|m+J5cl*?sEUI2F8~q1}b8}zc%Bs;|a%-aANc;dNRER;lWgy*3d&M3i`qL+msT4NxNcKj3+#BL@EQ5Eb%l z#jw=amTiLt9)2N&$zjaD@6ggMqTMmy;cYYy>O8g)iS6NvxQM$ALl!@`;AqF*E0z+= zC&i68z)4{)58*LH>j%5WTB)tD0}-dXMVvb%Oonl9_)=eOq>2Zpsp_P6e{l-k`(qK*laGY211&tdsWWbyV<2Vle4^jxndGS9ST)2+G|3(I~u4O*HkJWw< zkXKhemj9xyo_>CM{7P@xZ|dLBZk+En4aK{!4cNG~V4!^;U`lxV~4VVj!5EXMDR!@mNNr(cW7~@mNIIX&jJB4Ov9(N_73| zX})@hntipboo>61=sAB8K5g|NO!2;&`JzZgg_)x0dL2+wq2%T`#dY7CYoXx1Lsw_R zI{E!H{Vh!zYuummx-GQWN8GeB$b!?7LJjT&!Eb7g?@`TTo%6MD$B5#89bU(7C1u*g@JX0*Wt+_%F-0Wn{$rjjb5jrmIhzC-?VC1*QS>+8 zlr76o%sd;?Ps%iK+H72!DPUSw^tMQ#bj>?dzCZXjKEw1;g{ed-xOkgt!kN-MZ$Trr z#N00Rz>T+rLn>uSfthwNr4{T*dGZtSugyNTRN|iU@8!49v=il5vYc+1KWV&#QsyM4<@VD=*cXE&g@Ct*jC>(#&-K0(_)E~83r9QOWM1_ zEEE3^{_H$cm<`8w%IZ)5fXPUHh}2eX*LA~bnj04&Zex0W4SRH)@)*fm;_3cZ4toxW znXdOfZIb_s{E-ideor9ehS#Ph(pRn-*lP!*t}U6lmT_P>dH<~!($=Ol!>c5-z$X#1 zFfXL6m!z{Cb3e9i;206IvpbUaI&)B0CZuc^WMPyvKrTp}2U54!i;Ef94VE(vlfYEc z9(68~Prr__8(94qNGo~28ZWc^n#|qnG)RL8S-2O{R{(jx&<#=7Y(UQ#2&7yf|5Ngr zlP!=N*u4muxF?eLG;`2wC#h#e20_CZNKP(@-%&y)5n48-D}w-=JPWG}Q1W`rnd0$#|UA*DjOPRK3HV)P7xLy5bx zToC%jniBN)@z-oS7+idCmzFUJJ1ag3^>_LP9AQp+O}OLcG4m3){R49+r}0oL7!^jm zV2Or!G%(k`a1mND31=qJDz)IyLPMhl)0K=1jh%G1+(99P7l-vI%NP>MIkP!=|G4{y z^#e;7lyci?S+n|2>~i>F!9^qD@|Mw0Y5%xWvxL0mj0+K-**71UG%&4kgG_QpB)`tw z?Ekn3uMX-x7SYN%BjSSY^$aB5IA|tV#0{m79oH~up~Z27no>r^{TN2`$N%D-AJzvi zU`SAJ&*<>~`-c z;JY0LeKqGJFTh%_^|}w#g&=-OEbe+IZb4x2J%<(CDRX%^Lco&K%!dFY_$YBHwnC81 zT?3CDG#8EZ0ikyN6xJ8K67{4uvtuu)M?hsswR^Gyy2-1guDngc}Ku#rP9u9xH za#HxLLus2#Fsw(@kf?c4B`#v_urS%-EJH~OHU`hVWlH#LNq$l$I2NyEK=|xSky<7g zPOfD__)J*2N+!6LGR37+G^cdM_*wcqU)4$`IJrpEjEIDG+4H&{PX${#I45R=P^5|t z6H7vO*@~z*Y1tH+kg#Tk8BuZf!W)@zytXwR!oss*Ub+b|1-IrP(9RrKoCscGp z^*CCH9*W8ir}KN+^)NnK{(FQFHs3XUXKmdAN0GoXtsp^I0r;P-$Q3&*IIeT9+2EAu zFy2`a9UIGntYuVqZT(-wwIxzAK_)pJOPmF_N_}$@(dGPzcuQrG!}w}tQXyiSs)-Ro z#L7^Z80E?hGn~XO%QcST1eM`t!iE|;W<=K)>@boxEJnC9As?T$;ekI*@V|+T86gGVkbIE|IiY94hJi zw=atDxM<<_rIu`Jp0>em6x!Mblz9SEKE$cJC;Oz9acl12fa#U+twJg~LFm=oS_fu? z)ym^uoBL*X)G9eAnH|esRW6LFcQbx1UDB2#xsj(UyK44SF7uGAmcV&EviECM%2d}Y z*KLhY#>PjS^LE_VtaCOnFh+VBb#0(v4prClJ%IF zJV-;b-D^OQ9@;TF?opt~^&r6RP5&McUH7ffWP61=;q3YhfZ!g8J3jp&)knkbN?^$L z$FF-mSkirpx5L=1@$rL_x`A!h41mxs6_xaV`i7~>H-JF0hx57G0RW|Rl#!tk>X%4a zI{*O7G*s2-{`9qAD1xIA=##!yP@@s-8NyZx^_$_MH5S%F#Twz#D=GCmWFPu2L&O?o zh%JE!9;y#1xIANdc`)DbX1>AUDOs&-P@kA^too?bz!uMu1|&PQ&{4#7T+*wp2g5$E zD={Ot?Q#$kUAu}m6BhnW*AZn!Z91o3*ldep82HG8L5N**P5yhxK~cKDBx?L+3L;WNw}rjAfG#Bb2y3 zGDlh^d}m=)5!!tNo@j3YC90>f-(*1Y-(V`)4gvWv~hpgVONeiRlJvW>5+ z;faLX?qT*5$o15Jg&$TWiUe%;%88#$E)>*e#Jw z;#|I&sfvX^3f~7Jrz|la*(l}^`O#W`EVoFzqlc{e4yQ+Obya^^FnikF*-=0Q<{&oP zfW3Dp(etqn#3R8qh6s76>&Y*Ka5$-IT*7+5^l zFAVy?(!Ik>qRd0G~cCoa!gLmQB4{bH6nzdRXW?1gG(!w2oIaw81r@i3THXhlC~ zElN}?+l+n}{h*n#c>-3s983F%h=f6=$V|NGAd=ZwzCi{vhx!?A?VSD{OZ%+Ic&E%= zJPa)9;F2?>+Mto4$|n~$`yg^>4L)t};q%qn=Jmc;8ap2&?;?O)gf#^sl3pMxQr0LG zGG3tVt;XBHrc%iHcRC@Mp75NK7R^zQul3olMSrV9yR@f{1iPeuFU(x}r+xv3?^Tp5#| z)ie>_rpjM}3l@0qZ!v{gFi+eq(wW~Crb-NwZ!$?RNx#U%hy}eu^7_$`t~3>3^dR^41EjkS zKRrF1T+HpblsTZm<6uzIeJOm_T9M`xt^LY4qm+gnD0Yt6Ydfbc>yTSc+m->H*iDJI z5XxQ20bVepOK=!j(tX6BMTxht{tObF$ccueU`{ZzqC0$DY%75ZmBY$XTNUSJ1y?a$ z5T1l#R2cyQhh&#Ob$3?RQtsgRCh`$RV3NmrmS6JjwB-&{%82p^A_~OIYrP$vV9A|- z`hP?7ei7ADAVyyJ2iSgr|1(Rys%Vrv{3}m`!2NHQax*p^PLZk|V1N-hwPTgY)?TzO zBSAur|6};Q1!VG7PgCt2ujhg@dfgFsdF{;k`UP%2sBfkI+0<38-`^lpqZ!Z00Y>&I zW?ZA4g<-Qilrf_7>swZ2&m5rRC>JqncRnCspjFHSM2W}zqpAtz#C`H|dJ@v*{-A{>qXYB6mv2^ojo{lCwMS5IU6u{ zvYVNaVj=!O1es$5@7usYG$>`EIb9m6^7TOSVcbN(@c2G4u8cNJZ!KDT36X!c=lM<5 ziCcE6Cq^ksY=by8MLgw39GQC>n$GIlb2Md7N(mLT1Y%8uiVk(7Y>=nHg}xMz9Gojl z_;w^Kq|EIUDvQsKA1jJN(Vj?JYD~FV5qBmXD4KV)6NNH43Mfb!)&huG1vu+!OBU&S zN+`3Xn9qu`0ZV$e=H|v9*I|p&ag*Qxo|;aSBr3}Ae+-+~Wm47(7y4`qQY57@gTq?+P_=q2iuGxTP&7U8@1NQkd-#$0 zG4%1=&Lx=%%LZu$a9+3? z!r=qHYYSjqp!qi|Buib)4M|%X;87fO8h^EsM64IA&%Z$oQw(33>5hEqSym~M^Bo^* zae|ec0BnN3vBko&q>_~9gUjx3a_Z$n-c&jbfxUE$s40bTMH!!pqw9Yw_gXC zZqLR062i1#5e|NUGBgiDU~ZK4%V!}nb?3ngU5kz(zz@iWAu>(Lg{Z*fQ`v^OVY<7K z_f8IUk?(c!@xM}Zvf%1Q1Yud2m7Ausg^sFq-ph6+@i` zk|m~VEYEiZw8OwF+ZWmoTEX7}8G@Ns9nI%fO^C#&t(5T0xzbgKimEh2TEt-~3F5^B zhsBx6w?{yHYqI7QlZ7)9~?S%B=z2gMSqb5QIXYh3A1qs1v|uMnEF9{J}DhPX}GVxTDV` z>_HPJhFvfVU;+L53IBC*dVaO zz?}e14Z&1RiM&Ci?BLPYXz1*9o&aHC4)lB?@U*NYFsv2;hhu{dE9s$kQ+be_Udt*C zqAsZ{M3EP$x=_77iSUj+S_Mw$3#u-)02+)N@YqNrJJ-}j3GSwPWKr^!QAnu!!MlZU z6{^Q{Sdq6pdR^Pcc<~?LUx9pHFQxeec~H`D)+=bH+F>Zm%(Fj@^OknTc>U~L1~XR~ z;1oo*PAk4ro@yW;pgCwWc!GOV2P)i~4`wN`{%&%?NzU1G9s$P6WEu#^3>%z@2mGcc zDL?2K>=2AFIH4qw*kg7j6R}K5(7YwOXHGE55Ey$bcGhZ5BxyN+(&pMq+uUb6qo;WW z=e)4RxlwB#f7HA+*fSs=sBupw`MOsFat5SaRvvV0f&%^#?f1ALTG}(mMe3Xjf6rtx z3FO*Q+&pJHvqZPNi_*ME$G_II8+0sYB%4!@m}_09+nZd(U+R!!@Py%G9!F@3#lkWD z3mIA2Rgl&(Z`U*l?8rHbpLS_sconu2-$1&!1|*m~@~;w9?+fER42aiqkpW;HeOpF= zS^}#CUHjl#56x?P0ay5!54aDyG`_`cf=B(rfLb8{EQ&V>6`6Y$e{mDV;Ja(DBOfKu zf7-cjwsHzGKHQWcyoPl>v{H5UbqGatC55fNOgNSFbdIHUJM8V{U0Ghf;67lZYdYXM zR-*;##Xqgn#maDILgGInkMp{=p-Y8&m&V#E>a^JQFJNr$H|bigbb#VQ-xA|I%>RXf z)fL~s*gXA1Jg|9a?#P)rqQ5e;Vwd3C|JnuW0SqWf=-XC=*HlmsXasjaFyW(rFqAf+ z^4dRG4|(wqsoV-wUj2up`G+i($*k_9q(?fKXTGyKCm?wY zoAFv60n*57H<|b~OTD?8>%X>U9Z|gQQAja7cXqMRY4?^o#zhqu&6?XVKx$)Y>pJLsgywpL?M(&wnjqIVd zZQ8*$H!0vk7J*cI%cpf;G}d?s-^{Q+9ow~o@nU*r^<RS&=$uRRjJQDA*uc7Wo@Np;!;szhS3?gSjMhFV)di@4N%ng4s3>@$8+ z^X@F9Ss?cs9U54*`>BS*z$$S)lKo)it`kguaY$LSCL5qi@iBpaZIpD4+|7imPZ%2- zrcWz_r@>rk(nB42e_glcj@yv4S5+HzoP7K~=qR&FAy=c*%oYjFta zHsG?~A^9X?3*oJh8IbuXjRW9N=kfdXS=!!evZ+?HF*?s5-(34`ZiFh2@I@yiz4)omNmUSO^-6L^#9_S2gccIvVvZ=zF|9H|O+L-JN z>~JC|ZQpsn&i@yfgLz}P4B!>0FZywG$NPu%QassHp#|+!RJ75b|3Wt-8hAhAWlR z+#KS`jkG8Ngz%O{0z!sh_An?47`Sj^&cycsq$5PP2uG05FvGP(>t|fd(Vo{=xmAm1Z4~mOv z_9a8cct7n<*^2yG4p`M0mxUjdJ8g^mc^8y1ZsG9r;WbcJwNV~>JSG6Ex8mBRCw6ji zOoRb9%snU9NG4uNv@TU|qP)$$945Yd-2`b=Nj$GDrx??f;^6Z1lFVeS2_JyHfZVy9 zM&wQG*y|+GQ!DI&>(ztM!RB+Wu+_3Wf($|8WYQ7|uzGRn7WVkXa%4dG_19?HqIPs! z2)NIzNfxptXI+cx<*`@dsu%+MnCnefUKms7x@05oT+u)tP>KAbGbgV59=}k3%We zVh5CZWO?h$VnEbTk@riBu(I=j;0*Jr;JaqqUj8ARX6mCicjjTa!{`6^>>t=1MNyt6VTW%WYNh_oaQ*3Q@3brb)BB3bbL8A?L^eTJ8oMto%!Yum zmoHlPeG4UVa&(Bwq`F4T)?J!=%J59%Uc;dbJ{U1WZ);sn#1HFs)ydn3O<2cJL8&K- zneI!1ffLk*@sVO~PVCHj^H#hG-Lv8Cdp>d$#+JfQF{>J)qv;78tKx@O&{ZrJ- zO1q<=JxO)Md7Idg-gg2bqClX&-s40IO2(t+6@DYKp=R?{iZu*O`~t~e!s(NhC%W!c zfvpTctNvK8x7+8N^i^P&%(JOOvmG91r@@N$gvL+1!-(IGohw9@?wD)q(hZ9>1W3N; zt6N(dG#SZl7jG0rmFyxN6}>GP-(R<*Np?;(i35p{BwY+8s)%?#q`U2fLxaS zfqDC{3EhpFBMm7Zv^3(?)PTGkQofX^kEX$5w-U!{$)eM~TgfNNAyPI{{`m&nDBqbI ztwipWrGsb#R5*n2J*l;3M*xvTpPv0y`$}7L%j#DW8ri5BY}oZ!WW?sY4wnsSH0m!} zrl+moKTi_1u>4IO%*l_LJlwKG->@xYbRX1QUIO7y$!znJek2t=oo*%i31OHrUIS@JO6Q25$I8$ zW2i9rC+X=yL@liA*vXh8OK3nJX1X3zgN+%(`CD@F+v18W?*iXe&ToFD&k=@VU*$ zD``%)=cTt>8tY={UjN^%GA8)iE&0_L#TjT8y+yoheXAkiM9xu+lS||@den?^5V8~I z2spoj%U)O8r^Yl9u!q30rm>mhik(cFFX8}F3uKHj1Kc@G`k5Z%3~Iw)7tk0h$jA5k zIn@G82ra)hnW$o^5@RndDLk*|*t;A5tKt00z0pxM&{mgB9SOJj_2!_dhO(rN_Se}Y zi!3l>(Y%-Az(X>TCiVE2-*;NC@r|0Oo7Vd8mXpe^U;A7Slq550Qf&_2D5Wt4Z7$RY zy~Tf6&ML=O(RwXylt+tx0g!KMRo$kAGJDU3`OaA)D#3P+*Y1(ZmU& zhyEC2g|)s_wTD-Y=Z6B5itI*af+c+V5Yp4ok9IXjPpRbLGRp6+*1wE(Hnfd$$_a{7 zk~t-)oJl1)peA-_^>WH3snsad(cx@a)yf!CDEM*1%s6qwD421>%&i^TuQhiC`MwRZ-E7!!%@P8|;G&v#Nrpb*`mkLq2AB7A(8Q##= zK}5Iu(k)<~i52u+ZE06KdVfXhY|dhs;we3KZdV)5_baU#c%_#cEHo9k-qD;6 zs6jY$?l<^0Ix*U~416WAdv5`yF@g~POq}%96i;ypL@$*fd1?}-rqGG07>aB!wvSud zvGf&@NT@#Rzi1S6RXz(4p~*o^cSnMIXq`^Iq*~wl{}ZhAU{jHmUUo%1WtzP_Xqf@` zudc!hr8r)s2`j9$IwNeG3Ob@Vx+;??jIjz4kRV8svCX=!mE>McKA9QjYqd;`HlzT0 zi2}?yv@w=Ev+Ua_rTe~jDtxClvSIA#gB7yIWb!rmjNIk<=dxa1GR%1Y9Fj;i z6~8d(xX5lxQ`4a&?y7G?nd!lV$!CT!P%K0KQurdT<1%GbCp%!T+|MB8(nVsAUKj`I zYzvRPRR1@mJ3)UOQO;{jrsgQrfIQWi5<1jL1uE1D6)H4QK|OYr3_H~zp!Du1nf9cI z``!h;5H(VCa-AOB1+4|Y6AxtUY7Jn`ptPAns=QZz7(mrnoa)>t{{s@c!Qgwq5Vc~x z5F86$2O+ab3akaA8CFWKX|ptY^XyNXW||!;Gv$BX1Z@bhsw|L)P;y;3b$r7=4_|&# z{eIAR!>LU_5LMq+dtWW}3j zOLRO`Lgb|y%0v69^#7Y+BC5vtWbHeercKsgrIL5ek0wXPQe*Yxpzx`;Zw~S^OBWXF z5dRX`C8nE+SH?A+%x zlH-tb5WZ)JDYtSaH^(SO0u?Fk5gfAqu9y?{jm?VfeG^7olc6phE``HR+h|g^U(n#j zV-|!>T+eaJhqa+hR@=j{#eiEE&#rNG2~Ge%3!vYi5hob?yYLi-FS*;iLhJ7ApN!~* zYW%3aBfRrlhO%^+W`P>LIhCaF8+g&!g=_yB7lRTE;bVhGUN+ZLbe_&w9<6Q;AjI&% z5-DKN(sq?!gV`>O7rAIiC;KnRbYM|0P!?5%w##cAn96l;=*)PQCn`rW1%I;kPw71T{oEQMYtX?W=UI<_RSDyNCKQWveP;YX( zN_E#Q0s62Fgisv=aO&n<%xyFB*zxi=+Uqi8aEXKJ#;Ms{Ux+l~p;LK5fz@;OhuiZ) zw3eaWHR{IVmwx#&W?HyDOdeGj(-t&2Q-FiU0Q4R8)762EWWnn8se4uOt!Nx(BHh@W z=;@E6CxrU}H-aTgSv7ybt89vy`LXClz^|8Mg+IN?Zb1+83VrpXLiWa}$eG5d$pOwi zn1?tN*XwSZtbs70F4_zLYYF$?Y`NJIcjpPe(xn&j9q%p+p zxmbZ8a^mgQyw5)>*SxTUR&wVfmN?Dqqb%x)qo=esj5I7J+v6f@)==C4mi z*$P=5wQNP=!;ub|D`FsplR3WO@el`z@it~4n07<$=#~)qASg^M>pJJg;f&PBj*`Qfb7K zNw!j>UZ}JB7OEFd-dlNAeSMN}8haQH)||YDmSO=z=Ib8>|8JgOi&sGi4+sv1e})IcVNDSl5z& zHF)lEJAPhR=3Y)noBw;|P5O2}4v@TGp?qH7?!W}T4Qzc5999Otbp&3$i@d#)2=;tj zD}T&A2J(Nm3VaLAf4h1WdD#j4c+da18VP(_?|FaEf4d-gyHXBt;;x#}w3=UK&2=bk zNCJ>K12JstQGAF{k29W1DGEd8--**~a9?)@mNj$-1Xf2ef{I<-Y zd!7st>gw)BdFqy%9@-%0dq@3Pt%?M@9P!6Il40fY!?87hlAIwM*?(RiDWy2Xo#}2M zIdtw=hn9>W?m#3r*b>P%GBgwtR0%n@&-rB|Vt;6$GP-k<)yrp|;~_RiY1aE)3q>p8 ztIB$$Nz-PP2S(Goljq0V!^h|b_1%OZOo{y?#F!gzw|@=46+u0KXAg8ZPhczTp$d$? zgmh`zJYVv)9o*SD@9e;jT2rgecGjOMTzze*TvkTWno&kscwnRTB*EuV>@Y|?yuUwv zB|E^6Y&h>E;m&qePDbZ&Aje2$uWp$aKC-|V_w^U->GJ7rH<$lW!HhWW?dpBIbGx@V zu;;46eJ#e-VUzc0rlL3cdT{Wu`*yICg=mI2g&(=ti2^-3us5{_qqTRt4|C}0sbx;Q zN8RY$vju1#04V!6P`36UVN1VpTPH2F=v)qK_pUdC)n^)5Uj<-b63K64wuPyj0S%Pb z-}$ZM<(~}I#7D6d7)DzRyYQ$T5wb=lar)&y-zy$a7_z50vh`Dwwrcc`Q zVE7X?x_Eg7+>Y*1S^pI<;SK*l_)H8ogpsGrf&Rw&W04hx0YTHxzqOt3(;SaejkO}t zW+QwPX~3@qST~3ifBYvsORzqP^5*&e{twot;#fb}Iw17Ks(Ix!`;})oqYjL{Qsd~v z1i#dOhkEzH947l7SGN-d+tdG1K@&Zb6+bj@c_5}eg>dLz2x-rol;BUT<||v~ZI+6Q zg_Y|S@?IxgdIvd4?-kRI7)gi8T2)I&qC1)O!jvoSPM_BKvY0Tl6?yOhZzp%9_fNK7 zykF7sYhg934j~j-=^?+O5sFlseuVrkWs7V7fvePm5U#ks$ZRcGl#>Phn-eNfu&O1l zM@R(HZ)z%ctS0mrrm{Prm7lmjlLo0~07UevS#zq982aD%dAqtRg(h_F0x;q%de+oh zHa877Bl7q}{Jxr7zxi}*0{TZO4?SMJuCpex%jLDisBUrJ#xBmf-5#?rfp86dje3!r zc<`%kkNmCm&WcOy4r9g_@`1H2iR9o*!OIt~t#;Zqu`rkUDGEy2<9( z&ifTG#*xB>gs2f#A*-vn2Rxd#>UFxhI=g*{^T)dEt&adB+g@cz2wXz*gH2?)akl{t ztBzwSUGLk13lDyZ5-eU9muJJ?U8`5KIq+??oL-6yed{PPOawPqee45*z^pQnbVxCK z(@@J0p{nM-7R0~12-)PQiTmMJaJI1n4*tzBCsu3gZTIsE#>hAF>;@k1^4q z$jcm_$j9}nasaCMkjQi2<@-`?05hih!!%CMlOpq7u4lkG!~C69;B~ynlZ)TmJ_~fN zNTB1BdCU9jrAzf?M9h}&eb49d=gp_zzH4oFV&3P>C!Ju=}rAo#$-;G^MtY|r0A zmTsT>lT(q;^Lzo{!^KLLUm}~zfqv1SUXP$k;QKAw^Ud;BY@i<%nUUAfQv;2CBhY!o4waJ++_b~lAg)W_}xIRk~e&jfZWelq>oFU z=boP4PoyRo)sN%P$)e8JrpKPEVv@JbK;Ej)Vp8*?vLV=yIjwLs`-mB1$o!VL?b}&c zTz|F@Mho_kM_r1i5C_Ohe}0NgJ&LEXWe^N>0xIlgL)^BIHDp{ju2?!dUSH)BY_~N* zU!}4Yq?#S%=P|nwCWUq&G|1Ni(kMu(_b3+4oGt~ea|^#O!=A$Ha~`j61-~!wn#lxm z{s}K`JIw;Jwg8Rw#S~I!+#J#f5WmgPjOOIL8GExs5ar9_1|dnpf?7@n5Uq1gTWcI#+V3i;k)_-B=OOPM#>yX{=(@Y z-I=0sFauw&bawk{b-1s%tRdpMS47jR_gR|AABP?k-Vu5C{SEz)-v`|d zSnXXdL;{T@1ZX57dVa6<)~<11G5mvZ?*u*)^g|ENpds8K zzdup=f%g|IJp)rRf#3AHWqx2wL{az7*njy+JgXRJ#kgm%&b~rAioEg_f5NN94)2-- zU+PVHSs1$-H0`(KAFdx6UZZ&Lxjt_-hI`V9l^c?4J3qbo-EQWGWj-#q(52Y`e#_AZfxTI@+2p{2~;P&4O?*~vvhsB_PiE<@@9?t)_y#Tyl%n1AGB>?bstx zeDG&O?D8ONr#A4JW!~uX%!u)JK>=xTn*nyAUGY z%~rRU@z4AG-oWh?@cgRl%{QOqd7*g#g(%?exo~8LD4@vfkXyvP-gA)TNxft0(%29smH%8C%DSjq_XedEg=!uhL-_eGcL^(luq~q{(z4UU*?Y$(${EPz9$D?G}ucRXVaqz5`A}` zvF7|R0IFN^9TrzEDPXJSSGR$pe@E5}H0J}7^_iXLnH`V9W!Lw-BbV)Aw zWRVpSC9PeR|GhjhyLX zM+aZrWFRs}_aJrJ5m2Od=Dv(o0H?x{m=$}U`^53jT)*VHK6@IS*%ZfbqLZ zS*C%HZ0aG5vjgLI&Tf;9(6ZuJhIUXdshM>b?=fsm0jn67p=E% zh1;B9uS1jmp0$HFpi;<5s$vQOfYAtfrm4n+*PxFRDFjvy7Rb?Rq5=NEI(Xm4Irs$_ ziuv6N;pBNC_PfK+*s9xGH2&i?14v(a%UM~$gLo`bb z=}F91&jE8)FQ>~qtrj{sPX6HCU&Q-7M(jp(se%^)NC4d_k%dwEVI%sHTf7ndQq7TUwaBX*g zAY(*)cri;tO7ms1(jT@$p%IE2_mB1!B9rra^o5ZzON!Cq?h8e|*T*pLu=L$__EKpB zzGXx;2TPv(`I3R#9=uM^!8oo2r1g!RPy$+8gh-~r0V;Def#ZlR8kViq>;n#Ic-)-U zcS>;cc+5B8ja50eja3MC3$w(`+MK}T>JY1q3&fW5X(zos$n4zNdj9gc=3qF7w4~W) zvj$Wt2qbMcMq+$C?B=jYsR+q5uR(L|qei!9UaZUv7aJlb7xfn@CkDj`Nfa%5Y2Ykh zb1xzRJS#jKCh0en)^W&KO=|5%_P(NVNP={#c08T{IVCMO*N|`v6C9zP?n$5u*LDI-l{xV|E}jSP(c+p4YJ*2kLX%+6Rb$e|PwUoXj!LJ0~MOOIjS_?uR* z*G~UG8DnewXEhPejT*?>$>4hS5qjVpjPQMCGy~Nec-R`I4Ku(wLRBhF4NwZL1TGAc zWUa9r_CG-_W)nbbD(=6aPF$0Y0n5-)dckLqB%HhU`1`LTm-AU>NSJ3(w8%(>QEoE- zLc!?`A@hDK@5c}Nj+#qKuN!VQi-;h(Tfi_Np2Q2l1s0D2lXTUig`6TJ8R|S|K=Eb> zLF?a@KMw3rAp>SuAjnI>ol0X;CO$=sjH$)Fx zJZz%ST-}IpCSwtP)6#Sk)si`xjZ?h5;}m7Yk;9V`JcGHfudJ_D3UQsc5wHOxL2#YR z95)}dD^+{#IDXF$W48l~Q;Yj%s~dLC4F0Qv_Rh*=43QiUJx@qUKgRY1#g>p;oLj30 z&I!MxbP(tZQadJ5$$|epN|NL;2i{|Yb@ewl!yGBrO7gW?X6vpm4F788ZfYp+nm;i0 z%OW70)^K5C&qYI->cig;y+5%B8crjn8{sncuwLm=e${5_gbv_IfDM3&i!PcjsvnPH zL`E(gEF??iaiy|i?>8Le*xqjX`Fg&0M!EOu_4J18)ddrqWK$f2Qe35&ix zarH@pE-T?AF62JGBPTBY;pUSBQ%*i#h(oJF{37E{M{}~PUolX~tVD><8!cgw!>g>c zF!Y}SNUg75;N6>O#>y8VPg9<3E08b#R1IyXb1ut9kNh8XI2Hmb?5pFHH?EPF&)TX& z&3f<@>3#!mL^`a5!V+CytJuc`$*W!Pp74t1M6j6k2zhI}%H{;HwIxlaTd=j}YTdsY z)=k3@)_QtP{UDir&5rE2x!=-p&Q1f`%BtLkD^{%rIu_dM(Kruy+*uSAg9xvPq1N1d zPQ|3EOq&anz%pz{TTAUmOahB0Wr*1&g^FoNYdh)^hBP^P63X)NN|p;*RoeQRuJ$o3 zo(evoPq{%mW`ozA?!YrxaF+|25kOUmnN*yMN3P<@Ii-GC0250O^C(R)FxYU6@F;Ea z|EN`YvhQhe)ar%F3zV_s4HnbCa`X$NF)SX-I2ctDsNwIdi$wpU0QIHxJ5ezmz=ojd zNy4Ma$<>pB=|+N?%0_{>FPr=LXkBpahnK`S0z_nbO@LD%(He{I zzEz%|PlSb5F^ahoW7@g-aP|1v(R{aYs|Mfgw&g?YLB0#C&IcV#%KpSEwLHbb*rW)u zX}#a4PF)*`^V}k;i}ptRqNs~@RkbZIA0xoY^f^Oa!6W-IBV)NC@-=qA-@nqfFCjAV z@q%rXkNatHe}DaU?|zTQ?uQwj4g1wxFHA#3{FwbrM2Q-P>+8ccMsWywC+E|Lz4l#W zZ*4vRV2&1x9Y^lL{`{@Dx^dN=f=y?fRkjB)L9A&8>Ps$E5)oD1AF!4i|DsG5;};)Vp9!a~Q8t(2kD zMi>|1ViVFvI2Yg!BbfkW4;SGEgSpU=tCEb3;~@!_qSBObOwr;9zA_}pU72WkXF;KS z{zgb1vZC{oHM(2b9qN_iE8J|Uv`mNd%CR`Hp$M{FqlL=&4q#wJvUQffs<*03o$;y5 zn$JS3Xc}6-4qsT@EqN>1lr)ggTn=G5`BId@l!{N(V4LwpyUFy|=aZMa;5gjgp4ozt z0J2)!V)Z^gGft3Qqds=coI{N>8q>Y@j|E7R`}fV>b>}omM;NOJy_sZ_;`fvimWl0g zrla??7k$GBq8yaLX%;x z%<^&mb>%h>N*5Z&*Vuf#-eH40xBZ9)-Xx-F-YSP_G*BRJjPX4J1b&+a!cE8?2O(EBa~F-$uV!4gC^#aq&opW$G@GiltgAiy?A>DP9;lT(DM^icbemoom*@*M?GD z1>J`7w;qzdPi1g$P+g0;sqJp&BQZ|OPydAdL2t8a39gbFLS*`izUQy}4dKlx?7oCZcl*R~>B6l2i2H>d&g?`% zu$`_&v%j5pz^(Fq@V1~+-ZK#Ro?p%j&tQDB|w7j-i3WE&u z5@eSQc^X2EY|~ES09;)0-8tgIZUnI<9mY_ImLo4^rw_#+D@05d^IBIP(fD76v~u%O z>U7oAY3<ma}_%a?K@pElqY>d>d7 z#hoDVRa8}W;60QL@6c8AULoK$_(B22p4 z`hc78CM&3Fjt#7x(Cm4xkXEB*kAApIuXu=+8EW#ex{hjBO9EK>ms67ZUG}S zD)%J&(WaunK1=ZTTkL$&fmg6JdY>^R8lu#HR8~AzvbDvmpv6MzawL~;g^)SQ!hkby zC12cSIgeP^DNt7Wxh{H?f}!($0V4UV&!o_5n(=P(2UF}#RWK@3bOz}(vHV(Uxo&fT z1xFe8yTXZ}(`_r632|4u^(aEivqfnkXCT-LENfFfsfV+z`neiowl!=xb_Z|r$ss!! zoU|3RlxX3Izly7(yT5z-2bR!IRt7=c!q+pQo&gz^kcromjf)*!d!FgHCaoL z(p2nD=u;)SIK~)(2kqFDjd&t>=_8%Ej#*ma(XsWRun;ztAmDmBeLDl+b@Qb3vqXLR z2943^7j$5;@R?fqzq`5PNX;$gMuhf5#L26c5@m1~3m4p#p}9EC^7?ZA?sB(=Q;vFpOIM7*l$uOzdHV~y>H8i$-805OULuFM9U-&mo zFPu8rgI}`YI1Qsk_tKo2a$Rl>#6NwE@aef9ri3bg;nh2s!3vQ@FW^WZoo5o6VA? zCJ^a-?i6aWSTIyeJH(g`XDceLkEb4%W)}^tABSb|jRDq|!ZH-c0r6qcG}+`DJih(u zYFzpql4XRgKP8P&1HBYdi_{QIJslR4k>#P?Rqn&IdlID?mIhBzg-L9(D1@e&i)Uhi zhNk&|VPZim@5|bmoSg>#YXm5s=A(mMEoU_GMSFFiKC}5sMTtSBVZ7S}{A{IZJtW7ls? zEcqJoY6!ES`&R{Z6H|eaVFr$sL#e~7Li?vGf>js1v^kW~2X!}62XVvr6ITXU{>VDy z?y|*t*DeS9%5f}jk0ja?r3>-a@rykCi*B9v`;=?8$xme$t{}$b!1OlopWAQc{m_Xn zH<8d#r1ee-O+mDw!aUSqNg=|>H25^%X07HRNx#ja^l^jvLo0(hD7&_B%_KXn3(xT! zK+eMV za=-=lT8)iL_w#g*MR&<%EV%#0E>E&{js}N7bZw6^jYHO7=?)5X@h;Mj6;XowrgT=> z9?HlyN+hr30r%tp%VcWdG2+ah8JSOqxfzRiU3s0zv9~RzMiYmgL;(y>vA)*?;<;}^ z0b6u|DWR}A`AcB|U+99XOx78U&oD_fXrKLSLyULj^?k3$^gib7wGG#R2A*E4`^hcs zoY$JZ=q&3L-iuW9eY5hc59kU+c%_EmuGOv|LN3b+`>ZMZQ@L+lH`Nc?_Y-ejFUxIh zWbB7VE|Jta$2{IG6C-;_pW2=Ig{}9Qg-^;p2j2DG&GTLtQTs z+-+X^iwPTv!ugN`!o45ex-pKK0^M9_%_24kou)3&#=?yx202~Vyz{aDzGo@*Us|<*{_wsrzL$* zQk*1VX^&B1@w@yr0)GR)rVfv}v#8>)kTHVJdz(9^j>U94uu!Bb!!+B4v=O;{Q>xi` zRwJFQPUo9C+)qsIB)m=5s)U~7afvUi;;S=;@(UN~W8Kfs9}E3jFF?`^RQ2e>HENvq;jnc$@~rU zAlPDamMhOLNY)&tU(SLAOY1I~&Bz3?)#|ieM=-QX0%C3vw5f$J>WcB{r~F*HHKz;K z-lbZ_nCKK=AiqND2R? z+VJ%Rg=Qq95Kev~h}q_7IEQNP!shQ54EQw1(RF%}!s;7W2Hkxt5JegR zqFDba6Nqp&8cfYm0WsJJEV=bjpei@^&$kGPc=25LJ!RTPa5gZE7!62=pa($uw!WXN zJ5+qm<0`4)v}U6hpWfUqM@^<@HmmA)I5^XK*ka_q#JK#R722-1+cxOaYeMC_9{2S0)jAAJ}7!=g;x#5&BE5M$*lEu#c)bpe`EtaF^1S}_&uuya=I>5w*vBAlW6h&3sUdUItIR0A_Xig*TgG&^cwbhV1+4z5!d% zZErAdO-3YjueTG}2L9_ABPqzvW-PEj zPcEZd^JO;zD2Q$hU5vJr$S!G-9|7xOo5^}N2tdM!Xgpg%?aM<#JM%#`@dh(ABlrr} zYO^C)dv!>Fp2Po|`oF3PpmOes%hPE4AkmLby} z9FHACUs;8p;ai zKUOr9@*gV-*e7pz4I zbAqQTRbJ#jhE(k{%4`MgwHGmVVFkvr6^nJC=&%k2M1G;q@PV+VB$+0v+#Viy*cN71 z872~;=d(3uxCPa&WmW%VFL#dFSlW5_v?PaXUh@w;K=uz4RW=W_f1Wr=CaP2(XcWZ3 zt24O3Bd(1cG#;9PeibKI5a-O96)N-}?se}U16NIDrvjpO4ZqjNFM#;kYpJtqcF=bG z4}#YR-?=dh6zaR?zy8kIK0p23~o%qfy&~|oK=Ctn=x~yJ1JGRs5XBWxP16SF0L$Hv=(1Qai_zGne zZ`O|$;frE7K$^p)H+?H7YbTjMHL)lA5ssa#V@>uWSEw+C9PD^CNVPo0Nd_@pojTq+UNH-qu$cHWE=r?Oa#NNA;96&xCnqr3CJ0y0Py5C}?^ zv?g#wzwoA%fmCA(H1bLLU}2sd;s2-{8U#=wZ6NUHye&e3cqJ-f!8v;?d@ndZk2O{R zL}N7)%X*~&dQfwdhA$oP)#S1MEK%b_y-1*`d-yfxdB#75pF5YVc*Y znY)vZYj3Lj?WE2i#bQNspLdCs5$+c&-}yhVR*_q);sy1*%-q`(P5y+y6l(= zCONU?$>7$59<*5*mnhW0YCcm{NhwlUN<~;lgD!OzeMeal0Ev@WBWl>PBb55p=TF3= z3VPcJjNOw$4)LisztNuk0U7NrVTJou=H(#nU>w{jF54$HCgsy00r?Xx33XT~Jpl4o zY3xYUww`(-aBWJ29SZIgmTtLg`*>~TAB%5szj%z@@>?1DLWd(fbOPo3Z{$GK^5y~` z-vT3>=KPATpXYF;e*GPJDYqMtjAai;ym;+P<0+xx6^ZIQilH{!pJX+q!5{y&&EN7 zTI!cO^rfv~cUE2F6J~Ps_2$4qA1DA{u(n_s2z0)x_FND+OPE-+t)_>Va1rAkkmBuX zpC2{oqK()}=bxLJN!ZfBs9^6RA*zeAs(=fyAVOdPX+@#pAh1}G)q8nW!1p3}3tH&- zSOr5oy(vjDSR{y#mnThhjXfaE{+yuTe#Jf23^XV0x7Z5VCMEU^Jf+0j*Qq{_4rv)i zzeb=C+NowO*DSn3G{c+N-@;!sI;V77Z=Daa^&FQCwcpO3SMS#ih>gx|v^H=)DhR80 zR4=wzE|r=1iV(h68TmyqwcLvu0En-uw_e)D zY7D99`B6c1?gfjus2&RkRj4}TH)81at-}Gg?R0E%V!u-6QEJt7Tim7cEQcU{Yr`hfW0OxRMW%u9f|CgIr*oZG zaeM0SpX_=vT|v8eY!?0Ep~pq*>kBU3gJgUrSK0XVwx3duHxTNL$G{Eo-f@QMs^HjD zyX{?Cf>z!`Xz1#=0FnaH`6)upVvyX>F0Kwn>HX6rrRK4zr+?O|K1eD-d7dcOG_JYB zOXnp}17AakOV|R{vQY5$KxrmL@*=>5H~H7lrr7nj9gVYeh!xATNtER}g2 z(k&n7tUVE+UWRFcr7fu)Zh{6~$s5vVn`|tBUVJO+B;^EGej=rLdQg>EMhC${ppLL< zufnuqTIvAq-4^L=;cCmbx=a5~PU>_k-}~Ko`yGxO9-ITAZ{+9CmZ_7GA3p)+NK{~Nh4A?9}(0Ls) z)rPhehP7~g966AYtyv}Mms^E*VJJ$kiCT9UE0nDiOaeE*7_YF>6=;OGJ4xvdm1Xh9 z-X9;ZIQ|v{P=&^vFhqU}dg7Ac>&;5olO+NAbtvwa_e}n}8AJgV3hqN3d&Dke>BJ9U zYkee$l$2Z2+@;;E_>=C#vZmH(FNQFYl%zP+3b=lCFhcZq;bkFdObj3R{&M|t5_-x* zV(i(G$a>h8!I8v!SOmrzGYZ#dL$=@7#Pk=wDS+$|8KUyP;8X;y=2V{PaEqUs%h zD|?>!(PU$DW81cE+qP}nwr$%RXJcC%=f>tH`|kJme^vkYs-~xV&Y7B-bMCE~IX&H< zwuq$V|3y`P@Mi`dPmawph(>fafpCaN3^i2-40B|qB(q^rk6C9H&}8d8=#f#PKbvl_ zs0War{Ykr^*Gw>*9*deo>e@bOavr3{3wd?%L@>p|OM(!Bd=XL#t<=OqF42r^vmK&r zUJ_SRk0vo~ExO>M{uh;%NbTo5Rg?8nB;v6+NYh}xVs9x<^9)hIAr=Ok!Nh2go9hph zx#F!kX?qjaQaX4xXOv9TDrzD-GRA}G=++=W4@|8M`e>TGsIA(sUnJ;asb@?%W#d6s zDMsgu4{UYt^cKNPSQW}RK^Ng>cH~N31%;r|y;IZLB{1ZZ5YqOxW6M~1nrcO5+*~Mh zczMtmhzj6vP?RCzp=d+H)t-1eoPUsoKQ`K=2K=VG^+PfG^jk6X`paP6E^{9_*F9NA zND_U>TmD?6{|>3uB!O!%=x&0zoA=i&rG<6#Ur+c9!=SBMTyvyA&#dKK)q?G>9&g&C zNMHfWXWHYD8DEB)RDTDpER>b>ulG13se5@Ksq^?IfzJ_Br+JKN+RHK1a+GI*Zog8>>HiA;u;6Non+fl4C|JWQD!l85iD!@}{ zXUymv&rjd0gTv1FIg~nzxtT`H(8aO?Q3#)Z4j@G&{e!*HK6!}Aysc^-_c$dU{p(Ma zsy3eb5XrBHA8?3yNR!n&7EjVt+y~dR8&rs!SshuD1(?BJSMGV~S9dEpxLk&dR<8a?>gZKI0Z zP>sunpi7%a31B+N=+up5e<13na1IncaB86Vg7H|~&rT&vG+P1!tst7Og48sFK&sEO zbL>4!!L=J~ezfR|!M5x+p_Dz8vjaHXTyVD-6v!`=X)E;%y143m95XsX`{WEnFAO0> zuKqMVGYS*0)pmYQrp4%M)UGlLgQD7SCfkrb6cix7kkp9)RhVal#+t_uHP}fG3RWf2 zV#0S5k};&=6&@py;{v>^cUf=4l3|)OCGLG+N%q>P+p+9d^rWIltp& zZZCvl=WAbm5$N_|C{+3+?fyJI-8BD<7j1|0Hfe!9>ibsB^)or^mRRKg206VQ0V7W| zNVI#kg@B3YPF~1D`;Qe=HUtEMCSAK&QsM3?6!aejUKE-y^lKO{O4PZqFc&Gy7Ma)8 z6gdx7W5`wglqHdHm-x8IiyK72=((F2E>NJ$YeLg*KvXY*hYk~|)nfbDfGI1tCqwwC zFHm>FP9!kcZ>L0xb*SGHr41aWpO5RHgzhA#sf@s+$U!r(9~S>{6k0=ctywL;PLi^v zuJ6=dCv|#O)%V?uI;-)z$!;yipPjFdftzA%=wnMW>@_}tNXtISDn#lsMfbEF{Tz|M z_ZFRk1Dc@ICaFblN>cY%hi)4ULvlYltW}ugfG5L)6Z9953ENhXs7wApQZ)IiqY)k12E}wOMK{!}6;cSU-x=syBp9ZyO3ZUDF`^!^3Bd-^o zKIJjZdV4K9qnQfbetdg(l+w9R)>K*<4Db4pnICC=E0xFP0_2R()b9qLVL)Y>CUw;d z{(U#N)qS_u-W%P|9WkQaX9W0a2XmH4~IOOn&4NsRYsa{b^oIX7#Y%gyWt zvFk71+y><;b@x{9f|Jt%8GTo#lZozx4~Lnc1l57a7&AYG^3J>mO3^p)b9~JCRZun+2 zzmmZvvCO$Rb543BxRA3IYv?mZw=0fuLYsordTjddIe_Cre_EKTg#NC62*>T3yp4H zj?2=(Wa-IY`G&kjXr~4z;^d-ew=cpFbXku3HL&-hyp8-_0TbFrS$TH>fS(?KkBlb*qbr|?!TbGfD z_oww*ZY8uA2d{1YY8HC!Zi^zi?GXOOb%2CnEs02QjF?MI)pP3wvYovWu+#Wjr{$Jh z3FTT&c7DRv$hWMPwrPFO+JUx>h}aiMx8F6|at7ICvH^q<;J9Hay4i`xOuBQ=G}xEm zs&O_&a==SESBp}7&r`uAHU(`AlFSoaaOHi@m2n=ZPVlX?DgsFa#qEhVMNrErw1&Rz{`)pEdzZwBr#ihlSQsU3`?}8smvr*tAexE>2=2f#>Sf;K;!8U zv-*QVSaiY^EHBl;L*TK=@&RRGrT5Ya?>lvf`+M<-=S8K~>JhctomUPMe*p8o2AtPqN@5o~0UpxPCscYjuWlWm*e9Wsa>}*eUmBoGh}g zdWgswdHl`;QUQ>PhEy+o`ZNXZ3;af1vCQwM14_gruV^WGZ!I?5eh)kY;uRbHeO>^Q zmHPb)A}fp26{Q-mwfbA_+B!FzZcWsR_Q5Y{1n0ngX@l=_^Sbi1xgWz&IGL+3n57 zx>}yiZcjYzU2Zmxcbqxev`v>w9obALj!y}$e-c=&lK}jaWPK5Etz0+D^<(wkbxumn z8Lr#u777f0TwAj*G$0uyBkgIi*;D&mO&PzEb=0zYrZGfX*ln@99s0ia2TSyAQ~OCx zmFkBUt=lqOW83~+Dx>DHXZI?3v<8~F&?FkpJXtj!_~Ff#^QQQc5-;pYLAUXuLe^}r zUF;=FM7{cf-xmy_-eS|;J2c*Y84v>Eb7g(MI3CWFuBSQ!h<-Cl>20-B`z#+UIZVIx z|C00#Pkg#rFW*(z_Ne%@r;I4YJPdd-=w z>ieAf)?j|MGY8YQ-X8n{Pr6{sd7M30A@Q(%8M@Jmpx8&>&CPwHaaE}z(_Ux;*X~EN zV`dq7^1flFtw|wkETMU~JE?OAUYu2?y9#5IhWm#odPf3E`ZRUh&FAv<%jTqmSBj-T zuaFaPe*lqrbL?RX8d%TvW?MdFNQ>~T6MZYOQ4qOxjezk$S9#&d#eD)GFvnmN4Fb(e zv*00)@1!eZ>N5=Sa}QmrQ?UQ^YT?9JyeVB|cM+^P7IkH~Mnp-V;orh1DHRm^TmRSV zbE3k!@}C++gQ5ap+{HO`=VUji^id%GEmi+zgLbm8LV@!Pymo^b>QIsAQwC^(Zu3Vk;NpY1)L{DWKVnI zaC=;6CWt@algH-|;PU!oM&!(U+ErTm+VDvQARpBJ5#;&REdU)!lrqzGRDNhcIPch4 z(8$18NGQMjKWxyv)nZG@#b+nIO&#WZrE&?uPINGR|B~w>+;Rl6&r4< zzd@vU_&=x#wS<&3tjdKW0vi)1K95G8cXM-TTlZC1ScguS$(#6Gg{T)?aEXr;g{P5~ z=Wq*PdpM{=b(Os&zgWJ}+u{Tv=smF^cC(RI2`Z1F6q#LqHLh;0nV%HsPxlz;*$1}O zJsr|tepuTyjJ%|s=q%2Wb`o^o|8AF@BLE(KYA63q!Z;Mt8;ZDe$IkH1! zqx9@V-q4*(r4KNKfD+ko*L_8xZTa7DXX%-Fyn`irdU^bWOhOXboLKVqS5*^rMaNLi zzF`x-Jpm$T8IwoYO~nJ&E*;a~;XpCaL^D%T1!?LRe=alGCCIjMX< zOIM$!s>oB8<0#6o=cU2UhM~v&Hn-3HeEAj<3{I@jLno?t;%YC^YSs7dSY64S!?R9^ zOiaXdi0M>}lof<%mvX2Fphwu(x}uSsy^DhElC68LCt|KwK4rl=?(YS-`3K(nG5U#x z0HAQ~Y%tVVzB?ysZwp#<-BOYl8DxG@q35KKD^!~86{MV(eKkH)N1v*q=99fin{vCo z!HCz7Vnj%p`PgCYqB79iW4CHZVmE*{a6=1D^6L`cSqvB4Y41R7>aseIKP_UHro6Ep%FBoFqF(tygmPQ_E|9iv@VXm~ni6kj%tg-=7+30bOl~A(pTMN5{zH%I?S*W+C zm#>P%#v38B{3`Jxlv-GHSbsGt+s$x<6Z(yL?))rA*fzn{!aclLMTr*=JzQ>smJpiu z_HKo6TjwGCS^D7ICR*4c(Ugp1PXK}SeY0{_g6^_ z455;68@1`rFdaFrCANA3I*5bk6iD07156DJL zSKzv`9e6B>Ju?KXP69d~jqd$CA<#wF1%LG%H!Vmo;xoPtivW19w7u{ zHNXsJo%+EOfZVJ)jP@6v^D&17AJtLf^~G?!urwDsg@N9B7jvEkU(~x~oICZCYa2#F z85y3mG?&Vz7^V+9-*RID{H#oLV1QHnAi|GM=rHpdBZ@VoTuCk)f`caS4Zt0e3lK?= zloD@ks`5hk{z;b~Ib!%u9bBF3D~hRwcK9HQ)uP5L7VYpi-;pAPZI%N#V!WeYU!X6C z68yfKwZ9neH&?v0CqwFMW65-@WJm^OW|$QL{~P9;;I^Yz+_&NfJg=c`;Fl+>z0W?{ z?DAIAv+KWWgK!V>{kIfXrR&9pO{rUl{R8kvNi`lM$<2PylM<6|x z$VVFT;OoJCY^0xu0kp+a_4f!ePk7&0sp^yD7~Lz^rEDgod)GkgRzM$*U=*utwyuIK z`*&hR+)IJw<4dTOkJ_zLb*`eUbimbU+Ji}VzRI3Qhw4;qp@)q3`@RD8E!;NsLCw4t z!Sv^pMRjT)mTe_pw;WDtWx1MQnQ;Y~qqE6Ws&7CTt*K5~zRutzBy)*5W8s(!*|FK#M|q}BeE)q5?-^#K`YYFxxN&-H(D7MZ&~bkp&>LfU+B7$W zR75I3oDkJ_l#tdbtcIY7+o^thlz<`R`8#68i2Rn28=Ufvs!}bf9Vou;4=OuM?025x za^u>amB-tH>&FrC=T~n3&EiQZL$^sgEL!^?kTTtv`}Ktkxs@ZHmnDeyb0BPr^?1zp zN}&7pZ&aFNoY%Z7&r=l97)cx#AyqKy;+QQZu{|Zz6-CoMIO)kZI_n5HTQ=gZ6CF$L zx+^OJ9ntgKDf8lc@}E>X>!jeA6w^=;acXT>P;qMQP_Q<;T;bhQGa9|BnJ6OtBwt`N%66E9qNZp+C6Ome zI#-Nxw!rpwpd}bBP%Lsep)spw_qB0q4@ZGpSCA8t^sJ#MFZx@nwz7iQtS0?j9>J_? zRaF%%+xmHBMTjG(fI+~!mnK70`Nu3qb@Y=SAe4aCf8nUZf2TdsSGldu26?{Js{s-m ziYde(_AK6OFXk-Y3*&GxZiNr--U>s;r?jeb(WG`+X8)l20`6nQ`B5z$yI_l@Q{G>t zM~3?^^~Fmw4dD4*pIqMyHDBYzti3HUJ+Wk>I^6`-p9wC1zC_U?+u#X6H&qxeJp(Kh zr%OKp$Ugi~ALK;_q<^zczQB4@5YLnON^fN)S)xBIIG3DipVQKTs?d4)MaLOuGc~}= z0OLQQV(<5fjmyt6COfMu=f0A&Feu9VJ)>g(5*r6z@(YNL%ap_h42X@-J{szp`N{f@ z_v*)7ITSjIn|Z<=RYKwu@d&d?+Oq4A^g`|er8tC~(rL)qWUJ6Q2uLR%^de);q-Q3g5)o*);k zAKY|Blu8&b+%m&qCTr9pFK#Q2QF$KGrnR(Sd*E+d59WdV?%WhFUZcG#uZgC1sl{Z8*EJCm@5%hd3jn5h|nqSjHhwHE#KvU?YB?! z*9~v_>$b|L&l!^8l@o3qYfayXQXkg(cO^<=SUbRGitd0;kiCX#h@L+kE3H7l0f(55 ze&YPEnu%LLUGHIqwJLtvqw4Z6ciM}hyh5DZ>XQaj%Z5g4M|;-Jc>Z0E4v+1P1AEib zgX%>c``OO;@_mldKONY_owMiFr6;-tiPiE?Ow=|)Svh^^_x^3o+Pp|c8UcGppu z1lxNWKY9|nx+7`^cw8(L&O;6hV(KrT71iqMG`V z{4^lC`@MSUOLpc9)%9O~TsODP-@Eriz;o_d?B@is?|iK~`~b$&!-(Za^19bGU+~1) zI;JDlcgaCH8l$lV+#Gb+CZ}ILX)yU3+|3m>Z0Be>eHW{2u_J>E)usg&6OWKv*g5=S zJ2i}dYofwBZU5onk-cxaR}|+OyF+!d5?$BI7M)^=ZAT@%*GILT>1;OFk1#K-(e{~!sIY4^s@J+Q2veQ}YICmYg z)7@;7=lP!BEw$A=JC6kbi>g*mPqJUY!L2Lyebqj6pNS|x*TA?NDS@{S$HC1@xKGd7 zwP`&fXJ5q!`xSW@g#RVOJP7=%;k>I4PJ|rbxZ5101S5p59F#cJ110H#6m$9+^@oFK zxX#a9YTAoE7mu|ya3H|dGqCJ-P@P}vG4n}vo$1zRa$Cpxpp%&~-%EAp?QauHRlBTq zy1j_G25D3)PBS&ElXopKuI^SmJ$?0fN`{()bnCTLu9xgqJ^l4shR2zdY+m`czU0=Z z?$V8&wI>}tzO1?$mJ%H*Iww2|?-BC0bt>4u1`aY;*)Q-4J+RKzW4UZeMGF%7?1_RI z>R@VDyip3vDLH(zO)(d#iVao8tF%FM-!YS;nOcKq>fq`}^_6aU9SVH<s<_M^Ei_b3oGB?Rs4%yc49jxhugphQn9 z^!Hjm&dcbhQaqd&DJ-RRxvlC5YO$%Abd5`6xe>;hR=6Y(#($$pRu5r$A9Ar{kt*U< zLz+@|=13S#g!R49F~3?wrx}^cgST?ZB3n_`= z2FT`ZpvJb?JfU}5;mN4FXHM%bN$56H$!@ii(mPl9(_uFQ)PZoq!PdF5yYYINgaW?? zyebqk?h_Z-v27DLFzkWev74m3JmsjiF1JqC-%iu^_zVHXKV92GZ7kw`WyEpoa^AnX z%*I_uBqWU=nEm7Yx%FY-&HpnHc7tNV;+B1&7#a8Do*n9k^(S&rk=#}*1QAuLr#w6K zR2jc6NC?ZjWYvH2E;Eb*zG_o^eqhPsv7PA|p(R`Qi@Mx&dn3EfT!(wN;HFCl_q6?4 z1{l8Z=QwJf)gTCR#frHC86SvnHW>qmdp7Bp$A9ce_6Zu?e2H=T2Xb1ssQHajcH27Q zpwejtg!dbb9Z1&PG~*lic&MIn>adnjy2AQ&y(*SW<)!%;Y@Dx&KWwL{So0mwt3AIiKofZchzGQEeyzjB$%opis zGSDp^^nGkmSDtKD*PLuoHvoC_Nnf#qYqPu$B-OB)a0udQC-4}7Ll4aiw*l7WGMP8= ziH+4~DWSkZLXox9vceQM19oW{*&l0$qI0VKUQ2U1jv$y6miEoF3$%*YUu@4(Y%emf z4;T0Y9Q#Wg`@d0^fmT@xQla>orz=@nc%xTTVr57GpZ+BbcKM{4P%Vogk<>37@ZdFD z#Iy?v7Bd9d)`hjeVisgha)i4;VkI<9{w?kYQ9`~EL~;>a%7qZZqO8U}_=Yz3L{)*W z^u$}lE59@r`Jg4?1`Fa^8pj|jyA>nnl&a)-3EPWh0ac@Q`k!Te3)6)HhE7MZE> zbXjQ-LtJ6y5w?X647tNNR6#qsL2I&3Pa<l~BiBOcb(NqUAn?L@QrT6TV6a?ar%mF`8oMp<^g+QLsP zUYXInozYy|6j14=&f}5GGG4)D;>OM+A-qfCqv8fsa1C*IK;-v?*4M@j2xJDc6fUY} zMHC=;_C>$=^$i@kCPZ)ZIf8Z?CuCfE%$-p@}qp(**p{v+7WZc?DA@X6kfsDS%gE*9voq8ywJAv-K#Z#fRzQ!!R z-jT3A-+@8B=DE?NAdrEZ!&5Qsu;ZX;Gt|D|50;V*t6P%mYV__Lb6nxs8gqpagP zW@V&=KX(VM#5R#=1gg&!B4b!MTj=7B~gSrQch>azb>tO(!zS+v-1;PYieD`6eIH);U%;!h zF!oaH{a)d&04<>nOPF@dhjxQnJn2*b?+HG~DQVrr*t-YkW`fn_8pfzFbVZ@EI{?w; z+R#+-_U-mapMM`99gyS3=~AA79U%o`o#3uh#LH%kr^|^79raYY8*dO`KbT2PZF|FDm(H3P_;b0NcOG&Q*X zq69gR0?CrKen6-EUP3r!v^&aryV|Y!p#*v9P~=XTybX`!KmLh>!QK|EPJL_XmN{~) zAoC=vo zw}ZE1viw0#4q0lQ7RL6|@w%z4ILM5!+G*tS?#H_)^wx{PuQ{z{@s^*!wW^~>E(jmJ zTWp8)-UK7fwfh4kJere&ZYrgO3eWN)T{3DCDTOs^)Wq}^8V@9IO#VT#*F6S4chCAuJcd8plhF3u z5Oxe@WBw{6RX&G>x3fEn)%-hKAFItTiMrWU*P)vs3Zka(SK(lq*Zn^Z>#3_9ak9Cz z?i{ec;1;t5YR%jWvud$FGBbO6>KaU=ABRKo_*G)`QeV=G=3V~D=j)1A-hIb-DqVeC z*-Grvx>KC(sk%uws&yi58}{g~K+b`bxW?QiAot0e?eZ>}ANIiU-5h!{394fOG{A9hh;4m<8p2^!JLwY?E#IWP$cSG2GX65r&3rLa-K{SUyzPBm3Np2OTWGz2EJ#A>MI zibA!_NZBKmS_bHPF^NvBmBv!Vq#{^!b~I&{CT%H^?PdXF7Dc&wGF_KmLuF#RQ3z)6 z1Go?00Om7ynZ2&7Eps4JmY#>3wjnNenYbOIHA=Q39_7Qw&3$$!0&<@SlcOHdMn@Xn zJxQ`lU7QrBWkNbiwyC+;sTOuJw}wQS3&>bd`ZiTsJr296nS~{bjm^{yMSf@e%+FUj z=yS@jNNsMyHq5uV!B+rT=wNkl7M7B>(4e|{vNEJazwl`zTvGD7UL07WDtonJ|EGVpy6)fSf;dk1wpzA9CFG?1QwWUNNj-m0Oq zhMheii0fa;{Gl)c&C7BfYY~Q@-4P%A!#~!=Ws{;BlO()_J}83^!(11HzJbnWy$;4( z-&On)1{ELe+N6pCl6Kk*MW(h>ao@CiP(z|v!-YGex*yMlZ!YuqFseyMRJ*txorx_< zMb37+OZ{wma`<07iWxkbJO+zE|H?R0Yc#t1){<2b0ahF0LhSaW`8b{5mKGe??L)cQ zi)K2@_1MeELmfgDa?j`ZNi$h7%e+L{%s(qVg;-2!5+F;5vSLb^9U-9CJxkH_^!BlP zXQJJQGX{Bx6^-(psGAh3eri$5!PTK1Laf0cg>vLAurxJL#Ph$Vt*@pxE6CN=)-JC8 zl%GVryqMCOrLSv_k*mAxkYlv!c70&UYTDs`XOYvo(Yq>NXXtVV`do0ho+Ph@$<8g# zousr%Ht@R~0k_j)tuF{)kzVs6Au5@LpVck|)0s6F4-b~27iCl@%!Eeu!fMM1{@0*I zq+(D}r;q;ZIf3_8D71wiwW$s$S&ZJRMgzlYq3<)s2*WW+H4ea}l$uagLW&BA3KJ}` zATZ9nL5cc^h9nSKts@v;O)faF1+j2rO2KBYv>0cY%^0kcoZrpXW^aUjgNKhN&LJco znBbbVMJ9yzW4oIiv;;H6?IISO)j;0I?pid+$t7}V%QS(Ea?I6S#paBuEP_0Y@R?#X zhS^d2<%O$r_ag2){)**bcxbs{W&17Nf-xs(v^M;HHWzlt%xA(?NNABYcdkJ>%_`xk z#Lkf~|J+uikN|{`k^c{#uxjevC4N|;%6?n1<&tuQ0wivm*uE^tf9e)OLGOD{klu0Dv(?#+u(qxq4ld{5Xt=* zQ-b28Mh|(aT+-3~mc(>*wibSTbA!fr+ zfOfy@d|N%~Dihf1LVJ+`<`VIxLCUuhfzL$0HU5I^BCo$(Ebiv$g^XqRvwf4N%=<@! zr%bkn>a#t>z*><5?eR1s_rAYx7!Fy{WO-_dJ(0|!4)*^c0%}QWjsEc)UzjZgC>W7j zkC=0c|AV5a$|&5q9%&Hb0UivF4&kQql)jlDk`z+$q7c)dE%$}~rSp;Ep)0HENGW~^ zr4(~xR3=rDTEdHE%@5%roso|`sNVT=tKJ?qF}t)pMZCIGI$Y(ph~*>?v+^Wgyjs&Z zT!nD~w%lV0&Yb0H7sqbbXX0r!HdLN%!;9997jdrxS_N9@~tTPd{)Ngzn(`_t93?SJ5zYn8m$H*t;W zvZ|EaPv^GwPwf)TiISf>rAjlU{`J^U6)e}b@fF;O;{tq(4gV<9dFn0?;yrhx-TC>d zmyPw29Q!U6=mOUJo62M&$8zMzO*wEIr%m|J7~Hh$&PGl6DgW<;ZTAWMwv+hjp?{{n zz>nWD(U6O{SY@}m`S;`bN9uit$=7Lrlu!Wp)Y^dLu&m$(|b_kubQh)tM z|3q!4jnc%Ib`fAc-Y#wv6kO{R{!`2}(L@qB8CRz}6|YPtiX;cfJ2b_r#U6-M??#JM zySo&xd^9Q9d!8= zPFCM#^K&|vSqZIKe&^pXTO5fUX){}TX!GGxt~FvxB1ez4I-A_v}HQUd)`PIx{$ieu_g4f#+Sax9c2kF4;+^^V#HAJZ>_(d z*N$mLm~UyuHiOT!nU3|l){GATm(i4X|H4t8qtJOZE;{RFWBkCuNK(GFdr`lRAya+* zWM`hbMuea9yBe0K+wa88h2IQOLsj~DGgVw{tTvrgtTvI;$pB` z6zpf6{mfre_nPOi<*xzq6Ej&`pSgWQMoct=f4Gdby}@qEQYQ_-QRe&CC&;Z(4hxk# z8%^agn03RnCAVeM)l5=5EoL&V=uIq9u5&s`I3R`dVPSB*vzpq(j}Xx;@axa2%UfEa z#4W_Ne6?&eiUrx0>{;rp-K`6{o+vZ{C_B}pM9!S`! zJ2&F%%LGYWI2w7m(-IK4K}>uub$!444t7=t#Xp#MMf!vCz1%2s*Nzx;*e10`+J_VL z6d2Z0qJgjcnJ85)xkA0BkFugJxk16+sIPYRjW9k}dOAN-#m&h)jIy@zPiA6+mvD4J(fF6|bVn(yYm)uonFC7~v|*}Vet}xW!27FO1>%v=$!}(soL|Sr z8yCwU*sV9jQt_YOp3%6jzIcrm4tB^bqT zkBj)i<5Zp$m{ihMf%C4kG;;wDS|hyLUcKmf- z4}JO)I5bqziDgBV)B(QH$}Qf_^FQ{C(!TCc<-VoT!YzJAKB2S@Zb9ky6Q;$N1(MM%ZPkpQ>$ zb9H*yy(VNSHFy*WNtN*TAdDJMU z8@rf)QO*Zkm5VNY@BZO5Mu82}# z1^c#WsGt}+CtdYQCJh8jABF~7P$^3KBDTTyY2|7nQ~|{7 ziP|H2e{d?QqnCSNG=$5~v)tBMn=#yHZ0j7(y8^sJ$Zv`&;nT)UoH9*wzJ;Lf{E>Qm z2am4F1Bq4?(GNWu5^t7HmWQ`iXz-1UBU=2_e z@T4Z_Q$vV9f3|hH2gnfG+7)qFyumPmk|gnMF;3;=HW zdnRV??EtS7PHx_rgQnqQKJIIS1Xuh!%H@J-iWrIn1S?b#6bOC^c9ag zj|I0kB)_+)@k1N0_f|gNwL*gj<$Q_tn4>z6FHH`=B{Q${;ZH2*qs^41iQ@X3UGLpq*B;L^x7#`E@j2`7 zIe>vryoGBA_o8N#{hY(+n)S#U_NT2QQ$4@^a_6+Y!?Aq0E>9F1;8p<_!k(=yfw%Cd zTY#(Yzq_{&z^MfJUL8C7eh9nLj;S3H{T{`@gMCpK>rzzbDza5rhHd>xj*zxaL;L?E zckR{;+clS2od)g8|CdjpvMFrkS1l= zF1g6-G)PagTX$D9(b|Dv{gxduG`?STimeBYIF7xg)q(#lsUT!> zyhbJ=s%c!rhtmgC*ORl;!ly~*S1>6NWTy@bdG`i;&f8uA!^+$g-1-`m+$?|%)4~4k zgnGCR_VZoXY_(>gd5!sc~I(Sqojq;V$HJh^f^xvAuroj zyhuxWf#WwyK5Lwi)WsX{yJF>(LU?F1GGY6ofwu~!FbWTTu-a0?qDrJt2(MqF%Wmd!ztL&R=4I6xi;=aWsUp|)D@;dM z*Ml29l9rE0f<)vI!ToIjOxVALBl)24vGCi{3Eafo7nlcyC;Fi9mGRxs-4>J=ge8R| zQ6WwYxRlgrn3-G&saBM+rfT&!KxfRLn2zuVXS_gI&x1|O!inFN42TG$WDt!a1cRjh zfRIFh0kKkvesBj#oq&+!fJOOj_>f6Bw*%kXpk$LdEj&;mPytp?gbumI3-V6Im4OxY z?IlMI{~HQeS^PIF*n@Ih9TTv{GDM<79wjr1onx0{=?d`XDbP_iDCAE(p*S}X*4f~l z>|vqUNp6{Hq)fLfnHjJNFnsT%P0xEF-}q$0fu7zVuD~OR!_9m+zTUv#v-p^}<+0N3 z&yiK}EhX!$qt*8Vr!S{uk1?EwBOv(Z&sG&o!ex1c^4P>gDNJ-lDR??hL?eJxk(YvT z5uC7}7l`vQ=x8n!awdV$U!MhGWISJVWoq~+$@}b4Aus=;H+AD81LRdgs&SxZ%`vkR zO?4S(J85<;7UG5qM&56+I3xv7lLn%5FymdW4u>JQcrt3F z-?Zltnu-O>MkQultKSzxr5L$nmx8*4Q4Qum0EMdJOu(xS0~$-S=xhz5gc7g3ICuW& zUYMc?&SaP_*0ctX!%oDvQcGLlOjb56*-CA{N-dWN+Mhn^S{BxJ^Q?gim4v!v4v<$) zAb=?2wB=q)O=5^8;fDcOsODi>!Uqf-fcZVK7y%Yx^Eu}4YpUh?Laq9O$(4PGl?ox{ z$W`MaQ_i&8LyltA^ZiOP36UeJ-=8vQ^2~xK0oTzH@4J*?oFItdxJ)!;gZCH)aPxj< zQj~@7L2MzG@QuuIWO)E}{IH{V9*7CsaM*}lMJ^TY1FVShJ8kNGJ}VTCGzD{Etd1y! zK+r4&TM(|VvVtTt1i0+;1n@9pVq737ndc`mU+ChbIaOK$9f=#*BAy2))dPfEpGxdF zBNQ=T5Q~;1h`9CpMX89kFvys7@ubl&uH{c8QT@`yV<84h`XVbC(T+(pS&GL(Sl#Jfu#(e5ly9Ih@{mZ-qC8wo zfHL$jWnBsZuZk^}u@M_&NtZ`9Fr~7qY{gqzufyCf*aAQweps@C#lU`?QCVs>;+9Qw z=fc0c^OV!D-Fq=gE;SvV*SgpfBZ+h)a1v$;CG$IRr2n>k`DppH%BYXrX7*tBcd(-f zp4j^Nw=!OYesB)7mka`Cu`z~eo7oSgN%3ENOOb@3DZ9s`M+9~+9J4rb#)J8 zAE6>d4SvNqm&GMla3=BD=(OkN;|eL0u|N3L7<1@@lio5PRvVkG*BayXsMs>a!5fb@ z_#AVC3|ak2^!wJnOjoQ7Ip$*|!RjD@S|=@-kt}#efzORDWoRLU7Y$FH57v;+#ayf@ zb}%w_6T%%{QuX; z^q)TOFW~Kw&C{pI)DGy zQRZln9}jeh>Fo)FW=I7PTT6J-Oi(S*ofK=S#xsK(m(?T& z;3I1W8(#o>#F9V-w%oFnNOuDqy-;$6A+Q3~60ShgJY^VB+zR)B==1;Q9|-LDq67Gb zM3|N)r}Z0T4uMTT1awcA8z1unn>GgiB8sQ~jSfEj&(2BAz{T~oq$TY~o8jNj_lSXw zpVS{XZq#( zB#USq>|2hFYJ{C{kC9u19~;!-6QJ{uxkwiPOadu}n~t(;P|3vkjFv(k9-Dsh@GbAi zbY`6ly{_65(B8rJ7VhaNo?cfW`6%l8RYGN~B{i{uIme-!7?YIc_DhqKu1Outlu+Wa zL%;Tc0jF94smSw^Y)5(g><;sx`Mj3+#kJ3m}F= z3!H##8MWIhsWil=%?b?|JXz0xrTIIm^5$-B0dc?AIM}z<1Y+u`T_Qvn!CEK-!$cVe zG|A7rJzzNJNdI~T`UmDuIa1MB7?-kh+GVL?sHDl{TcqWDxEhzP*nv9=z8yc@dp-WW zo7^SG9$wa6sgmF7X1LI?wpviy!(v=0EAC@9C~8+JC8+2@!#A8a6o8`Glgrq=c~t2V z&Yff_ogK|l)^lK;uw1zhS&iox<4KkKtJlR|i|CB2dpVLV_l|Z-a4A=De+GufF>xAn zGOa@)Tg#mI>^91pR9)&7Iy||v!ICI=@oJI=&rT4WYys39WgB8ypAt#r5D)#XOR|t+ zT8bN_I@A%Jg^o+IgzlT5Wn~)?6rm+oU?pb$QP1Q^wrYeXL)jD!hMM(SXVU~@gLaPP z)<xF3L_@R@pN;qU0uc&W#4}=w92oU z_ovf?w`^7r=T3l`iTWNgsFDAK`f#hDm6BNQDI`im7FDAaj=Ys&dP0rM2RF9o8ym@G z5mp*~)|YyGxn3oXH%g+AiPmjizzpzEg@N0h+_N=~O6GxC>j%#@2(f1zYREKlq$Ykw z!y=y9-!Tl3!Ur1Vyri+c$a!B`J6~Df|1-bcZNc4iwqI-jLJ^aY2@2RtXUkURb;x@B zKdjwja3x{eFZ$TFF|j?dJ+W=A*mg3pZQHh!iD$*OZB3k==Y99Cde8oJs?Pavt<~LC z{i&id8HuD)xly9HOj^fy4pNN&@G02=B!YaH@E9th}3rtu^&K^U;nABuyfTs;(u z8v00QuQQ@u5R((G{AUd`18ey10gq(ZVUGqN1nk3#g5{1gq=`j5TDkj$AAIA&zOL>w zCM&n+r^lI6%`G$L9$#ENJ-PQ0{%J#D1Y5_^nd;3`Yd+l7z~_&(XoD<;u$JN7`c^K2 z5wU;%o}Zd7g0;Wz|F-Z+;-=PBH(JTH5U6W4l;$H_!+GgWI%wk8woq==ATDpNIc(O@ z+;&-(#QdXs9)kPC0Y*&QZ-24uE8U8#s;>#w#N7MIB|llyuhp@5663MSgr>lijE_GLl`pei?-yyd^&?&TIfZhmL;E|ll)A`QCS+zMlebS|BBUj31;Q&mubKj2 zv!M6qXvOHmf*YEm#8~Ha&V3yzGNw+(hQPph@(yi1JZ+`1+ccYpT+`-LAFb&nF?}7I zb-qDpW?@f5%flGc1EDPilUU=X<#i}R?{)^Q?s*d~mvM>hKSd;S9p|jizWkT1mzDL6 z015FPEX>?D@Y=aOC)R|kWzW3yk&HgL&%d5$WbpMW z!o>CC`7dwt+hF>ANu2~3NRr_diblo?;Ze>|GP^&wB+-mxTM__o z9rl)~VvjtyZs%!4_b1gtN1|QXso2n8G+j4u#66F2@!}#2ZrRX9_vt=DN3QuFEIP1L z4?OCG=m(|TPYaZSX@-`f!V`+FWRNvb>4xZold-D`hi1eln{BpMZx|hfiC$vM41xxQ3 z!ORVjYL0fmztJv5c91rbd?SKQt10gD1?6P_T1$h}SGb(Dc=VA5#!{_>>34oN4zM6X zu`R;`0oo5IaAOu-yzmG&sW)6-Ljcz|C}G5!l*X<_j?!3{7m|0;S$=a5f{@!b@U~E#OzeW+}rE&cO?2;NK>gjSlr^Y`xFLG=JRGyWLbyI)S)M zGFc^O(**42Mht-{73*0MS&Zcg#KV@fxQIbrU&oA-KZ|L(5k?|$QY!2$vg%t-wVM#r z+QZAs=*_n7dD{Y>X`I)u(&~1M2us_PC4N1 z2cvdxC7yPB>rHidN{|#`5ogY;1?FUm#nHr$>eQV;a6PuF=e^_sm`N2CoDDDPbCHY^R!$`C5lWxX9 z0|EYRh}M_L{-5)xE9@m;UH9m1sJv>Ye0_W$d}>Ef_+HK_si#7lOGM`!NY+~_Q-$=n;1H`>U9y<@DA1I!#gj(w{xG5ySpco4zEgQ zg6-T|V*WT{Hx7^3$;b1UNw?OiXYm`j8}0=Z%%OJxnMH>nr~9rS8wSZ*wb07)ZJe}a z>g($M`oCob9x^|kkH-fmf0@!Q9aciS@#a2?c^8?|EHBQD#0srks|_q7PEn*nbx6yF zN|G3D1{M6a@>?5#Z4vESPjE-1MYCFT|4(kE9yx}sejqfnBzID7Q7vM!g_fML0|<{X zR7&g&TlYPmB8rz{<|^!LYeP_ZPAs17C`e{ojX`Wn_3Bdbs+q|BpqU8UUo#QsMKddR zTd%xhzU*?kXT+2Z&l29_@npthGJIxw6VABy-Bn@rp0=UC7Qd zFDQYtjGcysU3~v{4RjZ3xfh)GvfxBpfL@AeQk+p>&W7@ zRGp>7{iJ@1+C7FyyJm)TdT>uC*HSkB_3|lV`Lc z9|Zh)b?8D_A>oU~^Z1@=xtZ4Xm{NEotr3|?rAK3x>rztgIrn{F)r`N4Pk1`7Z(7$| zurHUf&cI?xl!D=2=t%>9pF&R~^BxPr&4L4^Wh7Igrl3s8n%rsuFC7nZq~e5;%L5J2 zIbU+A8+5eYV!H(B^gEVowOOLhorm;oq^ct%-wn=;>_3D>PQM232+3K&!_65^Dnrl? z%V>`N2;oxzX;fossyOreLsMVZ7?p4wgSLeuD`OnoF4v0UEmi*p(Irza8gz+n^Q%6Y zO=}=0EmEZol|)q*p3V|t*jX>%uofQUuWMjX2csg%7g$TDT#-7Hm5ZibmPYc!pIJuS zt!&=P#h(>MT6UXL^8N=*0!Vt($;5-pvM;1Q23yN)1;PAju!N|cvM2SkW+J1hj7fTA zj~-VyhAEEscI7ZLL&`&rH&D~-awDYu&9*G++05-KSP5BaD+;S##Yx)M?esOCNTaIVh&Imk1+|D}NRZ^YD|`jD(BFtX$J&!lBSp#sn{ywV9w^wxzn-AMtUKM`ksPHA<%3og<{)(`JoNxt~*@n#NR38v}7G;^^u{7b4~J zzl~1aKs)J7e|6m73*b(kNI;B0v5nXy0=RkJ6O$p`CGuZOmNDPCf@bUV~ zMl!rSp^y)M*DpwOw#ixV4Iz1dg&%JXxpQX%#>hj7WTJQAP~d2zqp{!}4x-OHBB_wY z1yxkw*et8Do}5f_9ZUY~QfYw81vS1rzY%87}rvy(~GRm}Vie!1*q)SRwl$2#2u<{e2>+U76i* z_n&M)kbHKtb&KA<$7hqg--cANofcj|h#_3#%KU=rGjd_yMn+nz35&suqTo(r*f5lF z(uPDtR?x{o^wM+8L(r|}LVGPf#!Gjb`Ixb9H>4dXk`rK#`M04Zd2jnd_)jjJ$q;ch z_z}9p#h4Q!b!5Pi5O@rpIL3&|2TVCk3;IbsgEjVsbEiCVjbFOH`O!52Y44)kJn zT@{V~piJ)s*F1z~mV-8|LLh+Hm^tAuE$e8lM@Cn0-X;tjLl$C)kt*(gPOkUL^yM>6 zJxE8WrqoPRneV5>?U#x+T*c#d>MSZ~to=u(NK3|K9~w%@i3b}CaSf;if-6fIa$EHA z5S^~egHmMnDJvk?|#}A#vEIxXS)PqV33KQvCFLi~=TfQ6wGZ&0?v+rB>Zb#5O zs==HCBX3k)4)oxG2J3oI!T&4WxmoVk3v2%@`rjr?IsEH{inJ5PbJ8FC<~g% zEH99StYw0#qML#BToAwXryYPO$C2TO(a-WPfn#^;t4p`Z7k;7ZyZ(6hit2``pUL7Y+1KkJw&h?Jhr-)eQC3RBMwSjwuaF!YfxZLCPMtz4pP2_!PCqQl7eg8qct z`hs=#Onp<27rh?>E2Lc$Z-X|Z*?*?-up(s$_mxN6F|^1~G17i?Q3d@;@d6#sjgm1H zj&Cxm1o5h$O$yPlD^*4y`$sqtaIy#KPC;O81SkkjxcWn~nMY3uaIq=8FqKXD8i&c!|z)_hnONk}@;ac$U ziWPL;kJ3iRu`KN&Ndef|NE)hw{;xU4)?2eD1hIi-z(eQz7NiAh6S7x=8}~j@)xjuz zZxY~TpuiOt@M2$GEL71xr{?V_X5TEp%9IFk7GhwBzirF$1KT4)MQctRG$Tg2YIYu96;aDO#{m8yzqpiJ-F^v3*IU z6u@S^aL#)<`*53kQ=O;PX;74)K_Ool3nogux_XT5ntH5ISv>60Fz}es5%3np44CE^ zA9u2(%RVn$o_7w-hr^ppgs|)nrbhy-z-AT1VAJb&Ir%FjfP|ji=@d=1nPUWM3HbXNpLXVfXJd}QVFv8V~HT`f(5{Q9m;TBb&Nr~neOoIzwL`$=s$$x3s3z0zrJwD!V&j)-37@$d2PF>S@ z9J+fND4O&)M*2cEe|+oK(2V-DkMU_vnzPf(Kp?9sv;V-tsJ|jn(w+PE!BChjhVN@* z?GBMou-01a&Wq-mb+BL>50*EkKY;Gm7ZZH+TP}ALMM=?)=&$<2MmD#zj-$Dpo%`9_ z506dURBRx8?W!+bm)Z0t-PhwHQJWst8FbXE_HTZE(w)R2!b`0$$~ae>RA6v z53!#qxegq6U9dAxn(I$Rp{^H&fV05~l$UaJYZW-U5k=ZQzR5A5(ppnra!Z4*zGRHU zL{1)!6r2G6-XE>Kbgj;ciRw2`w?N>n{tMdee9`M!1FO-`X*6hN@O^3|c?>rKupjAK z-77YCx69G8PbEUO_{X3kXow)B>`}Z~7j0yJ(#`0Fkd@m?p*mV%4Y^a*PWvp9o7|(3 zZqP_2h^~C4-SbZ;k6qTotDaXM-Ra*Op6#;l_k~0zESC1=JEgfg|8hB08voUCFDwz! zCm1@Hmn>vL;fco_AI`J;@y~-Gtgn5hNJm3$sKxzBrPSdv!}Cx%Pe|fSgB?$r{7pV@ z)>$zLXl<8O??~B2+&G|ixCxbm-d@d6jU383h&w-QvbnF^xhh$xfR=J_6CDYLA?idXDEbZV}fEmE9TEAE2pb?U(_ma#gdY;R`KpYn}jp2Yp z@vAh_WSEMQOCPweqRXNq&BI_M)&{PEJqnPe zLl{kFW+_b#mOO+RecA`SpJ-Ald5a>sp*YkBrw7Afw?#tsvLeGVWl4bY!Mj=y=iAlb z%++ARxzH6<*p(pUHQ3cKpD&rdEfSUlLXA29(2V$dbJcCxflLaWTT63#)#8n!15CQo z&zh`$*6>t?6Wqs-p$4-6D^%?lUGtf%XZ3|W$fzJ>TzgC2sc7+a<8N+`h5WQA*D z;mKVJc7E7iU5yO8WETTMzcQgQli2|W0o_5E{l3AarQxg|DGQZ}?^xEK5qm_Fi^+(b z{y&Oq-qEuWj8 zFgo|Qi5aue*T0UO*aqJqLOwUN&&L!3#=b@ms+>lQJNFIYd_*3Y2PtUKWxVHfL!mkP89Xuow*}472$}{ZYfo&I$2L-+AJTr zXvL-g=>l$*>8p|+9x>K;|5pahnDz|W5C4mq;x`7KDNF*3SSd=xB4#%M$52@s_G<+~ zft;?=iKf6Sk$B$B02vr-EfoVBDT$O+Zv6+H!J4>&mBWv0ndJ;uwQk(w>0H(JSR zag3e}f7oFQj*}#m` zpZn(-GuP&f=&zgKNl;%_hb*;F@T4hW(5dX?6S3SB;HwUL{Hi2PcyMcmgJ)+uY7tf| zcYvs25#eryFhY^nJuGA(jt0%ZQP@D41KhYr(2jg(!T-a#CHFH6476zEkdJ$DTTQXb zI7CZW-#t6pH58$JV0A+D=5<1}qcA0`!SIkfg25f3Fr_RIaA--Q?THtzY6YHP&a*oI zhh~u~nP!ek3!^=We_R}~0pDK}*vAEcu?att^5Z7ciqI`Zz4QXuOiVX%`oh!*GtSGA z#VnDe!G3R|YX^IkIw0~43s=!b@2@iC>jbf@c)CLZFG5AYdkc0k=Nhv%4(kSmA)EoG%1 zl^ZEn$La2bxeLiptbWngA`iT`}0f+?r;%tpKOEcLr#6@ z`6~esFw#Tyq+Wf>1(uOd_A|QQJu>$fYe)rFKnrb&;eLZ0U_cTZ{!7X|>Vx-5g$6~^ z^;cRTd@4A*?`XVWT9hATUFM(thvYdgQ3TOGEnvuiD23`R`N%K)q8P_tHZD_gNx<6* z@n?WX6AD#)#o2xKK#V7G-{ugx!qllZb5*CiX7kXP&{TSgiL1lE!PY?yRPta(uj8Eb zt+S1Mxq6JS#kM;s7H#``T9<1Iw2In&N~TTyRVNkzD#Ok3t*b#CMHN@@Fsi`B{i*d|5fR1AVY)xNBvjsX zi)1c{fWFLG!T>bpZJ~ooH0UvN=Z{Pej{4Q?d=+fIxQsmY=Z`-FJ?=y3Vj_2D1AmAD zU(LRLmU@zWt`Gq%wZC#wOY`x2Squ-wW|@Ae!ms0u2BXq*QkM@C(eHWJuN2+5lQAR7 zl=IFTJT;iI7Lr&FBR2CKWd4KU@fn=Of-BtR9=RMRO|oTBEIYUxHwQOjC=7Q@Jvc%N zM;eTc=0o(Ew=e;py2;83dWo(zpmxBIrUa*NC*g^?#z&#V5UUlNP@05$nQOx6dZ@o+ z!!U@$Gu5wOg1j`w#d)Cakr2$^r+K>+<2MOyD z_T&Y2#o?<-s@(jFRGd%g0zD~Vi7qXnCnPA^FS034>J8Tr0#ZqnN{2MX)u3RS3zSn~5Gua*~Jdfgy$x+Hi=w!Ceo*@%nDkCqqDU zN23_sC^-%RwECP5VYU2+Lb4XSN4i$W1(LpL6Ba_e1h-8Qa^3C#)s}?|^4XLAW0fmm zX==tMo9k67PaFzJtMBTWohFC(_$D7y#PXwTgwEkD0j8gqkqibDt(w4+Vw(hABHpBKO!{XwlgWg zXcqg+=g|IiVlO(R6QSziS0{@I?U&4u4=nk}pn44C8!8+*>4h!fhbeW!atx*AqW`-V z6KJexOe6IP;iYS0Lk_Z%HJilK#LuV^HIvpF&D6pp?+Q@@&*fiC>Ni0o4UI{@?gd`v z`8WOR);}QR)Y}@WS5WJ0xGH~Un3LvMSio5cv*#1Jfu9+1{?}J6PQ4zR_>Nsil}>-g z^P%U_;0m#p=T)*{9m{p%Eo0YiIRLM6ZyT$I?o>Mt_d8ZzZ3WPIKg;NtfzCnORLG z8I{@(%RRof5!sK;+u;=PNj{ZqZ#d=Cw`A(1dn~^@<;zAT>e?%G5k)sjG*jgbYo z(|u1~J3{8E-+aJLamJhIGE5WoioZj((6nX9+gmwb?505dv|xd$WoQ>q*(gj3b-NXu zbWxGxw5-)>_jaE@w$p+v)xpa7U_k8aqL}|oRq8M^$|Q1z?GR6sZKFOo75IvO4H+0} zs}_CJk#O#`WVbioWca3%teGmcqqP{ni#CoWJzV{ID=XKt%D5)|_$L`I*0%>Ux^%>z zQ?*>%#eX8Tjh*abSqg3}l_L-?Z zeZMQr8$RiY^O3>8)jzxGR9kO?yr!gXhHTW7B=(^0Xn&)yHlOc(i(ZKiTia&e?Y~&U zeJbIRW}S&Ha5nc>2=`MJcjkIN^QhiLjEO%6!tG}(@?hG=T+adCr%0-u`nzL^huw+3 zI>P2;WP!I9iwjdnfwxlMoEKM~Tw03#G1MIeCod!NDm@)~LM)v6ef8Dk5g$;`IFV{I zb&;>ayxbFs^FXWR^O)}+=Ye!v4TVB)#1TE`L-x~Nm1pRq0^w3q@uc+l92nEEmfg-@ zhCx^Ge|GVLYXLP!(u>@6Je*Q2wlm+uOXjWfFs_SE6aMK=OG{`6s|)4~HyH-e+X zL$?|*1vLF$t~NhS5mO%DkGJO$8hR}_|2Rpnpl^z=DVLPqn#st359#VRp2nHh9U-Fj zsO`wan8ba)28PYvobBn&&6fRAtR@O1OQ95_ z&@hGV=udjl{@Mo#DEU3|QZfmuxpK!ZVbBd2u1xfJN;->1=+h@xDJyktmfy5|w0ERK za#W{&H5QRBPGn~l(iSGzb#@FE`HeRLo)cy^fbz8CfC+h33YF$Z1@AuEx)9R<2XH6NZ^O<~9y z^K53NnQXfOL(WMKo1T17^@}AvxqG^|!)ejPzE&@}y|!RewX480<$F2k0vWatb zY4ws&D!i4B8pT%Qh-6LUs6=6dzy-Pl@yzs6z~acW!Wp$+3hPQo=Io#w2OMP=cQu{2*Ma)3t?*cUd>tYM;Q{mOWW1wP=P+KXar z5`+l51Tkz9$MOK;om79p7>dNQU|t#q1|O1Nj2jptqBu{i-b%&Qkc405!)=h+o+9|l zVn*@#`G%md@Rs>Y_JM5unI*mYH#oMO;>wBXuAc8xJpJimhj=snd{=074ZFCB%VmPp z2$?=II=d3VJ3v01h)LEfZ+ei+6K$-dS(mh;YDM-HTVR_w)xEHF0+bmMKD#|B8 z8&cJbpW_Uof~*)RzVcsF3Lf79+#Bx^o8@JMjxj}@K+R<7!dg;qvE(6*5f|Z0hL7$u z%e?gaCkxcQ1O=zJBoF!cjM#ad0G3=R;4#69Rm|iSrG#yISj@idi%X)m$(dH`6s@nyDrxgTw4{*XxIT74lJ2f|hrAUJL^9 z-``{^^5%nsKI}>P;60ioGMB)f3a81n++3Agc~SF&FvEBOs0Y&gs-lIvanXft8n8hm z4uNiJoo48$CSqnBlU0Z@HrDsk9X77 z^#{SFHfZi2G*dp!uQ@1KgR#{_Y&4IYS=!c@w(JruLzwq1jXBBzMmgkTt+NT1xwvb8 zI>jVmv@-$g4XVBaxuG@9nWj>{p~{(7U;(gRml7FEsh2({`R{D&Y6zhHbt&ozckSs7 zWm7ydGzCOY0M=E^H#~sOg3ku0s6kF@d5esi22&@|1)V?0SSHafUsBOVdJcFG6UiX$ zC5Zy39*PM2lT!09s@E+FRutl2vdw`%gCZ(X*X?BHNOhyNMKzO^OH4oNEpLJR@SMSC?6QQJoG0x1B89CtfQ4msfPIH^qDXaP zu$F@u21!ZgfypcvX=8b5#4l;LBe<0DN4uT}YHAJXMsiEtWBw~%|+xHBIQ zlvvsrux!We6=wuDrW~Vo3R6$1z&`8(VuNp9`NNrSbd1!6Oe0CK(!QV^o@4gHQp!nU znR*3C%1>Gy9KGto;y$FT(Iju@$dT$xq#s8)`3m3FDa2j^Wx`W6SUL~U0q73JNPOeL zV}P5aJv5e0!W;^;T4F@xm=C^jyln{y`(VMPUs0Cv?>sEsv+hom+mV)vm$ zf+YJWcgR79Tg27IXZV!&1*RPA=*A|jRqhFt@&~6ECQaluU_XF^CJiY~(gdf*KKI6J z@rr%#ih&73q}rASM2C4vpZ~XJlO^p@Zit%sLrKOFr5^o9l2*hli+EX3WEHhc?6lz3 z+l#U#wfF#E&xc2iG+ucN!Zc4|>3Ba`L*{;lfCyi~ZxuPLbqF%xC~b8tJ5ouTmyO*Tvt}AMv#ld%L5Lkck$ zZ`SzoPW79k$>?bLzx0X*nSU#_orFi~KF!4KIO!hBxyLvRpjWe78|69E>N9TUV;Xil z%Hf-kvM?I^`4(Ny(_hG5-QMm3>wvG@sD3lGpSA*hlybcWSU=UqY~Tphmroj_c`=&z zrCWyXQym6$wkaEKx_;7h4YX9O5j+K1Qo38WC9rjv5MONKv7JOJUhNfL;0QobEf2_Y z+|5fRedYC>TGO1J(x~iQ>N7yUh|4GwS*9q)G{KXE4@m@xyXo!>Fo!z!Q^CW?& zrRCg>WAHvxu*80YRD}_H+8uV?PnE1n=~Z>y=sE4Lk>4!sq{lpxGIV@`cecfPQ>$u; zF{{n?kuB@tl;Lp^{v$%(kVukbJ%%N{nKK4&cs&NkM$p?M{*WE& zziFD>e`s326ILKbAuDK-eXSUQ)JSw}H(Gs5>zd+l={d4^itT8afM9KL9oBpefG`Gr zUV#WNd>f{Db7Z)DY4c7d38Hdf=Uf}9Ocg!%Sm8cMF(sdc5t9JZ*5Hr$q=uR({4!Q9 zW`iiHRq7#Iz3Qe{D{bwokAB3&EYHQU$y2f) zT^o}F_~aJ98Y`C9!Z>;Gz4r13xBi$tOl9F7{Bcs@rrE^c6}x9zkKpisdGJABIidqw zmz6Y}Plh8`^6>KG4vtx=_;vhpcuI9El9GzMVM87L&Lz;(J4?vZ23Z_*|5bJm{q?IF zM{Uy2kFfT*Zn-Dz%|r>>Kl<=9@Bbh*-PpnuqzX*Gj_%y;PacE5?(PrGT7olz(YCU4 z$?5$tJ;dC7rw_0F#+({9Kh$n8A0!U3VP?U#F|DgXcUGMRZ2ds&@n?$%IA@lMN|yAr(lXRU5up-k zeMk60{v4`TsCzCnoCxwSHr{Xdtd8=XSQcI42;H|nPBx@+tY@-!$pZA-WdWfbvVhu7 zS?Io?X-}?(=TL*XgDJXAZiP}8*w|#dVY`=`9_-X4J;x<$Y00JBpj3sM*9!3tkfsj~ zZ2vbWU#}0VW5>x`v(NYOzfE9k16tGbx%U*FnOzIy3eF6f2bQtMNqlavY0?WZ?uiaf{tl@nR1WFOJWvD)Y)1mVc2qX!4{E6aFw6v}kkW*GNyvoPGea5G^OkxXN zg0Hk}%4r$vHVcWqc?kM{73>ivuoHgsD|*0?4<10(304!S_`STgh#@bo$WBgLK}Xj; zoQIE>XICP9pzUY{W76_HcDHysTegBhgqGni)Mp+Ie^M$_SUjJO!fXG}=DTawmHU{? zzz1=z5;{LmeyEVT<36SjbvpmyzMMWY8yejl=%m-bQMMIDv|GMJ81NhDDNQthN# zegU0T%=heps6x?_)IvE2GY4F>%B-Hl4f%{qnap|z1hCI~y?WN=V=BvLwOWa<4F1(r zL7!J@5SjxsaB`iHc{^($FmnM5qj(^-1V%M7tv*&9&NCOpv`XJxW$`0i#n8YEn`|1N zqMIc>^$(O|mJR7syx~7sw=}~rh;^!!WJ4N@#vooM%rZMNq4IPr^*NZx<4&OgU2N*~ zb1=wn!zT0zY2}(FQKP>Ah$>fBnnRcDGWBr2Dpy}G4mIm=<>mcI=zHdJ;aBm4Fg?+iJNpaN+b|iyI#y#VM!nPnLyL-MoRrwY7a>yO6vC{bI=1r7M+L)F9Z)4H zVE+GMYuyAS)6nP+`Jg?NAkf6<4r45{wywwIV7`OA{vZN8{~>Eas!OM{oMw#QJQhrn zek=?!oRX(u2usr04<~>wBH_ohXXf!l4I_)9tmu>GKA?BJ-y2^1#f9BX!UT|Sk8Vsn z%ze+^U+JxIS5w4^#E5T<@mg-OK*R+ZbY&EfetEjvQ`T|)nTn5#^2bR5*@h3;2KlTI zbmbt#H@DY2GW*W?j966k;-^>)%4SooNpJZ;&bdXR&5TEs+scFgP_`$4%cbB*{vbWg z!F-wDRIu&P&JOz606p(9o#V>Box1I~^!piXDV|@`KOUUQP1MlxKz?A}M}Q>a0&QSk zSIdtEa1UzhSe3@=mj<{`n1{)mUWRT4>C1n3K|x$R?wzy~;{)CXY`d=c@O?ZyfT$Qj zR4v1(cc5~G|A(suiF-iU%?JG0kv5gKTMDk2Br?9>99SUirxgsaHl5H-`g zmdsa`(BDEpl(S<;i|ZvOO9Uy7?{}K=^W^)^iG07;B6}Nw0e~xm36aK};0vL~8e&D~ z+mmJb8eS6jGn#<7oe)vCqp59ub3kZyoI}MxfaKE%AS5}YKXHR#C&EVB?yg=@GqEZ+ zC7Pn+OpCu_q{!i>sAz?;=@%yk=6j{jUn~=#6}3QIO=e-wsS5p8NZ#is%P}Bp?~#6F z5%;=?>F%#xTdEQBG&DE(N9;{Ad_p|}VI{;E2;N=ml5z^DRB_UepE(nb7zwo;svq~n zadE){oJB>kcf=p@@rIJVp9?HJdCYyzmi2mSd+5_@^!hABT`cvE7T% z{jk02xe5ko-8q@4-Z9vL92$TO=%8)q3k))-IIg7mF0tUKGEm!k%SkqKSP>&Ba*0 z)JF;C`g+q$emP=`nBs~l>PFhc!=Np%Lz2tE zIu_84vD6-+FqH)p)IS~{O2)#hWo&o-$D^ff{cn%<8X^4LGw5gSn^2%!BoExz@VTud zo}(U05UpWo|C|jEQ1Sl#Oy}Y&t{G@{(t3hH)aP^*p_~%225b zF470&Y7^mK^0?B%XJNJL_`7LQJ3`6(LmvqY7s(dc9^sEl22}UGfJV7+t{;Vs_t?fN z>Ed*1`*{!4R6)% z<{P7Z50x? z|BcZ+ZFx0%SddtH-v|x6agmuZ4+C`aIVnR9KAb9JU{yY~6mn7%qe+OlGB2zwX=+@P zb9A{fuM{Vxiw3##QsI^BXGk}x{yH=&;GEhpi#AEr zbzI3lA()(*-2dD38!3vvh;k+_Z)!+lzQbzEhs~_d@Xwr>`3-!RvFu^$C8+I5Y5}HI zCMv@QL%UTIOOt(2@*Oy^@?Au*z1}2adlN8Hp(~1Y~sjL`kY<3ZUQa;gs>bA zraKzRinDVBo`e-Z$gbREeX0~4#qw>zX1ch($9WmLeHO3esLqFCa%mtM}>(afQ~4M*NutlY?hB{YYB28vV#+5*nqq+KqCQ{bL|(>Y#J1RRFotTSg;;mShMT6y$I^IQwPH zj9cq~L2qC8pG}&d%<;)!g8vQKzB@G-xH)3_Ys^sn)C=kNy|8xb_D=Y0UXe7^dzv21 zZ<2@9owiOS8}4S}OE~D@*$8v;z zyid$Qg1ny}K)yRUEg`q-c5Iz%hX)j71Aed-7m=}dC0|xcmSVN_&L@Q&Y~dS>(nAe2 znmk^|m`0SKKwgjRJlU0FcSX=Y@x$)&QeF)i**Q5GY=-sXU$E};gI7nMCgx|xZ;SznKNs-s<2O0GjzYPUlcTy@iI+XlHQwk@n!+Vo<|ek@MwfkJQ1YtJgD)AKun z!vKSXCIAEeQ&A^Ye`wQV)G8|TYvhV(gscL4+VWA=8BdEM+=|?~(5D4p!K%MnTK@&8 zrUSuJdTCL7F8!DjJ41TbF;D*E(=IwMp_>zPc>M(uIZ_53CYN`+&o9e}!%H*Y^6tWE zy5lryD(Nk@NkMcr3fc)5PW|^P;MgrK>zUIawb915r3X&K%RHVUg^fHtZgTirOSl;8 z_*y_p%To;Iv%H&|*K(NTy|gjfhE#zRC-%|xrV|7y7I^Em;yRMx!k2K+g~0&{gnz&b z9QscNQ8)Aogh0wN{qsOf_-({HjK`*JLn^o0Dw7pUehCMc&_c+B?ii4lV z_5ictxo%d=87%;_}$y zR#j+6z+*BSgDk9Ju0lLt}hMv0)Od^AOB##Bj3whGzymFzhPOpl$fy z@C+F?^^wS-#waYFO^b%P6%m|uwrgv3j#Kt!q!`!=@leuVM_EuCnbL}BT~6+I>5d+hww9Wq!^2`Rt)<--Zj~^H6-T*54bErX&#vrpVc>Gz^WH%GP*jY0Ibmh)If$^%{Q~l&Lfqse1qw=Iq$`3CfM8v6NB?-;_ycUO) zh?&IosucXlSKk$79I)*MsG%@wV@6>y1TAATOkgr-M?*#}N!tKTP#Clk#iG=~6B2n> z@1-0$o6Y95sg$X|um?MHE&>~?Mh;-AUa+Cj0}*GVHw*M+aMPXqZ$o)zw2xRf+5Z9< zoHOjK(6uLZDVtNjQ!0k@jKP+RVx%E9qHAZ(jcg$Y59fk@Q6$l(?tz(Nd+H4&b__cT zJh(Pe#6$R@1TqPAD%`(%u}0p|x4b>i#a}1vrBJZ5c*;lhWonf!F}{tdWHlzR!f34l z7B(CFm*OYu?oTB(gixpn+qOD;u@Pp5c&J=J#P5bZFg)6G0x)C}a+p5(C-yJBoeCmu zUJ3bV$sI*ch}g6ObQ6@UJvWV`xZHyc*d}6o87F;%z&2wi?p^MSj^6}vCdWr>@R+ki z0p;~Fa0<>u@xl;sXc!~m`(*w@sji!c0E*NDO=y!8uH0Zv3W}p>;1Q2DWpe320G*J8 zVKzGRs3LPlLUyh;9*+1)Ha-`1SnS_~|3%whN5%1ke}gE5f#3lG1a}A?++9L&3j_@^ zNN|D%cM0z9PO!lSf)DO4g9HgKf#AV!C*OD9`@84vo;~}=ob(Yui=o(U=AZpcdq>E%Qlu^FO>n)M82nT5_ZbZg}9v!eixE{P8!IVAz}*PBuo zY@h<-;321ij^~;*sHNNe*rCWh8$I4W66iq?^A1W0u4@S{ruD=`B^n{%b1Fl@uz7#8 zZet79biLC$OHSAK{3j7EGN^ZLFmr*$7t}A$r}TGiMfIn%Uw=182|aaWd4Y-cQ=0-> z%{J7CfkG{b=~m@0sbbLcMZT8q4bGdQPb_W!uRB2*gU}jqW%UQon3_4{%j;NI~QZpyPPr?b|`Ypj4F)i3`C$EXUYT7$lu%{|Xm8EEuaHtU~f@f-d(EJmTA%;^zZIGdB z*qG4P*loKGt#19`?f9gdDoUPi?4Z0O7dEJgg$+kV=swpqlFZF&Ks4ri@(bxZbv^$5Kz6%r9ln)n}ys2=HDVSx}Ogb8$R^vNYBuZ$8oDXWY&Cqm=5BsbiCYBJ;Y^ z{h5e6xUFp%dMfAg0$u(xFYf(|v?9+g{Iwu2IjaR(_4;JfjUxqePT6wWl0O>%GKB}w zfwIE4S_ft7b5~CJV(hP~T^x3*vj)!Y?#^pQSd2a-#dGbwy1`@oy9!OwCcLg8lra;T zx}~s`bDgUTe{#TI40Q2>@+Ha0>fOlU;18oQK1aST<6?Tx#ieJwQ{>+mTBMU@wf3U3l^3o<$8B4PXkJn)94-k$C1 zQFKiSoj>?|kC3-(WI;8=%+eR*lcSa|86agQ$rypMYI+UC1Ka0~fE8)SHYw#le8#vM zTWf$7Y1h0Xv&S74=@j-uE*Jv?JI@)0TohaP5qCXHtiIP{aQm?26fPWoZ#7>(wzr!4 z!Pf2K9;riNZ*nb}9k--Z+B86BpW4ltrP2fb5x%8WW>^}woNb9&(L?>BBD*+jE)?E# zoqj|ns1q(^4AoU}7)!?pJVX^`tCpL+4?y?jN?J%r)c^`Iu>+5ywC0qFJJkzwUzZ99XHAxKH?t??=IpJ*~&3EUOnM zo2>keyD9WmZL)GPK+BQl=%%P;TMcvWD^F|HK%9?;Wvjo_AB1up2Av|~emQKMZ4j=K zT`@VV4*KiaoGNQx5~2yO(42=Rsg5^E#|Ir&sVq#_#x>^wR0l;kT}-CMv(?#ow52BKhrR} z3fm47It`>&sD-Ga5UoN=k7tSte#}DcKp&!Op6hXGxR51CFQ9II5= zE+x$wmPMMs+#e#>E)Fk|;^dSlI6MZnd;`Z`;q0f_J8^hfSHE?Qe6_8!#v~k{x1F z$+;n>XSe4Z0p zXVYoYsC|t9N3iCMrWrIWdi$bt(){VHa%^fvAYTsgrROSw{rA)b)MHY{+(cu#IT2HT z0yYU9kmM@6dKtD~me{Ia`#q=9wetvyN;~ELAG2F<4r-Ej$(BlhSGK#~ zZPBVX)R2o3{vyX8%Si8=S7H-+pAfLO*3s$hYtWIBX3D{0A5!sD%rffIYTcZowDBSz9()F!Spl;qxJ>*^2-k=uJX{2&UKd=npF!~*6lm* z4|z-VYDQSY68d5xvg|*eB#VE0=gB36kH4t~S?U|Ia;g)Hg#G#Pyy#HR_1k5LCd8s8 z{P{kt(wtH$4f{qIMK3sK4dinF!=HPb7c1|1q129@#K79Na7zlQD&aN{>#-=NXa^r*f~LF|k{a`9~k?Z-tP4S{Ah z7;E|Qm0}MDetmz@p?J)}LGG98Kq4jd$bN&-r21OVqqBL-k5x zs`#w?z9C(~rtwYh^7C{>{hEgRLMcf@NZ64iERi<-#UK1ZOkt3unSkjLHF~@W#$xGn znx2-$Z7iA|1$aF6-#i))AVM8!e*BO$aeu2gh4q;a$0V%inn5(Zp=xPc3KeKSq8Fop>vIQ!wKkX}Tm8z?DR zPMNOO_M0cDWh*wUXMm?*LyH?&7C_4yOG}>u?U?KwcuZ~!2e-41f9RO4PhtKHl0O%8 zXL|4<++z-GiJ7knG4>J@Aa>Yn!!hX5>m-yqypj6Uri%*wmEz%&Re+X~>B3s}_smm< z=C-o`MeXHL^9*i21N?LD@nPDSXnO11MZlkH^)2|n-?YH8^Q1zDL1p5;N;=rVE zr1VgwqA(=gbly=0nOb~a`k4ZAXJDIUDGj;ZZcv-ZB0Om2spf}Pf&=Nz^PNGkLMa>( z=KqmEe(hO1TIpnBW8^D`6^GLgPO$~T-O9pw zQZ@Bek}_c66#t)pMQ&7c`Ac+=_CHr2y}jOaw7*(gjdVEaYr6iqY#7E4KG(6_h^fp- zaCm)z{?IwA_%tG-D7^Pn>~rzN^~1erXQ?ytYx`;GwE{Pb8_pe5Hx88ExqvRm2T0~ zE8@JT)Y`(QzBC@ef9LN-K>i)K%@m|P;OAyxQDiK?x$2W*qKr3$jq0Lv?~}gcp5G~( z6-6U)1?n_23#eA;RUjKpRh<-0g)?>Y1iEkmPB6JTYIh7H$V3i5(5}3pKtVCHzb3dO z#=50EFvFfjNx6xjq+}UgbH*;D-0H3!+Re(wvv1z}C`2J&_R3awtlU_(wwk`dI&%BhQpe?x%e-ln+h>EzIO`y&|G<_S-=rMf@Z##~Gq9OM3wEf&0 zz?^z>5fRnGd;Ao{iq;Wri5V>?MNmde(~ zLx5z|PbKoksM&a0Dn&6;NpFy=)O`f)-Ql(qM}e@#bET!I5aW;uG)RLI1`NBr!5#yK zZj@^sN%xh$bW!}GQ>Q;8TIMOzymImVgxznKXrIOukH=X%X$@+%5FrDU0 z;ZQPzuBoY?g-ohWs5}H8?J*y?9iDpQC!UYaBxY!vrO4+lyo!Hle|kFOmlVI$Y~K8S zp_jMj*?P-&Nhd4O(3X3b4Qf2GQuR^w(u-QTS?f3vNAtkD^F`-eetM(51Kq@gZgY9DZDVhtV$8j!d46%yYT1BSfcSm&I2Tq>FUTf7* z2j8XTHF?ECN^e)e z4Q8CE7O*o@qVzmNBFg3)E4-91u8K+*l!#1J$h?}GE}HgHbjCj%S3l~DF^zS@_i8GH z&ye?hJYl@@m#gI$i4fgXKcbJd8!LF~bMGT@$G2HiKf;PN^%0rA*M*MT@h`s$R-g+U z?yHe-3m2gJ**qGS{>9f?k)f1lzJ##!LWX|2eos!=D*Ndq3}wI4}Rt^aJ>Y8H}hI`@d&JYb%hdv z5P|7$>iEXuROtgQuvS5-r)wv(o{J|huU2H4r|YYPzFE*f8Y@OVF#?99E&40&AsnHX zgWA@4oLYo|fBgTQyG*|k1;XQaxxX>;aAoV}51DB$(=X1t$@4WDCPb3g(k2!}t2Oxu zUS*L@EEt$g39BQFe1SZZ<~w*OUXGp4ibdT7bMG;xq|S${Y`QLQiD zYX5N5aw#qS8u*NOi~YmolT=D?C9P)jQ%#KQms&l@YtC0Wy#`+n7RnWgIeiU6R3c6b zi-^P(pp8{@%XRt*QfiEgDL)cyN9^U@jzuncOZm#Hta#b@oU;fsMO7lls7mKd;tiYu zN8=N$!Y!_xfOR0;ZZFu5!U(&t5O%&kt!9zcjXB>Q;Pn8=S=$&vBw?=^eP@YNd0xbgF=r(Mo zhg!#z48IOejodH7`KW-tQT#uWj1%D6Y`41}pU*x42U{gFe!T(bqYB2xc0-ja>YLI& zyfr^B0XJP?;18XC-u&0%aA>-ildXVVi7G#u&5{zOhCrlmwf5+^I*J@Id2!R@_v`oH z4j)hI2U$FqEa#kawI;HICVaF-M>vzG;q-SNdkGJ$vzOe_8+C{F?MwtO9!8`cCMNX- zFQ6}Ycz&%FJ0o4LYQKqC5r&*X)q6`!h^dpc#Ycy5tF3C8Ch;_89w6bn(&@|>%hgL6 z1Cgqt%R&>OMS^?BHf;hY<0@ti!&4^*FU|9yta2IPCCy2{Dz#jRbQ zOPSL$YuF_YmqQ1tc-g%SRD%VVQ;KnAZICUm3fzD4KltuQJYiHvv?4wz`9HoYP)h~g z%fsKAQ+MgtYHi1|FQHgf{T3DSvDij$){dIGEsL;w#A?};x-E&YTTvcJ@NHkV)Hu}b z`%%ySRCvEuIrAhn8C?Bq+4pv>OiVYKC|c6n6DODO+Z9~PP8dqG$5F^tU{4W}-R-4l zGT^AEqn!o42{G)kGFfn3@~)x0o^QCtQltP9$i0Bw(n744jSpX^m67;ymDT4_npiKz zVe)J3Iziclf%Yuxfp&WO7_W4y@uHQ_ZxTE9-zJo#+GGfd;8^g|D_is!CwM3GVUl77 z6@=7_a9o!5K8+S_=fusF*!lETTFvlTxi~L1D3koi;_GILwpYo#`brSY z=i$_wLVJ_%!2Db&+u`Yo(s@Zc(K?$mg!NficOmYa>(cjwE3$Lv`DaFGztbTm--Cqt%G>RE2+M$RH zRXALV{&X6tpFMwByY=lNPuzjjW~Rl{7lSU%f(L$s{s*c4d^496%KEsDA?nBwDcA%87$GbtEO9g z-Vz^0*D-RM0%ZeF^^QH$`^B|pK_IdGlh;6eH-S=<_))P_!cQE z!=P+2$9g(hOQ)qZYM1_AOZOvplcy&aSUjEAm&-=vyCb(%)!-J-3x%Zn}QroT!C;{1Z>&{N-Xu&p2Bl^R@N|BEvfV77GWLsnxx2?NjFT zF0~5FS9`aW(dMqH>JMJ^JV#^Gwfu@Bt2*=|bLJXf>(ciu&^Zqk68Jt^OqswA>X z->2(-GuPk^GFS2)q|@I?c&~-_vL)}^*r+m4m7dDAxMYyfY7XwE7-dK%Ntr#LaL?hU zzuex}5;#iJ95s!f*17A>G9AJ%zYgAe%Qy!tnmb%*Y zKN?@NaM>NbaN8{a#^!X`ng8k`RNBBtP@ke%mzCD&tT3tvsHV4aJE>B3RL?&0`0Hd< z)Uao715hBRq^~kGA8s|mq>G^%l!CXEbZ4_%^4+#F@8_&S@nsn?OE~$&ena(yj$~iD zLGH6|OvWMk*K*lpE>0iF%|AZD$;=Om?B{kEHK%HG3kOd$wy;?oDWHPGN%FJ}Rq^!Y z`i+9atp6S)*ni7Sp9h{K%=-d+gR$NsDt`=u2CxqCjnXd$=h5lY3M?{kl~TO_))=no z&OGO9|MR_mpFr`PhdxH+|I^0_0L@?_SLdX~y+5t6r8>dk6*6-!@+M2?B;Eby*33dB zZP&+gczE|$l!0;-(~`9 zBcodlUa(#&he8C0XM38~TOnoO?9^-P@D&JR-Z59asZ`q>{quCDAjOBIr$RvN4;ecY%|3_jg+(KeN zGGEdo^=Zb0$rR0r2Lm7+V?hm18U8nc)Ud@+Os5J>n#n92Jpm+>CK0vzU1V-HsJ;Uz z;QRd>F*spLwvOZ86F^$((?MBDCUe<7w0qV?-^9A5ii7uuc3K8>&4y)kS}O75+bA;g zkHXMO3q5bp2s&&Vhm{2c1EyyGC7(#z@IWI1Y&!i`FfJ8+r1*|JF4UaQdcT1wm1VIk zgI%$MbCMORsX9AoK_j&X+Ms_4aGRvfdwAlsE3X{yuAzCIJxdq@Z~{2YQ8J%gdN!3< z!$0#xGzi5dyeYL=YKToiO6L2uOlBUjRhF2ja_l<&-nBIGTIeP(F?8RFcFc-9Jcw9^ zrQu=tt^D%ADA8~|iwY_6OjynmJzA;qd6D8v6@6lEXJf$FFGuX%03`?xZ#~I+0TxJB zBgjzt8>A}RrT&dsfg1ez7Y}d#2AgB*B|v;gR@!F~J7dZ{XyvLyx3H2yn5nE%(Zl$C zC&k6c8DLKJQ!vo*cmLbJ0YpfL;P8@YgtxH~7wueT$SI*`iRlBD2j zSY7sHk!=9OJY%Eg{qZ6a5Sf|jc0hSX9xQyhnFowT;eipU%my%yG9$7yqlnEHSw@HD z7h=@)PfPXpETFdPZXq_hhU%YHWg)@8o%Ddn&@M9Mw+4`*2NDg4@?OW7Iz#dQ4h;Y+ zFvb3rmznK4IE&Bjki|kd6DnVr3Eag27r6yi3H{UgK@qXu*zjK-^mC13e*iWqeg5FF ztdluEr*G*>xJG9F?ZhF5EBOdGX=wpHfnR^S7%o?zd0=g_%|FGm{`b2Jh{Z*319<;c zQl+_bQu%ZXz)^|(;RMh;b#{lbzVtEIDM?Vt&-)?k)uVXsN27^12G=G}0|I!LBG$Qd zv7N`yf<;M@2k~jn-TxdCrt3CiVEhOhlnxg`LG}8B7FQ;HH1=A8ra%5;*B}_4D|hh1 zY=XAlQUa$D3+WD3K&HzOv?A9f4U)N!9k^j98=>sN zPg<%avV%J&o^kwLmxd4urk8mjJ z2d!8*gJ@_+5Tznc41)1HQxWkRjJN0+BnkuvA~B^bv?zYtq26h>S)sg}rMxO5rV8-K z%*Q4+6*N}OLc~i&>y7@P41!emNjZ>Ih(^aW9laPLUStEZru{PA1Ch8g*y_uA2Rlq zSjwoQ?9UnDs7X$xV41U5)evuV66((wfnUeLp$Mz*OsOcI)@eVtUd6KxL@mnAv|*Bb zW95;!j!*hU%d|;=f>;}aCf*$ZBKV4R9zcqMn?r<%$K$X2HNcq8qb()&f_!j)|J({s znQu@e$sOnBaDUrrskjUWDSDjy)lrB_YV7s-B?;6VpOrs+@)`vibHYnITgH-gx zr}%}YiIKzIne!3B!}&O4aNwA$nCH>PHh3lL3mY^cnN zCYecaD*RD?q7nKUG74h_YZ_VW2L&Hk;zU9udrd8IOoWK&-oKI_44{6mC|x!j8uuJL z7?hq_Z$CTeLTBL>M1)~$y(z$|7>liEE0Sc_IIYJ#CCo3Yazb%-l7;a~sZ4REDUTtsQyMI!2 zC0cS+mVB$Gfvz-A(B~*_G5_9L=M~MZ}l+NzYIe5WDe&&&1ID2mBv# zCQs_GREX2A@ZqY(Kf?0J-4R8HJ74T+{#{;+GZ_%*;C6%R>3iSod+*9D8Y|g5iE`Y| zb#u$)XI1~{je==v-g9xJ)u(&i5(zz=J^p}aKN!{WJ|MS@2%M$`ygII?`|;L&cZhxUhB_C)hy+%N6PLY(oZpfNMEe?& zo0fXsO@Xm2f7Zp{+@QM@`8!|u_vNM{8K3a8rsC#(L`IKJoc__dO@Cg4@@w0(-T~Y9 zw+q>6`lMkm64ft01=OWlO6Od023=s1^ zPE&+_J!h0BZ!bZQ$Sms0Ud#2H-Mg8A=VW2uvx`C`YnPB9LywhVY)F#^7s7eFT*~iO zHaY|lwOu**?iM)}pA}%aBW;|Xk~$c}Pu_<h zS*siPHH%aX+xR(c(%kIw`xXtTYt7~jEP2_Tk2ROy3XEtg}q5#9PNp4 zSk#jchC*?@YiNu=sQ-Ik-(nc*AIW<3cPo6x{aPT(Qm*F+4=#(yzzZK@5k&=7+m4Vt z9lbr#V)Wl!`yMA#j?j$!+`PX&*hj02aec8wtYyijE{ zs453U{S(njEE`t4BKk94^-v_nnr8^E9}x1W#8cOtjt+Q{7V6^s<3MC*6K$B?O_VHD-U0t7{hEOKN=2CtoUYxWQ3w&g|qvGH)uWxj;l$8S*OO?|bYBc2ucjId<=>GPL7%<07|9{8NL_3wyfJ*>W4J>_wm1 zykqke{MB_Z18bm*tHnN+f*g4U*%$aYgjgi9+aF@~+hxO=tGH3$GX)gqyK$hUTdEtQ zYv)Yhp$2ytsP~peVJ+Xxl^G8#)IIk%-#o8B+oZGsMP625Q`l_#m)H{RfqVSRB~ssX zC;sXepb{hpZNeodXFVELb2iyEB*m^y3t3~U+aXYHhh>t$l*FsONVT=5K;KM{?HQDJ zC<@2SF`p!GAM-z1<+nz-6<2(M_Rrfg0-s0bHU51`S^8$z?><=QbN~3nT4#r!-;IB& ztx72d!8!RCA<_03u{8YS9=%XGzoT#5qh7guQ_%#j+;chFZ+Zl2Vk$YsWkSK79LBBn>%_aE|j zkg?f9wh#C&8Q4Vo|A=sl?SH}GNH~p6E$v)SuJLS7Ixe_@&Y`&%b6fGqZA|)Va+Cf( z+kViGe@#`zU1BTvG$uGOlKRf;LvuJC>z(Dts|me%c_H33g=c$|FD819Jq*X)ZHT*| zWshxK`2}Z9(^2Lt(ES^VM$Bc&4=Z?pno=8XPJed3}Vko$``{!g7L8<3QZaaI0~ z12*6L+GisA6DKaVX!~7wCPJS#hI1ABwF z-D46Nib_OlTy<^|y`I#L@b}pJ6NTtF{1L&?C#9G@!zKSXxXK*F8-X~>h|)FX_b|vV z^D`9olHhHZ?g!Z`^_eb*7me?t{53Bl{4J#j&~!OMS&5_xqbBDM28^03HvB_J(`l&g zQ4)Mmx?;W>{h1^cnjJg4VrL9KAxM_jIZg>7S~uwNcU|Uaj>FM@{g9!7u*UZ4a^wUr z#p;(M7Lu{?k~%I*S64$7>od5EBtow0vikQcu&!_tMlc3)SY2<%A2sbfovKsBd|=Je z!9i8wmf7XQw)wzVQ;%HN<$VaJ+NW@IEcJYrUksC%?Ppxb7z(g1$SVX)FGROT?q7Sa zO|2Wg$lbsO#BZSM>jUeXXUUWTT$V@v6Dhx08An|G3@m5E9l!Zg3Zj;kwn3`nf3gt@ z-PE&AkdiBrP-M3$7ik87?>Qb`%tZ&_Pl9Y*Q zQB)okQR&k|eAI0MH*RFucaOqY>j%@5*|sw+O9(uSX4*`j^q@Ij%M!VL)=XzImQ23W zL<;KRx0=uoTRRgMup|@8nFHw~Rei;1V*T;_;pP%Zy|psLGhhWxFM`0ibVy;AHF4k~ zzBG2oRB#--(3QG0M>$BYbjyQ0QCHytTlTYNlMIFiJ_WrrC)TR4{jZ^*8a%m(OB1uI z;1`^Iwl6)RdGLF-@cMhzYzxWPu2rb6RjiJ)P1&fm-Va1DXr`~QuC)@k?SB8n2>oh* zkQ~k!YPz^lV)-@Fl+o>HtFBaa8Yb)t#un^#)@R0~jR$8+t{T*|P0WsA$}hs~s~f12 z^=i^S`JvbDZsUp;Y0h|cIR1vX(Bj(F5fbh#l*&ZnAR{-u$3i<$-H~z^Q=ZsxV^4m$YPE4NMNhVg7;n z9>R21na43(!ouz!O6e^W=hgYihqDPR~=lZTR zZ4XH!?5LL0TQb`1RZhV|4KK@6I|aSse0=mGtboO84fr!+SHxCKZ*Sq^wZ%l(gt6H| ziJ9C-GjkfFJeF_jQtJ3eIczOIRAu};s>1vDR(%Dvp5ITg_UQ9l8?@lUw2ir~b)_IY z$^kXox$(HI<`=hMU(yLgde*+P9$ki`@I?*QQX5d2R6dyJj=pnlhc(04?S*~mVCb&Y zdJX0t!r69lR&>jY4745F_c5lM<2O6Ee<14DC#yv#O1H+-dfJPI;*W7T8y(RfM}NEx zGF<)Zd#isKzn-=h!tB1GG@X*H>{NoJH1L~HG~lQeBets9I9T@2xtqzAK3h@_2X6=B zMc|oDvdSOR6$J;*>qc!QoI7fjWCfM2X5={PU@M+_c6DCGSc`K! z*6@Zfc^tgU^Td-^55HoGtTC(Yfd;p~9GeqbB7gV}CP23~4UuF6wl2dZrSVz9J()JG zD|rOwj0#dJ#9FkHb&Jv7@-roW>^NfK?5@B@!e~uZ#8d7&_VsDa@@>!R^tOk=nekjd zVe~VwKYfxgc$|{xefpBsWNfR?0gaI9X-5WcE(LM{7v0%upQ&H{WS0%Z>F*8?aqW z81Z?CK+CVFJ`OlAogh?oo_B+#->23QPYI*!F#5G8*@!Yh|2Na>y<~G)sm9=sy4rMG zh@R%U@rdm!UH~a87mR*Fc6xx{g9wcJnm{07ivKU*HitAB00ia zG$a~MswV%j7P{i-j>B{Lw;KMh0O&^R4Y&%Y`N)j};5jJ;0CN~XhK8&xhK*<$p!dsL zhgQJ+4Z`0;NDPdSRrdZ&(&F;La z(e#*S5wMCHMWX;90a>5#s&YG^of5eH_bxg>F8Nc$N}wNKBxe_p1R4_`=pWJ8+rr?O zeP@%pUVvv!TUE3JRS~8|u@5ixBQ}gr;pN=l1$)E{+4_{6uV`$T?%0U*C%}RyO{ZjP z-5RXoS?1j_X>5H?o`9zSW_gLv(ru3g*gri77*__HB@7t#Bk;QbBnf@kfIh46qU>)M zkilRn&02aQU}k(dF~LTnFI#|ZHwEwgMTr%@EKU;shcfn@FxoD%UpxL&bJHhV1AR3M z;G+2@H~W^FEQCejp<2X zPYeBItIq>{ciV%i0iedW8dlu7Q9h+q+k304-a zyizX*eICAO`zd&Lu^GUx&u5b>Eyu|ID>Tu!=q?KC>-(!wmzzD!1fAWle#!0K8GNF#ei{mgf=@)=$eR@!F**g*Lx zqQqK3Ej^XaIWTG?mD)?d0AB{6fNpVkdVr!q%inx z;_Fo=YYNKx_5mdF^4q(?Ku$9lQJrxxbe=H!7yMtKzd{&|g#gHb4p^B)v#?Ki_=VWx z_kV=7hJ#Fye&?NtBnVkP02)mnxSElRg%{MOD=~2O0csrj`DNStsP&5u(7)KOqfvV_ zn*m@LZMcwd0MK6{e4@3yNftbVzs&Y39dIB9Cb7Ud!ssyzP82v{v@#B>v`?hrU^LJ) zUxz}-#sP3KA-l!}ph`XJqSnt;k&}#-LfDmo-x6M0QJiKq{?Uxhyi*o@=)t=Cz?Xxh zpcAnjR<8udtuNM50hD+QC^OJh7G4zqa66L-j5}zu4fyMPMeWSr!Rz2A0DD@2Q_4?u zpKL=W-ycExj4R&F=Ch$>HlG(Fe%_Ts!hPEOylM)u;{(KZ;v_itihgj6O- zUF~a!Kc^j0QK+#mAb0^|zUAMmFu%0-884eck_kZ%i>44{i7v2MU~uC9`p<%GBn*IW zpYeb2b^QxpB3pgTzwm8V2U0c^0KP>3!58-b4`0&%I6-geGycDaZ}mU;ZVvy2Z!8M{ z-{$~)F#-5;{(~<}Th#F%d|_8;4>JGYyY?S^iT;Bx%KszyKI;B&_|lj{5P9b!6X5iF zunB)aq##)o3C$rZ``xyR`bHEV$Tb(zli$^`ecY^4DwWg<@@F(PlC@~L83x`1m4k$T+DX5s2q0xdvTm+FVMrMb9ARQ?uoP-3Pl0k9D!-2)yURVGEzEH;r zr$Nyl;x>iAYOvKph&QObRMwIT;nA zoEAQuSxDbyVnWlU<{nODo086igw0bQ_`5E^%E02c+cyP-b=;sT=|IjmrjTvYfOMdZ zcOM}q+!|e_hX#f8R>QH^SYOaMrlcu0R4L*9U3&@UBmI%`(9%}puNN85Rj~> zEGFNGEG9G@2J{V%C9>sR7~Q_-rbStHvgtLhH|sS9i*S(R2ClAm{6s+m{6@U+us)Zu%3y3RL!@> z!x6pt*L=ceeG0@)A#+x?qCTVH;V=ul^e_0hL3Z*#&9*x#PYR3yDT-}78nnl<=>hQw zoYua9dySpLecBE^0Zqx;HX4W|gu}FH#q6~J$>c{ttfmkxe}b|%wHOV!oN!E2^F0eM zfTq@xUQIY`p6c!0#a%BUC!Uhgk?qUxVufoijp6${w*jk{6`H>%3%(dz5)O(}iay@#+I2NbnGg?N4iH%i%=_FCre zB?DV;PwCXUIZ#B*U_+4*x)g`n{}z=7{39|rTMxx{IxX9THLw;oAj84;E{+77XNSP> z?Z2M=4Y??B5yrN-QCQr#QPEsQ2mm;hM)Gt% zVGFEn1UeT=%Y(opxj(yqj+lYK!aXnEY9Gy-!@`c0N4hxK18X@+#y4n)^p#g$-p8yr zwV$bo*6C|<_$h3!COWO)WEJP4iQ#(F0iWZsZxhQZe@Rg<^0&v9UIgrSA7eZ{P-;N<~_>@sY?GC(|T zda`^6)CD8ZD1zX>6hLqWC7JE9DZF(E2d;6MFre&_(Imv@8M=~R7Q1-ZxxWZ&(Ifmu z?VqQHrM-f|&!W4OfHobbk;b;X$fYKL4Scu+0&AIpK2@^pIF z7R5?57H59(9A<4jEiW9%2D46ECBuzTj4;w?Y~7sL`ns)!9Yv2%>173 zOZ~=`5ZBGBJ0d&!)m< zSHg{LQEItd>VcLi%yv~DKwul3LZ4e7(?}w;k~eP9uLikO4lR1UF3t}Tm9jgi{o2zy zLwDwFC`pj;2y|6SkQ+je3+#V1jM2El)Yz%P73wV+idPNxE1ZLn?Bf#(FZR-Kv zFo~8gc9FrF#=Jnxx4gf97waqo9>J_olL1$>`RNs$JzAkL_^poPMza;vnuqeb%YbKA z21Wl}%43}VvEuR6l>uvp4rL4!<@3=M)ZzvX>hRN=l%^nN@>p0BMSimV&50Y0g;x}c zgykuliu&w6ti^+wJX1Wmj`cIVpKuC} zM>++!4s_?Zy%>f!f_~jLHu7Tfic9mNl9rGhVQMOqV@i)9dya8&&$8w(uyRYA`+uw- z9rgeC!wVqJ26Wz2!<&MiH8n&2a1l?z$A3r069~KN{x0^K;c|=u+C+sqH;4CYzq|%@ z(*CilY1527n#Ui_)%bp0M8*%Y+_wx=1yQ1j;gAvias4P3m{EG-Uy|XBhvq_W>7YbI zlKJ`s0T_2aCk(wIVSUCEkd`j|lPwnc6#Po(ftDnbebBPz@bDH(12@{vWTr_i&Wx5s zUm9syV+NdyQj%Zd{yr&hGZ!e60ke3#<>CADLzDjdoQMn$pTyav$u0it*Be)-`mZac zWxxQpaqzn5$_22^3*6=0!_^O27udIWP- zMGFl)Cg6~nTt+S8)TMk+o3A3T`@amO2JNR~Gb^xq0n0W6?|hxexph4(|z zld)D=MZf$J{6{rvg_zU-&0g!9gqGM#IUcA`bX93A1@07FiRNWGO+hW2d{*TO|COOg zCD1i#EOq|d_j)rx4yj{(SAcu$+E{nPHwCqg;Z-S-N`TW?_sT&41@L`M_XlJ`hdjHa zccbP9gqN(u{9y~UELPAzBmm<3-cPx{xp11df%}o!b~Fo?7n#+BoIeaYX>8&F&XRew z&wPn%fQNsk+Zz@Px6~GqI`RN}nSj?(Ylr+GA_6#62JDgCeP9AO!6N+l)f8Lo(y4Ah?V_T&Qm*)t=c40 zT8|LUj`~r9_pi9Uy|2Nz->QUJoM8DA29W>W|dwT#MO@(ss518(~n z>}?N^V(x{sHvwTt9d1xGHa#$kUjRnrn?&58UznXQb!#rPZ{fhTt6|~GL3?G`JyWum>hNc2#}r?NKQh1L*O6cW0YzR`3Jl~3vdOVKghQ<&3)6YeQ}nI|?V)A*)mvCp3;!WG z2neVEBohHh=KP0bl>dR`AOOj){vsLW{{+c@qg?>Wn0$X4|3fkm_WeaN!v8{Yn)JWe z^gkqH{$C?G=>HVSK#ckq$(a8kIp{BvQT`#h*I3#J9Wcg!NH&H1A4rC2|A*wiX2SCE z`47o30Lg!&VHy+w$wB`M$v`k|54^!TC5q@DlK-0i{}m*o{BI<~03^czB*Xr{Nd8Cl zACgaYT2JCyR4?Q(|3mU$w*-(J3(SlDAvyKZ5Wf=W`oEDJ^dFLm{uh!>A^(sp2L!0r zy#rOiEao4Qf!OsQk^}ev;r~OjDdhh{+gpc45x#HVD2RfDprD|DlF~{_gLHRyEM1b) z2ucak-L-VbN~a(lOE=QpAWJl~!gF$dx$?yMFvhTl2em^lhv~F(DIS`xEwsv$d z&EW%1tIBVIwbu`7n&akQP5a-fW8e4Hro{0TmVSPCkv||5nW~aJB{240sq+GeBgF^}Yre z0Gx6V7^vo8dat0nY7XAP;Cpdb%_OyV)eOSAt!99T|L?0A!}PzZncSz$#LGl3uMVO!%`lj+IM`-p5q8K8R>ykhjKiT10lQcPIaNa2j)W8OtJ+SQQ{Qx=~ zJs2&HUgA5PXm(La@3vMU@AO>&%yi6(sIN?oA1p$U;Xiv9c9f!#nn7ayQ4Do}JYc`i zjMFmlHwX~+=(8lhTjuRr0K{n5{7PJy>_sBQmmhd`qT;xY6yc_`iFtR2f6(OTW3(Vw z0H!e@Qy`l@WoUpp&5PrE&P_z#Rj-TzobCdt{H(`$hs|3mDXWj1CZFB|)0gUjs9On( zv|EwySO}M&Mw2YS07#rGC*fUma~Vbi`P{PbBZx__gqE3^mhgkyZmG^G{?xWd!ZrgMFunI_$Bze*i5pVv#U2pKwUVk3TN`JP7*q#D1JP6mKp4+Jc_QQs zh;RgqBxUMCVvx;JD(~sSx!Y z?q#}^<$xxwU{Wa#=2st!S2OqzC6b+upms!1-Yew&hSvVMM^uYPU~e~G%WUjoH( z0>n5MW6&Zdo?x)R%?9)YLPq$KNCIHTHU4vaT}m>-X#&sp``{7=e)GfLA=wDV^8Y=Y0ZBF$M!)RNx<-IdgS-~w68Lmy=1rv4sLxuavEK_z<` z(l5o!+acmYhDXapufcYwj^w_m;JRx7V)}vt_M8qrBQEd)TH?X^wxfr3lK>5XGfM_(u?~!zGX;0DLJ10QWVy<&(n_{J}f1Mqa7ZKg;AZyq;TM z9YKykws76%=fE;blqc$>&DN@<&20LlG&VnCko>;|A_>mE0d#8&#rQDTi{9FU3jGw{ zGMz_r&l@Bb3$3Fb97!7#V?c=qc!0zUs6SwWovtUg0BT?H=7XB-?M#=`|RY|&;%XG)Sak#%9~L(s>K|8GytHorw9;v1W4sUvxCs>co{3= z?!(zGr@DVTS*23nmg~J46Xh+noXqN{F)9SWUXn|XLejiBf5X+i@6$qr2Wi$(H8xgZ zu{{m5S;Ux79>Dl4{gzn?h3w8`YOPTbGIZ1O=z05)9E5-bc=uN5sHB&-BxXOv<^%l$ zeGBIzL*0MiaVD&AR(1`*GpFq2c!&(Jthf=WA_$BkFf#h0qw%{x;Mth+^I;8E=NP(- zGUeYM1wTL!-kcs3ZvhC#FB`QB7YE%8`TIx(d`|iPX(`y+J(aY^c7|mUAw%YX==8)L z&{E0Fq5-t?eX#@Id#c$k_RgcMLV6hy>$Rau<<`|b))4mE9a?kV% z@wHpx&~5~DI6WPjplQ!9eTfVNR2~>f1qy0BAe$5~r?0?9B@7a8^=R`1ln*%i_f?*9 z0aEELMF+3lYdI7&si`q~hig0ktVv@vdr=IwzL1%tn}QdXUbDV3&84jk1}q3T)r>FXC9w1W z9~*-SB6bg)?)#KZ=iWu@VJhZj=;tOed_&#C1keJ=W&(i0(|X^b9Mz6u3e)kDq9Xm= zbAo(TaM~`T6F9 zB;Qka(!XN+Uly91OO6h4Mz}q$m`9T$#nNaw+zb_d=^;a*Gc@Mo`e%&~0pe3cVtHqD zi`su*)=sf78$_0*cQaD`E(g=n`QHKQ>$0;Q53uYbQaHu^Z6}KRmQN`&4p&XlY}Mi(y&E9{%my*;_D1e2 zd|hv;M;J>`pPY}2^toMcD@?B!9Kv%EJxUOwZrN>GeBh-4Eq;y9z(7#JiX+Q21)eDR zVA;prx8goURxg0^#&VKwcJ@$aZ&rERZ~l0PXu&?Ky6G0b$M%zMr5Yct7z1 zTT1k9pv-o|U$p?&>DGA~JdMY_)w#_cDpfxmInBBB&7uXqDclE6ap_4aNqHs);As|-ChZ5m<^ET`<&?dWUp2S3@jW?`sbnKz-A5z)dUH!#AUt*GKZ)4U{iAYz7-e< zzG?)ulc1$!boCgmK`Cp%5bqUlnQ$-){5jXOQ1QSzyEeT+ZH=e!7%7O1)~s;(ib)4t zHfrx~>ytVb?8PsO078Tv2#b$}=ymVkfd~_Tc%=C@+6D@uylfWu`Pt;vlOQ(KU@HV1 z{FOO0+AfpIDC+ZXLXc2 z6UzVAgz~vFq0UJ4{bT=QLh0R_P{DU5REW#133aDh`I}@q}m{7j|OsMpK zCY0Wt3H9jziwQOGKblZ4?o6nb|87F{xPso216;!dKH#kh_2Pe!uE9XM=G;ox7yk?C zdYcX)UBT@vlmDdaJ0M-NMG(0Ek*@iGf%|4gWN|D zI54453r-Zx(5G~u6qBGuHf1NFrgkxfk9*5{;(9F^PO}BX7ZhM#bF1X z|0i92{+UoM|7Ypy^S?2n-c=`pQTNY;0uf4s<8dop!63Mmt}XwhYw(?Pb!nM+rY3EP z-r?kmxL$e%Aft^2P!G*sYD_M$iha6LVgEoaUcV1`pDgTlvUl7z{E^#}FhmD9xl#J% ztMAQnF#+X%ea$r1CpNJNX{HRBBqk!MqJjPV@qGo>o?vf_(#W zn5}+!s9bGIiVxf5?7skK7=u-EofTZpAxHyeF>{*g*&N`B9sqZoQGkLj=^5x6rNE|` zFAa=-$!O!dZ_HIclbqGxABmii6G7BgYdtU(9khi0~-Z|E}GoLm~yhuIHJO zxHT&_co*iL7tZ^uOZ=zDGp0Y?(Ho!UD$pz-%3zgkV3GZAZMKWNaRkmezh+O=s5j}h>^z<10mc4 zG!J3QC@cC1@nx69CiVnAH|QIgvk1n*W5n+FtX;z^AV*{jw>C0Gfe4HIEd&LDN#at` zo`rZk$EF3U1ag#JWxh)Xu5uKFU8oR&9tdtPX^#VKKyOg6LK+DM4dDS!ApmJ<14=!x zwflwq9)aNQi+~Q}C$xg>)1YPE@R%z|2kQH&oO!D1fN(v8fl1=;6M`#ffv^<&c*$=K zBM=!yNOZk!hXp^{cQu!v@qwNJlcXxcI3YWffWiZm_1RIuAXNk-NhQ0~6*$HcY}FTF zqfzZ_AoV@oWzt?6EY$+oFy3GD`#UE+mE#o~RG;1>{z_5%55(@-<(^W`x3bI>ug0jD z@rL#pmR_ZlDiN;VXS%sEmFLDH!k)_hwEn0qg-lYSqZIctrTG)#`2F|P5`*A(U;A)) z!gke~GU5Xu`2Gj!oS&utLc+Y@ID$i+<5(D&0(-eRL74KgJA^)61+|a%9WCsXm(-3ye=7shj1#=6iY#f?st{Ontep8u=d!FTe@@nhQa+R85+;|T z;ix~eRo0A4>}dU1LjP0e_4D^}m0|@ks+-^}|W0b1aC%%+MFV9y^OWd7K^l%oT zm8Egs;EiYW=ebjUsR}L@)v|dW%u>usyLM0{r70~OG~y#w#Be}!yq0-y3Obj}(6#cG4SXl&UhZe#Y#>6U-n#(2`XHa01z5^*9K z|5PDuHt`2}n4OIJHwhAMEsqHFgPpCFm^E0a{Yn4VzV}0wNhb^eD}Gp=EPA^$@TF6t zVx4GBEH=-JGHEx-om%bZ9^jV=BlgK#dgvMR`mRdf>^kJR{7e|pC3Q5q(nl8Ebz7bx z>m*ipYvL&POvRMc_ZMOy`Si+q`|UnCBZ>Mpt=L~mD}%B&IAf+Hm$%fzs0}10B0Fdr zGJ{Tdsda;n-CmaZNt$-(@s3=0;{)2^#O$EsAo*}NBO1He$h>_gc;H9CP#93g>D-2bhPI2+ulPFi#3Lyy<$qN{-$|lgfp0m((d3& z8!4CwFa;$C>)#GCo%B4QSM)lD;>aabYxo}i-7nmo6?uB&^4mH444*og$0)?MDYXf{ zGCQo1cK$pc-jR&a;`&}*gHMva5Bp&q9Ya$>Zv)J8EBno6tTSP2NgR`W(Q}Pf*-qv~ zlfif-K?BnLw{IBt6OR2)XjS6&L;JrnT2i{7FfBfaKx1r`YTkJ8l5)8Zl7xxV5wM8X z_Bjagm8w$qGvWe;mRvX3w-ok3w<+fgYgBL+tJN%z{lldN>1oVc$uP#}O9oxb4{S`Z z_X(H^ya#fhXY3Nxtq?T+(ObAiTv7CSWm#Zv)v-N3XDIPW(78IPi{o^W9>M z@$!k<2R;;hYp12pi(wnlpSaZrj_=3j9NCdJ91dst^I|?M(Dt01n9r@)Y73`NjEZrd z2{}a{bw5B=dODUl=xIgsAX>`f{NcsD@6qA)b0jh+w8peKr;*t04*N0ZkM!5c1)%I- zCspUkC3??}4i=Y^MBxLWN3vs*mlG+r^_(L%W*^-t|IRs93L?UwqFy{s1!X;>ubF;+ z>aLQZa!2;iJOR~Wipq{P3a?d_o5frp`e+tD_;KSPl*iD4q4V&gue51s$+$eGAeV}1n-<1 zz0b)ooup0gEm;|Pxp$1J>Xl#HmiJDh*`bS;2V&9OpmfT`6E~|#++{QTn$2X{YXjYs z*=f}22iwG#%JAYX!G#B?Yf(&GIr)<=@>#KRDw@gIEky@9OG@X_>k|K&^3a>wcMnHg zV;MUn&J7BqPL!FX*W9xRZTwKA=H#nts@+GAyQo`O8eUF~xT~m}`m9bJ?vNEprnsK7 zT=EVOJwK@b&n+st&^q;3!kz)SQ8%4y@GYHF2F-N^ozM`Zmq^gYxAf2-3iJ+ZZyamP z(qCeKA(SE}$-m!r%wY`10#c@-r;n%o=6)yP2eB|XbBOu3=`;KqW_>Bo&Gvf8*@HZG? zK2zS-omQ+18Sjo|D4$p@(95Da(MHB;=)kT%OAFLcDG$Vkq6J@I*y%Ftu5|LWjXcDK zQP3XmIsIr4og`z`OeVX$XSB19WG#ihDW#ZR%rU`|_`VhDdj+9vda|XSKM(KA`4D(S zU@VH|rPy!#aYoloX0t{shL}A~MJ@D8oyoug@0@F284EpTp~vcifaWSfha#!KcS~*e z#+#9UiQK^XCuID@s`Z^XkKX6$koNJvP20@KTSdh}mnqg1PW@CXds{fj`E!2UC5i5o zKU0sW+{u6HJ|=e;-G``K?IXx$(W-0x26W@s7J~KNGiVM*86o4sh@3jc&F55nAs!1koh>3 z?^mx3O8-a0RR5+1-EZ%WqSwU6EAF$NHZW6o8IGeD&Uy-mq4D7xwZ1%+QhNMbiY_0U z=lELo1Jy*MtyuVbaRdVX8+`i`)wt z)MD(t1G#6E`u>fr(1JI=(yp8L?7d!h86?ii2}A3()$k;p80d4Mt4A8muX1V!Ztjnh zXg>3b+3Rn(;gls@xLR^2tG~IoANYv_*#>tUV@`C<*>(7XnbwN(-nL&Vnjx1AKZ9q(raimnBG zj6~q7Hu6hHvdXY;v45C)CW2k6Df74zf=Bg2e3(31KIdw3&pkOvRSNF zT(F%+gC*mUpzV&|N1MlS5B*MH%@vj_avl>$AkedwEW~sV6Y_KCZu8IFLz8e@t#S-> zTCF;t&Xt;EXz&{w$Y0%Vf+)K=4kj1*vSv;bMpQ5tGA$E(@&K`wsNOZmC68CJIvC=(_}#$1(cg6zEmp&4Aa+NwS*FJmPxQ@ z0ZZP+>ZjIRTU9G-eB0H`>tEN7HcsfgI^i(?&K^>u9LYx1Laa)o)_Hw@hJqurj_%g1 zwmHYiX@+UW(Gf$)!KnV3b~SBR`pryn5_|*Q@I=cm+?huK8{9tf5GQyW-*i3PFmz;z zSY-1Ym8_r?#R_+$KjKdYvnI7Qn__Sxb#>2so9v&a>mostdlM4!L@yVf89D|CD_|s2 zv#q?h>Tx)t&baCs#>x3PFJTLdUT~sq=~KDy$hSce2g8-pMC&L*X;bm(VsExZ1 zp-WJyPAl-3;tfcBe2n-ru}>d(`7SBxE8>moN&9St6K0(V%Ptemc8kUA-GmboX@|Am z2ogMHhfAqu)qLz{nzPIf$N5a#2T{_1>8U4N?2tQ8i(A7YXDq4m8g=_4dZ)#qJLIDU zWpv_IEqxJM=^IS22?={;>_*B|T36qRtUeaiW0|thM6=?-KN~@FukpQ@`|uhRMN+|n z-*O$@|7JUPv(+b{Sy+0n2^$i_* zXrCfAjS)54ht#d|(8qg}f(t_H#WmnWl6ZRm?KHj8)NEQILob1*i><4IGx`hl8amf< zJN08Dn0_7UNFS1j6NJUl(P~8dRrl%M&S_}bG+&4HKw_;qx1*-VretP;l_G3hMq6E4 z+f3^2U$3^=|Mag9r@&njR>IpfcWibtv2@%hJAbwjk}>OoD0tOoq7gcLKD!ywV#brR zb}X{WbuwVSZi9U|_m({Y zS8ceVE(+6v<9X4AKc?sS8pL{dWsmLeH0HIj@4U^vCQaoVK7z@*`uuKZH?gDh!cG>r z>u?9t=a>*bS_Mqi>?!R?iRzcdVvf$r`O^go+M7R9Mu(K@EO0T$$!j-1!C3=WEpT^A z#2xIVGo~$YXSE~OYuOrQbc=_1Cbw%yN0mK3vZaC5?WJiZUudjm;pVlIM}N{$=te&Y zGAc)Epq$*zH!3HxlP<5@ikQ`jwe(VEQWw#U+~z#!Hb5j8smAPZ)^yc$X1q=|8W&fs z+(|GRALU21uyz$k7Eg>@L}=&KS1GTP*A=&oAPZ4VI_BkL&5J7&gv#W1KXFu07AcC% zCv4@)qhX#BcT8S+Q3CJS;AD-Y|8={jaruyobUCesYjR4L%r4kuMR@r`59#u)cDI!$ zHYklkr&B{?cLe{TSZtVggzJ20BO2U_<;W zI)|+4@ALf3F4|@HKKVS1k=yZG(d*3ySGtPBu+A{h6sK7djtDrkq{!QTDNZ`(L@N$? zrxww@T}mE(U?5)nWLEl9#~bl#TJp?4DiPh_Qo?k0^qWt#UDeA*rPC7S)6XPVXoVFl zw-k3KBqA(f1D?#k>f;Tjq{_61^_hR+dDv=3w&Pns3!YL1QnsAVOOFZ z7k$<7=}skaFy?{Ii4Br4!p#m;g02ORKi9_c%Qmy)Dly7>qikrsBC<#sE~8BO9E-Yg z!K_SJyPi8dC@d=8c4m^#;xHtio&Nm?O&==+`n{Znr1o~Dwq*VDpavaDr{i&BD0xWKT0opfysn34nty&Cy+Dg;_H>^83tJLAfQSMu zxigB~fmjjGmb?C<#ks{%ljNgJM7zDGLh3PA3GWq=jt7FHi6T`V%u?M~IT-g|JD!JJuJB|L zGun_ko@1W>o)**g%=B0Z$zo_4x;|7O3Dj`(~S8S<`gB`fI!ZS9+YB5Rimcr0Eh~C8q1rRrLX3 zjl|XoQ#_|Z4x`vw?B0hO!y2gIv+kjb;UTmY>teIug5&-C=Z(jv)?A~?jP4uqi+Fj~ zB@*E4GrvH`1MWLat01kKUAr5o+ND#CTmAClIzh-8d=ocNhBqP*4X>s>bZ{HodA)|l zwTL`x7cz-O%*7@;Nw7;wI@gXV4o{He;*TkMrDk&WIy`xJ>fLL!`N(KvQ}-B~*1gdF zFx#6)_1>fKm~12ITw5{>if;d%C;EfO?yp_!&rXxPX}bfvYbFdreUqA)J=5=2f9rDz zze;8ks_8yCDXk9h-FxHuP~IbbGCltu)~uj|-#W?=P6o2js2=&w%Xl>cw+*s3(`R0@8puz&`|i= zMYbs4Y8qV^%J*zoC+ zghsu1@t(=RJYc0OwS(54AyPPMuj!(S zLc4Kiy-V)na*-|(61(SeRv9=Sa_rpa;T1n3Fgk?w5l^}0wzKJ`<4(JOlXw=OFnmvs ztoiuqnza6j;R+^`*hD0rx>S7J;Dtzwxrj>LGE{~3C3JMf&-_F$o&5fzrktJjQ63g% zS5XUX8UtgYf+4(5Z2_7zO#L%*#<{U1v6MrcqnuL-Ait61QkhX9~7t zil|$%kWMa81%6f)<*`*Nh{0sF%O8DA-*!%lO#jse67M27`!s`y|LdT7_e$sc2S{YE z;?LiX_eA2OY}P*6d9`2ggP+Nd?AX9Nzr#hQ!px#ZLMjmt_xtiYqZ-syBJaWE=Ae1E z^?t$T)9ZV1EX~ye#w1c~hUss<@P*wZZOkk@e7>gxtjv>GTd8hWm$f@T zZWcN0E`lwfbq+o=iPqReSiP=w_RDi4G4ih~WE+rMt!Cjr$zqwFe?pGX%D5+G^ZIY< z2N%D;m@Si0&oL+LTn11Max4wPW^qs=8=jRP`LHuxCl`giWPk1#>Bg>GEoP%3PAQw5 z=V;O~En`5KpWM#!?A89!4B=DT-7u=btd9;EQh{?A3Eay+n7nqMCBR-jZ{{bUF&>e> zStejfoVdnghOlYEd`CMl2!m*4i-wlhRziEz++6;|Gm7YkibtA%l-j~T>>s3@?iW~0 z*6C74&;RIbJMY|B6GlRM^`JNO@9FW5bVWDCrRTLO=gYx@RjqV#SJwiktJFF2Mkk0V z1AjufM=W@Fefe|jyrb!h6vdUwX8B}{?~NQm(fc3qW}Uv7P}Iv6etjNURYzEUvk|C@9^I zg+UpV709uH(#Wx9a>#pQrDe2*@Tb^69=&>^w#r4j{zSKj2@CSR_8{+9&)<sj*5df}o*M&z|RN=p!FOtDfMwabAv@hAQz} zn>m^bOk-7~LHx5trrCN8yk9?iQ8XZKNX1@WVu{u=U7cTu-;yITqLE$FX=9VKw6?er zJBC7NcdMBk$~krz1Z~MQ??IfGmLq<=-9U=Odb@!|nJhOf9?9f^_)zCroFX-+M*ffr z!_6@L-DZ$om+gq~N_ioV<|_i18ajA0KBL7nev>vuo^TV3b%KFQ=|l-S9Z|;p9)88< zHN}k_Rt1;bU1R*?k^FSSQv5sRw*veizqgZw8A>BhAj4Jx<bO@ zGhARKQk~(==!>0%X{0%bWruCDLb_fkXlBj9zNho%rT4EkDf==V!#)#;Xw*w_Xe4Sv zQRw@J+b-doul_(bhyOrc*z|PSs`XcFJAJ_9zSqZhFNF8YobAW+FT|uz3H-mzy^f6Y zfvi|{$Cg3q2rRlIZf6x8I-VJ8Q;o0rzNA#2^u3UHh>+5p5B|an@8&Q}V^p$oV1mZy zB6q|i-Z}bi&g|$Y4{@3Mjy%o-=f|wp=C^{Zl~8$4QdXh-uS!^Xt9uF@S=U6$wJMn5 z-EXQIbuf>TwB2hV?CgwGqrbSU_E%Aw+vFJw{(!2M~TLl%%V^};6&9cez$cQC;UUllV_9A zdp~JJbxz*zLtaUJB*rHd-QmW=5VY^(uJLm^n>jDokA9kts|4-jtn+#>{wh7+=+eJ9 zik?nwp1BTQJ#|j7{YLl&F~`|Ia#;`W-HqIxO)Q>P{&F66zm}eIi-RX`?`Geq z0d^Xb=Ndadp&78yf7K9P;KSACG)<%GHDE;REB75% z=5br)cXN5x^zl(_WcM)s>Em0`KhK$o_L9&!H*rklX1}S|u9z)q@;gy=S+Bg#FQC#>nYJq^)Kn%YlZ46 z3>{0-AP%;qJ9vK>cIceM&V+lSC3@ni2pyHJUVe(wdIr}`sJNEk=qZWPy`WvG2g|dy zrc9y^qjeK{G<=RFk9r*I2AX(vDNBRaf+Zi<1T+z@F-D-qhI7-KQ;z{j(D0YqV6t6v&WIZ0|h^3qjmpIy@@jsR< zbqMWFUvA*#xEjtWB#d|`4cnAJP}D|v1{Tk(MfFr<3*|XRF7MgziMvMsRbo3=o~jq4 zh<4V8Gg^%*==_RMia?2}jnQr8y*X6AxRFLsOy=<&u8j9R=U`{x^XpkS(O%=)XyT^K zCTi@}P4W7DHpXy6es#oX9&?HiL@IL_IDB^Xo}RD$sghT3Pw3U*lJWT`+8j6nKg3eX ziDqv_mZ)tHT6z_>Z1GglqUZb!|e zk*cPPwX-szBeRpuo2#`uE$JcWQmhZDzgRgqI2zA^9~>TCY`wWsVs>!n$ra~dXu^%@ zGrB&}P`k>uymkzitI7(0hU$Q_s4p;#XQW|FkU+KQ00^9II%ny+ z>}z`#Icxc~2WwLbJysEyE*)80R^1$Z9AmDu&0j-?85mI!qvS=wRa&|cYe3Rd($hS3 zNs}$HQx+ramAzIR$ICwXHJg~)g!PB`7hYZG)I3v3IP@V!TUe0WP?`ZIA0Jef$Tz5= zzL%O;nM1V<+DYzP!K+b_6L+mJRH!UYs$?l0OZbv|yW_byrhCLW1pPPy0UgqIBXo>T|i|q1t{+_>ImZa}m+j_kxhh0NLKGX$~aHpPF?#Y+aR3XY& zSP5Ve^@{uHRvnG#P_W7bj@t2w zO!8ahYB|SpO&55*lqro!L39-Pc&5Y$CA7VQdFQ-par|K+v(BX~E@JlDpjQwqN*-0cO;^NRw-94qfW-FlIr(Dug}*~ z%Lc*^Qx9)AZpK53o=;*)-avL4Z=Tm+O8)ZC{!_a;NFtf-mz~h2aG;O#tMrkHT03hY zA-FxLFtt}kh}bWNRk^CIwD608^GCRL4VV5I`Jo`C{gdn=<74>XkJ4|tViJY`tE#Y&8ei% z>e-CSqZo&Wxix*u8PZA&Re{~vZ1OcD(usC?genZmtlil%uX|P+Sk#paHVq9Jx1-Ts?2X^Ki~jq?wU?c2S`(Vw-isM} z`v*9N2x`nI&#rU}gkx%c<{5-X>}%nW9Tv4squ}e&-HcZ8uCq-~$~EJuh_)Eb zV3!L@zm%w~0_qrLZT{SocM)ip{Ca?^AG^!d{_FN#`meyWRz{AcX~qJpra4%=XuXiB z0%)@!UCky?FSK6%_vkXt3LmV}5md|b`1t!s}t1ud<>vfm2xZgg zOKH#TWfW0bgrd=vi+i-~8a_=P7VjuJMP&5%@W$S_7s;0QFOQZkk1QB;w71Fqlv-ig zxzq-gmx#`Tzn)PGj*
LWw(AJfs>yBv15jjtV_xIZ?BQxzdDKf7Krm|0d7p@&6h zn;na=7S36+5t$}iXDZPnW&Qg0m1fTBc}}cbUN<~@Yj!qEg3n{K^Qhv{q4F;0#wJB_ zU33o%iWWnU8v6{6!^1&0pH+0W^$cYCM=7kEW;FcrQ#cu}&2hvkB2;~2G5pv9JdbGP zR@8l8uMkIjsSS{Nw1>P;e;$c&ah-|ZYSAj#5^>}?zu%nj@f=fb%okckFw=^m_Y{kU z&O4)$S&FNzQmxW0?i3Z;a2{1*wZUo7dssrn!6(y%dOl-?;MfebLnIw&cW6jHkV|%Y zYfk^VL(#|v~J)CRuap}!^{2BI5#ev@FZZNOkvj8{nLbol!q+$ zmBu%nhkPvw$QlM;Jw$hc9|}lR@eq%o$A2{YhJk8VIFkEghBR00fY5{2?D;{dlYdkh z+T5QOv*@G61JZtonB7Ezp;Zr(+GIK5tKq*c^6)~kn^zRgX{6=>egmE3M~v75d=wI+ zaUuy6C%Z>Qk)I>@Kep0u<{W4?^6@2;csW=nPER}aS@kXX_bgd$MD8ViB#G@UXVj@V zJ&~TXw?J2SW*NW!k*@5(@wI!b?&a)L=l#G;K^0_J<$m_OcAce7;*R>NwSQZ> zJwM!npD&$n%<^*M<@Sko8@~B;T0Lk!uc^Ml9HB$!^JHi({bHls7-zPKLD+Gt$KX$F zFe|dJnHxi7gz$a;wR!xaB$X<6gwvPtjc+ZZl~wVT5bZVERgqcU!hTcu^tgMfn07EDQTZDA$x5Y5Xi12m1#8~k{+PHE_xMQuz0 zFU&BCb$l&CT1G8wPYAUdVysQKsuoQP zIa`8oj8%qmAJ;J~ZCxvgjMeg0AuD{FWLTY|A7IL!-4rlWMdej=CupQoT18g(PZ_OF zFfWNoZ>^-<@r@lSCCGL*jZGS$F!2P`nQsMa>qV%4=+ef~ek)yV5@p+G%*GCmq)|?B?(a~=4yx!3VqK`rdfOu=3H6CJ51xUo^`U871OXEu|HeqJ7Pd&dh2 zvOz@8n_UkQc6#dYNtOY8V1j>`7n5tQUfl5%{cO*a)v+U(geUBxL1 zqpCa139b{TA0cD{HJ>M%!0wSCqdeZpDlzXRp>;*(#=pf78q!_cJX{ zoTAp&T}6(_vFvoXs1It=;mhYN%EgteJnr~Ri->70sVgjK-fz9O6&YfykR$ z9#y6_D0nv+mBSO@;NT9^-5o&ozz@;d(9h+$FK4C^mK^@3l5?d%&b|E`b_FL@QMKN_ z{_@{v#Ja6;**JwB^8x$?4l?eRp+9xLA$FTk3LXFUO)>Y2-eBSo`hhJHr_qO+#Xh7Y zbskmQ_m8X`lzSYoIn!Q@#}ocMJF}U!w>D8-ywMtOqV-`LppW2Aoa>EHGSXK}@cmj@ zViksCqi?h4uw|XsWogUaeGPtCvnz#SqQW2XM54d>5IMHK8>=-!$ce3dR(m+=0livI z>j|COU*i+|x{7Lk>n5jJq>jJ+)*S1XL{`_M(}YgUX~In!55#TFN~$U?@+8v#?v_ky zu&d|Y2)cc@evrwt6cXYlj}looEl_=tz*U*Eb?T9=TXDt9`W~}t`z;+!^@3KxM#IiSw~bWgDP8f!EDBi#%(Y=Am&;^p~ZECh%^6gIQxk@ z)=Blj-fUEIYQz}5laqA%6=_97b$vfqpFv)Cza>YUW1P0@baoSXId*v;=@(lGSEN_n zMvN6R?zoN=>+ZOY7l*RrL(G`-YT33fD*Y9T;Z9hZ>MEGKV^%wy723Xpfr(5|`kap! zM8&65yLyw`m0D(=?8bGCph?`C@IQVTX;Sm+lv9Gw60y za%5j6+sfN8no)`>An~)<7%N*k)8jgX$Dr(j*;1wa!G33h)`8PKr#~@=hbM>gEy(yT ztJksGT2`6goAWYvBP^EcH%ey>gvLIx^0a^OcePFC;fPhlR-MvbSnk`DV@3HbJf@%a zGS95Ewxixnb^i=eerScY^j5(}R?TL>)sc|_nw)%pcgwU|i*VPOv*YxYz4Yiy8G56< zjM-1MrqkuYzki*Z$cC-%9+XU$lvB|3qdhh_4Gy>f&)jQNwtK-AFu!xirNVA1*+n^( zIGeW(gYCS|x2~+J9V;%M!yDZb)h?r6J)7~Xf-H8#t_RLOvMAoE#&pg-vG$s9!{6P1 zqpLD+v_aH?r_OCXM~}f{)E;TLKUf=3aHv(NSEaq^gyazUpeBW~ZX#xIOsE=bI>#x= zv@q1NVeO}Fe;}pXqOq@rp^+j6M+(+lI18TTsA2_e9+sIpW|C^Iv>97iP%2@6T1C<1 z2$i!~CBY+S%&T3)2CwK>oBNUKftIpwe-u~y7iy;MkkLM@&X(~+9;g*(;L;qEwriPe zWQaK}rdOOhFxQl;Zr7wo?f-1eJUmXpfv95W?#u2^8IvqiSN*IC`}J@-izl>BWdyRV zfzw2?$+li}_D9*XWAZu<+BiHgVTETxq=B^5RZW&+d4j+2LlI({8^nNasyU9YLS#oD z(KuQpS8%VX6WkoxjiHFdgL9k%zphAva^;7litZ+F(b@`0vz`G_rk8WdIL%360!#VlN} z+}{FMYQWx+s4c<6^KsGBX2fyxYU63(kF`y6(raAZv3jr9FLi36#f%5nO*EGHRb)nG z$%i*E4|VyN?|d|G{doMu8244D`^PNgoY)87e|x4$MYpWC7?$)gk*aFE<6eJqX5qMaLpMCDf85>>BIg5W-BQY}>5Q&&|TFw@}7rYDPnpbvB`>*u2=$wu0fabn)0j zyB<+^ir5t^Z=$l<^vJ5vI^WihByKud^|-B@;2RUglxnMBL&`&>B85bF^)jkfV#w<7 zXO~(*#mJw1wT@a=1DhK2QWoDeOJoaz$KaF1Z_TL-*35M*&dk4A9Lf-9jaKo@R5qra zz8gXO$fsFjT+eb$+3xX*w;mVxNrZo59-XhH?fmTL(Zc-Sw}Zw(vR4cJ*G(?Z)>DqX z)<>{Yi(2q6r;`xT@JhRKODc@ZYX z!NRQRH(tdmBVASqP2Qr6fH&E#gII5Agq}qwPuK8NHQ~{qIupqEtY+x zPu`~?&v{u@z!+KKJ?vghnlbV)@jAp87G^+y9Ng{GbhKO&ZFauw!}Z@7dkd(#dZuBN z;;sjGcXxMpcZyqq;ts{-;O-8^p}3af6nB?m2Q4neO7Ef1^Sxju*5)VtNUm_rSSv(c zQ!n4*O$)EEQ=f7Iqs5>{xi3<)yQ1Iro~X_B$ASxfnAf(c^COII?jd{Q|_fR{29M^#+p@EYJOl+zP748ll3F+WH+%f!RJTb2eavx&4!{X2AJk`FT+YFaZ(^L7ygSMkk z66`i`qLH8(EcN=ksqaLPr$4*;uIOh?y-~4S{~i5e#H(w+URt3etDEO7Zo%(WH_qpS z^ngy`=W2lgQsK?&I{T?qvb>j;5%NXt9M8Sf>3q!BK21@-;fFSXJGYO0tG9pBG`}5m zVk87e&-iOibfelhz5e=!q$ymfZT>;!nbxm$L1*X1%-V00Ays&vhobep*3*Y6NY=a$ zn@fL+U5$zt`~w6(W&P^R=nxYyUdXdU*to0o>r2cLIS$B}t?+uEFs~X$d7fN;UX59& zi$k1&P(DDs+wA2Uf8euYtItAI8+so&JV}v(;QEU~MMmAO%5j&7b+kvbE1=x-S*7~I zho2RvePs5~n9pEJof|hs$tL@ub^Oaj^_RV&g`Hey3)!8!%uKiL=qK$%54=ty=0oaf z6V4f-JFYml?(?rI>&HJs2@sZkHfKssr^j`_-*RE-njyDeAYw1)XEGv%>E`fYPJ;!}~A>d_6Uye$~ed@|vBY9Eajz2Ey(hDnToRZi2sLbOk z%n>4=erTeBGya;IoQyoelh!f%MbppDvZx#bbp82jwS*vSrQ(_8La{0|A=sbz^@g@6i6s9tx` z)}ie9*_QQAyA%X| z|10YM$wyEqz)yf=pRQzhNM32C7&avE_hV7Pi{Y1l*E@agO5ze+Mb`T=zV@wiZ|4y- zY{c8jdN_0BHcSAT)SK^M_2C}gb#;6k<}(8SoiVB-+;i^mRJgl(AftVs;;>}+Lh*x= zdZzb=3X2L}6;CEKJO4B}@?7pJM+D&@PFur9TEv@JR)(vyYXZeo+lY01$W}mVtf+wk z!5*<|!jHJQ+OJR|E=|4kxD0q?=O6kO6BRbUH-Hz7k*fZf^58X^=i%Ipt?EIsO7ap2 zB$}?%&+je@xQ@6VZ7Y8`HL!(4I)tSYhJZ!*NY)v7gglE-OeqByRlKU=>ifmRv`TcF z=-d&NUqcy%`2A2=@p%xTs!#;;KFObl%fMG3jrW9_RSV)l=tlaYgY^Bezsg5GZ3`JA zVAdQ~BQcfedfaVI)a6Hb$~-Jg!56II@5~$f`Fy;#2m6(@rg@)`AvxM-b ztB@`M)RR6(0Usz-P|b?(9(hy321cG}C23t%UZwoTRe;92JeK3o7n$eq<#e zJg()nfsZGqRVB3Am?6-^Nvydp~YnjJrk2V=aW!Bs;GH@#*ifrZSpGwCS~ zHGUJqUa6rJU`HVgwinu6nlfAa1)!J^_V+@!@TR`Bs~7i~-*l&%g$~AxQHkp8C%F`U z&uwVR@;lymJ(WXBid6RTs@lSGOJ`SxQcT`TBw1S*N7bX@xzey1DVyr$+(L9_rjdRu zFaN{4id}%?{&k;l)qK=$*i5CxX2yimc3FR#f6So*yiQphLHxqDHORhr6|ySIdYO5= zXmy3&&O;X#t5nEZv5H2{$0X-$4pG+C%^;5`o#Z#x zarI%-<9nA83ZrRGi+NHcw-bA~E_4&auh+Q(s>e^Z9#k(G-t+eX{T1n9KX7+^1NlyV zg*&zZvFb{%WFjZRFkG8br05ilKg7l9OC;HD+FqO8k2x=4hq)hTwVZyo zjUxDypvZ4UtNC&>GY;8Mw2XcOb;^B0>e<8{h_ZrfAx-`>{K?x&`*C?y&hEW5?O4&B zBx%_F<0q^(DZzrYXxxT)t0Uj3G^S}%zIU8eq@*(HG?|X+HKG5FcxqG6t&Yl?gxdJ) z<1+Y*KJ`NEAQ7(d*4QFaM&G9X!XwT9GWCFg-mhJuov8C3{ zcI+lckjyK^Av*YK``Ocze2cU89!L=x>k$(;@7j?NW@p;3#d1Eyc+Y`!jGIV6*MYaX z;jf?coWQDos*q~U5w~e{5D#m|6N~Lan|sy;+kufR8zaBs-BilKb*Eq6g=2q`QaB1i zwQp}@Awu(QMVcMX{tmBUe7sD#K`cj`QjZ%Vi!7*|GO+z^_(BSUwoUX#uS;9t%7|V0 zy1==g&31~8I~cFM?Gp=KgZ4dr3KV34d+1sG;*~*DxG}@1 zE%Aj#CTzS_qB}C4099d3b%mO)xis`x53k-%MiM3X;i{8$l^u)>KcX5~z{sw>!=GtC zDxT%DIhBo)#AU2H`0Bz_;7~a6F6Xtuo>2MtN2M9L@Lh)#k=VOxhXvI(mW%FR9AJ5dtM1c6*sf~qt?{)F@P?n0 zZx;=X00*NHG5tnoMv7HGB8Czfv7C!2>U8SDqfXO5x@?ok7*)J{72}M$0Dgi!(jO!o z5rw*?IFbl|f$Yr^zLLG1mOgw~LF*UAZFi%7Pm?hvirba`=$BMY;AwX=4Z-3%id&jZ zA%RA-uk>Ie_(VR^w!dekaJcVYi#AGn1rj^Y`X0ymc$(1ROyvMayHs)cg zV@aXM->R<982-0~i@+Z}HgEAZi9+-fodfohHSX`Ym+0()BBWMuqr#ZjCHmjEw>;T? zc_j;8=V^Z9O5k=IU&5NA^~7`8aGRrwR}b}FlP#J>oD5>1(W@2s9F_~~XsA!?FGirFZH z_d`Qx6GnH^$1;We4p%uempOeY+lL5idELFA5zoGrzO$u2^Lf$vQ(A}EazxC}EI9S6 zYN+@>r2LqTkV{>_N*8Y5v~-X{BQ3ooDgE#c?pqrkFc z5H;(##Z*;2^tAUf`MS0WHGo4+-WuKJ_~OgVq@dtp=qQwwCmp45Q-zBA)|Oks$yleX z0s`GCu62{&S~~G=X`O~p)CG98ipeJt(NC+!Rli;z+?C$PZk#Q)Ne2(JTRsT|09jOH z_ZGfaMr(4GFFYb>=3#ERp2TbZB6g_|MBec=*8=Ybtc*e5S>TRzCeFGA`mFDq3v7HH z6ua3tY!ZrNgR+ttQ%cREZz`Wav2vn!lg;vDa;-c@)zoAlPCi*tCjdpw(aEOD@E3E9 z-Ho}ENG8@fTB%VKA%5+eW)eDW(n>G+H7El&GzQ!G|hGvHcGk7>@v_^Qv}HivT(r zWzLamh+go2Q39iai@G~v)N`nYx6&vjp4nw4*z*8x#L>LHC+!`N?X zj1jS-$PCF!yo6)+r4>H3#mWc0#Q8!Ccr2A|!S)8t{=)Zvp)mx1v>kcLah;~bP89MT zj|v7pqO>I)AgM?#4-@mhw53Y1_PKo0gnTNc8z$&`t`t89?JDRsp`%pqzd3XB5v4}4 z6b2N4ScY!Oh(MIW?d=_2R(Pz!$#M{#`Z17)g32)H2(8W$99)78W}KNq!1Uknd7> z?Dm-YY4QO_D&DeN$cDl&jNOf82x3@D0$PF72t zzZh}MLu!r@aTXdBMMJkd4{gq787TSmMJ0ewIxTEUCaf5{5P)wwb2@)_TS11m}D33~pmrj+UtD)*7JF}Dn zQ^iyg7}OX*J!S>YSJ`U~$0iI$Srm4eff)x5PCk+A(J%NWL{2MeZVvdzQQ5E;WX^vF~DUE7sGP`sPY+UkhaKn*?Z)c&es zt#Z5?O-^GB*i#^zo^IF;@)EY$mpb^+vckzh(y%n?YG9f)eGQX>nUy+FAH3{Z z#w<+@u^L<^o&uNxRzj~+Yk!c!ufhDq)#kT$*}olu3rmP#_9Y1&wyle;bTh60tPbGh zRp#8q7`|>yzj3ax1gdBN3 z3Tyd)0vBHp&IKsxNdA_0peKBBS_WT5>| z0}vTwH1cfBZi)b!(OA0w37QD(ql|eHx zSF#$Wep9R2DswCKx3<){eZFS@%M9w&{>FdULY->P(04#>?`G=B`zXInQ{+636l!RK z=Rh6Srz3%90=aQ%;IMJ2;K2|>XhIJrb|Q2UdAl{*chbPkNQG?cnwWF$I(>=bSOLI? z`c<$gk^kig`Wr_y;dv5fvN^)}T*CPp%q$8J-#KXzM%;8+hrnz7F+$6mxvN6y00O2kg*&d;b`^E(0> z$Jj7lndE{{9Ee_cV4bV}hW?ezH+gjVE2G6!23EyXV;I!x@$gh#0fp-Vw58FM0(1Q2 z${UW6r)lAI^7qfC0CmhF0S@BoVcj8&5gZ8nz*O{F9# zHB#04haj3I0|`hRV~5ivx=ICK8>FjC1wYi~Xn^%ltTbH}mHRLSKpRuQ0t3h}T3JFx z%acQV{mga5!|g4FmP+YcNYnsslw$Fldcr70l)`ZLiq(|D2%`XkwIFm+6a~CAK%9;u zVaOP`DZ?dxs>CJEZ_biR8KCusO6>a&slhehxxoZgWMBG3uV5C_*jtmebbp1w5q;dm z?B9lQ2y6;t^OmLjrrVDgS>4>N-A`BZI4(#X+n-$zSb714W6GFewRfvXgas?IN9FM0 zMEq3}W_01asQT$P~=j z8HS#y*0;WoiDxEp7@>1od9P-EH8tGTXSC%sJ2PqyQEyLS66mAbkZ%PB3r1_Sd!+RX z6aki~%GzOU1YC**`gp{{$tCx9lTm@?MCr*IdI}0=^z`9nrQoUzrrI7y)2qj4f+u%+ z^*UN+jv}>qCAw5fEJV=XQAAiT{@JFTkv_aDS>s;>W<#^)8ykL;zp>$TfkBg6J_Tb8 zBX~axt<$lA49tTsE9hf)4h-sH4l;Ps0CGZ184T&8sZSOE^nEcrcnBT=lieL_Iscu3 z##3(9K~WuQ@m2EKvS0{iEDdcHjk#h}c16|>07GGm%9vQ3NLqL;l@>Bx@)6U!L#^>k8asg(BRMCyW|s5Pr^k}??7qxq4s6bi}4rTA|% z)W7o*9e8FYc$_4p8U#txjbRY$n}in=H7JjdtAS^>#%@&bK&Be=7?enr`kKrCpWh`_ zNO+Ek|IhTEovelWcidNiZ6f7VN)Gk-e<^$LRD5*z%3bvTD4MK1Zm%-Hx#bB>=Ph` z_Zic{BM(VRyP0WAz7-g#po~^@qg7% zDkWm7=t}R~c-Q%>b{N5G2O%#F{>@HO0Go8*>(|N1tCZjL&MXut3am`^z=oL>Ik1pO z9U3H{O$$tXA8HOz4||~iltfYN>lWcj8wh^89;7qJOwY)tlU3@!Uc&-aFkE&-x@uuR zY)JA~A^M*eZG44xRzLrJ{M-9=+1+16M1(j$;gmph@8aV(64l7I_tb+nsK?2fSC`I# ziLNQPp+5aD4|_Yew^s?oeQ+s%Qg39z?>RAw`F`XL?l)9K^fYc0Lw>~Y4(%0&w1HNE zUsw^x0q;V)Pvv-cxW9gVxxIYyZg%{tK(xnG-r%I!zoJ8|8(<&PIlcHX+j2=^_30u} z?KTkJK%U-~x~#DFN4|o+DpkuiPkEL?6`PKRTM_^rxcDb59lSnLhggO zE4B08hk4bTLR!xe`wjG|b!Qkl zLUcwokIm9*lnE=-6Qss*H|!t9TDsYmWRM3L%1!LCg^P4%vFr&2i&`77?5R`K)>nXA z8Ku*E$L}v#Ne?4$8npJj`Q8s+J^A{-wog3YLw_TGVD7u1Qg92jpL-^Z{7K9qXzBX> zD6x+`jVym8p@8&aWO-m+w2%zw)QnxRCa001(?frBb#<1pcg%}GV_-H?j^r(rSEUg* zty=%*;jza6QrxqAPgK!q&7^#9SO2C>>ss)efY z9dCc1KW1EiT2HWWTQm=xeMP%BGlk~E+!~k8hauZ=+M9ZRGjt$FnG+5IWcKpY6$j$a zKno^QXJy6}fOnaZ8?6~$XP`1n{v561ybh9yJKH*1H{qPqfZy%&JG{liN?&C|REN7a zYd$wRo)OwQ16oRALOk8oXX059*Jh=~1v}|%WpQE7j*1J3jWv!jY$L-61=^XC8v+#0H2Kk?F$t26G`psLTj0l8O>%Gs$Wn7%}Zn!JRt?TuaxPX>AT^EyHeR4#-)n z$L?pw2%+(mkgLF!kf=q+v=2{IV+;#3Ag8krFD%3k3DJQ^lpxph(4DF38bklwe3Gw_ zAXa_ernUKT)X2WiQH57)2gw5aHKN($M5DD9%YI#;2#gH&udi=ipO)(xs^=hJaurH+ zOzjpBnc@tB*{0{Tu=NO9AY)Lf#k3wOx$I$ZxNEDT?YW8x;woe~C$JW6rML;y<7Bi- zFu`|XTQNr}fdg@^5__zwAivaWSmEFQhJ)z*z|ei4zoA3+dV|vETs`i- zLdH*vas}lV7-wQG+9ud@l@LsVA5b+L`wtmstyO}*XiKt$J$Q5D{d)g!`{Yg{YU!g^ zE-XxhN{b(Qom~|43!)2Zg}K)Wq7`oCZL=d~FKp1+lK!8YQ&EzFKQ8{phYu8CpSahZ zv~}ckB$=xZt%r2y^5ZY2(Z1#VaJRDFNr|lXFbe*m`th)Bq|F9u{8~jPMO4%pSrw0j zePf}aS9*l?ayfF~@e#ZMLbel{B%gDwVwFOm+z*dS;d7xn$53oCy>+S*dx>S`IOGIf zmbuI*fKwqI5rypowifbUl@9`7@}{x|UQAST0tj)-IrP8D8gQOUYip%0xAn2nL^9Mm zxzjsB&9)%A=_PMg(|M)wdBVM~L2*|my~E(NMK|sj_AR6+`vrmvm@w`TpJIZRlrb17 zZhP9aw_FtlT=d0?JS`ToDs{n1BQdQKPmPWsD^c3uwBfQ*@3l19LSw0)N3NE#>6A!Y zEX*p6F*p9?Q&t63JG{_8u)v=9{yB5VixHJ7W?>hWUQO`ONUT)sEZ6N_5@d_p6pR$& z!W2I)`ac)I#ZQ5YcT*R)*q9pH_M1tcCH#%dBO_`NH#@Coam%@c_dAyR|45e2Ks4RS z1yonEH9wyM#zScoMK2~YG2#lmtS6#|rv*8!rS=Z<@HvaGZl+?%xgBub@Ev$zanL<7 zJV(2N*i@^5hz8nP=;>JhWK?t^SIC?td%aVPV>pdP{K!ogTp^AV{`c{nf0q6qf6?)*zSMb>%Ymy5F7ZzRe!o3CIKYvNxU+Z-UZT03Cb+}q*wZPa1e(NTBPue3gPHz=S-1#$VHKAN;Ik|rIla=onR+0g1!be(t z^g4>n>k#fTAAdjLg01{d3d!SD*Jtj5zP|(UlMGP4S8jb0>dyaRg7^E$Q~h(>IK};V z*m0@tq{Ve|gZ#s_Gd_S66|5*n{yJ_VR=#|_T)$Z#2o|NF-A?$9;Mv|8bI!f!`1D@I z`(^p@rB~l^bw1~~D{C^&P}E|K)*-{)3j6ipCi&p*f z+gG+Qmyhl3%yyA+ZFboacr)L}!}7?Olh-GAzCW3D3fv}X_U#OdWky4Ysan^k{=VM6 zU!%UBqfrm-JU;GRz1)twbSbka=)_vvZABLRnRA1)TQc8Lz;gC>K?Ioy5s%uaCVm@ zbllkO>*E*TAL#cY%iJOm?tEch?kulk7lqw*G zL6p~{m6NI-ay&EX>5~F#CLob?tYctuKKxD%bf3N8sK5H!rdNFR^70xe5a{=`yu5HV z!({F+5>pwH5_)xf>4~4>{L2zM1oep+N2o zpP*nQa@_y;_)6odU?jnfV?WP*9+}YO;i@O?! za5A^70$RQrju0*v{XmZ@2$N+st(C5FCb#N4a_M-Mc1SywfJi)QKrU%nH9v!m6Q7BF_B1bw4Ss@#4#; zvjD{mCSKRAL{5M1Q8PD^>}0Fe+BVWgqpUjjYPB-pWkkEF14K0cVcMlWhtJtx~#FrcCUoKMM`(Y>Ma;Xs`DtDX$yG53w|`o<(19;1>g+N)wU=$ zlVu|C9Ncx~G1u|Yz(7p_I%k&YDPlC5M9M!B(X8*VNtyvrbTidihx)Ot-EFPh{i*ME z*-D53u)3ME@s^{rW-;yoYgMc@Aw27#vb){0&dODQOu$5zXY+Gyf-=?or^4^rfZwG3 zgaGzQwOtIA(&iT0v*>_JQ*^RRutvbjfmgpfy9@>4NTV-9p{K^qG75OPQzac zK&}>vu1ra;=u&@|5r!?E z*>(%%elv07V-od&aB zTk4Sw1Fqm=6}VMcTUo7Y8&)`-u8MLxx7e|Dy53$kE@A@ZQut`JwZl1Zlf zPr51=h`oWo;$&ss012*ONgrAsz$DX_$eP}sm%Rb@osB_0{os=QFhTOFRIlfFN|r)z zt4@l(S})jPE56Pf9Eu8(mTS@%-e4&hxxqDaH<~)VIj|BUqPS|8CC{a(G87Ox*L{v9 z{y1+>SLpt1PWd_d<~}e=j2f{+jNB2FGfqJM6sz4rcb+LwF{f5bjv?rrn7t{LS!jnF z{-WNx%q{-&Jxi*V680h=9Sbcb4dA4qoj+D@Lke?=!NW}?U*L*_&fiNO6{767Q^I$? zM_`g^vCFmcEZ^g2+gyK5cZNK9Ko7EY6-G#QGL;lMW{|Dsj z*(2;}GSWxLE9C1W8~K9KcL+XeqI9g6^U2ymk^#+f;H#|6ibI^u892qe`1>Vg<=(K5 zwhi?8;yqL{64Oq92rXDJay$weZu}%4^+rbwMB?}N^h8wl_q0SLzPdfa*Ou|C30Zt7 z;_Q)){=Ofhl0pK@Yp!6C`- zFBePpw%=us1U?PrVB0XM5*%oFpqDbuaF}oNd=;8m`FPd~6)2l6`H^`yDzLyqDX2y|yu$SLt&et15(cZX`PM9{gRL2hc>L;yZnzu_d5 zYi6+?X|5!lcNurDL>cialqVrfh$wPoYk6?RR=#9wb@d=9=vQ_~LFjyP=g3}WNW)Z0 zRHf+#8Po9IMn&Jq^%!f!EJiAp-%!b=I06+X5(~`Df;W{`oj*ZNiaup5?tyFby3JkG z1wyxQ+&9gcl*`SXSPP6{L`hP%cF=MTCStdSn&Ci?2X)x}pxg!R zNYVDw5$^w5iwEs2IQ1P*mUbVwB4FxORCGyxz#`5%T9L9C$-6JNVSUuI6WW|(7(Q&u zC(iLKi4SFaG~$^xOAE?4>tUYX+*>;C4c>yvaW;^`dLD*Qp6Xmb%snq?TYsq2lyq}i zCw_f>%(p<>sj&Wl?|!mIYNKD!mSa3{cpiV{(6zrq2b}p1yMYRsa*%!wq=PfQ z0^Yv{ZQnK&{}v@X3ep8YK|m8<0(T*TY_k>(?Z*r&=|7fz?oP|T*7^TVU_Vkut7(Z( z5V{+eKsz7HWN<5pEh_mzifJLyZ9zmaM4ICS9pTW`YFa|C)%v6^(Z51QC1;uQ1<_^E zqe8!t@^9oTEd1Dn9r%;wC&=P04Q5anJ=uzeN}t3T7@k0eFoRwM$rP+NH5SWrKfpMoW#G4{*(3CwKHM-ui-9lZ%44q=cfs9ND$cv}6!m|K}nK4Z(Z z(axA#IO8;Sosh03bS!r2wIJi|-%!S|*fn5GLs&^Y47pSjlHZ4 zG6*dGgj(2VkY#IBSa;0#61)`9H2^+Vm|&b3-y^AoF|lgSbm1d(NgyT89btp51x8FO zUPHxA6!mlAic|IO3V-whEYDAYa_v>OIbGMmu22RP6lVF)ICw4DL3TA1VZq+zZYw=_ zUXfH-1OZN?X2o1fDH@N8hLr88u!+}FO<<3UozqeV$6p_`BR|Ih9hnyS8n$km5B)Tu z0^vG-c+pZB?p_V;%;mO?`+1GrgC3keGsf+TPkC0Dn3x!SWOWVmiDw(f7<4$1)Ew4R zY3)TIn8x(xdc9R$k$Mu0$^VKB7cZGY+*dTK33iic#_lHRPLAP}N+Pe4qhxMFca;H^ zn9Qn71jGTj&>5&H#n_+4^@K(c0&{Ql@yNCm4|=0vWKBL+%(k)X|dv*tn1%neBjBwdj2F(48Ekw30@b$;8+eXiS z_^Q4B6?e-;dREALG9MTegShINVQn30faFY}RS+O)rf|_1@Z$~2TXMdu#hLY86wGsS zZsMG&Y1Y*stBt{q@;U-P6?1F+P^_Aw`*b>3C*|^049*}g%nMUs#vWtK?MSRzdUP{- zDn_+{^_QT_pIKKwm2>-cL7|O3NQdDNJ+kyE(^SfLi$g&N(jgeCI2^=*E5-&p7pT{- zG|YeCt=sK*2lUydB1v$T1@g{wB5!uQ{rpPnIfs~p;+noVLc;jvW3Xx-t032q zs%&P*BNi}ql-uXqDVJKziDhDUt1`)2^hK8TZnk^ zp6U1?U5OR`*VJ5$T?hudG8<-jbcD$BM_GehCrHdYOmySMI%6f4*urWQ(LVYEwC6}3 z%J^}`{-&GfnLDWmr;bq&sEd6u41Dri_x>J3Y=5N&Bn|xFQ4*Xr{Gfk4ocZv`yXLqQ zh%s{2G)y)L2*DVb9GIpeL0%U0;9a`DKGmiRe8QytJK{{U&F?>vziUx_bqC-9Ljp-?#a;pH1i$ zT1sq<5!hInm93Vxxm~GCv#XUTnxaTI$pzATcx8S*E7I(@c}m)RqW{p%z zngQ%DUWDgc%M2=!M&zTkT|}7eug)k3fm}6x!dp-R8B5Sh7irDvq`uF=)IWLHLs*m&jQD9KYIaGPg$w2059;Y^~9d zyq`|`X_@wTM$W8pHq#Qz12)T`?R2G%4~Z(rQci^IQfcD^RoCUybL*n?tV~;ir!^)XD6??o+|}jB$NKnr)bvqG4hK(*R+N^6-oM!iMMW=QEa4}?!$W&; zLIUCcAN0~1oPbRD@cm10Lj6DJ-_-vd?Ia+BEpgg!><-(61F#~2AGdFIVMSP-{%^UnKfm5Pj6b5^) z3P$0u=Yrr{f+H>nqfD|ch&R;RD0C25FW7fL!Zh98BQ&r)++VVS@voEc8I&fJdWdnX zn<*cc=*?vWlW#IGz~+QC!Q^){zO&d(Dzt4?U6)9)39Mpy&C(DsG%3-U)0 zU{+)PfGkmW2|4@H5J5u#MA}8=09XP(dfcGj@=*xKcY!`ZCJzzVS`}M2AR*-%Zcjj# zOM8+CAdJWgMcI|t|Feb}F zBMMK!6FUR4FC9|-_iwN}*Vdd&tOjhEh<+p-Q%&b65+!iR(io|(-LtE}d0$&#mfSA% zo?Ufseg1ZqOhk{o|Ex(7069D*C+0%xt6SamUDF69UyjO1Hw0-pZJV8*kU=HT_rRO5 z?ee-MSAydkN9*{@7#=@G(RuVS3ZC@G-ff|;CoRm)s|80gcCVGKE<(jc(PtVZVni8H zDVQz>6jKU=8k%d`iuswjcM4pZRn^7|fGFz8SjBAo3@%Os?K;`0}sU*QuOl1+KH zq?z9D+89u)Sb0I^+0NoW;rj2GSkOPevrdc7)wV3hoP9Fe2?8H6RRWcE@e&WS8#YbG z02J4v53TTrwcy>nVGX!hZ@7maODTI7i%o4>L-(#0xIa1vAw#IxYa17xtL}kdv!Ky$ z7orohAN>Sjz?@8b0%hAGmtXO#P{`(I-xxzv<5=lrA}llWVtTr*XsNiO;&r0CZIXUc z8TA^#4s0O_y(a+<9ZRrv{6T z=;t^q8UMRYPVRZL(@vt6E>f< zuWN%noo9avulS%N$$Z)~GYZ#zrKE09u25WurZ89!1ItsyNY3#=6&F)e+BcN<9<(S1 zYX`1{S=x|D5Vji05b5G6NzgKOHR)7(ZS%e+bTvhJGB*Var^yU(Q#|Y-~ zl6%P!TBe%liUd^(klJMe)f#!)4O1HR74%Bzil6nv~e@y+V|lav*lbHCdvGeQ6l(EXc0teDr76Bm^{52 zuwA82{w|e^U-={mMrb5J-`B;yom1`u<8L;4VoZu{xr^5dTP4m)r`+RoDjWhgwG2nW!kF5+( z^`xCeg>@KJv?#CTn)3qRL{TFsJZeo6U#oYEGzn1p-WI;Z1atC5t`@k^DMyT5dF9Iz zLr`DdSTRrG0Xys_aLzIUby|P9R4i2{trTpqfXQruxKNyKORibC`@|CWzJgm#K`Ta7 ze2}_>Y!^`veYOBG*qNLzsvR95Wdx5%!2%sFCXIp}O^s6Nm`9e*Rod!7v9C3U?sz)b z!$&Ri@8wPJ~^v?P zi8HOyqb@aPX>!qtOWDC-lwnJy>Y%F|h%+g~lqjIPXdzIK^GXR9+eQ&U0~+Pbz?ed_ECVA7l<_rI z7OF_ls+CS@Iu|$V-BiuOyC#i>rsU&k#ixRd8fO!Niksx)N`}2Gdm3lcUaHk4&E_@j=i{7#-Fs#?{?7!Ln>(dLG-8sBOcWP~L}?NRMO-Ypon@FDC8g9jCHE9& z2wIL%<9m!a4{I`l7)bPDpHhf0(+tLUc>OH8)>Db(G@czCZV}B#uvqu)jjksyj`K$= zGk>r`0to{I$s0!y#4CMBxbh`5f00PQE=vd)hE&)9c-X(-@x`r7^!ECsbZ=+C zLw=J=oP`cW$`(WC31+v2w3vY&E<}i}q;dX1UK*zPRSf+WQe5q2!H(|up8r^#V>Su~ zA084M{K(-g$>0~{(Bl}xg9-4VxH)i|5HU23K{Y7rsE%ZESs`XOV*q#wO;aWPCLWmK zRPGO&WF^qt%(1)eP|U~+gLqovpuPgK8?dJM60@4p7XPT>0O$Wa87+VeZ8yB=RrK%uoH+lbZ7!{crFQa z+c2^jc=19Er?fH*tF(0K(?Dyb7KJ-b@ha`A0t9ebzm>pco!VR$xX#_L$pOuG%6QG? zo{S^*tHQ`v2MhJWEU`ijFp_Igfikv5Yn-}{=`rX(u!C%sl>rpEB&} zcYZRzBd$_X&&zehxDrz3Ra=IU2NP4H$t7u450OVj&`2Q3f4X%stseGSh!uROPFGZy zm_c;D5r;Q;=Oh9z&e+@X&2!-ALm7YM<2&+IE(QgjxZlYVmh&&UkWmiV1?mt<%JZ5^ zne)N&23Rq4wbA5u%E0hU?o>h?YB(f{fs9Gk>yA2h#6W4 zb#~zKjT+JV8;KM$0(wtP!oQ1rMO# z9brk!Mm3pFPA@2_0YaAFxf_my;?RJNKxUzblB^J=hLT)4^ZV(Espu)_6)wS+99}Kx z)WBlkNl)(j6N6%egxtWbaa~_(^$dB`$wtmoP_n`mbmo1L5D0y?F~SLvbapq3Eav<* z#QMC1kPf|0_kP7B!ujj260!nsrgKLzQ!Kfi8S;*+bk@5LQ#-Tn&+1XlY!YhDL}EnN z5@_LR>?sm6F=keQpU~h1=$fr1EH5xaRFG@4sHHyW7>^pkh7G9cb4nf!POW@JF2WMD z_{9;n8FK@>9^_qH+#Kv+rY(3zP9<$B2kf1ZaQKZE5|uFU_6pj;($oSf2XAGe*BX|G zm(317b~N975`Qc;qBoH%%-|XBfCCt5LMC?)nPIg6|FySx%%p;`);Mz1#2N1vC!^d~ zZBhNIYX&J+oRtmsYj5TW%z&OYD>}3Qxm+iPL~2sC%6DgZ)m;qB#wRg-Zw+p6}KTXtHw01z-6jhUp;O*yq&5>zdijq39o!QGx-`!9!?q z>b-ceki(WJOxd^X5rGvdU&umcLiD18f-u6kzRlr9Nf(br2p)4=R+2KHAc%w)fp)l* z;UDY*(SEX&K5Q%FMTiVVCb||2eU#6$yTVVwYT)k4oMb>X=*?`NVdGNgvkeh3>`in% zJeW~$f?;I#r)>Dsd-VRkV%TpuwU~y4yP@e)?VW{vm0IYinZoAbLWMt41+3UE9|AmH z*Q%^d3F~xwO;yBg9h*#*SiH7GX&)v9u@(5k_v(7YWgjs)Ra`XrY7+9OiG>_bBDq~P za&QhUx6}|E%NGQ5NKI-v#A)%`rQzNb z_6XwbsvrbF9>uv5LXsb@;^P_v`+ESM zcNdaPtgp3*#wK`>h){8n;)y&136No8dx1n`r5inS?d*UFeQCQ~ zGSGe6u>OKsC*(DhGCO@i{o-V1nG>7uaPJYmrm-_m=XOnJQ0&$v%GlB3gkmCx@BJUU zG$J*aSqXO?Gc~CHhpTS@lD3KceAl*Z@4jo>wr$(qeb=^a+qP}nwtf5kudeFuraF^! zx@Vs0PR~K70{vOhkLIv5{~LN78l~q z=|YCORO~2{1u9vW4v!OIt%K;r@BNjLfD^35;;Eof$#{@L;icS+2-4o2?ZtDBK5*a*1kCbT-x|4jqQkUyBSN7>Fzov>WwdsaqP|V=u&>y};3SIB zV8A&-@#$9Sw282wf9T$zDD1B7wMsoB(ctqX<5f;o0CQ;}tNOY0h{sQbPYEz_b0K=* zk&rUZ8GHH#el2j7m#>$LF+s8Jln2A{L=Y&l-wsH?#I{nCYa*y_#$syCV#-{C09-+Z z={8pY^4IWN*s(Wk2}a-g-i#@AkqlTfQXNdSc@a>PW)iB8x1|09xzZrvDsMg)ZVW;| z_F<8Nfa4x4{6z5|d7uN|Ac*(g!4G&DNX|ODg)h!$j0MXp7bRY1(F~H_+p-+%q9L_# zQ7v@YRqnkuY{0iM^s(b`<$Z6Un%+Pl^2WA9uwjsx_k*WI-1t&3Hcz556)+i3Q|A73 zW9@4(Tuv_HfVg_Ck6OCABq5a}YV^K9)~xs*c{Qc9dJ@)&1mdaQ@IHxehoIn3^U21M z$49H}-JLXd55TKiizY8FUi!pA_Fo~&`3l_kS}9waQmLGmSyS#uo;ML=kBUhK?|H$S zx!+VDzA@jL6V;|59&l}x2^S3RN~AFphvI2mGzq50_nlHYn~{?U{D#Fi>NE?DDMUJn zIR|D@H8rvBz61l?0`HoPXGiES4v`-0=Q`0oLC~Hk4CgEAU%<)2v=gTg^@Di*WA%fc z6b;mOA$IlD2R_N-yc3N%MLU(h5ft)0E+b#-I4_p2n>AbZ|8M8~{2NvIi>CZtQ|YOt z^xRf{X(zwjmr3R8S>a_va?6%hxyR+g=W6+Dz3QV$vt|7Wf-AweVT)FGKK9C(FyqX$ zJiDLC3lQl^g%+u7PM0vlRD;XU^c6V!9D?f!$t|1k;q~_wWVcc|y^NlKGoKJy{DQSP zXX4&J$W;0A%QH+KkPELAQVAt=+{XBEtQW@lb2}44+1)v36by5d3p0GB_G z*#;m?11}775_!L4$C6YjKE);E38k8wLcz%ok~uk!gx<%J=-%M&Z=6$%|^xo2b7)HRMC)l(G9 z5vEiWhYIGMyV;irN1+XBF1kS#3rDe#xkWx>)VwPvsm2=3xiT5aR&DDB$ya)^-*a@EK3+f` z-)TBeu#P64>Aj+9N=~|ouFFI&brYq6J5EBu{CO4Mk+W3t_(dvp6E#YWu!E8Y3|eU? z=(s{eI&p|nF6xsMRlj($2JyZ29y{R@ME5~w?1Z{lznZ4sq5lMjU2 zRFCo@Ii}^wCfGnJ8<3l9Xd&0;6lL-{Q4evY8B&;Rq!wj1I2Iw#K+{RyT>?5H&+xK| zd$5O-A!V9){xag!0=IF0_V5yk)Bsl_;b;SvrLzMFYT45rA1Vq{MI1@`jB~Z{HKh@S z$zqP=?>zZwWlq7fl%mW5SL(0F;EWU|runyq^Xh>`i7g`)+`Nd=yVJ+*h32CwhI zy2pxYFRkJKVNN5z%x1BsyV|4i)uRPeCRv<-@;-e_zckTG@dYusEnt)(AL;B&j^VO`~~~&zFH_)&OX& zkt+?^Xz#~DIV(X)LlK;8q;7DJfV-_+p!)#xFEA>9&vVH76o<0+$OrT zLmRRecab9lne4HWT(%YuR~IGTS_!m7+H_tzV3r^^2uI28y;HQ%(HJ+`Gliu>@r=jm z14GwI1o(Jz;u-fjg^`4uWm(@->;=P{X*Ll>`R;>>SgoJ^R05t_saOXnqMI`!N zWPrgcZ1}+Sd~{#OfQw-yronB2NYs}8G(UZxgPc??HZl@5*bwl<|J`M#W-rbAEyCt} zD66nUav=SaZ5P?T@kpF!%Gl^=w6Au`nnW8iwh2fxZLWJ)UpRFhy*U(H{P0+GQU$eY z9jpS91hR}e8W97#d2?an%Tbf_0?x)5o{!i3a&qM@zb%auO)DgeSQI4tBI#f=f^mEsBFvB^f}Z*9)q2d4IAr_#tak2HTj|9+61c<$I?jw@4@XB(8&a{BJpy0yif znKE@vZW@xjTK$lmA{lc^kGp%;3C+QqnLagb$9gAt56fT@>Xyd`CjMcOcVyxiEnY&d zWyyB2usxPi2+s&TkM3PZzn0`~xj2H~Ro(~xAcg@t!3rLnc6Dq%j}?Xx`#hG%35F%f zjlD56diVDHsi5%(hU`7eMdyOb$tEAl2{tkwF9EP=X@1(UgMF3uHk*H-2}W~^AlS6H zwGL+EB0*z2fX}v8{~1Fv9=ru(xU)pwU@(HNy}YfGhdQ8XjwuX4s5{`83f743? zl)HjTSh!oX_!spxLKdJaw>`Opj`@piMWHlQ%?u4uha2Sj21cx za2uTjxPyKo{9!*ifs~XWRz_(iA0WqN-H}U9LRrVl6pyaXOH@G|cl3Go3i+U_QEskL zT3$_foYofbSUt`vmHf_QJocY)y1@#u&_3z*JIeUbwc$BUuNuWjwA#V;{U$Pm2-ON9 zYMnbodc(51Q_?IaWDht4N}u?4Z-zb^wR#^qS>5Pk(V2*;mVodg2bU&n#sOg=zfML=+-Zc&YL5xFyi4 zCx_|mdvQ{NoBR{@enP?A$VlnYDU(A=Yk_Hx(UmpewkcU~hm0I}2bvP-bm>qsld#=S zwf6eSF+MD~j9A4#R}@wPG60PswGiwev)O&5hsbo(&R@hUPYGHRWNpc^Rx3xM5&U;~ zb%72oUed+ed)-Yxx{f>lKLqFI=?eFwtBoiU0&V*v+bO$eSbLpd)K za=|*1+qU12nA~e>BqbpO#sj1;cQ0xDVo2bwU?TT^WEP!RMP&)|2Jioq1(lEpM?m-c zm4_oRdNjINw#8ydv?`E5S=+iGLit@wpcgkg5^Z^P?yVIo%A*`z&*yh^2L+YLvYDtm z#Fi|bkvIlKSfV-FkA+xvBEt68T*mK7!&eZ2?zU%<{U4*m)f*gosq1GKr!XkAVlDjM zWsrr&$pdcIrxNN7jFR?zQfVZACo)HQ>80X`h(M#6i51XJz0F$Trw^)G-!9#SZ-tJP zCJa>G?<;pAB*+to3`vF-c-@`vhK`ZP4;VF$>5FiR{5BQN%KJzcKBmdvKDlCU?P?!R z^NwP@t$0ZRBQfO2vDh2ng_&tMa+P9SK0Sp3bSp*tq%R#sv#45;ZtPm&W$gXMB{l_P z;U^`d=y>SfN(1}vGI|`QfA5a3%O-=6phKKH&_g)YDb)`ZETn7Isp9JN z4mLUB81(m+YNW=4w?It43yjlEUwH%J{?7x|76B7fNzLY^|D&T&5?EqEyyAIo6_#X7M=r}je zzACH-#3Vpga8ve8Tvj@lEK`ged@ijRV*|+$j11$jV(2SQmlaE`Tu!iDBOf0Je(d(t ziXRUOT%P;_4cHl5MRGu2YYww%ZcJf;7o9pN<7~DW4-T&QgDm@$jACYUl$#*zY(leF zu@^$XTd*7)Evn)OXy?MeN%MUz5#XsYj9m%<5Y+2;_Od?g9hy0lpE151ijQYq3!Yf5u>vg-$pV5L&vjGYJ`8{beOXuu7SD zBk(6N?D&k?6QFYHFdDO23g@afN>NM#AHQ^H3KdJ!hDHCq+5`=ee*whPrLQd_yxqRW zlTB4%DD~(J24LTC#}f{r8UxGr6yvTb>&D6U!_vh<_u7{2hVkmdQMV7JVP^$D<2B1j za*9b1;)MGLf%gC~2l`%TR%fH_^kcA6bGlF$hPashTeXmweVO^j#|-j)H9Wyk(YS+; z^`-JIR_A8+%m@+A9S=5K%aw@b&bRw^cJzsfYb#Jvn>Qih{GZ4CwI2s2d^Ur*#!Vj# z1Y-^P@29$?3?p4z*O``#jJV6SQFP5Sc6|avdl7G=w4iUVF@c}ykAd=ksMJ@0W>0o) zx;pCyn=3A@zzdi(cQ>&HnQD2+Z#>9!#!L#HZdn?6O7&mtjUsbiB;^| zH_ICx56=#JH-4V(8}_fY&M;jVV@C<>ukQ5EOk{ahL?fZN5w2lw-KWB#&Ktl7b_qo2 zBYD_;YKJMKcb0@nD!JgZ*qNb3HucryEMrK*h8B1FaR~jx~Wgi}w(nz?Er z_!cYaxZQ~z7Goi3{#RB3#mxOm=AnK8d?N0i+g!RV!i!fLvd{}n=3YD1tD->c?Qdbj zF}s2e43eQZeFI&Ri4A>H^e;94()KTb+Sb+m1|U@~uWp_;r1?olxk&xLZIa)_>*rNt0YnouWK9BZr)~T1Zp!E`Sp6zfrYA#H#HCu+F)Hm zDrx5Gc(evSw!wfUWk0$vc!9!Uu5(M1Vaw^X<}*`5CLE+!G-nCvOyaq}-rCi9b$4iG z6R1gkrpYDc*+-)lj41>ofl2CFX=N!9fu%E^XCGiLJrzOJ{B&MsKRAS|HHdL+(ezK& z+n0~tfrv6T=KHHCz!qpeJQZQx>R>P$xm!g^H8M)iq{n#A#t*%@a5E)q_89TOF*b(d zl-Cyl$xzvv`5GqI0gtA~1LmWacs`OLuLp)G4ik{T{Td$3VIe3+${H@eU)%ka?utvx zsX^XC*#yd|Ny_1RiGcHeQ{#++Z)LhK@`rt&Nke1D7ukaZgsTR0*LXl7hJy1 z0Zl<5M^_YleXqx=aRlnIa^{n$kWzk}K`V=w*@i`E_z$7Y;5zz@I@V}8W27C9VM*+{ ziHGAhj;d4IccO~vF!mX~4oWuW*P=z^e#wHvLFn0mTq0wq z`t5+tlbt4s?*nEsE=$LT=1iEF=548$fCi_!>D3 ze#-VU<}c6H^Ozq};d?wQ@y%JVc9ds(PdjabywRpPEu2C2IDAm3V~WP^y4w-ShuZu7 zA_GolHi#I0rF9DSd$5fwb59xIx?O^)M_|Pnu6JM)W53O(>2h4!jscuIy%uNC-6&zF zb~>2GjO=b`;olr4E)w%V!Oiy)^_V)BXvQf>^Yhg>9*sLCA~(1(7hhn7=Z9l(I4@4J zgTHVAXEN^LgSx7T=D9q-zYL|exqJaIVzZ?cxEe*xr9+w-r{pTAwB^SJ^8V|fQJ}=e z#kqa#b%!--zs1GxY^`Utmt#&O9T%p}BAb1l?mBV%9YKE4Iu8z-%f`)%i@L_=?FKs3 zdM2oPF8V{`3~~{!R`=1;I+{&L39c6RGMd{Ma5xSo-!)sc9~JpaK_3m>1qh2W$y(6^ zg(VDf*;N?AaApVcnA2?!Ii~<-K-X@q1Op?-E=$ekU7J8f66ARpXOX#et6KCuzRf1*)`tV>{zQ?rMCG_E zU>q0^d}KxztP8-`dk1yBli~>ZAP*aJoenJ}89h)n_URn!g-!cy&P`IE_vs(~+@ie)XBI2=-Ag4NF9w14XmT%1X(>sLsLk2T z@HgHFI=LcgfiCU@i;4^@NGbzbTp(6r&-sc^kq~ujbd;E3r!XypoM8Vx+HqcM*d8V~ zAaL8}6i{eQ1DpY4f!QLtnIYHs*GTiHuPInP+|TC!VpbSQ_{~TR(viyxvO-V2ZSkvJ zri^I=F81Z`}jhxmef3Q&_g>_@S|D#O^B>6UJ0HaBU|cMU>b#a+cO% z*iqcZ0)qxwZb4_+^a`8>Yt*jUh&hR!d;$q1Ut1C2b72!ZY1!U!x36KwPLnu;kB9^J zhj9_evsycGVai{vmYR>HQI(CS)eWllA&Id;=K^1W%K1BQ6G#!}MoBbPD0In}xhR)w zCt0YX2d`HD`^a)2Os9-10qLvf4wG$PCFVglNN7(=AdTY0yAs#e zasxvNM)1ZV*Az)ka8Zts8xdo^i3{2Ex8&v9fQzm`Vitl^Q)x$q@s)ny$VgI`5&MjJqAu{Vadbr_%)xPS3a^8L)ZekZVaRF2 z35S$tbB3HZ{Y<#X9##El!*Lq>KQ!t(N*BoLKEsA6+vHY0sJH@AVmdML{JDy)$t5J! zMM0?$nygS7MTB%_sQP_=6n3CJrYLdns&ceyw_ena_-xFEs7DA5JRfEik0jWuL zEK|5AhBAXS-Zp>9J>|HJZhk~=na#d!GK+`gfuMrEDyf6ZJ)Tk|;I29o+foc?q0aQB zJLj~^;xsHFFBMSFnlN=DPTeg2NK%e-$e64FGED_}vXVN1hj}5zI+Y_wu<1#{gsoVGr&E96?iI9>hU_uy3ddpzI3X#kVk{ph!-wNT=}Cn_w)k z4S15fP@H_+?+sE=o!%c_jl6#E%VswWOT#e7;@UJSs)m&pB}>>(sk0gC&&DgfAZ({k zi@&eA8c+ZLqIRAi8{4xgnKhX*G9}(u&@2b?&tjgz8zZ z%Be?fd^MFoECOLX`c!y?3$i*{r~pZcloizmJC|`U6y@!pAlOiay#HSXQ_$>QP=i#7 z^*_Rw^Ht$7Kof+5{v<16B%$7F(nUN&X9VGbkcF{H=&?_6@aaU8>nKn z`Le%=`t)HuC`Lm&6K|$!?HT$axfZqm3IEXE#Cx$C*P^3v5B}94^pu-r8$A_}iK`^iy2l_=Q^TG(T1F!>UHJ8BmUvw?JPBuBGxbQ2)`!H*JnrUj%~f(*KTv%Ys`UQ+h3-g! z?@r`6G!1|}6WGMF_UL|7X8voEIsPWWvmcTn3o?Z}4^|l3lMaFjKsq~CR)xTZUD9ju zP!N@2&Qx;^+%i`Qswb+A9;KW_7rDfoU3Mt(HRpYbixCM7TPbER}ccBE<3P`gT!}QVLCI(Qpur*(tdKh;I)#=YoW}&w#37=Z>~_(6 zby+rTnjzNy!XhMBg(dszb9fv$WdIMZuGd1x;q4zjRo=VII!F{xEXY5ZMpz~?^+g1| z`q{`O!9q?9e3#nD$#92+3}+lqw7YJUAa{y0D@B_BsvK3aFde1kuAxG7S3J;t07CZA zOoKno=kPhD71nf43Dfmo*GF&;(v<12vNq+0qeFfxMMX=MVhP7U&B^c#A)9Uj`|wOAn?XgDYKAqE0F>g#Z_=Hd`Nu3{CvVldi_X%tV(M#vwmu~*aDsgwTW2RJ!iFhPiM-w*6n&ybLz(d}j^d1yKEuHk(KSSGVWir9 zt8L+tURlb)DAw z`TajE+&-kDeT>m=#`M&<_p{73slueqScLaATu~P?Y9#I6hneHgl33Aa80^VLnSZ34 zvDS_w{}fvYaQ6~RB+>yJs5Nl4lG-@jS&+QzxSqm>L&>t}^F|d&pB&V;ZsW&;>bD@~ z4>1xl+)$Ad^4we!rPp?H51!qTQ+hDwf<|S8*lF$+OO!o4G$`##`)cec;h~xud`ygf z9E$;!rG10yeOzp&F8gYvmT65E3{0rlUn~y$nUZhS+nOHt>#T;WSwGMjeXp6|e!|`a zuuP+zzKKnUXp}Zz0&t|ZpC(LSwaR>h6g@DRtp28)TtI&1yLZ>QfSu`~|iQx}*G zS1L#epi5cwi3koD8(H?ph>ze;7zFptEnr+H$Vn;ur+*r6*@D}VzeJw0tki=#h5%K; zG3E7dVAj|V;k;kH0jo;hY$~LeMmSuEDJk5`k)m%Ja!!oJ0u6n0Rw{WlP#r zgnIA4Qmu?PTozShfU%M<=WJPmSdNJzJN%bf49!1~ZM{9y=V!|Up-^m*t!$!PG!0<#ptMo(H;5@kI-(+}QnT)K!>j;d|w&KYCeRP-YCw+h4w7wdZW(^63HbC)Hy z(H(qOS@x9lh96=xbyuIn<@@B7OQEq_7t;MhGK}}ZV?-s2Fi~FLn|cm0F!Fn46-+4? z5iu&+wG|`~rynnyhSrR zjx>s#B7XgNETu?R$}cAezpLHz{&!Zv^tywG1MLU-h$&liwH?NR+hO77FQ|izlZpqh zNnu|u8(u;ukKaOXyW-)AvAUt4&eh1#A?6*WhuIa{{}F}6OBV==sMb9lb@Upw#D6}lhm zD?W8!FHOI1sQdHg`}x*U)BRR)quuq!ne&C~8FHbE_j#k*?ff)tn&b6zx61RAVDWkP zbP?d|;_WOf{5eSfb-vpIBKvgr#2Fw9!u0<7KGk;vS^E9@ZgC3)0K?n;eY~3kWp;n} z#0t9O`*VH;tKsG4&HdVioaXEGRn=!o!SMC^egeuX6{m9DL;pH}oaXKImDP7sB1Uz% zgZ(KV-q-{O>KjXFyHPGh61CDp-|GHx&b++*^c(wl zwpGEZ!DF*x8K4U*TjgX6?L)%VE>muCI#&H?ZGPdUzh6Red>ymr!uJFyBl;C%8Vp z|JN$G9q+k<{%{15;r{OCPVw#Pzzxi|He^V!4&3+G3nE+N!&jfLo3I$J#Lw{V2!*fN zPYdYJT@o@J%-8cS@0f!>+waEQZ&keP(>b5Ig7U6+etduYJpFiCUS|y*C-8nhy7JU{ ziCnZ(=iEgcGH!Q!7=Emg_56&%X*z4^b|Lw^obJ_cY4!Xr>Nf{q4uW?lr&YBsSmhR@ zZqLK?Qbq?*STna)&wp2NeN;?+IkU7SPt@^xsj66U(OSy5(iQ!_xT>gFiGh>WTz{&t z)$;yo+|=?t$WT?ieo0F`$gtJ%K6tF%(d~KuUh25CiK zejm7~SgF>*(D5xmVQRd6k7{;8ylP5r?C|;Os%S}Y(e8PBU#g&4pt430xV~svU(pb+ z?zs-?u=uf^?zm9hsHe^OK1?{4{kcuJP%YJe1X5n9X*>dboo$c4DJ1mz;#6HJ8>VP- z|7r$X$h?1IRU38X8(s1FKJS?2kNJ(Xn7Vymy3zK=Y7c|*ZMiy_Rfg^?!hC(F)$*2g z&ZT`uDTnWfn{cn85hdQJ*)bF5(dl`+UeesGn|zvTR@FMWKTzISsWz;s(d@qd*Zb6R zN`Xz)`t72r;P{n=deD8Ox?`(c_w(4YlH>J^VfneVOzwtQz-#tLyJ!A;&g*@RY(>}d z$L;PcW)p7_ZAG`^-B(T$2pIX#pFiM#M1XY^Sqr#by~+Rlar-6EU#*j^-Cs8w05|fG z6*Vh26?!&d0sP;GJ_8f|f1H7hh0av7YcbWI@s04bEn6lt&&v^&o_p8XCvKRQM#Auf zIS(I15vwfZ1MmOWL)SP>#n#dzJdDZzg(lx~9U;y4(yD zN-bBu9~7*x&EPH)ZWfEKjA?KwVtf6kcb$*XQWa@=CoI{|9mCZrcpuY}bs@*lT~A@n z9`|XWqM(0wbujc1qaF}4xn&XsmhT+Jx@elEiE+U@aMw2*;T$?;kIW&JQ=>qAu z`Tn$|^_Ud$)=(LqCt=992XZ_Mcjg<7Hx<`17Bm0}{VS5@XQ!!}#9-1xBlyQ@RaBk) z248F{vh}w*_7nclFjV1u!9YAZivp9qweUphJ(qu%b@^MrwMTl$hIt-^6x_H#Sf$${ zcEE>_ZwTh2wm1@wWK;MBzY}y3U5Ju#D1L++#TR=jzj0Ix(7KE#+>^iR{%O44)cu`* zm8=3Ac{EfOJAEk(%C&D85Z$8VAiCsV{Vzu9q|=-e+6iB^scUx2LJV-Yeu{EE2Xjsz zv!u&;z+erfO(MwQZJox|+~o{BCSn3E>TVnRL*9s6vK|u5;IyPaw^?tMjG2n}XeXmE zMH?CbYZ9dB3lUAp7ihxY=(r`Mi4C13IABaE^OJWsLut9hNi0!sETJ-tk=OH^k-;4n zh-q6YV%Tw*j$K1H^Cdc5cRKrtQsrN4 z0Sevx=!HYz5Vlb(xek8#QuS`M=W#x>;B`VAX;1>T9llp*JTPWu*nsfpGlpo+7JW9v4qq+4CTJoRUXTH_UFvJ?s>kIe zSolbO!h#$xOMKn3#4b${Y}}HoX6-ibO+3-#sI9(ysc{ggL)eNmMEh1Gt4VTx8tQ;& zgCoW)o?EFp;R_3eZ5-~!WgOZ!RfHJMpNQ);!us-fKz^@rZ{dDZ7l{aa|Ci2QCXLeB z65lDq*RIv*@|{qUDBPs``UH8w0pro^&gNOu@5s9G0D%WF&Ei^wNoEZ%JSBn5cC-Er zP%0>wMV|@LQ)hW?5UO^y9G}-w4R;&>ulpoS`5eP6nJAu+4?W;R_#WC^sM#wmtcpF6Y@FCbQlaR}3*WS7iN#HG9QlcM68t-q zfFm0Fj49H=1&F~WTx1FhjqoT1aM(HPB9$ui1r*Y)@qbj8Ba3rwAXhd)C*86*e0gTu zaW`*EYL&pY9ujKm*~pqDFQDW82hU${V!VMLuu|wU4USr#(5DyxNq?_u_gPk>2UELZ z(8&6Ra=B&K_ks3UWr+@g?p)D8)<@#3JpM`uLor0Ft`P*uFo5}cem$ILTRWd$b0C!z zX8}rkBu;F~0L>YYivGk=^{FxE2u6^WVr8U!Iq&1-3x#4aDuV|Kt} z2PCV2U*&h|ER7e4K>D(9`ayi5zbCXj3bgEIw8{domghV}KM=rKP^0fnWG8JP9vHW> z=%0MAsK`ct5-m`ctQuDATg7@}Cand0{unS37+Gn|Cu&8pTx|m6WAR0?Qsy1aY79nF z{P4rliUoQw;|Dt6QX&TZLuSb074i%Ki_g66ns9!hYqb;5U6WZotU~hN8eeL7NWST? zfV6DZ*z0l$@YpyX3Is6yS@%5a5eP7-S&R0YyxLBg)G%iO-o_6^Y&_(7%Kh4ftNn(p zf0e3w!1oTufkcR$RNtK&7XHTk-Rx1AHP+vi_*_9TXc!y@Qs|&6akwN52TDP#)vl%1rj>+kCnrzGgDnbmg7JjUWCXuhi%I8L%5f^=qwo?EE@yr+;0+b%%gmx4R|xDC#{Vr z8K#|O3D-3q@^vED@wFoLkCeCmBR)#h+xCJV+qk7xssu_F!zeg$89q#J5|L$kZveg- zid)DI{06)Q#%gNTpwX9PkXbGpAN!;9TuJL8WKXugsB);@eZjd(dN8?1`ltp-W8Qz< z7<891c6k063`7+Bj#K50Vk$jC`!~^`=kp-mk+aL`gTytBLF`PG`FK}7lSUTmeekS5 ziPQ0>RK)nu%`y7Wo}G16nlPEPVX{HqOZ-dE=C9VXfOL?d#*}zqVGO-Ux399Q*^0|r zMv!EPc%tl>Fu!1S)SiYLfsc zaRubWfg{B;Sf&`WGel08e@eo^q@VfxrCfATBi2#;6=Ex{A5tQV?B9Ny{FpPGMI z6g(SH%7iRR6Lv&*@kSz$s^i{b&SZq?+SbfjgAMUxfx(^nW%~dWektYBloP1=KeRx+ zXFvcgZ_>NZy?F$fv)fVImMctkQ8_n2e^b@2}_@NZGrxd0dYvUJr|N-$oKC zaP!Vu*N(`J0R%o_@-HZT;C!1K_klE_a!+gCzlAHYfgQ(=FWn6?pyXjxdwRz#!*Cl_ zDL&hcfj_dfd4sX4K*$Qv*v_GGp zvbK@z$a-d~F?L7W^0zacum@bZEBvNgt_u z;?Tlp_Hx)|Bg*^T*G@-{&4PkUlDpI{4kmYa6ccO2~>oZLk)> z`!*%rk0fUgN)rTF%=0@o3K)mC+<_mzbg$9Q{JX%W9JNX+@+@r?ogrK&75Qsp&+^$#@ z!U2)*2`d21DI!;)&>$|9)}tRR?D^m}Ecn9pV7bX-JO__G8NWr5&>ZSPp%(eOj*QOF z5&ZbGZkz+|0gaLm6)K}ccQwWOiu|`&Y{jsz#{TtCLsV<$P!aV8ILu+q!m`6;e}G?y z@b__S-3~QTFfEov3INFQPwLw;fGB@_MO&%rmxw3nbIG6MOhfm@SJ@etg{RG9PaxZ2 zPIP(bS$g#?(zjYqfj7;N%c%XvSd!Xt3D@BC~&s+&Y z6D_ONJsR9;053B)Fuf;bYfv<4N~SO1jE)vP0IQODhIId zT&iiNboQD$!A~7j&)o$3@4iWU8^~Q8bTb&Cc*MZsu_n+iX7!6?1_&jru%*xd+ z0!Fuo{2DuTdU`Dzglv-SU~fN`2#g&aYO{nbJ4`YCInY~8%iGR%B-EzWGU zgTKL{aWi6k5$OMkY?PSRGoQHp1KA|r^_lomrF3aWRb;=}@#@HiJ>Ucu!*X4z?w()a zDb2@Tf$Rd?Y$X+w@-kbf5dnG z_m?;-f{=E8fz{IXoJUiA`WH4Wa*mnH1_JKJ2Dd^Lh#| z5{r$+&oqQx5kvIB{O|~?TV~SLw|7gKKEy**X5#DO1(0?i#_9b7!gvGrhg+~RXI=10 zV^A2|Xx4%M(A@seWb-w$=-#__7Sz>+&q z>`8j5-I?GI!K#JaGPsr!OC-X9sLJOB<0&6>2PSU#9U!ah`sZCl#=L6a^(}cUgzCA7 zR10*uDUbbeGl)9)Ful=5f%~Ter0nqNl9)39bz8{b_h-E%(?0q(KB!KMdfsJ^ZzWta z`=QkOAiurXf^5jzdjzFG`PN6poyMiQP1c&w7rplOP)|u*%;>7>cm!meYt>p*ya(tH zgg5^P1^Y!5UTU`R2kB@t?w4h_`PF+q) zKP;6#A-$Ud;rG*mT;5tWhVZv0-FcBHE|bo4T=3bqtGK}zr9v~k`1Vhv$8GUQ$?3X<5XB}}vpB$qpN`f&`%N_ouYsWu z=3WImE)@rl!J~KOc8tNc95dQQy^kEyunFSLZ&^=lVDu-1qy5UiVlw!hL(vawKS@n6G(s) z<$ADD)*$d}AN8%5Zz>`;(0Qbz1CuwuK|CW?=bmrATya(}@}os8vHHRg$Y0afbuiwv zO(>?gFk2v~fa0xjolrF38)TqqXWg!a9A-eXRJvb>ZWKS)GPqSB(Cq$cv#%#oLr z$yv;PpHshbywAr-#Y>bbkOD*&s#Yhu9iVbo*7ADo$>a_ZA&tk1bq*7^$_*|^{_pIJ zZ6a6W?4vj~B8f)kVTeT5RW40?T}N;cX?KzZGL(>o)UX+Qacq+Q;ko>$&o;Bpal&a> z4ELlX`6{3&d`UD)h=rvbQ&$J@7ym?e;~*cGZOc7erKRCPAgPL@yaSZt5h$GC*6JTi zZ>B*lgfSNQ;($vyTHX4U7 zr@(mXaATQ#qmHDyC%FEV6i#hBCLW0PSlG7t5;N2|J!LiZ4gK-|XB>SD(A9h)5r; zL3aea2Filo?Yb@;{t5u3^H*k<$<|Qnl82xnPVdMcMgA-$=Spml%Pj4R<*4~XFtIKT zabEYcfDV^EPphZ2UEJnU;_3?F@ixrYZglo2Dlb%r_KoF=k=uvkGrlbyvg#%GhD#H$yLX&AJCcu#T&ZVGTM0 z*?`c$kcXtkVt%T7-LCfmmz+OyWNPnRhve|QKoJ9l|EsLC0E*+;*7)MC!8KTLhs9xW zcUUaA%Mx6IOK_JEG!Wb&5M*&*JZyqP2m}a_0Kpv|x%t0$-_7l+nVOk8zd1eM)ajb3 z`l>r>Q-Le~$cmfl6wA!2dlJ?C3oR08y=C}IE~XA`%9@v z&s!*qe(mb0o8hk(73E&hUzKd!cJk7+FVSa7%ywk}gEjp$^4ZmERE4qeJ_#XR&G!Hg zilp9A2yI~mj;RWV?7~oHsK#)-c@QpUf^Kk`a6!>AsI8lH1k_MtSDx3>ci>5<0{^1+ zIpe%e30ja3Pij)eD#ueNwx32Dgd?N4jAHe5Uj$+cpNbC%U1;$Bxa z8ihIBU(&bB1LX9#ZAh9J{(}>p@s_C*D(WNWMw;80wGzBrR-ngkRA(FO zL)mq$7f6ikRFKygNCi2S*rg1Y5M^u(y?ds(K+m;j27IQ07J++#&r*aH(eFE$&InSc z8t(m=S4>A;+*5p4QK+4;NW|{KQ#yR#nQvtW1{MeUp9Vh(`Wg82AzQQi!m|lTMYV!G z>a|CShnpC5;G;()RG6nglSdVHiZw6aFa7C_o9^?l=d_gmOfQI%Rw~PV<)T}IZN*a_ zG;vW=akvQHz$Md(ytr5ifizgQsqV1oMtJ3z_bP#Ro8u=LVotn(%aJ}-=daVR9zNq3 zR#p#@NZs7)%yC2$9ua+LoMUSJz+3yIkK!1dl76gcWYWOF871$F<`uz(FYg-7WWM8k zritrzZ+01Y@?K|~oi2)42a_Cu z3SzBPjGU~W0UKb&y3^q!yM0e<<&Ldjz~Lh`HEc+l(x4K6S)3N-zN(}*r?2zwg;v;? zL`8O)m^$dOyKoelu-X6{KHAZCchqwOO3$fGHxC*Yt1B_0v~#~*`b@p(v5Ij$T$;4* zN|`PRO8tV_w`8xbaoF{V1F-rOE0rTQg(F@OJmEjtPQ~#TuNFcQ673<=wANZgL(nEz zWDfWMa=9!yY~7P)0l@eKC9;Vu^0q#LbkC^UahY#RRp}w;$g_0HdsB z`{w=hb4nd+m-YKn+eDOIC|Vy4ija0&@(*=9Xe%AAcajh_-R}qwX}6qeu``2I;fow^ zK3`Y8js-w8p{!)!91E!Z+mfTPoK0vqvz z^r0`1pev)@EmPi(uivjFHKqz7dHjA8D7-`rsmAjJ3nS8Xm#<-?0+?6Au(2R5 z)+lg~tQ`Fph!_~P)nOkcQlY-h#66-bB;Iih_bmFFHjlbvs~Zziz&5&}m(SL1Vjdy-gcL~lf$B_3F!9cNEVg-5d0Q{ZXFw@ex6#I! zicU7E{L8MNZ?{TgSkM)XA5|Doood)9r?5KQO=X>2{(LnjSy~m#u@YG2*E=SQ*X^kw zrgi=vMeRTe+hUC^D@W_8Z`?N1wzj&U2%&MT- z9TZfi4wg_S^zSXf@DA)!p65c)xX6N2+oM{J)Tz^Vgt5)rvGZay4yIB}?)pAwU)qDisDpPo+g@0lF;DIISG)Rh-5oJ^w0%o_ zcqyx{PHS*EzM;_{#K2zP#%a|mYlLu!{~ydf3$CjQ%br6JOt6wmVc2OmHQRs3o8L3UeUpl$?nEJhdCJ zYUcfS)+g8Y?0FhL-Y~a?&t{U#9cjuh(uK_ryY!)Rr+6 zz;y*-Y8Dbp9;@#w9OdC^S9vB~;lq-6Ut{N;PovO-R3i;v#sbN-+gN7@54xu#v?YhH z;X(3uod;XaTEUidO4GqkP%HT!&{LsTK$Y+Oma%WtV>|gld<{AC``mJ&4j5o!Pb^ ztblTI8u}G%&mby4bg&@e%MHD4Z5k!bL}jDR?zu(=Phxh~j$24a=<2PIRUMB>g- zeQ)eWxR9Ug3BQj7D(|T_8RBE1v*ABZG6@Q>{1vA^RdE;3^ssgrtDtoGvzeIkaz8n2 z0rlV~R1tWK%^A%}(i}P5N1P6GAjNBH*twR0+0Al6pG8c+Aplm33HV{nPgG{dRkv@B zuV&RF!NeuoKnrvdG7UBDPM1-Uy8&Fg?y_G>&ra%Xj&aX-;CT+i%wSW~vL^$)(bwuB z8l!x1YL>|nH3dUI(e26tzAy&_B(v;OGF_MdM8Yq+@q9J6J>s@A?*2JUMgJ)Wj3-airb`649jkS3N-1}*$g3)U< z9--Qsu4XZ@3kUh06uy8Hxc<13_hsdoxnInxyj5AI;|U7&VgN^=>ae5b60JA**eeh% zCZVr4yVvLb6`?rttDAwO*GB|%AQ3i3`h)6>II$p8jx{?oyfzAL?{JG9-sQe0E;tUS zqw-kVzK8OCd?78$&(X%q@5_|JyjtFTa8y;0-xEOyjF25)AHJy9Z!+U@I3t?6U49Gs-i!OQ z1)@nGrO~h_0DgZNTJPT%%_vi5*xEF^gVHRy&qzpopHmqwNXy(=VTIzK}P`fQiboex!An zDXbqyZeJaqc~Fgy)$>z`I*2~4x9R>AT4Db_4i?~i-mN(~no5}wq=xTAr$!QNdcc-n z!NcOKP^cMN_9l9Zm3w$IVTZg{V}o9Kf#U&L9U3i7pd6F4QEOjYMv|3v6EVP$lR;}P z%?|(E5ONy;lNPNW5!9z6rh!u3Chy&FZwcnNDHhOh%CKUJR+CtV<-`q|84ep!@ak6z zS|j-29bIq=h{Rl(9_I#l%T}Z9%=)aR4td z%^&>|cRt(WOOzr)zPFjmC~vD>YerIIsr#N&!%*$?6B7J#lR?s#p$fjP` znu*3f3kV&59ZT3~UgbeJCX3-?IMHaXRR#!#Zi_X?TpYykvpqwVh*2!KVbrNV4X^tA zF{wt_|DnF)X-G2u?g35Adg8UpOgrWEvh+h;!Y}VW(@l%2nj~@$2vZKeDVH6QPBa+g zIcon)F$dF6azjkcC>uZfsFk?e>7)vq%Sg6jrQoUEWrQC5*td1ZBj{m4aL7>P+Ono( ztD=q)N6i^Jv>jb=Lhv+L-FoHeeCz|?nQmqz!qTxVy=5}ruFgcEkx2vd9^B!C_Uybo%8k!gwGTT}46-y|{W%^zxOxU2^^`hbvWrm;p^Kh3z<`!4-8ivN4$H=?jm+Yb1>)yPYwaDk6GSiB_C@4PJ%C#C$h zttXnuAh*w9)5p`kByTu`pO9Ajl!|;e>sT7%pg}w>2o738etK`QJaUK~YbJBT>fX#>wny%Y{|lhuHME{?Ppd{g`{}I9o_jMwd^aU5aC6 zxv9y5czAXH^1gbMYxl!5?F}H|-eJr8HC+aUw$4?`AH^eaGiP-E?Oj+~9TaDAO-s9j}MI=1v7uf zji@F^_Nng98u`#`+f<*e+8L;BHFw(<)n`nuP0$rjut?ZW6d=bd){7s~=~e0cVY>~f zJgy6z2HUIuv=cQ zho^&^2H^w*m>ZwX0|Nu>bBSlIir{xPZ!Oj2qS{|po3Y%^u%D$m7T2>X=H?WD>=u=f z@7rdcry!Ez@wi`m#y}*P<#1j_ItPS}%66y_&r#Wr#l1g0qyted*`}$nSzrxCZE&W@ zYmug!+{0y-H;Da`{ zhJDOz+8R=Seevx^YKFMMh1%SHoeqP#&otS3N=95vcNd_ZIh;u{ML(LdSp9(AStU_b zmpND$#Xg_FNT`@bWpTdARp(^VejHcN9zY>kSr_T=9ekJGV@4}*Qfx#>G$MfRZQLm| z7HVH$bs`Rx*@(u_GT;r56T3o<8;iVvw=JWOA2mpiqjs1 zU=lOm7Ivq$2o+1)BHs&Tse|i#ehPrbaMSqODke+BdUZ|VxP29r6g?g-%ikE){rovh z)thDX)ia3jdP63(vRqZV@%9TjAe-p1MSZCkKzoO0sH{^RE=ABG(u5SRvOzvq<}MA4 zyIe~E`DsXfId%cYvsfN@Ke0`GwsVT0@TL9@&^OOuIp{7$9JNWA^rXDOMwB2f<3A0G zEL&ChdymFMBLn~d^N&H%+|$e3ipxj;@gEC`7ys4a74cz3!+|SS+)}#VUM&%Uw0Oy= zi;%!%qz$;^;Y$f;OjelCN%uus-2nTDNc$a&p}2(P3g?Y>wJnVL?N*Pa8u4F4c6_ zs@ZU%MQ~-^!14~?4w>z6>i#B%l!k(w2BPZlkCGwx-mhb$JFL121rZ4uSS%CW8f`pU z;loqTp1nz$WWttRj?N>)=~IyvP578s+zYS5ojY|s3NB#cOtM+fw8C16C-$}cUKQ*H z%KP=(U{iB0suu}T0!mx)@ZR(;Q`U?)VT`dY%{*%TV@CZDu7kHX^@ue@C;_9oJ^!i5 z+DEb0yGJEnKPrOrABs5GxImJmog+X*k%u?z+Y2CK9EigiQ4Nu_Qe)9+OB+;B^|h(d zTgdH&>8mn~O<-rX&>AuV-?FBLH=4}tZ8OH)jA(y3d@S!k`^~dKu(O`01&9f4x7(JI zuQsxJHIjb%BqK56x!z@W$okg72bdq#3z~k2QCWhrY}~Vy{UTJm;%Dh znNamJ50!+?#8J1RAKS2368;$t;PIsr($uEO8|YRSZT6*Pxteb_lT|2DU>HAJIEKB~lk3;>`#D)qZPo&p}iejnLbKU!TOE`LLV zdYQ@&Q~AP%18FMKi1a9?r+F=A2CArF(~PAL;oH9*#2Y!()@uOU9CO- zrgWlYSfWq>fJEZIQ`P@NdDvL~4Y4$Be_J8|095$^0E|DG{@9f#|`iQWdDyZ84n^gI5K5~J+@V*VMF{^tHJ)_-%$9RHtt zEL|;Cl-~~Q$C}0gbRz-)NL+{jE`Yp%f|D9VMH*sbZ>eaf0-7ElE1zm&D+k>+z{ US2h&#a^(|o_2t*L@l%%gAM5(BGynhq literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt new file mode 100644 index 0000000..2152f4a --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt @@ -0,0 +1,146 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:34:20 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_power -file ./out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt +| Design : top +| Device : xc7a35tcpg236-1 +| Design State : synthesized +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.101 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.029 | +| Device Static (W) | 0.072 | +| Effective TJA (C/W) | 5.0 | +| Max Ambient (C) | 84.5 | +| Junction Temperature (C) | 25.5 | +| Confidence Level | Medium | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.002 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 127 | --- | --- | +| I/O | 0.027 | 18 | 106 | 16.98 | +| Static Power | 0.072 | | | | +| Total | 0.101 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.012 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.014 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.009 | 0.008 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | Low | Design is synthesized | Accuracy of the tool is not optimal until design is fully placed and routed | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 5.0 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.029 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt new file mode 100644 index 0000000..fae1ae8 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt @@ -0,0 +1,346 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:34:19 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt +| Design : top +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.000 0.000 0 114 -1.035 -15.003 17 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.000 0.000 0 114 -1.035 -15.003 17 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.000ns, Total Violation 0.000ns +Hold : 17 Failing Endpoints, Worst Slack -1.035ns, Total Violation -15.003ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.000ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 5.612ns (logic 2.277ns (40.574%) route 3.335ns (59.426%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: -0.145ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 2.678ns = ( 7.678 - 5.000 ) + Source Clock Delay (SCD): 2.938ns + Clock Pessimism Removal (CPR): 0.115ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + Time Borrowing: + Nominal pulse width: 5.000ns + Library setup time: 0.043ns + Computed max time borrow: 5.043ns + Time borrowed from endpoint: 0.792ns + Open edge uncertainty: -0.035ns + Time given to startpoint: 0.757ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.258 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.354 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.938 clk_IBUF_BUFG + FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + FDRE (Prop_fdre_C_Q) 0.456 3.394 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, unplaced) 0.850 4.244 LED_PIPE_count1_a1[2] + CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 4.918 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, unplaced) 0.009 4.927 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.041 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.041 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.155 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.155 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.269 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.269 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + CARRY4 (Prop_carry4_CI_O[2]) + 0.256 5.525 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, unplaced) 1.125 6.650 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + LUT6 (Prop_lut6_I0_O) 0.301 6.951 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, unplaced) 0.902 7.853 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + LUT4 (Prop_lut4_I2_O) 0.124 7.977 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, unplaced) 0.449 8.426 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + LUT4 (Prop_lut4_I0_O) 0.124 8.550 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, unplaced) 0.000 8.550 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + W5 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.388 6.388 f clk_IBUF_inst/O + net (fo=2, unplaced) 0.760 7.148 clk_IBUF + BUFG (Prop_bufg_I_O) 0.091 7.239 f clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.439 7.678 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.115 7.793 + clock uncertainty -0.035 7.758 + time borrowed 0.792 8.550 + ------------------------------------------------------------------- + required time 8.550 + arrival time -8.550 + ------------------------------------------------------------------- + slack 0.000 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -1.035ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_rst1_a1_reg/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.146ns (logic 1.386ns (64.591%) route 0.760ns (35.409%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 2.938ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.938ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + R2 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + R2 IBUF (Prop_ibuf_I_O) 1.386 1.386 r reset_IBUF_inst/O + net (fo=17, unplaced) 0.760 2.146 reset_IBUF + FDRE r LED_PIPE_rst1_a1_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + W5 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + W5 IBUF (Prop_ibuf_I_O) 1.458 1.458 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.258 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.354 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.938 clk_IBUF_BUFG + FDRE r LED_PIPE_rst1_a1_reg/C + clock pessimism 0.000 2.938 + clock uncertainty 0.035 2.973 + FDRE (Hold_fdre_C_D) 0.207 3.180 LED_PIPE_rst1_a1_reg + ------------------------------------------------------------------- + required time -3.180 + arrival time 2.146 + ------------------------------------------------------------------- + slack -1.035 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 clk_IBUF_BUFG_inst/I +Low Pulse Width Fast FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter_gen.v b/examples/out/led_counter/xc7a35tcpg236-1/led_counter_gen.v new file mode 100644 index 0000000..fc366a2 --- /dev/null +++ b/examples/out/led_counter/xc7a35tcpg236-1/led_counter_gen.v @@ -0,0 +1,81 @@ +// Generated by SandPiper(TM) 1.11-2021/01/28-beta from Redwood EDA. +// Redwood EDA does not claim intellectual property rights to this file and provides no warranty regarding its correctness or quality. + + +`include "sandpiper_gen.vh" + + + + + +// +// Signals declared top-level. +// + +// For |led_pipe$Leds. +wire [15:0] LED_PIPE_Leds_n1; +reg [15:0] LED_PIPE_Leds_a0; + +// For |led_pipe$count1. +wire [31:0] LED_PIPE_count1_a0; +reg [31:0] LED_PIPE_count1_a1; + +// For |led_pipe$refresh. +wire LED_PIPE_refresh_a0; + +// For |led_pipe$reset. +wire LED_PIPE_reset_a0; + +// For |led_pipe$rst1. +wire LED_PIPE_rst1_a0; +reg LED_PIPE_rst1_a1; + + +// +// Scope: |led_pipe +// + +// Clock signals. +wire clkF_LED_PIPE_refresh_a1 ; + + +generate + + + // + // Scope: |led_pipe + // + + // For $Leds. + always @(posedge clkF_LED_PIPE_refresh_a1) LED_PIPE_Leds_a0[15:0] <= LED_PIPE_Leds_n1[15:0]; + + // For $count1. + always @(posedge clk) LED_PIPE_count1_a1[31:0] <= LED_PIPE_count1_a0[31:0]; + + // For $rst1. + always @(posedge clk) LED_PIPE_rst1_a1 <= LED_PIPE_rst1_a0; + + + + +endgenerate + + + +// +// Gated clocks. +// + +generate + + + + // + // Scope: |led_pipe + // + + clk_gate gen_clkF_LED_PIPE_refresh_a1(clkF_LED_PIPE_refresh_a1, clk, LED_PIPE_refresh_a0, 1'b1, 1'b0); + + + +endgenerate diff --git a/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc b/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc new file mode 100644 index 0000000..414fe4b --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc @@ -0,0 +1,3 @@ +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv new file mode 100644 index 0000000..e028887 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv @@ -0,0 +1,38 @@ +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Clock gate module used by SandPiper default project. + +// Note: No X injection for X on free_clk.) +module clk_gate (output logic gated_clk, input logic free_clk, func_en, pwr_en, gating_override); + logic clk_en; + logic latched_clk_en /*verilator clock_enable*/; + always_comb clk_en = func_en & (pwr_en | gating_override); + always_latch if (~free_clk) latched_clk_en <= clk_en; + // latched_clk_en <= (~free_clk) ? clk_en : latched_clk_en; + always_comb gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh new file mode 100644 index 0000000..a733969 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh @@ -0,0 +1,8 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v new file mode 100644 index 0000000..5afd28f --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v @@ -0,0 +1,39 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +`include "sp_verilog.vh" + + +// Clock gate module used by SandPiper default project. + +module clk_gate (output gated_clk, input free_clk, func_en, pwr_en, gating_override); + wire clk_en; + reg latched_clk_en /*verilator clock_enable*/; + assign clk_en = func_en & (pwr_en | gating_override); + `TLV_BLATCH(latched_clk_en, clk_en, free_clk) + assign gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh new file mode 100644 index 0000000..0c28412 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh @@ -0,0 +1,65 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +// Latch macros. Inject 'x in simulation for clk === 'x. + +// A-phase latch. +`ifdef SP_PHYS +`define TLV_LATCH(in, out, clk) \ +always @ (in, clk) begin \ + if (clk === 1'b1) \ + out <= in; \ + else if (clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_LATCH(in, out, clk) always @ (in, clk) if (clk == 1'b1) out <= in; +`endif // SP_PHYS + +// B-phase latch. +`ifdef SP_PHYS +`define TLV_BLATCH(out, in, clk) \ +always @ (in, clk) begin \ + if (!clk === 1'b1) \ + out <= in; \ + else if (!clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_BLATCH(out, in, clk) always @ (in, clk) if (!clk == 1'b1) out <= in; +`endif // SP_PHYS + + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv b/examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv new file mode 100644 index 0000000..cb0d614 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv @@ -0,0 +1,69 @@ +\m4_TLV_version 1b: tl-x.org +\SV +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +module pseudo_rand + #(parameter WIDTH=257) // Random vector width, to a max of 257. + (input logic clk, + input logic reset, + output logic [WIDTH-1:0] rand_vect + ); + +// Currently, this implements a Galois LFSR. +// TODO: It should be XORed with something else so it doesn't just shift. +// Using polynomials with maximal number of taps would have less regular shifting behavior. + +// Bits are numbered in the reverse of the traditional order. This puts the taps in the lower bit positions. + +// Choose optimal parameters for given WIDTH. +localparam LFSR_WIDTH = + (WIDTH <= 64) ? 64 : + (WIDTH <= 128) ? 128 : + (WIDTH <= 257) ? 257 : 0; // 257 enables a large non-power of two for replication on an irregular boundary. +// Polynomial source: http://www.eej.ulst.ac.uk/~ian/modules/EEE515/files/old_files/lfsr/lfsr_table.pdf +localparam [LFSR_WIDTH-1:0] LFSR_POLY = {{(LFSR_WIDTH-8){1'b0}}, + (LFSR_WIDTH == 64) ? 8'b00011011 : + (LFSR_WIDTH == 128) ? 8'b10000111 : + (LFSR_WIDTH == 257) ? 8'b11000101 : 8'b0}; + +bit [256:0] SEED = 257'h0_7163e168_713d5431_6684e132_5cd84848_f3048b46_76874654_0c45f864_04e4684a; + + + +\TLV + |default + @0 + $reset = reset; + @1 + $lfsr[LFSR_WIDTH-1:0] = $reset ? *SEED : {$lfsr#+1[LFSR_WIDTH-2:0], 1'b0} ^ ({LFSR_WIDTH{$lfsr#+1[LFSR_WIDTH-1]}} & *LFSR_POLY); + @2 + *rand_vect = $lfsr[WIDTH-1:0]; + +\SV + +endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh b/examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh new file mode 100644 index 0000000..39d5cd5 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh @@ -0,0 +1 @@ +`define RW_ZX(in, width) {{width-$width(in){1'b0}}, in} diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt new file mode 100644 index 0000000..1816fee --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt @@ -0,0 +1 @@ +Veriog include files that are available only within Makerchip. diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v new file mode 100644 index 0000000..23e5dbc --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v @@ -0,0 +1,13 @@ +// A non-synthesizable Verilog-2005 sqrt function for tutorials. +`ifndef RW_NON_SYNTH_SQRT +`define RW_NON_SYNTH_SQRT + +function [31:0] sqrt; + input [31:0] a; + + /* verilator lint_off REALCVT */ + sqrt = $sqrt(a); + /* verilator lint_on REALCVT */ +endfunction + +`endif diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv new file mode 100644 index 0000000..187fa4f --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv @@ -0,0 +1,76 @@ +// Provides clk and reset to design.tlv. +// Instantiates design as design(.*) so additional inputs and outputs can be added. +// Ends simulation on max cycles argument below, or assertion of success signal. +// Additional testbench functionality can be added here, or within design using TLV. +// See: "top_module_tlv.m4" for definition. + +// ------------------------------------------------------------------- +// Expanded from instantiation: m4_top_module_inst(m4_name, m4_max_cycles) +// + +module tb(); + +logic clk, reset; // Generated in this module for DUT. +logic passed, failed; // Returned from DUT to this module. Passed must assert before + // max cycles, without failed having asserted. Failed can be undriven. +logic [15:0] cyc_cnt; + + +// Instantiate main module. +top top(.*); + + +// Clock +initial begin + clk = 1'b1; + forever #5 clk = ~clk; +end + + +// Run +initial begin + + //`ifdef DUMP_ON + $dumpfile("top.vcd"); + $dumpvars(0, clk, reset, passed, failed, cyc_cnt, top.DEBUG_SIGS); + $dumpon; + //`endif + + reset = 1'b1; + #55; + reset = 1'b0; + + // Run + + cyc_cnt = '0; + for (int cyc = 0; cyc < 100; cyc++) begin + // Failed + if (failed === 1'b1) begin + FAILED: assert(1'b1) begin + $display("Failed!!! Error condition asserted."); + $finish; + end + end + + // Success + if (passed) begin + SUCCESS: assert(1'b1) begin + $display("Success!!!"); + $finish; + end + end + + #10; + + cyc_cnt++; + end + + // Fail + DIE: assert (1'b1) begin + $error("Failed!!! Test did not complete within m4_max_cycles time."); + $finish; + end + +end + +endmodule // life_tb diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh b/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh new file mode 100644 index 0000000..26d3f19 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh @@ -0,0 +1,71 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Project-independent SandPiper header file. + +`ifndef SANDPIPER_VH +`define SANDPIPER_VH + + +// Note, these have no SP prefix, so collisions are possible. + + +`ifdef WHEN + // Make sure user definition does not collide. + !!!ERROR: WHEN macro already defined +`else + `ifdef SP_PHYS + // Phys compilation disabled X-injection. + `define WHEN(valid_sig) + `else + // Inject X. + `define WHEN(valid_sig) !valid_sig ? 'x : + `endif +`endif + + +// SandPiper does not generate set/reset flops. Reset is implemented as combinational +// logic, and it is up to synthesis to infer set/reset flops when possible. +//`ifdef RESET +// // Make sure user definition does not collide. +// !!!ERROR: RESET macro already defined +//`else +// `define RESET(i, reset) ((reset) ? '0 : i) +//`endif +// +//`ifdef SET +// // Make sure user definition does not collide. +// !!!ERROR: SET macro already defined +//`else +// `define SET(i, set) ((set) ? '1 : i) +//`endif + +// Since SandPiper required use of all signals, this is useful to create a +// bogus use and keep SandPiper happy when a signal, by intent, has no uses. +`define BOGUS_USE(ignore) + +`endif // SANDPIPER_VH diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh b/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh new file mode 100644 index 0000000..d063661 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh @@ -0,0 +1,4 @@ +// This just verifies that sandpiper.vh has been included. +`ifndef SANDPIPER_VH + !!!ERROR: SandPiper project's sp_.vh file must include sandpiper.vh. +`endif diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv b/examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv new file mode 100644 index 0000000..601c655 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv @@ -0,0 +1,98 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +`include "rw_lib.vh" + +// A simple implementation of a FIFO with bypass. +// Head is stored outside of the FIFO array. +// When the FIFO is empty, input goes straight through mux to output. +module simple_bypass_fifo( + input logic clk, + input logic reset, + input logic push, + input logic [WIDTH-1:0] data_in, // Timed with push. + input logic pop, // May pop in same cycle as push to empty FIFO. + output logic [WIDTH-1:0] data_out, // Same cycle as pop. + output logic [$clog2(DEPTH+1)-1:0] cnt // Reflecting push/pop last cycle. 0..DEPTH. +); + parameter WIDTH = 8; + parameter DEPTH = 8; + + logic [$clog2(DEPTH)-1:0] next_head, tail; + logic [WIDTH-1:0] arr [DEPTH-1:0], arr_out, head_data; + logic cnt_zero_or_one, cnt_zero, cnt_one; + logic push_arr, push_head, pop_from_arr, popped_from_arr; + + always_ff @(posedge clk) begin + if (reset) begin + tail <= {$clog2(DEPTH){1'b0}}; + next_head <= {$clog2(DEPTH){1'b0}}; + cnt <= {$clog2(DEPTH+1){1'b0}}; + end else begin + if (push_arr + ) begin + arr[tail] <= data_in; + tail <= tail + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (pop) begin + arr_out <= arr[next_head]; + next_head <= next_head + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (push ^ pop) begin + cnt <= cnt + (push ? {{$clog2(DEPTH+1)-1{1'b0}}, 1'b1} /* 1 */ : {$clog2(DEPTH+1){1'b1}} /* -1 */); + end + end + end + always_comb begin + // Control signals + + // These are timed with cnt (cycle after push/pop) + cnt_zero_or_one = (cnt >> 1) == {$clog2(DEPTH+1){1'b0}}; + cnt_zero = cnt_zero_or_one && ~cnt[0]; + cnt_one = cnt_zero_or_one && cnt[0]; + + // These are timed with push/pop + // Cases in which a push would not got into array. + push_arr = push && !(cnt_zero || (cnt_zero_or_one && pop)); + push_head = push && (pop ? cnt_one : cnt_zero); + pop_from_arr = pop && !cnt_zero_or_one; + + // Output data + data_out = cnt_zero ? data_in : head_data; + end + + // Head + always_ff @(posedge clk) begin + popped_from_arr <= pop_from_arr; + if (push_head) begin + head_data <= data_in; + end else if (popped_from_arr) begin + head_data <= arr_out; + end + end +endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter.v b/examples/out/led_counter/xc7a35tftg256-1/led_counter.v new file mode 100644 index 0000000..88607c6 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter.v @@ -0,0 +1,345 @@ +//_\TLV_version 1d: tl-x.org, generated by SandPiper(TM) 1.11-2021/01/28-beta +`include "sp_verilog.vh" //_\SV + // Included URL: "https://raw.githubusercontent.com/BalaDhinesh/Virtual-FPGA-Lab/main/tlv_lib/fpga_includes.tlv" +//_\SV + + + + + module top(input clk, input reset, output reg [15:0] led); + + +`include "led_counter_gen.v" +generate //_\TLV + //_|led_pipe + //_@0 + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 3 // Instantiated from led_counter.tlv, 15 as: m4+fpga_refresh($refresh, m4_ifelse(M4_MAKERCHIP, 1, 1, 50000000)) + /* verilator lint_off UNSIGNED */ + assign LED_PIPE_rst1_a0 = reset; + assign LED_PIPE_count1_a0[31:0] = (LED_PIPE_count1_a1[31:0] >= 50000000 - 1) | LED_PIPE_rst1_a1 ? 1'b0 : LED_PIPE_count1_a1[31:0] + 1 ; + assign LED_PIPE_refresh_a0 = (LED_PIPE_count1_a0 == 50000000 - 1) ? 1'b1 : 1'b0 ; + + //_\end_source + assign LED_PIPE_reset_a0 = reset; + //_?$refresh + assign LED_PIPE_Leds_n1[15:0] = LED_PIPE_reset_a0 ? 1 : LED_PIPE_Leds_a0+1; + /*SV_plus*/ + always@(posedge clk) begin + led = LED_PIPE_Leds_a0; + end + // M4_BOARD numbering + // 1 - Zedboard + // 2 - Artix-7 + // 3 - Basys3 + // 4 - Icebreaker + // 5 - Nexys + + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 32 // Instantiated from led_counter.tlv, 30 as: m4+fpga_init() + //m4+osfpga_logo() + //_|fpga_init_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 245 // Instantiated from led_counter.tlv, 31 as: m4+fpga_led(*led) + //_|led_pipe_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source +endgenerate +//_\SV + endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc new file mode 100644 index 0000000..caf8b22 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc @@ -0,0 +1,356 @@ + +#################################################################################### +# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' +# Command Used: write_xdc -no_fixed_only -force ./out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc +#################################################################################### + + +#################################################################################### +# Constraints from file : 'fpga_lab_constr_edge_artix-7.xdc' +#################################################################################### + +## This file is a general .xdc for the EDGE Artix 7 board +## To use it in a project: +## - comment the lines corresponding to unused pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +# Clock signal +set_property -dict {PACKAGE_PIN N11 IOSTANDARD LVCMOS33} [get_ports clk] + +# Switches +set_property -dict {PACKAGE_PIN M6 IOSTANDARD LVCMOS33} [get_ports reset] + +# LEDs +set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS33} [get_ports {led[0]}] +set_property -dict {PACKAGE_PIN H3 IOSTANDARD LVCMOS33} [get_ports {led[1]}] +set_property -dict {PACKAGE_PIN J1 IOSTANDARD LVCMOS33} [get_ports {led[2]}] +set_property -dict {PACKAGE_PIN K1 IOSTANDARD LVCMOS33} [get_ports {led[3]}] +set_property -dict {PACKAGE_PIN L3 IOSTANDARD LVCMOS33} [get_ports {led[4]}] +set_property -dict {PACKAGE_PIN L2 IOSTANDARD LVCMOS33} [get_ports {led[5]}] +set_property -dict {PACKAGE_PIN K3 IOSTANDARD LVCMOS33} [get_ports {led[6]}] +set_property -dict {PACKAGE_PIN K2 IOSTANDARD LVCMOS33} [get_ports {led[7]}] +set_property -dict {PACKAGE_PIN K5 IOSTANDARD LVCMOS33} [get_ports {led[8]}] +set_property -dict {PACKAGE_PIN P6 IOSTANDARD LVCMOS33} [get_ports {led[9]}] +set_property -dict {PACKAGE_PIN R7 IOSTANDARD LVCMOS33} [get_ports {led[10]}] +set_property -dict {PACKAGE_PIN R6 IOSTANDARD LVCMOS33} [get_ports {led[11]}] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports {led[12]}] +set_property -dict {PACKAGE_PIN R5 IOSTANDARD LVCMOS33} [get_ports {led[13]}] +set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS33} [get_ports {led[14]}] +set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS33} [get_ports {led[15]}] + +# Push Button + +#7 segment display + + +# Bluetooth + +# Buzzer + +# SPI DAC (MCP4921) + +# HDMI + +# 2x16 LCD +#LCD R/W pin is connected to ground by default.No need to assign LCD R/W Pin. + +#256Mb SDRAM (Only available with latest version of board) + + + + + + +# SPI TFT 1.8 inch + +# USB UART + +# WiFi + +# CMOS Camera + +#20 pin expansion connector +#pin1 5V +#pin2 NC +#pin3 3V3 +#pin4 GND + +# VGA 12 bit + +# SD Card + +# XADC Single Ended Input available at J13 Connector + +# Audio Jack + +# SRAM 512 KB (SRAM replaced with SDRAM in the latest version of board) only required for older boards +#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[0]}]; +#set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[1]}]; +#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[2]}]; +#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[3]}]; +#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[4]}]; +#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[5]}]; +#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[6]}]; +#set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[7]}]; +#set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[8]}]; +#set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[9]}]; +#set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[10]}]; +#set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[11]}]; +#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[12]}]; +#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[13]}]; +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[14]}]; +#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[15]}]; +#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[16]}]; +#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[17]}]; +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[18]}]; + +#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[0]}]; +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[1]}]; +#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports {sram_data[2]}]; +#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[3]}]; +#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[4]}]; +#set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[5]}]; +#set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[6]}]; +#set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[7]}]; + +#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports {sram_we_n}]; +#set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports {sram_oe_n}]; +#set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports {sram_ce_a_n}]; + + + + + + +#################################################################################### +# Constraints from file : 'clock_constraints.xdc' +#################################################################################### + +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] + + +# Vivado Generated physical constraints + +set_property BEL A6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property BEL B6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] +set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] +set_property BEL INBUF_EN [get_cells clk_IBUF_inst] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property BEL CFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] +set_property BEL AFF [get_cells {led_reg[0]}] +set_property BEL AFF [get_cells {led_reg[10]}] +set_property BEL BFF [get_cells {led_reg[11]}] +set_property BEL CFF [get_cells {led_reg[12]}] +set_property BEL AFF [get_cells {led_reg[13]}] +set_property BEL BFF [get_cells {led_reg[14]}] +set_property BEL CFF [get_cells {led_reg[15]}] +set_property BEL AFF [get_cells {led_reg[1]}] +set_property BEL BFF [get_cells {led_reg[2]}] +set_property BEL CFF [get_cells {led_reg[3]}] +set_property BEL DFF [get_cells {led_reg[4]}] +set_property BEL AFF [get_cells {led_reg[5]}] +set_property BEL BFF [get_cells {led_reg[6]}] +set_property BEL BFF [get_cells {led_reg[7]}] +set_property BEL CFF [get_cells {led_reg[8]}] +set_property BEL BFF [get_cells {led_reg[9]}] +set_property BEL INBUF_EN [get_cells reset_IBUF_inst] +set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property LOC SLICE_X0Y12 [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property LOC SLICE_X0Y8 [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property LOC SLICE_X0Y13 [get_cells LED_PIPE_rst1_a1_reg] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] +set_property LOC SLICE_X0Y15 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property LOC SLICE_X0Y14 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property LOC SLICE_X3Y9 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property LOC SLICE_X3Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property LOC SLICE_X3Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property LOC SLICE_X3Y14 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property LOC SLICE_X3Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property LOC SLICE_X6Y14 [get_cells {led_reg[0]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[10]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[11]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[12]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[13]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[14]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[15]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[1]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[2]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[3]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[4]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[5]}] +set_property LOC SLICE_X6Y14 [get_cells {led_reg[6]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[7]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[8]}] +set_property LOC SLICE_X0Y13 [get_cells {led_reg[9]}] + +# Vivado Generated miscellaneous constraints + +#revert back to original instance +current_instance -quiet diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v new file mode 100644 index 0000000..06d91b2 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v @@ -0,0 +1,931 @@ +// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +// Date : Sat Oct 30 00:30:41 2021 +// Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +// Command : write_verilog -force ./out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v +// Design : top +// Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an +// IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input +// design files. +// Device : xc7a35tftg256-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module clk_gate + (\LED_PIPE_count1_a1_reg[11] , + \LED_PIPE_count1_a1_reg[24] , + \LED_PIPE_count1_a1_reg[28] , + CLK, + LED_PIPE_count1_a1, + O, + latched_clk_en_reg_i_6_0, + latched_clk_en_reg_i_3_0, + latched_clk_en_reg_i_6_1, + latched_clk_en_reg_i_3_1, + latched_clk_en_reg_i_3_2, + latched_clk_en_reg_i_3_3, + latched_clk_en_reg_i_3_4, + LED_PIPE_rst1_a1, + clk_IBUF, + clk_IBUF_BUFG); + output \LED_PIPE_count1_a1_reg[11] ; + output \LED_PIPE_count1_a1_reg[24] ; + output \LED_PIPE_count1_a1_reg[28] ; + output CLK; + input [25:0]LED_PIPE_count1_a1; + input [3:0]O; + input [3:0]latched_clk_en_reg_i_6_0; + input [3:0]latched_clk_en_reg_i_3_0; + input [3:0]latched_clk_en_reg_i_6_1; + input [3:0]latched_clk_en_reg_i_3_1; + input [3:0]latched_clk_en_reg_i_3_2; + input [3:0]latched_clk_en_reg_i_3_3; + input [2:0]latched_clk_en_reg_i_3_4; + input LED_PIPE_rst1_a1; + input clk_IBUF; + input clk_IBUF_BUFG; + + wire CLK; + wire GND_1; + wire [25:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1_reg[11] ; + wire \LED_PIPE_count1_a1_reg[24] ; + wire \LED_PIPE_count1_a1_reg[28] ; + wire LED_PIPE_refresh_a0; + wire LED_PIPE_rst1_a1; + wire [3:0]O; + wire VCC_1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire latched_clk_en; + wire latched_clk_en_reg_i_10_n_0; + wire latched_clk_en_reg_i_11_n_0; + wire latched_clk_en_reg_i_12_n_0; + wire latched_clk_en_reg_i_13_n_0; + wire latched_clk_en_reg_i_14_n_0; + wire [3:0]latched_clk_en_reg_i_3_0; + wire [3:0]latched_clk_en_reg_i_3_1; + wire [3:0]latched_clk_en_reg_i_3_2; + wire [3:0]latched_clk_en_reg_i_3_3; + wire [2:0]latched_clk_en_reg_i_3_4; + wire latched_clk_en_reg_i_3_n_0; + wire [3:0]latched_clk_en_reg_i_6_0; + wire [3:0]latched_clk_en_reg_i_6_1; + wire latched_clk_en_reg_i_6_n_0; + wire latched_clk_en_reg_i_7_n_0; + wire latched_clk_en_reg_i_8_n_0; + wire latched_clk_en_reg_i_9_n_0; + + GND GND + (.G(GND_1)); + LUT2 #( + .INIT(4'h8)) + \LED_PIPE_Leds_a0[15]_i_2 + (.I0(latched_clk_en), + .I1(clk_IBUF), + .O(CLK)); + LUT6 #( + .INIT(64'h0000000000000001)) + \LED_PIPE_count1_a1[31]_i_3 + (.I0(LED_PIPE_count1_a1[22]), + .I1(LED_PIPE_count1_a1[23]), + .I2(LED_PIPE_count1_a1[20]), + .I3(LED_PIPE_count1_a1[21]), + .I4(LED_PIPE_count1_a1[25]), + .I5(LED_PIPE_count1_a1[24]), + .O(\LED_PIPE_count1_a1_reg[28] )); + VCC VCC + (.P(VCC_1)); + (* OPT_MODIFIED = "MLO" *) + (* XILINX_LEGACY_PRIM = "LD" *) + LDCE #( + .INIT(1'b0), + .IS_G_INVERTED(1'b1)) + latched_clk_en_reg + (.CLR(GND_1), + .D(LED_PIPE_refresh_a0), + .G(clk_IBUF_BUFG), + .GE(VCC_1), + .Q(latched_clk_en)); + LUT4 #( + .INIT(16'hA800)) + latched_clk_en_reg_i_1 + (.I0(latched_clk_en_reg_i_3_n_0), + .I1(\LED_PIPE_count1_a1_reg[11] ), + .I2(\LED_PIPE_count1_a1_reg[24] ), + .I3(latched_clk_en_reg_i_6_n_0), + .O(LED_PIPE_refresh_a0)); + LUT4 #( + .INIT(16'h0001)) + latched_clk_en_reg_i_10 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(latched_clk_en_reg_i_10_n_0)); + LUT4 #( + .INIT(16'h7FFF)) + latched_clk_en_reg_i_11 + (.I0(LED_PIPE_count1_a1[14]), + .I1(LED_PIPE_count1_a1[13]), + .I2(LED_PIPE_count1_a1[16]), + .I3(LED_PIPE_count1_a1[15]), + .O(latched_clk_en_reg_i_11_n_0)); + LUT6 #( + .INIT(64'h15555555FFFFFFFF)) + latched_clk_en_reg_i_12 + (.I0(LED_PIPE_count1_a1[10]), + .I1(LED_PIPE_count1_a1[7]), + .I2(LED_PIPE_count1_a1[6]), + .I3(LED_PIPE_count1_a1[9]), + .I4(LED_PIPE_count1_a1[8]), + .I5(LED_PIPE_count1_a1[11]), + .O(latched_clk_en_reg_i_12_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_13 + (.I0(latched_clk_en_reg_i_6_1[1]), + .I1(latched_clk_en_reg_i_6_1[2]), + .I2(O[3]), + .I3(latched_clk_en_reg_i_6_1[0]), + .I4(latched_clk_en_reg_i_6_0[0]), + .I5(latched_clk_en_reg_i_6_1[3]), + .O(latched_clk_en_reg_i_13_n_0)); + LUT6 #( + .INIT(64'h0008000000000000)) + latched_clk_en_reg_i_14 + (.I0(latched_clk_en_reg_i_6_0[3]), + .I1(latched_clk_en_reg_i_3_0[0]), + .I2(latched_clk_en_reg_i_6_0[1]), + .I3(latched_clk_en_reg_i_6_0[2]), + .I4(latched_clk_en_reg_i_3_0[2]), + .I5(latched_clk_en_reg_i_3_0[1]), + .O(latched_clk_en_reg_i_14_n_0)); + LUT4 #( + .INIT(16'h8000)) + latched_clk_en_reg_i_3 + (.I0(latched_clk_en_reg_i_7_n_0), + .I1(\LED_PIPE_count1_a1_reg[28] ), + .I2(latched_clk_en_reg_i_8_n_0), + .I3(latched_clk_en_reg_i_9_n_0), + .O(latched_clk_en_reg_i_3_n_0)); + LUT5 #( + .INIT(32'h00010000)) + latched_clk_en_reg_i_4 + (.I0(LED_PIPE_count1_a1[5]), + .I1(LED_PIPE_count1_a1[10]), + .I2(LED_PIPE_count1_a1[12]), + .I3(LED_PIPE_count1_a1[18]), + .I4(latched_clk_en_reg_i_10_n_0), + .O(\LED_PIPE_count1_a1_reg[11] )); + LUT6 #( + .INIT(64'h45455545FFFFFFFF)) + latched_clk_en_reg_i_5 + (.I0(LED_PIPE_count1_a1[18]), + .I1(latched_clk_en_reg_i_11_n_0), + .I2(LED_PIPE_count1_a1[17]), + .I3(latched_clk_en_reg_i_12_n_0), + .I4(LED_PIPE_count1_a1[12]), + .I5(LED_PIPE_count1_a1[19]), + .O(\LED_PIPE_count1_a1_reg[24] )); + LUT5 #( + .INIT(32'h80000000)) + latched_clk_en_reg_i_6 + (.I0(latched_clk_en_reg_i_13_n_0), + .I1(O[2]), + .I2(O[1]), + .I3(O[0]), + .I4(latched_clk_en_reg_i_14_n_0), + .O(latched_clk_en_reg_i_6_n_0)); + LUT6 #( + .INIT(64'h0000000000000001)) + latched_clk_en_reg_i_7 + (.I0(latched_clk_en_reg_i_3_4[1]), + .I1(latched_clk_en_reg_i_3_4[2]), + .I2(latched_clk_en_reg_i_3_3[3]), + .I3(latched_clk_en_reg_i_3_4[0]), + .I4(LED_PIPE_count1_a1[0]), + .I5(LED_PIPE_rst1_a1), + .O(latched_clk_en_reg_i_7_n_0)); + LUT6 #( + .INIT(64'h0020000000000000)) + latched_clk_en_reg_i_8 + (.I0(latched_clk_en_reg_i_3_1[2]), + .I1(latched_clk_en_reg_i_3_1[1]), + .I2(latched_clk_en_reg_i_3_1[0]), + .I3(latched_clk_en_reg_i_3_0[3]), + .I4(latched_clk_en_reg_i_3_2[0]), + .I5(latched_clk_en_reg_i_3_1[3]), + .O(latched_clk_en_reg_i_8_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_9 + (.I0(latched_clk_en_reg_i_3_3[0]), + .I1(latched_clk_en_reg_i_3_2[3]), + .I2(latched_clk_en_reg_i_3_2[1]), + .I3(latched_clk_en_reg_i_3_2[2]), + .I4(latched_clk_en_reg_i_3_3[2]), + .I5(latched_clk_en_reg_i_3_3[1]), + .O(latched_clk_en_reg_i_9_n_0)); +endmodule + +(* ECO_CHECKSUM = "96723c4c" *) +(* STRUCTURAL_NETLIST = "yes" *) +module top + (clk, + reset, + led); + input clk; + input reset; + output [15:0]led; + + wire \ ; + wire \ ; + wire [15:0]LED_PIPE_Leds_a0; + wire \LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ; + wire [15:0]LED_PIPE_Leds_n10_in; + wire [31:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1[0]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_4_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_5_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_5 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_6 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_7 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_7 ; + wire LED_PIPE_rst1_a1; + wire clk; + wire clkF_LED_PIPE_refresh_a1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire gen_clkF_LED_PIPE_refresh_a1_n_0; + wire gen_clkF_LED_PIPE_refresh_a1_n_1; + wire gen_clkF_LED_PIPE_refresh_a1_n_2; + wire [15:0]led; + wire [15:0]led_OBUF; + wire reset; + wire reset_IBUF; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED ; + + GND GND + (.G(\ )); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_Leds_a0[0]_i_1 + (.I0(LED_PIPE_Leds_a0[0]), + .O(LED_PIPE_Leds_n10_in[0])); + FDSE \LED_PIPE_Leds_a0_reg[0] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[0]), + .Q(LED_PIPE_Leds_a0[0]), + .S(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[10] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[10]), + .Q(LED_PIPE_Leds_a0[10]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[11] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[11]), + .Q(LED_PIPE_Leds_a0[11]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[12] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[12]), + .Q(LED_PIPE_Leds_a0[12]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[12]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[12:9]), + .S(LED_PIPE_Leds_a0[12:9])); + FDRE \LED_PIPE_Leds_a0_reg[13] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[13]), + .Q(LED_PIPE_Leds_a0[13]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[14] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[14]), + .Q(LED_PIPE_Leds_a0[14]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[15] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[15]), + .Q(LED_PIPE_Leds_a0[15]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[15]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[15:13]), + .S({\ ,LED_PIPE_Leds_a0[15:13]})); + FDRE \LED_PIPE_Leds_a0_reg[1] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[1]), + .Q(LED_PIPE_Leds_a0[1]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[2] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[2]), + .Q(LED_PIPE_Leds_a0[2]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[3] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[3]), + .Q(LED_PIPE_Leds_a0[3]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[4] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[4]), + .Q(LED_PIPE_Leds_a0[4]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_Leds_a0[0]), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[4:1]), + .S(LED_PIPE_Leds_a0[4:1])); + FDRE \LED_PIPE_Leds_a0_reg[5] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[5]), + .Q(LED_PIPE_Leds_a0[5]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[6] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[6]), + .Q(LED_PIPE_Leds_a0[6]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[7] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[7]), + .Q(LED_PIPE_Leds_a0[7]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[8] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[8]), + .Q(LED_PIPE_Leds_a0[8]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[8]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[8:5]), + .S(LED_PIPE_Leds_a0[8:5])); + FDRE \LED_PIPE_Leds_a0_reg[9] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[9]), + .Q(LED_PIPE_Leds_a0[9]), + .R(reset_IBUF)); + (* \PinAttr:I0:HOLD_DETOUR = "195" *) + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_count1_a1[0]_i_1 + (.I0(LED_PIPE_count1_a1[0]), + .O(\LED_PIPE_count1_a1[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hBBBFBFBF)) + \LED_PIPE_count1_a1[31]_i_1 + (.I0(LED_PIPE_rst1_a1), + .I1(gen_clkF_LED_PIPE_refresh_a1_n_2), + .I2(gen_clkF_LED_PIPE_refresh_a1_n_1), + .I3(gen_clkF_LED_PIPE_refresh_a1_n_0), + .I4(\LED_PIPE_count1_a1[31]_i_4_n_0 ), + .O(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* \PinAttr:I1:HOLD_DETOUR = "195" *) + LUT4 #( + .INIT(16'hBFFF)) + \LED_PIPE_count1_a1[31]_i_4 + (.I0(\LED_PIPE_count1_a1[31]_i_5_n_0 ), + .I1(LED_PIPE_count1_a1[0]), + .I2(LED_PIPE_count1_a1[5]), + .I3(LED_PIPE_count1_a1[6]), + .O(\LED_PIPE_count1_a1[31]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \LED_PIPE_count1_a1[31]_i_5 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(\LED_PIPE_count1_a1[31]_i_5_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1[0]_i_1_n_0 ), + .Q(LED_PIPE_count1_a1[0]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[10]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[11]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[12]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[12]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[12:9])); + FDRE \LED_PIPE_count1_a1_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[13]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[14]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[15]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[16] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[16]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[16]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[16:13])); + FDRE \LED_PIPE_count1_a1_reg[17] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[17]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[18] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[18]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[19] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[19]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[1]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[20] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[20]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[20]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[20:17])); + FDRE \LED_PIPE_count1_a1_reg[21] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[21]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[22] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[22]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[23] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[23]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[24] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[24]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[24]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[24:21])); + FDRE \LED_PIPE_count1_a1_reg[25] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[25]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[26] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[26]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[27] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[27]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[28] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[28]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[28]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[28:25])); + FDRE \LED_PIPE_count1_a1_reg[29] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_7 ), + .Q(LED_PIPE_count1_a1[29]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[2]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[30] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ), + .Q(LED_PIPE_count1_a1[30]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[31] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ), + .Q(LED_PIPE_count1_a1[31]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[31]_i_2 + (.CI(\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .S({\ ,LED_PIPE_count1_a1[31:29]})); + FDRE \LED_PIPE_count1_a1_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[3]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[4]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_count1_a1[0]), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[4:1])); + FDRE \LED_PIPE_count1_a1_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[5]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[6]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[7]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[8]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[8]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[8:5])); + FDRE \LED_PIPE_count1_a1_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[9]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE LED_PIPE_rst1_a1_reg + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(reset_IBUF), + .Q(LED_PIPE_rst1_a1), + .R(\ )); + VCC VCC + (.P(\ )); + BUFG clk_IBUF_BUFG_inst + (.I(clk_IBUF), + .O(clk_IBUF_BUFG)); + IBUF clk_IBUF_inst + (.I(clk), + .O(clk_IBUF)); + clk_gate gen_clkF_LED_PIPE_refresh_a1 + (.CLK(clkF_LED_PIPE_refresh_a1), + .LED_PIPE_count1_a1({LED_PIPE_count1_a1[31:7],LED_PIPE_count1_a1[0]}), + .\LED_PIPE_count1_a1_reg[11] (gen_clkF_LED_PIPE_refresh_a1_n_0), + .\LED_PIPE_count1_a1_reg[24] (gen_clkF_LED_PIPE_refresh_a1_n_1), + .\LED_PIPE_count1_a1_reg[28] (gen_clkF_LED_PIPE_refresh_a1_n_2), + .LED_PIPE_rst1_a1(LED_PIPE_rst1_a1), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .clk_IBUF(clk_IBUF), + .clk_IBUF_BUFG(clk_IBUF_BUFG), + .latched_clk_en_reg_i_3_0({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .latched_clk_en_reg_i_3_1({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .latched_clk_en_reg_i_3_2({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .latched_clk_en_reg_i_3_3({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .latched_clk_en_reg_i_3_4({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .latched_clk_en_reg_i_6_0({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .latched_clk_en_reg_i_6_1({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 })); + OBUF \led_OBUF[0]_inst + (.I(led_OBUF[0]), + .O(led[0])); + OBUF \led_OBUF[10]_inst + (.I(led_OBUF[10]), + .O(led[10])); + OBUF \led_OBUF[11]_inst + (.I(led_OBUF[11]), + .O(led[11])); + OBUF \led_OBUF[12]_inst + (.I(led_OBUF[12]), + .O(led[12])); + OBUF \led_OBUF[13]_inst + (.I(led_OBUF[13]), + .O(led[13])); + OBUF \led_OBUF[14]_inst + (.I(led_OBUF[14]), + .O(led[14])); + OBUF \led_OBUF[15]_inst + (.I(led_OBUF[15]), + .O(led[15])); + OBUF \led_OBUF[1]_inst + (.I(led_OBUF[1]), + .O(led[1])); + OBUF \led_OBUF[2]_inst + (.I(led_OBUF[2]), + .O(led[2])); + OBUF \led_OBUF[3]_inst + (.I(led_OBUF[3]), + .O(led[3])); + OBUF \led_OBUF[4]_inst + (.I(led_OBUF[4]), + .O(led[4])); + OBUF \led_OBUF[5]_inst + (.I(led_OBUF[5]), + .O(led[5])); + OBUF \led_OBUF[6]_inst + (.I(led_OBUF[6]), + .O(led[6])); + OBUF \led_OBUF[7]_inst + (.I(led_OBUF[7]), + .O(led[7])); + OBUF \led_OBUF[8]_inst + (.I(led_OBUF[8]), + .O(led[8])); + OBUF \led_OBUF[9]_inst + (.I(led_OBUF[9]), + .O(led[9])); + FDRE \led_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[0]), + .Q(led_OBUF[0]), + .R(\ )); + FDRE \led_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[10]), + .Q(led_OBUF[10]), + .R(\ )); + FDRE \led_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[11]), + .Q(led_OBUF[11]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1103" *) + FDRE \led_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[12]), + .Q(led_OBUF[12]), + .R(\ )); + FDRE \led_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[13]), + .Q(led_OBUF[13]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1215" *) + FDRE \led_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[14]), + .Q(led_OBUF[14]), + .R(\ )); + FDRE \led_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[15]), + .Q(led_OBUF[15]), + .R(\ )); + FDRE \led_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[1]), + .Q(led_OBUF[1]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1178" *) + FDRE \led_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[2]), + .Q(led_OBUF[2]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1307" *) + FDRE \led_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[3]), + .Q(led_OBUF[3]), + .R(\ )); + FDRE \led_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[4]), + .Q(led_OBUF[4]), + .R(\ )); + FDRE \led_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[5]), + .Q(led_OBUF[5]), + .R(\ )); + FDRE \led_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[6]), + .Q(led_OBUF[6]), + .R(\ )); + FDRE \led_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[7]), + .Q(led_OBUF[7]), + .R(\ )); + FDRE \led_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[8]), + .Q(led_OBUF[8]), + .R(\ )); + FDRE \led_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[9]), + .Q(led_OBUF[9]), + .R(\ )); + IBUF reset_IBUF_inst + (.I(reset), + .O(reset_IBUF)); +endmodule diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit b/examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit new file mode 100644 index 0000000000000000000000000000000000000000..e202426f64074606295c4fd60e5a211a8671c26e GIT binary patch literal 2192111 zcmeI*dyFmjeGu?DXV2Z;>)6e?uf1_X;_;PhnMyS4ynsZ7v1=R`1g)y3;!%QYoEJ!K zNn9aBq2udU;v#xm`T$YEC8#7=3aL;Fe;^@ODk?}3GEya~{sC!eMA{14zluO0^PAV1 z^P1iBm~-|#K6CG$-~0Rf&1ZII&hDPw6J37m?-l=|sPnziH$M0MKk%WCeCA`H{X6W#lVzxTcGf9~VYed0au|3LJYqql^H zN8kPE>AT;18a*3bK0W>5dmsJa>7&udq6a_yciuc_i=yb?T)7oJQFVLmAAIEFai~1) z#+{IaG%C_4&C|TC$RB0-yxe`d=+lWi-RO^h?X>fHSx!3#&%E*XPK*ARi?LZ-uX7sR z4e6zjmVFPRd`uOyDLswiB6bn8Q^ZW7?>PSR-xJvg5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0=pq_yq?`S{MPl% zV-^Ag2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PDa&ZWtVV<8JJSz1UEJ{)Vn=&ShPDXwCt; z6Cgl<009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjYO6NnqTHMcYlxrm0Frx!7+IrmJU`CU+&TQ-Wwd9qQ5!(s>!AV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjYe zK_J=gow)Y#?OuQ5>f^?ijc+)3Y2%kT{{qwbhiuY_gHLSIYFb>&mb@3^v^~D|;%D!m;?wAATXCeGU#3B22~B2*?TqwXt(;t@3$LIGy(*+Kp+{c zvCa*u^2}s?Ra2#Tw~KqY{_w@kZDs-l2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXFY^}f+zmHaBe(i}WxAkc<(;iGYX1#J?mCh7rg`I9* zU19Kx4!tSJb2=P+e$D~96KF*s?M{T5teNO?Q5C%}U)0R4&zqhRaqfB`kHS(y}r3FXr{Pf-lqD7?(3^Q+SrvXY%t|3^@U?y$iQpQUC6ZSn6&BGjMrD? zDqY9g&YWWpu3cyDzeWOkCJ>*qzvJ!W<#UFwule8?);HIhW{St=8844DM^$^3j<>JB z{QCN*T+xhi8F$%qMa!E#Z0{qp_ucTGak;)Kt(IGrtF)sa_6>og^`sP~sOvTT7JA-)^D-VWWRHpa% zMa{gLdDA>>JP5v6c`*D!G6&y&A=8?a009C72yCc85=EUPdLjz{FNJ)xa5;><*lmY? z*CC3!ccWWT_}@)KKDaJczSsj2X77FY!k1tH1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oTt2f$lag{@Q=q##PV0=4AG+8`JaPKW@xYEQG*_ zKs-{a3r+QPk1Cf`nWfX4|7htD`x79UwyW{9%BAW$e_^TNJ2SXHwkMskJYGN6EflLB z$wX6cqGq;=?pJ5)r&kcD_*aCHlyM*UrWH-Fq4M%dVIF~-T*6G$USrcnh{dsFj&g;;2d*=gfN8H+Y zMW=2QkMv%o z&_;|f@6D$dncNrxiwHDbq?+oZ@%zalleRME>StOBv&li?hQ&42H*{ZL_0h(zY-xk0 zJ!`68dcw8>?BFqJ`dYfFzAehu;_T2d*&6fn4&K50&g-F_0D&D8h|7JNHQhLZ9lD=v zoUH{7zBe-K`BC-TIJ)aMHf~AFE~v7eHC=YT*2lYcwN5G^0RjXzPat0HlCtn`{rYO> zxTxsk-;qDBd{OJ1Ht)5|(`vV}E*V?(yydasimeC~F9xlD#yeYi=BdZewV4Ob+41V1 zn{&X1cTe;6#e-GORvvWUh|INjZp1RSViENTxZ35OJhj^Rq7xuMpnZYUsK2g@Wc!OB zifViRMd5!pjiPQC zHCuVs@OPsqzCCN_1^Nx*zvI6yFnTRR;=vl%GMz~X5SUls`0ntCHNR^0;9m|;E?)`6 zRVJkCej0S}vqO{164(TR?D^U7HeMcXtMV&;#pTW7d#BZGdzenSS>(rMN`0jhSDDqM z*M4a=aknSxluycV1L;H~^=rMQoc!wc=$*aN^z7!Q)`508eo$^2Fj+s#X;4F zjGiI!aMKd`&UY>`*iZs%6{yaSYSNMOq&mH-#wZ=SvJEO1%-s0kf&+JU*y#1B*v)kG zx;Cv#OE?aRnGJnW2i*Mb3xcJ!38kt<|a;gKduo@lNjCHt$q? z`4_f%`PNQgJpz}1Z7;qte4L)k*?m0!ethSiEba6T^72zjUjBS1`o+9HwB+fZieD=F zMZZ{%dui$fk4&vSr}CqJ=$sCF)BJq=bhfXLf1ybKWKr%H<)o98^;LURlsic|u0^LS z|GmXvTNtVrB_peDx@yEDz4Ai-gWtTq{;BX}ulZ~G_wL>6R>of3FfePXPr^~l(aWFi zCgq5vTjk1%){_3it%cg`KYP4XdgKOFtY+(UMMz+u?M!zhii z6DRw~%Hc@ey`87grK~P&om<&snwQI}tYYb{_g|I{u`2~XiK z?{-6NEV`bCLy=v@k~BPIXHLFVX<1w* z>HX9)qjz^~Mx0;1LZ%xI!a+AW&JILYe^1_0wwDAg9+Iecr3@+=5lG6jBvd{Tu2ALn zNS-EfSseYfp|W!M881C)~c1VxKDX{5xKuu3H|C&@VpD%0;*EgrLps z@QpU3ZF8(N5Z{h^w?mqSTSofg<7u8&wugX;#wYGJywH9j21NGu?+X!Er zhcx^A@ATvRp5E!*O7r?C)Q5X}Ckhv^P;rz!0}fj!ug}ufw*KCKufC$}CDDtSaY%dN zi;+(MIO>PLh10qIRCY{W==7foU)-lxvnRu$L$?zp*>~}~2YE3ox3=X!A!seyRtJhR z;9fWTywCr7SKN*A@~wNa^f11A+`kl#M*PKl;)CPfN$=L}vR~f6#aqga$F|zB#l^q) zboBBIQSXJ2zW99l^7D5-*}d~hbojzg{PwFaz7W0g%FliM_|8v$_T>4W|N1?5UpYSf z=@)_B0OtL)zX_uKxA*Vr_S%UN|PfK=_2d4H^rZ8?*Is?!(edHU-ZQs52icHtAL@jD?d^TTtkj0xGay> zN4@Op%9b|Yf~+8)jlTL5PxYdQo{jGOOqu58=Zf;fp*|}=T$Udit`9LDs^To4Ui%Zv z$Jmt!Z=)zq=)ZoC(bTJ89gRpkIL&& zaZJAa<$NEHzVemqEB&f(Uf1$5xzXY|m#=S&AKv`EV)3sP`hz`r^WSILAN|q1B0DBw zD~?lM6W%nrOj}C&f3>ARI}oP&+%K+O^$v|*yZ-0k+BN9W@-aF5#2~WFRDnmbzsT7+ zjKl9Oz82D7FUnC=)lVH%RF>DiGkQ#II=XjiwaS6OVf1v!caLAr(}SnV^5v?2&~Y*o zUz)6&q2}lVGj!VPE^)c{RhkvUxAn6deX+IpUHT$m=kHgc_avQmJxS)-_lW?lACvy? z&a<9v?3;i6T`#;-XXnj@`^s9j&VOFZG#59?#HaO?r6g18rdCzQH=WwrR^`c2Tak7z zPF(F$T4chH=|g7ZAeSvgds~m!e!Q(n8yaVZzv^-J%Ht?XvK}Fg;_$kN;-GZ1m$xi& z9Sp%5x09c2Y}((3H0*j>gBMq>e99WyA6)OFz8ez^xos z-4Etpd=iFauY3$of)9)HCgig%$sb#Nxc(Pg4Yw=7(t1ZaA)g=1%b_kmLAqt*?ILac z=~$bu6)Tsz6-heV&7iuW=ZB#ie!sNXs-rS?$8TxRFMYA4KwA-J?rT?hO!9G^Ui5l) zU+?5kzl!}$rtWq+wRZN(FVEg}mwKLao}}50qukOs&)m&^eK8HcI~N{?@A1{SlXq(8 z;eWIfW*4IrXH|Iq^?GrDvfmL3|HHXc9_zr5v?W=!nrYks8PJU%?Z0Fh0B;4kcB+BmbaVIUl-+v_vX}DzN zSFP~yU>2}b{knEmXlXlmqNPB)6DG-aCC(ng77k7SYMQ-7J1)K;>4r274Y%VcPNOT6 zH~-MKwngn{ug9Y|df^YR+}0u?Ah{9<&TEHQk{7*I<8QlfB(;~F#2|dZuzr)@twc)U5k(1hLQ0K7g_y0 zt4c?wN=J*cmy&y*oxSsp_e|T}zhvQX-OnvNbSnm~@`6--6TeC;khsb$m|l8*!GYU4 zELnQtO^3Oue(nix{Ij`-?8$(!yF?u4Z$T4we*Cm(-Q(cF)&qSW2HzgOSdC1wfrvxoM6=Ir*JZ9VHen6lIHl)6<_UH!YOioBt5(?!=6j}IKX zb|r)MWzWRxe`FA9zcS~YCu4W=IQxBvVodfPC@bA=?%iv+m;EeW>EXzO@9q8MT-vb{ zDIN@{bAzfp(^Nm&Ylc$ykIm3Y7Xkzb5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7dXs{;9JCBmzRsu6&-s9!Ae9~K+7 z!=sV~_N~=d5MT9sT2l|fB7XvE@M_jYj_S}VE)GbhT<#2&Pdp9JW?j8OTbl5^;z7?lQRVphNNxZe}6t%%cXuK^QubB2O9Fu+K zthw~SoCEf;`{=W(;#Y#gg)CW-MgMCn3cM>}(|SkZ>intFx@k0bUVGX5I7&yym8Bc+ zoLaq~m1()#W9P;AmnQiW-|U88Y;lztA6C^jr|HzD2mZy>>b&Y>9WzvF>h{1FhC0cfBan===cV~ij*%GC3Wwi0tybNLKy{s}KCvIETC0kx z9MX6wzhJiWYYPtCpJ8dat7&%qTE}+i3zT!2)BJ8S(JfT3X7gSfv$-Zyx=pK_(rxal z{#WN7veyHWHSGQ2_pMO1Azd zR}xlG0t5&UAV6S5;EAZ;jeF76EDa4;tH!ci728a`mgpSK@HagN@6Q;zRzFM0UgS09 z$0z3mo2mKaV>5Nri2wlt1PBmVwZKzJt25mEP^;ngG}vRU9+acgJ!PC{w^#I>w`mC{ z2Td*N32d;y;DxH|S>wrirZEeF6$#`wmce&dRy0Y}|Ls*p-hsHuw0&6ph3wi#r_HNw zSfHO|rG7g84ntMBI7$x=51Az&H+6d8Z#1>+Y5g>*3V$j|Z))u_mA${Y%xGf?T$n)d zRpXkzcI^Mah3)6&Pn;G@jEkRMg;IW<8oSB2f9<;N9$&zp^>`<1j3<7s7;5sZcyfHJ zEX|z6O+D{_q^V_3>(9KOugApSTbbQC&bzMsOU5YrmD$&%CjkNk2oNAZfB*pk1PBly zK!5-N0t5&U*eiiGe49S=Jb7%dRA=AW*?*)PHwJBPX&jO@-1zjF%^C@8j=;3@Wcuq? zntxi{+;ZlAUVHS+W{m{4SD;h8(5RIia6f5zAfmb|XpCfXi=h9HN21b_=xl{mG-be+_TD>8zdr0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF@VzW(^`7BwMYUHSf9Y1Fx8z-)Co!UQEYXts%b5~ z+gc=n2oNAZfB*pk1Zsh#&K9|Y)1jJ))}c080t5(LoIo-@wQJY7h%x~J1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oRW2AP)VqkA=2_ ziBbKyu9|GE+vEsrl|VnNHv4F48$M533MmW$0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjZ}K%krNWAT_} z>)g3JABP^<$Bf(I=Vt7v8-a}z=tR+-C<>3|R_Cgk#&pj2Z#?;t#z-0n5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RmehaOJ#p_TKtT_M(dI*yebp$ZB?Ij+b;T zvw(vKjz7E1__M~Q_ng%_f7=`P%|B)fN2K@v>n&WhB@-Y(fB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009Dv z3&aZ!9UJ$qrx%Q47=djNSnN;N+=<4IsaKPC&H*8 zusZ^8|KGFh%L4baS;Fc=fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyu&n~|(hDym948Ht%JwO(-oJ4QimHti zSpJ<7%Lt9|F>s}xKyEA&86{Wdtk(M(Sp^3mb0(S=O zb#72~W@hGhB6-^{pAn~yGC6Bvq1e$h;?qZ!Gr$yob~>U=fxUC$mI#kkHcn5!eyxhzyx%08xV@$~9Y+uYJPL<50y1?qPisdHn4-twDc z6?L(3Ox@+2Tpgj#Wka&kXw7h-MOuc(%M&0#fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKwx(S;wZXV?@cJp zwawdqGI$-K&Skx_QuZ-@i>FtsC9ooa@J@(B=SlPXB2J%v-u{zO>Iii%>y?#8Ylg=* zw+#1^CqRGz0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&wDe%r8JSRXrXs>gFsxve5_MZ$^N2qgIudI}P*a)mi;J)Y1nW%oZkvezI zkg|E+{*zJb2z4&&m6b+oCdaBFK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV6Rtfs>zGXjnZc8D#6+plV8{ z?p5cebQ@IFz3SYcaW^yX{Mv=>SG@Ghb%Z*%bkK_raJ1}sk`DHv&JC($77@7bHy0Vz z%9!QfVX=--=UQ2sAOuDQu8bB}UrGm4)VV>`luX^L&Q0m2iU0uu1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72yDB+H~iGb?m@D#E3>e@ z6L{B8Z|p9o8@n;K$}#siOM F{y&l!V@Ci0 literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/post_place.dcp b/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/post_place.dcp new file mode 100644 index 0000000000000000000000000000000000000000..6ee58279565d6535a7ce50b00e2543eeae872908 GIT binary patch literal 166806 zcmY&;Q;;CQmSx$tZM(W`+qP}nwrzCTwr$(CUDI!4VHr6r80&$uAIK#8gO45It!%P4{H)A>w!KfjD z1k|s`qi5vFMMC@f4q9(D%XG{aOly8$eQ#lJ`>xtMkMc=XWHcDnoK=)^(Tg&i$jb0Z zi$KBY{aBO$u{G-~Ys@DMpA1aPa^c|xI_kGEK+XhDCe$0rlqMDN>^`WTzX$1)&OD-4I!a>>lE9bx|3rmqC~t|F^KWi&qc1~!R~X47ELbj{f|TwObbh&_eD!ZU}V zW;^!|hBSmK#JZ1EOy2brasZAuck!&o@bG*T_kGzFuE=*m_1f)xg}aWL0u(bS-&q>{Xu*)d_*eURp9VGItZHx70nRpg5^Dyl zX#i^ACIzq1{*6Awo2@Z?MD60j5Oj!|SMzd!7*mZ$*-Yl55c|*2#=IW9D$3sCB3*mAtzQ3J=uRnLE8ad&Sv$Jet29y_ zT~We!R90JRCHU>Y3M}xE9Hkyt3de{(dLbx$>OgHi?~+SWt^@rBrxW z!oK_ZiE>hXzVokn95ld+AImFFvgoWda8zUwnXom*NHyhUfk zrq=@T%eTe)r=W+QGG*&DWDhv^lzu}2dInPoS+|r(JtFYg{V`i!f5`0PLt|-KY8fvY z1v_FcN|-lXFk~XZHkREfa0W4q#nr3 z_-QNi_8^{`N%*y*2%GmZpMCG;!vjuqvq8)K08By}k3e#F4?v z!uW6@onFK9m7=_nhM5IXe*2!y`1<|ASCTgB+;4Sk8aWzf?;y|;KsE$b<>tK z9k;Qke>tMndeG8Qky-YWWJjtL*^ZTsT(>pqvrTj51B!=OjwVpWOsS;l@-qQxSzgHt zV1KxtdQTqvvKFwqW$^)5{CS?vw43PkZKa5IB(d~FTD`4=C(aF94d3Rvea-2jTmE&| z3lN08-=3Z2{urWe&CI9sG<&XB7g|1o$Vu0gg=*WJxj#10%Bk8nU`uVTez-jZ_ur&% zNgn80NH5S*>+zT*Hln=eW|QnMt#$KnNFgS(I&KNGyo;JpRde&hMO7`yi$lCOTN(PQ zeC^SvxlfDnB8s8o~V?i!RUCNpoo#VJV}t^l;RB~6Dg%M~^W7<S|_S8!5XOyb8AWWLk^3PY9lJ4plq=-{<=AVu$~VY$$-Y7fhbf%~EnB~A2e`&+3Izxkp8j8v=#-5R!7(_p zVZrl(L?k>#mx~^5yqj&vHT8OEpQ#r;PYLIFpP@5Ws+?m;JF>? z)?jYdw(IcPtgowP^2H;%pS<}~q$?y>jAeL3-C>l?=1pr`$Kl;PUy-;;CAcofh|SaC zdnbcn#0tas&szR}&w;<$6tgSTaJK^!>RFC^5nDdWIUn zd?#~@=<#7JOn^^7_lfpUgs%xm9P%gT;r!UqL}KaHGgwZ=$Z3`KdS$_CsIq5`2B3|` zS)`5_C4hshpvU!8^-dRog$V@!B~$6wjw9o1oP;J+$>J7GEM)Z7y1=6Fy&Oy-Pq+Ip zkYoIYeD8t6r6k54R7+n!KrXo3Hh^F%o4TS^bg7cAJdy)8{c4zpDYY)GpqR?nQ2}En z&e~VH$-w{xusROv?kXF)&90ml1cYRaM#q=;P2a=TWI&)E9cL?v%@=vqb?hB2f>(nt z!Nrl*(MQBF5wRx|Ju8eB64Klc4`d0;vg*-C6Kn|y-~Qx~NZ{d^7N}?~coFcFY3)F_ zx7Q0@nmlYAWqixc1iw(v_*SpRaG`N;cVi=&#Es27^z`+0&``0yBD;(1+0LFBOSoBx zdO+G7=jmd}{UL-!Robt4cxnX`LSSvC13^w=jHMJ({?Os6JX*a$zJ|W?RD?^pWy#n8 zDfIoMVBJSpO8f;N#$!TiS#rxD&z#0BnrAOTefS_|j0wx(++N82b)LrbIgInE$|#;L_6>cmOSA~haYqU}g_*fMYn zlPTu=rnAWi#K*Z0QWw&z=(&zj6~&!ta~z1bASy3znW5z2#U03%JUm zbf!Oc@d53>oDB-9peUD0fB8Mhr(Kc8yzt7GpQib#S^ctme7wo)yr5)lKDDzb0jg~R z)>Co~!#PNz>oM#+*Z*dla1*Dm50jzZ#bh<2sKbPMhLU?iBbXTf{Q-?n89pFg2h>%J4Q2>wqY)Wrbq7%1R z_%k*#jXZ|kXypgJ7=z~7e&zQH^9Z<7e^L@<3ohzOq9YDvJ&$Hzh>WzPjgssXfEcF~|& zy@G(7UeLwBZaz)N3(^F6SqzmMJWZLWwaj6%z;qoZil`~869ek z`w$l|ieP-6!9Dd%siOtZ{sH|gGv6UED4VV<4aquWr6okHYinH6*yju=qARgr-H0vZUrc64BkCtJ*8KCLw6@ESxOD4|lz1!h zwybaczJVN~c_Lg*H{hE&e57CaN#jxOy-( zdF-@W(Q1Og$ufE1Ml7K=GBQ36`56O+A@sRM-~`8E#qMoJ@2!@^NJo!RGqgGQHQC#| z%k*|^B*owxgHmN?)Yt2{zM7nkb~h@isp(QQOHR=EdN?g1F|*`DPRPIKGI(#T2%o?$ zyaHn)$vlH^|6qGt40l}y(G8k2wqa;8GupHDgK+xLrQ8xu9nhCX z+gf;ca#ZD53;jnRsI0V>CPl6- z-##rAsZ74?!7@@Ehh?7IUo^zjDwsd_MZmTS2N~=6&_5jq{G5IubUV})sTH%>RWTKl zNtY3mYWZkwz9;ll7qMYLd59gAiouU2UaxoY@%z%6n&#PH(saQP(hZ zxH`pdI!&)SMf!mT`ko1d+EVxtCbPs)2FXibU_!?fFB7mkG-_Avu_0nrQylqF)TP#H z=D2FY5-Q7IC>Spp^+xF=ma9zCH|nc_4PMibqnQm}!;t%#1zy91Tj$5|8f&%Jsm?=I zstb-xPtTNFheoUHyZLPoz4fB?1~C6X37rsfX}*{?7_+=ro1zSb!eLJ!;Wj%@i8X!7$!ueY5c zZu0Ww``HUtqWjb|>c>I%i&SnIqjoFk^*H8u-7x-6Qs!G^1AjOh+$>N}Tt9GCy?*r| zCjwXZ(9q9s4$eCtV=qxrWUhY8wi((kCM-s6e7{j)_^)ivs75nP2{{XBv;|wcPfbnI z9uYsn0lXpX^pu&{i?O0~!<^;yWZjT0t6yGAUyWidkvt|dA<3aTfe+XvU-l1p{;&Qo z{M4`g7k>GVQO(!wWf!ImJ7_yvm6^jmr=7s&v~bPv`7Z=ddumizFJRBS7Uqj@j-U^R zr_$)r=QZIPlnPQxH3o26ic|2rgX zDc6Kqn%V1AG~Dg)&j^!7lATxXnKBt3YvvK!FAkUPN7NQA)Gp{LZ@4tZbm-Ys=$Y>J zDN*8&-xA2qQSvT?W$rBdq^0dN+obu-44agduiy4YyX4mq%X7+vV=SE#)fT4EZ=IUn z#WeR1*Xij@MOfu$rB`L|%KBEyJKx-_*Bc4euU-IJ*F?t=7eEuaMx*ukv z?V-^#d8Mm2_#_6ElTwa&OzB*b}^7;&mGbxQ3+WjQo7zD@Tkt+ zGfi_{LT>#ldMN@^Y1MV+)X%=q6Xx+)?*pJOryTaJI>JF-WW}JE5}@XCUdMwSVUu9=}1-PdVcCCm$_~jVHYp-3K#I>DcL@ z3|kYF2{KD$rpkbvkd^**_U#JQN0)JYlhpe{OVnhYB8u};Gz`VTrziwo&WZ5It(Xu} zc<-+)Y&G!cr8lg3du4mOdKc~Q!uL~shat_9Um;0lr_b88cZ)Zt-S3FU!0x`G72^K0 zDQL8Fcx62K`4g6#2*#f1H&o4@y26~bf9F9}2U57RIPq>f_BZ50r~k%gB#qUtMvHbW z8>)6jN3`&+vGnec+-_5cT7Ux?e>b3q2S5Q1zM`e8q7EV~Ixfz@H~Gn8=4^s0#Q7|2 zzuOYWeVTaR1E$-_Dvs1(o73PXODFp0Q1TTAvtvVP86Ot$>~nKQc>_>n1KzJWsn z7z2IF66`W!BKOa}M*2ZOF+`*i2$sc_HK-a2rDNS2sDcRSp)iPo2#7?LwO-p=PhD$F zidVW3z(x^CT(9K3{e-NXeYT91tB_-q+$ldg5{V-+AdX~M)C+vl3#k^eg77MzHCis6Le@`KUWRc;_B>>4lVJbWlN<)sjP%bZ z^9{ws?}sI7u!}>?)I`!~x__`vmT_o=aV9wgJe`r&edK-Luj0vX2W8(IqdK&?`ePBd zuVn6x%7J&AU4VT*x1Mt*BEGnhR%nEphkffZqFfRq%z^owdj- z(gb7`%|}A&9m=Bm+J;2P+=?Sc>K!vSBQBE?t$~iz?oC&$R2%QmrJX8~tct$5n#uva zX0(EbuHwj@-VV|4%dA@R2mD((R;`-r+f+vlu14RT)ISj*dRo$i1L{;Qi4aFno_IN? zBfaq3Uk>nrakrMgp?M=T<=)=>E@l>pPNQ8jV$C%Al*dx>QxrCVA2j^r0nA94y!5{V zZ25fo-E*NMV>ht}o{7EakJv>rsbz(*Xp09Ds;8HZYR&WU<0)_z_wX%ULWlY@w+-AS z8CJD0VsUisR~uKm?4G}rpGtTor|4x9^BVFA5}&D?wqkld?}MeeJ;-6(Gw~lxf8=K4 zdN~{WLMLTG{~-%0z%?^wVFI-+Q-F(B-}!mrI%+4LTk+>FuRh~845LtlVGT*p;N*Iy z5&3Z7r$kjG^rlq^mqy2Jx?T;cPt8h@7`WI;YPXqVpts>BA`$OzMloYJqx-DG9rd`1#h{ zMmA2NFKVpl@X5PwPYUm=6`s}9^6;UwUQpfx1yE&fDWul&$u=cDCEhD$&PfRH=u4?g zX!$4Y1@EOG3pvPI#>|w+De)iIll|DJQ<~>~n1Ra%m5F52$^>+I^G(__DBHyDs)^=XtaGHD>Kou&L_P?QN*VA(OEH1Laxw?N?hsYVea zi~2MpjdUz5t6)UC)S!)@Hu<9#S(j#}2$v?H;sM^~@g4$?=`jp8KF3wqzoHsTre(@T z-vfZ;&A)O>N$H4Q)zDDpA+S4T7OADK!1t#FghW1901yIA85tc{Ea?`FEl3BT3IT3O zjwpSgF{oJVI7FAv6w+3mSDE`^&8Iw{y%Xi8vD_Zhb1!iPVlUu$z*xamLKWPo=`6ZS zf5U}h(6}-k5C)gpTC{v~?S_WQ!c+^BPF(lQaO$bzCOn$^$ma8^vg4MRwgHzO6V1lg zwddk&&UI97GUyAMd>t*Jm2@;{e0@_^)IGk-K3C{W$x8RerwQDGOX+YK-CH)rm&H~9(dQU?XrH1)Hw<_!Bn-d1c=VIU6A zYG;FQbIbiZYMcfM)&CxLrGd3#F*n*2#cIJqg~bH=6=e4KTr@6)=1y_Rr-YR$v!%7E z*-+7!aNinz`P6Al&tLfm&gNw>5N6mNvYN-p9aRaxRTg#;aejhrOw|#_8$BPKB6tSM zDE}tTp_~Y^EZ^*+tqxJO0r$!g9*3xs(h1$DHMme1Bu9W8b;TsgL+@fQgG#f^v(A#( zw69MEW?%WKeFqd53)kjV!~UFVWQ#!3cGvGiaUMZpt&S#T6V(pj-B1fOKXb$u<1fj6 zG&=!t0xhjTG$<&^0Kj=<9&Z;FU9v2{6ak+6WR>^xd64_PIT(1Dcl&~w0Gj%EAY4$a zs|Tef+k1jYr}Htd zmZJ+P6S{3*#p50%SPLC9G*-Z4Dr%i^!56xNhHRufFjuB)Y5TzZP`XOSa{$Pzq{N(1 zy0!wvw)pO(HM+8)=7z+-b=<|#gZ&=L3dO_(ZYs3E2UpL8uQUYgh^lxRDj^(plhQ6U z8MAwIw7X$d+<0}A3f3ip8>8i0`1tL8;Wv=|BO|BASR^(hr_p6>a~?+0B=R~}Ry4gE zc<->RlsyiFK-)=};MjR#kZ(+s+cu-NQ-`%D#e~N|&KEQmif;_eMO{&Qsuocqq~r6@bg}NsRF{11Vo?+P}n$% z{3^_BeOyfDe6hd68wND3DCew)grG^}HXUzXDk#^A8>{}DbO!}#`7y<&;*P!a_KWG5 zmsTCS?w+3sR5JFIifg;+*e$!)*#<~B20E*&z9gMTg`%z0rlaPqcg^yYf6L?&6`34W z_#UC5+l)jmcph$0rEw#>YJIiHcuLggG$%r~FfDJRrPFw4_FOMZLqN(WLcJ%+>7K9P1%$C7}t5~xdy`0Myt7`eiTu*qLw0B<+ zSjI82s!8+k=vsF_AY8*2(J-&)YWYGT=!`DZ{_-rV*xgXA;$yRJC)e^U=QyECKSg_C ztnmRhlPg~YJja2opOtU@LjN~aSLZ0zH~f#Hk+l5 zNL98&ra+CVNt*)bMO$Ooxmrev5+wfRlKt4lz|2^y!SriQioV8*3TlZ4e78#bvfT`Q zjPBaLyH3rbfHiv!TI0QhAc$J(;ks2DLm3W&4D2j@CxfTL)1@h3x}~NE?Ng;2Ead`* zr9vZ+Orhw*&kL+MO%`W8r{o3P*>cX?*xd051hxEt^bO}~pKbspZ;z7b$R29xUh8-K zUOYzrK4FH<`T*u-vk`jXvCW=0OAJNiX=^9r3-G_2Dr&ccRR{?HP(by6tfZr{!Lp{5 z)8+~)oC#NKEnZ9Q@meS@Xb$I$Ga({Ce;CsuC~YKO3}wW@pk$^e1A@KC4i<>~o?>EB z>7oeF;<N^?tM@Y>Fz0E3}QndAXuAx!Ad=8C) zXXjB<3uc`K+C*Q0IOjzPweoEqj_*S8$fnX_8T%Ao%PiH6*J$U}Qrzvk?59Od2dzrG zYwk*G%gy1KjT@}BJ#+xt!wXP;cFARk8#w^A{+M%ncGoPfnCVQ_b=p*-wLD-~uvI#+ z&U!>4)iRNttwlE1*2-Z`KM5g=lVdO#Fuh$q8Ft0!Oj)yFp+d+<$5JN30k; z*Y5ihGMw$RYlV0qzBwc*+rCog4ui{i{aihx6rqrJ3P&ywa&q55NXGQ(BfDnvPs+V(W%C$p*H%p~-bOCLwi#SvUuAw9R z*-K9@MyR_%caNT*rQ@~o0))1igV;PH6*ayN-B9i<8n*z}eE}ymKuRSgS>> z?|z)*rAKP%hCVTduWZ33XXd=oB3Fd}ZUw*o4h>G6hmD#4veNf*{~U+NbI6SuzlV6X z)Pn|6z1;~GVh~dhlSgv`o`XpqlXJt9G)41>176&=C4+vQbY?4uK1*7iNAt%#)XYq^ zRAOGscb2pResfBq-pwo(ipr`3K#?$AHj)CumX15h@;sMSG(N8)U+*#3y%Z3Cy-;aFK$tTWm`{#CpM8XGhAfc(A>BU?@JMk7>*=t(WYZO9m%a!{ zdj67(xF6E3G3&?9*GY~*v z&Z3}w4J#IY9KRtPtmnCEnCJ6Sa?LR979jvn$*31u`4y5s_N(FI5*J};eG>TwmkFNm zr=G#qLMAboZ>r#!LZey;W2@bV!1>$1g@D~YQ9GsHKh+K5@s-lbZV}lq)df4$yA4d4 zAg+JINl<&{hEelezPE_ENM@?gVx<#YUSA$4wpC7M1&)0nVc9XZ^*ITFw(SJ~$AwE~ zlbH5musbMSWfWKp0E!W#L(UaP^+t0gRtc&ZqGel{y)VaPnTL9)C$0`Y&qJQ|09n;R za|uF~SMc?VEuC|;FSZsPQ=a#X35iB1b0gV(^H}GO(j0<=4qFE@0tL;G<0n6D?v)@@ z++)fvw=MNBf#?bquc&f!iT#ea>42>uKD*C!B7yPT6L{Ai;7 z`p}9&(@DE&Q)LhmOO{&6U2r#yRh(hTkL76Uolt0hh4P8>NFRC$eES$8ChSahcQ#c9 z^V%zLrW+j+sKt&;?29%y5xpk_QU18!%g_$_TQY1E6MI*rwZ%HmLnp{@dv6+(?1K&< z96J_P39K|!J;>1hDjxzNldAU_b|zL1R;>*iz8%bw=$9|W+7U8Z1J2ZW>rLo zT6{oH5klZAMln8S5`GUz8Tltu@R2Yqf6MH~9*qj}SG>Th@NLQw&be|GpMkv6Cf$Mf z-}(-xk->D-HuJte!5KA|!PQ&lJWIkdpl2%S$Bce1Ex0(S*F$E8lv#49@z;f{;FgHU zg%k6b8B#|RBf=VOM}uqMj?$UBm>A6a4cQk)cN}(bS7zYxcN8arFMuM1QUvh_PR^8c zz!|Fmi5K;YFE|fD=gmysim=GNdN{gh8d$rh3?;}g%FNuk?)`6&P9D=*+t8&D67;WR z!Kccong8OsJlTK*I9z*oQs14b3J)LGMDTih7J;`<ND#^lz?MYv7PMaGtvG#;y)g(;%$is;H)^Aqv&raSEDu|1LN|PQT@vhZGn8kt?Vvs7-8;#Aimw` zpYDMFhG-b6W2^|=t$TlEJouR`i0PgNq^oF26ga@7!t=o-pD^{79e0nxEj4kV*S6M) zpTLtl%~+r0zG8HPr1(9hCkCl=sX_9F`ylEQ!PJ+!FZ=)yM&r+Y`7Rij} zU8f)F2MI-qJB@r;(T8#RjM0;WU|CzrL^V<%X|?{0h~i2dJe`>0cJCK3hTkBhtT`ZA zJ&{B@H=Y#J26(w5%7uI7J=aBWUYn?0H=k{A5 z@Z0au9X6;a^PU#9Rm-7U&8c7f|31dGFq*%hBLM&`GXVhnJI(#S&t*>L2KFY)nqKxr z%Py-awC5K|#yUFh4&8Fso6w(i#<&w)SXiI=TS}gLKXo z3n)N@AtcwPNvUK>EzCA#|0co+a|va!@ke?h8z~G6`~qB#$wG3$xzQQN>5g1p54<1T z4>Mlf%zMsm_vwz)EzhfV?Tt7Hke!c~uE*Ki*FEy9G1Oc0_b}4A*#bh31=~g9{^~zEY zbP!0>vn;LtW!oFv<33Piap3-oSEQhLrcS=niKxc3pwnNau^URXw;fmSBnnTuk~ikH)Wnqu0^yPJApwNnc`!6 z$QCK2mq#C)|0*s&&5zTkDIPZ0fAuE@D1AY#DVRRK9E?ccf5Rm%kEJjH=DAqQcA@l6 z#27DrS!&nMdm6CtF)CnH4sw=w>~Q3n<|o3l!WkJcfo4kklyj?KQ=>};qMK}~+AFm@ zCZfRhu~;1r);UZfgIgddQSu(-Lf||oyb1$Q9@fFL%N=2{10i$xBXewVC)o0mab?zn zY27(s;?r`DR>sJQSGu;b>1On$+H?_B&ujKTh$@mR(4N>lYibSq1E3aP4s`m9!5R}Y4!_{y}Q&UO_>vdNcf76@Hdbn9EO<` zYyZX1#0i-^@U&0~%cS7!6&{j z)V9~iB&*oXrb3IZ&%i#ePN-L{s6UCU;42&or&19zsNky{I#LD?3orVfo%$mBKCQWd zzTY~Qs_8;A5;rbxV2$P0zBl^UsS7J?gzEh-#BaQHKosMQT?)?JRbPQM?aV1Ql<@Un zOCswHxGrj6=fNz$RAd!l*bkGrM~ zw0d0J3gxMy&ZwxzaRQ6ug6&Hq_^bVaDHv5ctJ8eL#$G0l6}q_qaY}oTE!r)-+%#{< z2vpq%>j8!?bwPaN#baqw&*SwU?mT|w+CdO*cXC|j)1sh$P*_58E=ndt?`G-I(sDTI z<=X6s$~0pt>3qKXTDL=i+}VdwcDWpzL>1~Q6 zL&(zJIT6XHs-KQ`7lN8AKJRPm7J0VY7zstk2UwFL0~m8bK|U=D~Mh`%F4i1#LB zkq3^>F3Mk^$fxJMY8W41a8{1XhMk5j05d@w9%F$-8S{3@n2QYpO^10!hg`y%SLnUQ z2k6oy!@o@Rvfg$jl=qX zWe~G)>c{`33E6YC%JS{~n!q<6*l5uEwleYQ>CJnc4Tf!vqW1=8-_m1dq{%g`yS7Cs zD%9hi9m->#r|uaQRta`^+@+zCx^`?FHBW1NZJ+#nKM-;LfR~1e{Ox1@q?h)Njp#Kx zIF$aPMBX(%u*(SFNAm-4`>mY*E4_5xt(302yRKE{~8|% z61XQOLrZs$ji_bPUg0y1pX})yvUTW9AA~R)jt<{*d@miFd!R&Wa7yp}N;$2w@JgrW ze!1}jAN)G!3+kcic^iO6XFO(%qW?k>5k1JF08`NZVvM8>{(%@)MZy?DGUlTnrQ;7@TiN7X^K`LCIcUG5RHMNAzL#S_5kT;Jzp{c6oJR^x zLQ<~o*rc;MZ}7*N+Xn%s<>}XD!(f2RwdZ(iINU2>-(iDem??PO_rKw=pW9emINBRG z1&7wN{Nw&@Jt!~Wc;`h2J|m%PKO?XSg$t1u^jL$y!TjfTilAK(oH8wlz76NAhZ*=Z zKLV9Ao(>#co6xc0+`}wE>0jQi9B+k_{c?9J3*gtiSm?1R)SdPlI_NX_w7$*54)!nT z^o77(gu8D?dIHQydZLWtYG>`Yx=r-2V)Dh4KKD3mMUy@TfC@hCc>)T0H@7|R^F3P; z{Ar&9R21vxp`Ci}Mb!zPU)yQa^ z$WiFD(dqod-a}5$t9`KG+5Yr%!taMb%Rr&J3B)p>`DFU5}M=t;0e-)mdyvv*FPD$^LE?Z=X-j%6Le$X>ClIot!?fq+T1I9F>>$hw7Ac7 zb93M6!pQZs(v||yhqm`c87SVpgst~a4zlbY8}!^6rvK@(VGMF-lqTJ2NmuH^AVa4Q z{X4w5i-d8EOCJh37G*&8V3?lRg>gbwt0%3f3)7f_KG<}84gZ*iJ}7)L%uwXkCCnNMPZ_hXay76gaJ4_jC2sV_OB9VKOh+@O#f{^+V-AzY(}9ad z|DP}qj%Twuj2?6F{Nr#4sK?k~!D#r%T|(if;|3}O{6 z_*M^&SiY)^=Pw+;e_(N#5NYj59m-02;|&<}fY#h}fuyRD`o5)~tTqhV5hZ*WlyZ#0 zeg$KdvW|MZ6#XfxrCDTrD;8A3y_}-K&xq91D}}iSxj?k619VO`!u1=(^&rJ{EJn4) zzn!G`yJ}Dk!U}( zrbD&7NPeMczmk0X&n>J{1eL~fvD*d*r1bKtj6Z* zzkdV&)wn!zByhQq3KKfD#d1x(4oro3Bv7ORixsUZS!JC{#XW(tqv)}?yAw3A&Rm84eY267s5sWTVdZ1()9hmOQUg)d=_gxYI-)#_UF*{O z&pM#Y!NnR=aWXb}gC4#Tyd|^G*84+&|~8tz$WFDNRICmSpKGQK73JrcB1? zk5RSeKn>R!x`a#Nq+~y>fL>?+WRdUJ(BDPD@d*O&Fsk1l0@T={sFux604V zeI78~65b7%%|^XyJoC`&h#Cd_OUuA(j~W%|DOShMSCBlcx_W|eD;JJ!Cf|?<`P6$S&*c2!FM~E$a{i>)<+5Emr2e!VrpAi9(zq3U6x+bbu_6?g!Fd&-~!~OmL zN(T${-4aHk(LP0~BHV2t7yN?%_v=xJ+?jY9E&zbE#Q%|iPR<6+-fr1A%PF>MXE&P) zA^P+6FpALFjB6vgn4rNBBmRtV(3;j=={nUk{A^Vw#=s+QJiV_hMdKW&ILX8l9Ayf^ z8b>6K2pogHuS?a6)6u1VXL0O&Jx((`yKX|;PMsZ=+z&au9nUlOy+6}(56$XBr;o6_ zd_1f?*O5(2)S@M1ib|t#lDK)PyexN{pZFQxw@p$&1WJDCxebJF)v3Poy>jjB4wp@0 zNEU}kf7Jxoe4kPx{Jx*nMalJ$~%H#j+KESg2x?aklM?oXNzAww! zWwPv_BKvZlt0~?1m@gbH{fg)OIscKc_?KXIMAp-O0>1!*tOquAW};MrlaV0@>|-I| z{3#+W{5GJ+oItb2HoeVs4^h-0Fb_sL4$SAV?+Ih-cQW7z`rwz?cUJg)!&+P zjSp@t7KqD*-}Pw-@t{_}mj}S=b_&L(4{&7f-&1($XdoS4dJmHGaNpA(Ywhad*`6*3 zOAjY7y#0y@$a?vOUB`2;((mhluthQ%S*20OV09W$p;;n~lu{JxL~7AE1gj+K5t5-& zz|{UrX%XBOc!ppfkm5q}zeKQ+z!IqE$n>aU#fEErP@jQ%vYay%Q%|CbA>3+ZNmL{J z_Xdi?>Qyg|#g({~s#?Y5*r$?H%DKvnaFLnCP#&>ZC5HJx6VrB5Y{H&YbY)spU>(`c zr`$EtoUz#!I*KBkC|OBV#(-`lD$ygBuOvFvK28{fxw4$GG>^~{s73|uq<;m`?xu?) z9n`NoCDg2TsYSyvMH?K9Gaic#^O+{AX=T}lDBd}$#Vf=I4Xyr_HoVaq{S=&@WKCIg zR85xY6el~6l-5iyMU`K*| zToJL0R#vhHq;|I_M2CxpFFEse9mDP`i1FY9r}JH;Se#aK{ZD%R9^&7n8(5ogV^a=t2)gi{PZ+a`8O=Q zyZh1dgwW9Mwky*;{LdQK?TlBso9<38hhMSVk0iNYm78uZ@J!xWPVdA_9(iv3d)?F| zassDAox7?jkt~@^sqRINq|in4&R^%^otqr2kfqOVrJ{t7VmHgFp~s=L?v?mZ>C)XS z2{E!(HB%HOk#44<1j^f#1me}FiD;41dbJZt;|))f5yHi7YNzEP=wa;)%-|xf<9+5) zZlaUV>RA}ySh;XeoL8@075K{YwD4h?Ka)JN+ufofLy}(H-Up$PDmd`DobUTyH-nN# z;4t{)H0J5*vr8f0l ztkPZC!J@b9NpnV_5wHL3un4)NIW;)sMPT5?!_bH=k|!0wdsbgC9wHVgV!eU11F7ej zM;*JJht7knkW z4}pW(SH3+N?Vq53`o#Bq!-mM48|Lj@#wlfg9!exQSsBq_|6*TB6a;sQ4o+d>N#OMP zcs``9o}Gmqq`zJ1c(yevS-;N1K7(_Ox{-Hl<8mbOWN2Z~< zcbWE+{HnLn<>BwA><{VTyd7s&#Hx!7I{Mjxp0h&%WoW!D6p=xsodk1oFkO#LWR&rT zVFo7Iw0(wbx?!-i9uf0y!bvBS&tFQ>i6;}LB%`q?MpbmR3&U)>nuY)2>no!ojgduhx4~ToXx!a(U>MwCaCg_i8Qk67 z-QC^Y-Q62^c*y0aqeFn17xf%R+O7$+XW00gW~$Ec9fQ*~jn-Qkz4gL?Rcmr~nD^YkMe9=o zy;oiskK?t5)>|9><@~^vqg@jHhX~eZNmxkX69d;W8Q0`hK??1}#-dhXvpDMG=pnk< z2eHX>9@S;s$*a*}SHW|flq}momeC7nN(x{?$MB`9)m07)`D9m5>rEOf>Uj66)l~`W zb9&%&cHnbyK)~tR+wt1l>G~6aNsC1kDjIft`t7?U=>|hB8>&}KAA1s=cIVYin1?Yu zr{ZuU%wl(2lTJLN>gWn{?kgSUs4PAkQ?Y zBbb&T2P0ZCzx{Ghf7RFMxzu*DSa6E?JvhQrx5JuIk=>T9A7@``3rk-~BI&#yta51fV-X zIw3m2I-v^BIIRQ$jNrpywZ8boSi|5qzF^rXqNK0`hQ-ORdN)nKH11FuM3Y6ErUhu2HhT4QzPBF=mcc${r97l1={ z(zbw>iu8>^XC4Qh=#7ze`pHYI#Ptzy^yr56*7fb#5(`KRpQF~lu0Khy_loTx$<+JLhEjtq2U7vJ z@M-Ag*5#`PU52p)V+Yspspw|cbBQ~tVUHk7&=;G7}D4)qU7K5;#%YGUv>cE`9yRBbXlq)m*FhI8^L*eGP>z?Ii|tS zK_9?gecro4w~2wAwlJIs_@I$sLq2=mu-jxn2p|~{9monq0MY{SfxJL4;CCRZEu1Tk zE2JxiE21lbE4V9~E4(Y7D>Nr!JA6BIJF*9e2aE@V2Z9Hf2b>3#2a*S<2doFAM+9Fl ze816CJ`_*_(ilWtkUbiFAMB4HzZ?iU*bhOb>cM_0sQ+kcHO?}aC1fM$nlC}Oj4oC+ z-ZAI{h`X<6x7Rj^Es85XCj>rJB#5}LLATvDge|IT?_C&$wE9Akl=@N83ctbV?LBSt zQL=kx9YeKeOiNOChW@3|WM}V#@}V~PBe#Q%?Spiq4bvlgvr971#EQ#G=g|7=Hoxwr z$fQ^QgTW#Hml^4hW%uCFs8jtuTko}xrNi{fW>R>YG65XROevm1;BQh-pD<4A)1+_a zJ-p%4=x&T|`EENfo?zP;Z-wJrkMC|t{2sB=s$5%%~Pqk5g-*E`F?PW#EaRAsOg2~ zr(gZb{e>C}C?xY6zWF(+7~9P1m#`1^f)%wdOwOf~O0o{KI}oc7TJOUqY!0?q(D+(; z(P_{o+1%yC^`cHSs|lDGM(5MjlIb%_k7hz9DVH=$t`Laho#XIaUx>^}TdK>g;>#bUl6H zt&|1!WzVARDKk_ED~(8=lyv7EPug)24z5jlR-whL@ybMXz*4s6MBbQ#<4XCMi=!(E z_04H0ffnf5uXE#J^SNR%$jl?uR_Qjvt`viWRrYTu?m5yAA9`GVnw1zYJ&w9s9x zr-4Atmif$nD>v)uU1iyrc5v2eL2&DZad&Fv%tNw}6(_Un=b6xQC<=o+G)g0J|EmTQ zzV`ObHV}#fypn)EQu~GY8lFa^NQ!S}&O+76M0Le@Mf$u{rlr+Il|YMq%|l$ZSTROb z_52uaIdOR+I3Va8Y1=}~@!~C9%Px*<)swD{f!kX93m81DV2cUM6Vb{RawWdzdk(tGZS(ma zc{hloOLaq+BcSCfjdYf2h?R{(Z0qH0PX+__N^9BX3v-gLL*MK%lnHCEzQ4$hYEj2I z+AVH$m33%;fn~0coN#0s{?XEaQY*Q*a(1}T#|&q6D}UFH6soca9*Rw4RLVwQKCv3a zc!&XZIU)45ZW7@d#8j-M6Z^^ri8*O?3y+C_3LJn@D%FI3Dl)h&-UactRH*do zsXEO8$Rb?h*a|(%0jRp!ESNPt>j6_u5WE3rYL%NC>=mbQrG946d0X&DoPAP@L@Vdn z<<^2W!(>W`K18_`+7HI+o@OqP4Z*~%Y>#Y+VhEs|tZQ%)YBp*ycO zUp~KxY5dvcz?8t$_3C}uv+;&Qvk~imfT?6kw5UncNIctO0F;HJeFM-zhVnqmFn>hh z)`MBbNu;6Bi!WQxTKbQnOtO}Y5LF+h7PBxPGf(x;Fq)G0J_BUth^!%umzCK%7L=FOr;)(R zjwpNaM^1RPgT|2%Y6Y%_-w_QU-BFo zT~_=U?TIzxt#GN3lpj`i`Jr`jzsvbzhFQ82!Mv+%MBhvA@5++mSB*xZEo%II9l z#3kxMu)8$QJ!B6ZUhUrg74dgKEXQytPCc$(9xXGHlw{p=I!Kp*mtg0vHogT12^$_KE;I1+e+Zb6UeQ@Og9uwFywmG9fNbRyt56 z1Q$I23Q%+$SuvP3FixzeUlE9?N$NO4qX+=wdv4YT`0q&4a{tg-5wx%WNa?3$jOysO zW-y>ihRbN29U;qU>>Q1Rs2@+M@wKSVs4oE4ctWLct4$Hv9U=eeDG!7L5EZO7nMMMk zPAiC#m^5jj57s*TrU>HyZ$~jglt^x}%PpJ}JB_YE_5LNkh;2gjFA!SwwJmG6%2vn7 z2l%dup}2?G5dT?&(SJpx7F|XW9RN1?RWk*D$BQS}TMNvVnmKCJ`&0p;5d2p^K^(wc z!P@?7Fc0_}+TN-!uG-y-Dy|CnPdC-4af1FW_4fqxUzIpmi?>nk4B&HCLeh1rxiDNK zd4hm3-#3oi?ybhB5R~&fJt1%3gJ}tMLtb(D_D*p?@AMz-lkv&syMf3qU0GK81BQYL zL!C$gqF0g)rtayl{5Ms&xU-ud*AZO@`F@&&Hg*B47&Eif=pGU-oZ<}obC5(dC5OMu z4u)$1S>j8;W zd_(V_lHZ0?L*1){qSB>Yj4)PKhPbAxoafPSqkR6Zl84`IuB$QCNKe~T#3XgVD;V%K z^#C7;3YppdCIKVS63Zy>|E;UB|JK#O1D%0-SC?Y8a_3@+P#{R9b1kV_A8hO@>4XAX50nIi|HVYooFa#8AHIyBf9kbfrT9)Q>AZh6lAe%1 z=3m;7Y&a-BfUF=y0E=l3FX*>)3O~$YG?boitfn=*pfEG;1s;F=Fe$c0Sp(6caSFnV zc|rI3R8-=PMHLqpgR2wp3ertS$!|DN{>an*fY*SOAB`%^zhNLXgzNnItbZUG&tOE< zP@1dsP5MufeDl(8v(HQZp9>25CIQMg1vka(Zain=;ve%4@EB1F((@lqKM5EBrVBJ% z*_cb{1!7b&O$rUcm3B@0d z(W{LK|DaQ_&?tXHP4Jd-QpYSPC>^sWSI;4%Nzf^{DO(AuXpsM%=d7ENyGYX|B*Ff} zEbp{K{h4mmM%gPZ_A+?ZIbpR#Y^7keO}S0H;jtQ7<2ARQ!~FBcyek%8?j!pqs%!>X z{3QC+Q}j7+lt}TT`G#T{x;&gxc{B}g8o?0+$JK5e6wa5KSfrB`BtQ^Hj&2Jd7@tg< zMf6n%K8467NFoPixGk0pjt*ud2*nT2^=0{!cu)DtN+JE@`7nJbI&c+myf3?pUY98P zTM#BC#Hf#Xw$Mi<$}!ks|8**M0>=f8{Al>i6|X)kAHe&lr(iOCWraj(URq#k>1`FiO zncXmAu`@fosfZb<=2&bagx(5%u@qYjrc_W>j6B-rRBWTZg#k+FO3)$LN-D8GwscIR zK^9^1pqk?0a67H~N)Q|@Vgt5ycFEg^gT?69{F7+w!u3GQPFAr4+cJG6*d~6l1zQb$ zCHN*5v3py0gZW_b#~c;0bmqN~KQrmn&iEoCkv)QCN}eX${ZXR(k27 z?W_9Y8r`d?RgJVV2ijHvd2~ir1*#eZMYvUsi!&-Ujgw_o!HRjRYx|~W>TBlKnTA$(a{?g2;K@;Ma0TGsY)aB@M8 z=z=`N_ICSHcPja zLS#6o$syCG{rck<=gvshy-79I#lsmZ+@SnS{deGfpuA&9CDVxSNI{g><0VtGmXvlpP-R`BO~B=Tr38G73v&;7D{}o|IYb zI=*;{D&B6{wK;OSS3vAYQeYmKS?E_SW7ER*ren*7XAsjjc269IKaN zJR7EUcoz8ibo?#H;M-%PQmPxUef0PeLo;H~d+@jCX!iq?;fINSyzw4L&n){mVy z+=e1#+Gg6UnfTan^}v|KXK|+3a(^ne8G2UY%-9X(m<3JU)nG!qewX%= zMwl-76qP}~z;`!2;tg_+bO0`Ga6NJ^aZP@mkQQabAEYHlHLXuaDbIk3KdPNq8_`#aH}=`-W=`Eh8f z>-B{p275m|i&&(JsO>mEP7ymVDq`M#&?V+umaKw!ltW&69|{dVEOx_lsx~eHl!dap}AL$6@J+)F2fb5d>6gy@(%A3kAicKa?h&0HKnelYpc_(vnO^8Acn^p?$ci=2aNPR zBH`6w$-dwmi~zkrD2xCpNIlhPxVomrmDkZ9dfi>5j$HB_J8a;CS?U9E@%eg=o(_L^ z@mXUlo*iWoGJP7rf4<*VMBR?8W!ki3P9?2bc0DyheEIm2YJ7vI}gZ? z%#AX=xMxNf+UGS#_nSi@bv2T8p&WAs+Xdq`Mly7cq>A|NcLSE}L;B|bX*-fruBuG{ z4?8X|WHt<1vF|&#liP%mc}Pr&zvic|Dk7JzKd)uHUt`5j&XNy*jt;fcm377S+Kx1rzqg8Z`XTa%7xEPi2|LFc;Kk)973N=qk01ZQjOq38+w zXvyE{YmL2ILR5+Y>2y^}j)d~^*68KYvGv>cQ@Cn)GV}ljZT=cwDJQp`+I8zmU>K+~ zjAZ6iILKrYN*W!Cb_%Fs!z3sO1G4cq=6V{YXO&iltcMSjhmH2D9W{PyjH?J^pT$9) z?!Y*jrsX_ph6!6U2&uH|k#K0`CD1`@Mx?4G>Um!sD5nXGctfv-QPPSi$64>lcvvMr zy8v~kI@Y>w;?l|5s0?1A)Ujbcc0-Cs<1isk#pH2V?6-`cD)HLo-t6T`vhF<#ozr>F{Jg(@2S$X0#s$uE5PU zB~i>hs+vQ+eI}$Dc510vhXps9s2;!3CmSDpr+Asv4Ccd(x8_N6ISeKH7^Hcy$IlHO zir}HdjCE&FJZ@o#d4Au*kgE5M z;Z4!k?q+N~zo7-xMEyoJ(L$;a_%-=z0hW$Dj9B-S| zk9cX+1h-*3VynCcAm29bDvbh}O>~*c5;YAoMjX6a7t`E%_jsK~xqR z`YWI5G+AJ2(VPgrE}T6xY5kliS3Ie;hZ61yya zT@P>pzmR>r&g57J*V6Or?W8P?ST{*Q48TyOL3=$K2|J`jbnhrR+tCVEBdxAHo!h`1 zcUT&^cZ&dZlzXbM)3H=C;KQ;atEg_~>ir{r5Mtn0xtEh`YWs7r zW~$YIcZ^`3ZWn6sHpj+@Hw$VFd+Kjg{`IiyU^zc&qQ2%#7<1-1!^l${m&{<@#I?U# zWUDIGoTWuzE9sXIUPV(cvU+nlTP7m5<3l=G{;>kN*|9Cxnc=*8B|wB&O?llOC zk}pOolrNSmEt$Cfoh*#|CklwoNTVP=nW!dMx5%RT4hdtvt}h6p&v)_)XRE7K_x{Pu z5tZ0dvV``0^V{S)g@h#DeDgh}3z5v&(YZq^WeMfWH3=f{N!Dc)D7yN)Xr~t9owLl3 z&(6%wLN*q)F!IGi%p*UvO8&G7c2^j$FRKLa@sFw60m70d2-H;?a@wMmdmIS-eUi%vc#C8;qF z+hw~m-eej|6V6)xs?b;`UXAJ_TDYGsI!l!Oq2_Utm7o!9&9K)hb9=a%EA_7Jl;66O zmWgoVdsZO#)-f3hL~1rU@~QMdQ1#4u9NDTH<9nX<9B?*%tfT3KuU22CKlgedy$ZHk z=XVi}MUUq;gx)sJEcO4*mPM1uLA`DFzSGE_6bdGF{RB&iF}Av1jAiP+mSFBlpZb%| zL`d2*`^3A#++2^I0LdR)lxi*Yf?#bb+Y9Rc6YR9?p^KBMjSMe@4)hf!`jxzGR%3w} z9CLC6JXYkyFRwS_x=rm`xFCp9J2zZpKmwgeo>%UKJT!~aK<v;{1oPc#KEYE(QdnnE&4UW`vNN-Hn6zc(v?fC8A$VGtfEkmSBUljAOwEtPN6bX_b;jM{z&BPMP;bzAEUj7 zlWZSF6<6QImb|O(zxLDb+E-4Gkz))GxVjVWDdIFh+$mbh*%s$g_=;v2W%9K@?%mQ3 zf|R9JAj6e#GwIFW-ntCfMVCxB4q>6SOC+DH>9?T0=9cvEBkvqR-O>-?P}BDzpRDUA zmPDxOXOs-D?uSfl9!AZhy#`2X>W45TVw;4(CMFw(P$jyCkr%4#2L^voX}uNjQyQ!9 zGD~Xeg_P0SMUZbAhuGBh^Uz+y(_Yg{e(fC@ujzMD%N<2sQrFKU$5hK5MCDN11xU6J zp@z|3YbCm7kteF{VoK81^}|W78;4xg^q&e{Co3tY-;TwwVge3GN-CQb!hEGT5vzLa zzv2)$cjllOjf#H!>beuO6B8j(7(8;2TeiY{NDT<8w^eY<#}^Zx#0sa=yU(+b^Bh;| z>Q~BQocB5z3uRe>xohCa0b=~-1a#PNU^4OnU!e=HWS-td<{mc*PsAVcVm-V0_d(d3 zyBoOjJ1uU?*AQzTL)qGWAc3+NmgYtkrHLS&Uv{99(0e9SZ%zOsOm>()BjO^Bd4u4n zgWN#}b(Zjfa<>d3rV8``Gp$XU=G9~jxLYwez({~s7k!^bL&KITTqK9w5s})~PIIj? z9)92kP~7)@ta=)3nLg1XpNv9TLD~yB2|{)L^eZg#`|}QSJCqo4Na5ktPHz>M6S%g7 zNS>`kR4fB18}c68_Z)+>1S`2&LQ`^|#lvcLxe_)*n1a(p7;%PyooFl3%e;Ni=VUyH znSf?(;t|K;^pgZq(}gUcTacw7ga5BbFO2 zKdDhP;b{FGN=)_DA^|Kbg5S}92GQqzP3B|gNgqwuMCO$20@e)d3J>8IfmSnO^a__N zjTcdtM5|U?wU1jly&DTTWX=`%h5LE6=TIpd`h4E9b5o}@N)f}r-l1<<%+&tB0x|SW zlwlG^V3*XONIHYv+!DH7D=Z9Wg(L7e7GNTmisKZ|xw)iAV%LwLYHWX2MX9Ep_T_L| zzeK!b$G#ueM#~c^0gg0k^?`fd)Je~i&Wex3!4ffsIrmg%G4@ zML_TLiZ6qMv>}azED}`c@RR&?hMlmFBq3zSwzZ?rI;+pDZ|4~h#O%mv#`zDDG-Cfr z66f6Jj$snPBsLNQD;IArr$QkH$``w9<^N>2Z>14QQC1zojw_uFzjJdRSiJjF77wY! z@EAxrM)K9?BK$MxlWSh`ahcXuc8h-pdW^#;jr;Zn08#YEGme;Fh%*B>KaKSNSay|5 z2pq89{9&!I5KHAHRK!VIS?M$jsXWP)E?P8|Bx5fOBrIJycszt}SMaaC!8F~C_{w#rc zWY2_%TWEyBXn+sVd=;=+L4|IyMt)B+!a2t8l}QIpwL(s0=l47tsZynM`uH;v=CvF0 zSE3OtVe{>Aj0*XP{DV9P)gL+j9jFu~bbl}*MpWcNfU)PMB$>%yEy38>Yql4CN<#7w zi*o~OMdr;j;KiRJL0atZIB-gV`S>nqe>3!~l zva_v`zGK zh>8bdTeWAqt!uoHi}y3mN&HgP-0vj)m^U@(UxT=6J6Qe;UKT$6>xa zY8(q>JIz~P<4lRwcJr`LX>h?2QR&p=Z@&_f$3TpDpSm@y5)V z6v#@tW_F9W@}1TtW+*}bo+hd&OB8OI*#WO+UvQr5*Ek|IVj7z&60c$B@Dw2*(Ub1n z??{R%dfv1dTZCu5vr;a>Lx?3l!MWdqJ+KR2eZ2={ncqlQ`XJPPZXaI7dad`4XU)7Y zJs0DZ(?a+xK`(~6&MlC?8-*Esp6bdi7wQqjB3dNf&0#`+AXdnZpjlUA!axcYs%`pf z5o^+SXJXRXT+K@ph*bEcX$!#2D!;nW>A&&ysp%HUmHVWbfAEjoBGW~_i~nXAO`FC( zEsLxEop=a}-LqASiyw0vjqaj8n|3dKrt*%ZBxh1(eszIf1{AE{)1ktsr5!x zItDf!D04W8kDKNsSNRQfvtIZ{yWnH95SdE3ZNoCk>NS+MCMd!OP;a8Oi}k*2mgH6el?3)7L>) z)qsq3%!uDfxwPjw`Hf*-Z>SGz*p0Wn^omtGNDEY_{PcRW=#y(vp&cZ>OAS--3;XkQOe`c{;H{XgQ$MmJ15{HjP8zz*~u{~ zsV`b}qZVzWjf|+Yd~YanpZ~Z)jcRzd@qI}F49Mq$3nd*)yB-7uAsLRV> z@I%AdeU7~#5crEF+Iy3vLc^9-u;@yp5b}1PMP*Zm-CgmG?tAg9Ll19CiKFLt=E`m zMiYIDK&+XT=X%9pqo~#7fot}>t_UY`>uBUKH*=$C?!0d1ZLP7gN$>15$$d7Zd=^U* zDycUo2VB~!M_AFppi#k&!1-071nS{*Kc~lR>O+Lg-?`dCEex}IvZc5W-VJQ&9WaUXA_)CB8jw2_(s7;KUKKV|0EWaw{XVg{PU|+BU7ir>6xY6hw;8#+Jg5w&mMG7!I1PDPE zZh|?xqs!Tfid3*0tweidk;s+S#xsC33D_}LrKPS8f0?HaDAwniQa^0h-Sxn+AIuFQ z_k=*qJ;CdRvo7pQRQC;0?jfLxm4ddj!4mLlZS$jY1M+TRjN1wWCAxHRZnv?!u!D`j zQJ?)hC`j^DL0VL=kjCG&^!~jr^`==v@(N73pIR+ zI66Ov2%Eww#`t>Fe%EYq!?4jKo z88D$br2M+2)B?H08m-(^=XM-lh^On=4DV=p+?K$6Q|l3&{tAwio#@(g#b8uZ7LF7) zkF$Y0-)f%v z0eBJJg$8RL7L`5Hvu2)|`{SP`b?4aGEe&wv*4YQ3@n!5$jQkVK(K7OdB)M*wTXdUj zR6Cg7A}|}8394hKgsp+h6q@OmC-J(c*N7Vw|_*KcEaJ&W+!lcHlZKCNM;zClW5t-li zX|Yn04+4Z5N2LMA62{RLc5xxUSef97 zz%S?pQj-sIeII^|nNCPcI~E)?kBBa<@Fb4-+eqTbJwc)-W@kD(Y#%sq)n`Yu02hq*5Z;I`Or zBiiJ@xIQncx{Aq>a?IUVWnCu)>q?}qm6xyq@9m@B4uizBKaEYPg=ajGH5ytnx7kKC0sj=Cl3 zKlK$JAwh2v7vo4=)9tEMeCE%S2#Y-S5Wd@dzz1`0X@d4X46FBN8dmZ*?U*$MZLzk; zuG#~)p3wdGXvnUmIyB5duCJR?N*=w@gFyIBA&P&1Us6x+$@u(Euc!p`EJ4f0lx`^# zl%)liC=P7(zG8UkA^UrCAS1^tEZ?aQYXq5#fV9H!_H2@+B|hra4X){7GkBcfp8t4V zhEALJHX;kP>BEE)n$dquI0~&*f1mUI3GzR^GYh&01J6((AX~!!_0G5&8g!&t*sT6U z5A1qW#UIg8FzZ?NAz6_f1W>i0K(JOQDKGXD26GJdt?p^!-gjMv>#0uA$9P6A#*_kT zCfcX)r_x=l_cfu`q%8jcvhHW-em=_1}PM3j>W> zYnKTF&0W?ik(cnoXl1E#W`Iz7B}Du15uL#E zsk>aFg%E1d3$*uYs8ps@VcnGE@Cx|WXQ~}$#`sXBw_p#W?jNipBZ+J;X0_XR6I$O` z3v%YKb;;nz3by7ut}aN^U!!lK6M#BcN_$8Ei44Y?^JAlo+^$xsE4*;cN^zJGjH7x> zYcAnf)Axv7M?{640yxIMR_TY7rGy#3wDj<_g)i!rnYi#vVC*nA69zt^EDKhP+)_e+ zZhgSeDxG^Po=yF#3Gf#HLO8CRMw}@)5SV&?T{Eea@siJ-Lxvyg51M)D{2H1I0ZrDU z3RgjiMBYBGdDY8@2VFOUUYH0OcQ!Da@p8EyG=_I|>Nayx8vF6b00r>ajKD~ilS>vU zfeJ-SXn?b6r-@Z#c2Pq8pekc@tc-Ppisg~E$ZUsOKWN&0uq+;!xtLfg?7dlm@E%sX zWRr0v5HnN6Hcq`M%l3zk)~0C==IW1=3e_JgRt76a`2lzNxTdhff(Xu1R|bp5Ai~rn z_MavD4QJO6Gwt;|k{DM%CZ4!WH;v2pbB#TqO|?_glx`l*u90TiSxriJGn6%)M%=>> ztp>La{oL9ySGQXyu-Ds-edy}@?o;iWjV3THbLc9U!UrbK!1DOM3PW@iGSd3>V_(pg z13PKm`iXl}_Mo|J^*GSF?_GZKBn3a^|9buw88Vw*EoAaZuNosyqMU4kbssF5)r2Y7 znNG(k5-JM<&CB0bfcX;?i#M&nCgZnwtdtN+B;Xo2ms^074n@a;U7al5?ZU^|dSl#S zu=WxhR}i6Li(8))2%7a)2K&qG38?``!NNd59ZA!T|JTIn^h<1xzpk~{%-OIT4nMlt zsK^Luhg#dDXpETcE5bLOn(r|eB|h<2*0q{R*+OGeQ@iyMWQF%q`7krc=ba8t@bKQ3 z+C4K)sl4Fsx^}(RXh=~t?SB3enUb#C>V#qF{pL+PzGupbKP<>NzwP1^Q3;Pp{`{6I zup=6b29rPBHt(N!=&W7CtMzw*P&hr1Q?=>kY6ksfe&+0G0EWNqmRunc^H)9$9UeB2 zoIk=&0f~;``w!EQArQV8uqZ3nLEF&2$Ky7UR688!B1iRm^~A)&8V(I#Jfq(tt8Fat z&5-YS`PkP4)J%AVgbLw_T=i;LtsGkUxJ&_*4TR~^cHpdOoTx&fC|j88=`Fe|ws?E& zn^tE8H@VXI)%5m)9ZkQ6hAc$euPfDHJcZ@CC;dBT^3k#-fahaP$ct z{KPFb4VQR6)SPpt7Ig(&%Xg)nJ6@xVY(`bJ$lU*+g1~RZ*Sriv=w!lm_i`MR^D|2( zQb1G#Bh<^BfctZ6KnNT1%g9CFtDSAw!(Wy;wovx(*a_;I3NIHi=b4+Cs!sqD$&nV=z4Yy>0fF~o2F71m9+ZW`+KvhiSSwJ@Dg>R#^-7~Ih9#qKmIz?$+3n!y*f^F2n)*Hhu)cQT@G;S)EKnHB zesVC;g?T>xuXDEPdqY_ww?IK8QNI1x_hD#ceBL2ZT`-hD_&^T_0v}M)ogZc8ozx;+O7g&s=$41!7wg9`BzM6`~g;WwT7My!#9RZB(UYAo%DHs|`c zNI5m_;YeUFd{ACeV;{T|1HnxpX}c{YzIOd>`uN-JKF&>7RViU>sqILwr?(fyCvOUc ztZ^p8=gISuw)aJZ4!%wM&4Wc%r(OGV*wrVnfJ58!;Hfvw=6%1w<<#x|LUq&aqF_nq zwIONq&&32s=S@P==F11Nj{9T6f_Xi+rj<&WvD0ih|Kn;KL&w|UY1@4@p;w8vr{y~T zb;r`?^IMDGSeb=@_r-mZYT8b7M)**fO=peUb3&4;%a(^rLuw_GiB8FBRejt-hF_mD z=i|D012;k2Usdt4xTMq>p0d3KqV`*JJ}}GUAJ?Dvw!E2*bt9(D-0B%u`1n&Civ*e# z{PGin(tH)CcJY?uLJC!pxJ8D{_9o#fOGt}HZOi_JrK_ccGOA@Y;!#=r={mfdD{C9& zT7k~@A+4Dcg%gHKZC_XL285N#b)zjfu1c9y8r`3w@7(vjTT$Rv$rQzz^IC~177;-V ztyz@GCCT&PNv{pExgtC%o~2Dws?IiBlemX{MP^ht9074gA_vaT4L(NS@uH}qr%qF< zrun<4fhBX?j)B%2IA^z#LE^8jVjVC2<<@5-jS6={Vj z%5l!82(>kxkh*6wmW7kUa^gW3HiVXhe?eBYmHTE~IjyoU@Y-(oB;8*r@!Gu1G)&@0 z%Kvg(o$GJ|GFa@2WCooUWVG;#*Ii<6LnzX1Ia6iCSxox}dkUOe)qPE&eh)eEnQPUV zl%-)sBC$tBA!T1Quw0I_Rhktls%F7!U_DTKt7uiotg5pSF0m9-{Y=^slVEXh z#%a5uKc8}8kK$OGQN*1MnB>G-YRcl`U+3lIbsmIjVVS5mTT{OnKb-7n?r37);$H?E zD%PqyHD8`g2j2xYyFSeud$xbfd$_out>+Q8u}>PuUFWAxX4?n{b`Tud5odAI{CytG zGrNFqj>iHaWN|{wjL69GgiX$)>A}#D7Mn0+w^!*y&7AsWYr?f;e~*V~;mtp~_l(#) z+l)Jr>c;FV)9U&}N3o?LkiNGvX+O6Ev2MBg*L6(YXm9&zmn0I)B!*($*8ctI!`IK6 zOZF>DE!H7LZ-JY^LA$-7riHHy6-s(9eKbQ!R_m%&j~`J%XBT_$&Jj$yaz-K-_|&_2 z2_O_<=jaBYnY>`~Ia|12?Jl-5fUKJzx3-#}8Ydbe4jXSn@5x{M=5-)!YH&x;-X*hU z<>rYhC2^#XLf3F-3}1uuaAe1yG(Vao71X2Fu-Z76Yce=$5lIF|*#uo*G5F24H)D7z zg9wK0WOXLioK|K`swzNR9Iww1oXhcb&%ZFRtvH#lV6D_tU7pdqi>|2~wbhgktb=V` zD7def*n7b)OkzUVkhlO4nu?&X4OQDl^Z=ZA@S;r6l1J$I*j!d4n{B$r-?}X%GiHq7^Mp!P*_`+=!VM97K0!d?!(}w zR{$n!G`AY$G{)`#ppH$~o1Ne>(?%sSs?IM6FRywyvy`l52q(p?5A7>Yal1M; zxW@X~ik;AwhBNr>_AX|Taw{>c*&V}3q>AaXr)eggV0nK$J#BND?)OI#tHL*_HA8|J zT3EY5$E5vQZ#_9|EV=b(`uSGujJAPB&kfQRsas&Xveb*q?8~ORdoGWNm#ij;ju`_R zPVUm%pW^YgEg#+AFd?PaO)J8j!=8HDrTXFx<($!}4n``l&iJ%2gV+bRH(kI|oDp0~ zZ%2SL{fdaN2ZGxv&^B*fnvt<0N)@?@{gK{hL=TfNGIg*eAdOcus9Bkb?ozROu-uA9 z&3S0jXX>@V^{J-~>Erwj?(NFAXiC5bx+z0zrPamgAnNnnPsfw6uXjPRs_X7ub@TUz z>q$mZ=i}^Jm4}_v`$X2qsYX@DOP|Yn=~=tM$IDq+vWNS9g{o%p()qxv%iV^j?GzQM z_4fSpL8s;JtA0vAX!)Q;I%w(`c){)Q6|zv$M>M@t$L)dD2)Lw>g(+cm+wm@!l0`?ueog+)72VulPn38TopuiwD0 zi77pI-=Oz;g5G+PCcQZc)9;~m_&;M@QKEOAbwZ&*OZ4N)g6EF}aFO{jk@*Rc3B#ea z4w3u)bRM9kc{@UiIIiyii`D>TA;36Inv7hz9J% zYSQItP0+`1{$2F=P`oCNXNf!rH{ja4&5DH1Nw=dl!5>Qz9h24Pic^~;OJoT-kotF# zuL~Dhm}^dLk~NVf;v#j>zOW|UjMfD6)f00pWx(FJCSCpO+dqq)={!>(ms_7pj3*sG zG1oDO08ho;(4bxukCN>=Gj^al*A_)O)bFFmSi)VG&qk9~=3d`!EfRHd-$M7Xh`TnQ z4hE_KUe`d203BRga%|lVejH?e3}k)+WEG|(k*8P2zm*tK+rAdVCcy5719@KCiDz2E zW=u~c+5f}VSBAy$EPLaw!JXjl1c%_x;_mKFaCZw5JV=1x8r)&Apur&!WN}My50H2G zpL5U6xzGEds(Y$?tGj(h8dGB);>2u~Id`Gnx02ACB(Q7ii@%mXiYm%sJ z*fPA=N!UALl=E5T%sZ}^`|%0oIS4PfH>%g<>xK*Dl<)jj{xMIM)gjC9>jwJc+TaH2 zoj-PPLH`EpV<2&G0eHjdaSgn&raK^_WZb`DbM8$MjCpp86`XW-EAZHz&^xt#nyx$2 z%5e|#97Gb_8{WGyv|;fWIAhau`IF+A*%O=&`VG02t$KYYvIO7TXdrOYJy7Gzb>oFy zg)>OG6b&Zt%UXQlZQlV-X;zOY!-#eRg&Yp88wDQc&<)j@R?alzAZHmc75+!^odU={ zc7q2X(2J9@{oY^Y?(zwdH%O>Af1A?;{JDM)1RwIH`YGBXQ6qWp z{Dz7cCwk^k8c&rt8!;P2-u63UCUg9NCCvT}aGD9A)kf$uvl}klj597=K(4cCUmXY?G_le?E&32uY&?pG-4LIRvHrmmR%o!_`p+d- znVp{|S?R9!Yz%DS9t8EFc#0*Pt5Y2KK@~4@!%?j5^ z8KmfpQ}}LB`4#ZgLzYj-f zh~p!Cq%71MRy+QwBibd>Z7D5<1~v^iEiCL)F+NjZ7@GI9SFfm(!+FtI6P3l19d zNc0YD;CW%d0W)|y*#h~)Ksm9T*(nKPB%d;@{x@2i0<~CB zl~{99uS;Vkl!_%NAMzxSo-`x9JtCo0BeUpGIF)hDiSgD4)gH3h6#fiYrJaC!#fz@$ z2H)ORF&g$_xcyd0_`w;1In$ifib%7b;RZY|OAx!$`|i2W=S(k{ve=Wkg(CU;^AXj3 zUBMqiVILOqfOU$1?MH$w@b)?7&i&p!`*1k@7C7?}Y(5VeKZg(tNHFY+P@{&EB(R`4 zb2M4;yA@5XiMhEhJYG_eY>s6SV@NO z5%UweVtYM1*IfF3E8n_oo^n1LgEt6V!m+~Dl7eqpUqr^Bbq4H?6D{${{Wy_Ql|vHBm2mI!I7jF?L1&*qqC|9M>PIbS!rLsdRVYBr$Xlu)i|S znW;REvqX++K`tFnnLLiR$_Tc$vwm3pt7UQJ?_dQAUR#w9#7$wu32?mp)aBspV+Hh+ zfOxg=&2;;wfUURvOYy{?V{XIQ!WhKduqGlG-BRj>UW*30(nFse!t&pg!rOe7!^)_Z8BOx}OOm&*fAJ(kF?8N$H$ZA4h5~@-q#JYsi zr%Yi=-xx4BId@driL>+Z1ZrB@Tj>Kp6mK79(t4Kp*5+Ul$03i4$lnmnA24I0TQ4@rHpL(=!AQAqrA{Rqpm(Wy^cLB5r`_0(7m>ka$ctn|1mp*6dcljeA zW64jVeRnqq2@8mX)^n~;4)5A}QhQl1s;CSplF3dEwcvmCz%0FL#Z+bgF;F{1ev=(} zTOSy@Bvgs%GJ7AtfvOhh_W|SnW}2&9)+dF7U$YAL8TC$Tm+e*WAN5e}HrMOSSB3nN zP!|u1n8{O&zLd_TzsK6z@E~k`pa-$BJJ@O1qCds4^dl|#Lt(Gu+3)s>kSK&)Rx>X- zgG?}>(x^;xRDoCi|90o-{*0s;hlSxx8CP$9y1~vECZ?+nC)@_l2*VYQbFzWy9>>ww zJj6b`5p#<9V7)pX%s(6X1FfUp-RSlL@tls3f}`ga*DPf79>+()S2oyL1CE{b=K&^? zw9l12WB-RGCG15w>AQnwwTR|p5O)9YpN-$JzNe_ZzXNUt>zLohL#-o{n(ealUHrN8 z-6p%E0I@gSink#mA)i6Lh9;+^>4Uu^5A5AMg$_vS*@uN%4Iz0R6~)v)zYiA{MGP6< z&!RgQD3uR*Z+8!M&TfDboXrdu!ZgUE_K!DQjD~=8QN+M_FmLh9a~NL4hadAoKO@Fu zqU~r~Q~J0vd1J8>$qBdlA#k;U2}d9Z7L;;K_*RrY^-!>(Z$kzN!{sCp2v_{b8?Ys# zCPyUMq{!VlFOti)e%%|OYNS9T43%8moF z^*22YjbMh&GRL)8yBqz{HU}T*Q(wAm(ob`GiC|Eaa1%$^3Y*Ze3Xq)r8v$53$Kv4rkCM zlWwR)I&cYYY^X(6lCBOYXNw2ql6u~oeS?DC@jr*O`}i;I0(5zPQ_BpHi*)~07^M1z+-PrHo14+@VME9s9yw$FVU<#ju)f!$fbSii=2 zu`1F>ii~4ej*iRvibUyj=M}@G^L(fD=C}VOTB@D?-WO2sx;vvw!Mg*&dm=FZ#AOHg$@Br%Za7VlG(J8%-JlqB0Kbvo;ReF$1d%}-$p(Z zJsvVYz(Sb$cwzO{sotS-CgXwMYGc}IxUwG4f z`O%Ni#^zJX8LzZGG{VzEkUhNGrZ};G&a*uv$9)pVvt7l9H>o?<)BG41Zc)7hXYuR7 zsPz8%+MhficRX;b6_f;k1Z%5!=&OIs{MEZcBhsbGr9Ad@LRSZ zpAf@GCUSZ z#+W%R@5F&0+XFPW^Tq>&YSw#{_!@!NJT;Ddy65J!I5l2f)8`-ZG;!uM^_?7PM92!E4*k2peR zOit5ngvO@w}`i#f(;=1lt|jkX)i8+Fl( zFL`gm$EIJ+g7vkIg>c^Bzaa#}^RZt{e6F#x4+NQDR^s+V4+ zQPrSK3K!78gbAt{dlM*Uh)9Js<|TT-XY$SLm$Sh}SVsw2xIcD}g$46GFPFZznc>nwEH)U9_+l7vde+Bty9eNC6D8cgw=;SDd#7HFar(kC%9)nuI^n6(BuB{&D^j~0(7ru2gk%> zq3KE|d<=7Rle_L-4Wn>q}Y2FY_0`sG4GN&`_a z-B5O8ugF;^uFbdS=Fp8&poTX>P{G#3aa&YE4=d`v;O0J)=(;K4S@wd!D3 zB7LcF86%~|ntQMoja(C%wnRKg_KxN4pu)mZj8V zH7eoR#(!AjRd+wyjZ^(i`DsvmXjWj zPP5fHk<<8=3mX1L!x;%_mD;|fu9EN6RwMmt;~ge~+tii|##a8&=|2qp;f94g)*rth z(H~zKD4($Vsps=yS{b#RTB@vv)g$e#=JAhnn$j9&RNG7c7Q6G9?@hLYr9(C^v{9uT z9~|IJ`G&P}w^Vwk)THp|5l`FU^+pqtR!{aDR*7_%Fy(kny{V|D1`lE_W6L?1FynT+ zFIy&wffS2Z->#VrIt`*#b$Z>PDL2|;2-p5YBNLl^Yz-$@Bm~(SqKwgt!s%0Q*r;Uq z{zIzLJ#N^P9siK&DEG7|0D-OhOxH<#M}TGLqh*m|59 z9|ixu-r5}=;$w;2fc!OkUAb?Yx4U6uJYVp!A&e)+yF!haz8q(e5VVdB4?)SdZ*;b? zZgiFt{OI&~th{0CVYp$_R%vj7?gRkeko372xciOtJQf&FuhQmn-iqM1vE_of)iV^# zAM+bO_-kt)fu)mCz-wnCVeD2m@+1QS6XCoX)Or*tur4f;eW3DdscY683u`2&K!^On zre=R=*5Ijk>^+q4*vSbx2~2Q12|!&sodm&GoGt!pMinxLPY%oeE9-HD?AsKLFzH;b}R*IhcRkQWSj~wwRkE=Dm+6N(lM|~ zW}!QJH1)%|`+t9yMl9Iz#DY>lX6^nJ&l8r{d%GSb?KH9>3EZ@P6n~HoVGj=*ASs>m#=n?GO>IFWI@ZQ-cn&)rl z-sr)vtp^;j%FRNt@(QUy1R`ozpTAJYl8G256V@UVx4pK7XoMjcc+zrQc0F#&?Ohz+Fe;*iXbR?&360 z|R5CAzt9u--*-3y<1*o4o!-t3}_Uo$D zen{$0{A24}3W9z0v0rANMielqnMGo%ueJlLJ-HiZuSXOLlB%yHD45r#^bXA^fHDHE z!bv9XYu>0nEDwKo;58nH&%DVRChqKFfyQTZ%3u&XQcy?G!{gP)dm1sPj7OeVwPg&@ z0<1yA0D@xZ0D=WC2$q5L9&yJs?il-W3jpZmV$_0&ImbYa_WnUR+2faU)gSZIPB=lk z-2aHFW$x_;8N{UV1jNv>cFUlP9(mrD4S|3;ym@F87b;E3EGL;!35P4vZWL6|f-5@t zU-*FvzTk=u{I{r;HS)*b_!(c!(TbrXDh74zls%h{f?SM(`~oC`9@cO!=Jwr#9&Qon zH_Q60b&6Y}>$9TIZ?PZKAFt%j=8~j>{1`9hY^cT7j6Pw zJ`u(>i~KR0f5iXBG>*wVh?sH=1S@rK7or06LEq9o^8+IkLv}yUYmF>AOO(0Pf)hQI z+y9zq?+L-f*=4OOqrmEw>xDNC);J2)M8KXcFO7iaPk1G3UdpB>VZ2kuGE6AOZTpo1QAAZ3~GVo&b@>_h{D9%5lfK~=P3i4hJ3uaf7s%EU(x zFa8HP^`H)ya}5U2W*L;n--a|<`Rm@!nr#Zy=~9p?EvFD5QcOj#CPr z+mZ^_3ohqcf8V{7N_=DudeGh}I|WJsYrHif5eswxZ0$I#;2E$tG5p6X#4^ARf88IV zilIMV8GZ%XDEblKFSauN;b--GncGBO=C*ubZYwFR(D^GdQ6F4=0z2oYNgdWf){95E` zAvgay94vppn7q7D{_8c%p4np{TrjQ3Q{<X8GT#$H{W07>^7Kfetapk`QpkjC_!3EtHeK^X`% z^_cOgY>rkWG2obXObi$#V0Mat*=ZD(RrpL59aOg?i3G}*lrf)ESE{z$#W02B7Re;2 z&&R065pyc2H6r-LQv;OVslS&3c{P(3+@6X5Bl?2d)Bl&~kXG7AectuV zSYsaiJE>?3nlhYL8dxpj9`aS^p}*DSm#pLK9mx_{R1^rlRt$`(pHKh5p$P%}ck-624xA5x$-aD+^LMn~#PA8Hq^eKh*D6 z{PEhUxUD5KYHJH>>kWK-JR3Wk;ZCW<5UdnDEA%DMc!E5(vy^sBlKf)B66WuVH!#Hn$>pk&5{VExLu!MhXV? zd3U_p4I%K<3SM9>g;l@i=65vG%A?eLA`(W8@_YLQ`q*!iM6j!30OE*(wqDkkJ$Z6* z+BKx-#0#soFfWhFUOA5id7v)U~Z#BGyC|1QP!R6!@T?IAbID^ zJ_e5{CwbL_#w$aU>3CiAeTjX4EJJQl+pd8Bx?Q$TO=9(e$7!c>pQ@%!fXmI6KbK37 zfY#vWj??k!%kbY*fskt*RF>(hUnLElyD2UDa7>N7b)cG=56OG3#% z+XG5CZX^EuKIH%r8UxA(FCq%c|D#N?o&Iq^WgW?3aYWyclVV@W;-Fn|JFVKdL`e2#A-o7=&CBAfcU zJ5wy6yX^m<7r=HK5v&iiXVgtU$T|!`PI{C)L0)QTyvhJttoWZ6CDQ%-#h860ysq=H zS_#AHh0l}PfPQ&Jx3YqK46fm%GV?=v=W(Kde(l2pSo~Yr2eGYuSJox6^)JGkWdA!x zci>!fL6A*){6H}V31FPPKN0@@JH*19`x*3)hX2vlljh&w3!MMV+I5^Qe2cRPn`ffi zh(iGMPT+J@{pWNz0qPnc$iEi+C05PR^3P7hri9IqC_ZwDy!N`U%k8B6rY zuHBrW0nc0_UMNF^?`f0u&RNy{hk(r=u|FD3Vl*e6x1i@8;0`cq?+$E~*3QDls1qVj zqO}>5M`_^)zCWVXxVO*u1s}L|J}y*NXdiDLtvm&@+i$wT-hdwn&b-~;~ zmBT5nlc`yka_i8eo8MY)CskB_4sZVXb`Qk(jz6e9E)j3ZBxN{e! zH+!~z9t>n)cZQR(|Dvm@J&p_ihWRH&-L>9`g(pSAgoGZJr-ew)mCTZ0{MRdFh|~u2E(y8EO>OO?~0*4AMH< z?JX5PZdD91!L~M{=BG!@rMjxWdau~8Ca?Quww!@1^K;*hW3!jMZhC~XyKPo7T38?{ zLf_T{_d>j7CH|4Q#ss~XK0 z`8W}iv~Iptm_A1GhU>EMDmodr=!zewu5WyPEN$=ByfyW(^7X|e&N9?nx9H3lv4yx= zwGTnYY_cC~mLPqQwmK}o5&9f0wr?gP^5K0 z$vc>QU=S%i9+$`8HM)N-*z*v9yTV5Ahlih6b_4G`B0O+qfwzYh`Wffe!ByJ-80Jv_ zL@0yeSy{xbn*^tC7Q(uz5bdV+!L@jxMmvQ%!5zMmM1>uAuYXFu4)1=7{Wt1`T-i#2 zBux+U!#&~IN#D;OFXj05vFlIjVN-@UzxodB|0X%J5vr4y5-&*=XbqCO?rOiLuGFCd zvL45>JpW0SP>LrzT!^wgw!Q0DM9-|Uvu_;`TLr6$aS{QW6Pl>lg@lWUtNmd8B-5WLk*p>OERFwWN!~ffIUn zO<^m1clzLQVWE%tmLDu#)K;w8Ozcd3MWMI-K_0PRS=2g^wLZurimI}Xf*HKVxk^1+ zVW{9b*JdrZXF-=7@WCSliHW;J;2d-uqx>vGO;9LwZ&uf~LPu|A<He*^P$((!b8R|SgmUGKw zD901J3!AQIG>*tL?!fdD*~nCrpp0JG6n%4yxFVgmg*lhjGjx5kTw=&8nwa>#sWPZs zrwXe!E(glS+sHHvdFk~F*AX-_{f@b$t{kHFo{b~TVzmgFBV-zWn27Mm7AC>SGgQqe zCd`~8&L69>uSnw#)%6DgKm54f3GR$F$G8Z(Yg{2Wzs@qa`X^Fj1HIoewslPchX&E6 zp%u5L);rhQhH#H`vfQelrUPLzr=K~+_8{94ISDLJhjt$Z}gd z!#xrcSANFOy_1;f3dNpG#GdK0=u{v?=P_OU@-Tg1x~KTUOVuej$tE0gI=Y-+C1|o; z*uF#f(hA&cacH_YFt|fJ;aKZ$ib#UMfx?N_=dRN+hSaDnlhw@CuVfX0K4rdE&DRtA zf$ew?iF<0c>_Fyp9K$+l;deuLwn8_1XHI=XVh;^>Dgt-9pUE3PlXn~%6}MK1-02o% zi#oX&)=bxUW#NepddwEeSz}1t>DX`d?b0S8DYgHo&;F;a@2&-gRwYZHXGL1VFHzoc zFiTMgk>xIR0xhil68dh7p;7U%@})VF4($esE4C9;YCeq$Ap^ul?W6arV5JgKIl-)o zc|_BaI&`fZzvGl>c1NS{9?rd@V!8z`jvXOBCr1`2OP?BO-c3{Ey;@|jttm>3YtTOA zv_<5WJQCM=;ck*8AY3xX9iYcVZQ**%*UQ~NlYzT0@R?Y4eo3vWzUDMO<~=l}_BQ^2 z@y$V^B>LT*? z6d1szQXZ2h2ML-W`XSH)eX8Xkv#n^^;dVFo2V;(7!a((7(?!mQ&x_y*f-B#qMfzp{ z%S4%@?sc$ptewcuxt$^+;i8IP0~7DP#1n;Fa-ixs4`2f8%7&lRtQs-A5Fcm1dEDKa zdiZ-haX6jqwxx?Xk?;A8QVxVoeE-wWEVU<3t5-o)9Oh`4tc=oNCmzMuNx~KUv5Qto zEsm;MvEP!!Rks5kDzm=k7j)aKLoA9-bBnEI{BVn{d3tGyfa*k_IH+tcoIs{p1 zjwx7a$xQH*-qc7X>eIrx^brc;9!?P|jJCDP^q3D?3=NT=Kl%N*dgbn9`CIO#Xphl$ z{f)#0k^+Bz*xm}#fX1}pM>kHDjjrR`lRX~55mu`m^S*n#eye^l*w{csl1VcrFY^ts z0uKqjYcXZ1_Qw2A(|_?r^)<#((ZsMlX9+{;ZrrZ+E(CS3Pv^_Ts%S*DDIM$R|=Cy~CD+PKD zzmgA(-Ls=<#)p<~@cMN}ZDEkp+i1TqJvOOW$D`OViV#b`OTv$eTr5`md}WLKa;%W_ zPMIw`PFc#XqaLIt*!Y1lZ+XVNXt21=EHmp~w=w}Y?#vRrisno{NXT}ff z2xO@Tq=z#OMK>xlCQSE_-nnCG#7&8z+ASsd%~&GgefHx^4b@COTBI7Npj;p!ExDt^ z(-1to$sE{SPV8<@6nW6p>bvDzZSRb(&G(9OsjV}~Idvg3COYSqkWUO0@6Ac7`S ztu7h*r}DH_u=VDIA?WFGFZpmh9klQ^bHc&Iu>%d^1{^${E^OB!5@_u% zY|{Y}ui^pNFT~Y+NLh##KD@Nt%6)6WuAwv&dN7#xAILm}1$3Fd9(MCgXcI;M){0^y z!N47tVdD4ltmWWk_*xTJCK9hIx-w+1aw3znNILa3)4G-vw2RmcHq^4I4MFr^)B+hW z;MNGuMZrurIhOQx^|tp;5hRTNUJttGPuNW@%V5kUhbp!8)6FXSpkh(3|MN>zIcMm? zEjR4cS1q0E0M~b}qK%&QGta{fnY+LPJGS~NE9_`M!T&{mCvVrO5n7M3~=Gyi+$=n8jKS zGyeMPK+!U}cIgL!8)wnkfMCw4*b^fI80_6q=oHl1kP$a*L+_r7?O}Cle2~{W#kSdE zNMVMw7P6{Hlnw#(H#$TbC2NPXW`GYiKTSAzWG!9kAJE3b zqo_T+q0afi<=tZz(`N3x{85Q=V(q&0J*wD|IbOFK72#Ez#1OQ0Ie#At9`KF!B&e4x z)$>(-jFjP8M(Dabz>ao(7V+7P7;-sTal{VH2DkGP09uzX#$T66xsYfx~ZD` z;S8=MYT=)37efz@o|)8xq<+30zb>qQ-}157x`;JuSK4YFG^fVXMQ1js zXM2H*?AhV(UxhMZfR}p1Qw@66rI2Pz~nkHvHa_D>Rl&G2L|kN_7ccrQ)|% zdCiQ_wy+!eqnza2Gz!BnO`^(v#<-8Q6>Qz59e;@57>n;Nq7o{+ z@_M@f}UH{|vrAn1W1ezSC^AAdj^ykM@W;iZ^HN>nJOdCMCmGv1oW?(&VqxuA=H z=R+LAu{Bc7tZF+ocySRe;|DR)xH4A6ZmH$ER}-z@FXADk{#S1Aa0GVuN(yLrG*956 zD-(1A9k+!|{S%3?O7vFKVq1!828APR^^dIt>{qF^=@^+83{I*g#Xg^@=oj)#RUBI+ zr`xkz+c!mS`FaReX=tA0Lg&Z^U~92CQ5qWh?3@^95v6(TF;;(xl++^ZlFySaQdI(L zGQ1(}aFzJl{CJO&wjD*LZ%iKp&&O^q*XEl7DiBpQ-0ptA_DP@TMuIEHlggZj33pFB z!cR8RTuU1-g7KC1kp?ki$%6bf+AF3X5?10y#l?Es>br{ZJSwd=?h=if-S5ZBjWuMi za|A2F@X=#iDKdV2U1GI|w1ho1EX7dcWXP^2ZQ=YI!@tbqoiyA(6VUQmVP$+IK^m{Y zib%8{bHb01RUq3Z1QU6s`HLcrKcPho4OL7R1+>Wfhzijfo=o~08akr*{8-B&bmdw- zun3LgNy^RUC~(i>n2e3%Q1*!9u(?*^XGHdR)fbU*Eh~MjDQ#zz27K~`Id{?oZFvQ6 zNkVWmCs_({ZLxHo=g`&La8CAB-H>-18kp@DHNxgms9)? zCHJ-g)>QOuR880MlOVmUSx1R6c9L`GxHE4|MA5dVSK0KdI!*l4zaBk~83W zoDkHOP9rWzy)OB2D);5yr64cGO@f5bEAG?77EtJJaK5u)NDqlhf0vq5U9cFCk}%FJ zL|RaL6?w!E+h3&OB`j4aXa$gNkt!LBS~*4rgJ!g(O+Y#(}a8JB4ygo=gr$ITcrw*Sk=n?6mcupUqueBFrhL>;8l))_0SZH?VtUuP|!8| zxw61heE}p`aYUb|$<&c`AJiwEjOB{02`6lVK-JUZ z-{!pu(@Vn11O2lIl}V9OB99WQP_1w1m)yrrXI!Tfh3`bGU_Zt!=daV&HJFsWi-;i( zflzJ#Bq7!ut~HpXvak8 zU?WQJy<+Qk$BeIE9iU>ltW+BAuPgS{FrBb;)Q?*N=!Rgsi7_ZWkoT{jx+VE49 zSzpm=w2vJ7dLGtUwT%a_A6)#Fq>gfWm>ClG&6oKw-*j1%#9Fm&roAJ2KTONq)tFJPS%qaEPR6O5T0{wtXj&+yM zDBF^#o;pj&$Rctd+12UZVTgEtUo@Nf#8HPd5HShI`ALM0bZo%f{=EK70TVU&qW+8? z6SeHBo)C!~pHpEtPs%%bQC}PDSg~)&57(8m9!8XFRmRH=H^zt2A$s9s(ULHm^UOs( zM`3g%ScIhmSo^s}ScLlr`hnd5DQ%N%baX)DEI8Q{E&T^=vbI^>+mJ{xI4-Bn+T1P) zqVe@%lzQi4qIvtaBYCeLKUsY{GVv){!NeI9`rSr^#M?0W%|ME~bFGW#Bz#f(benSD zD8X^4g7PA}yIf7SeY?UhqtJJh1_*si#e{uKktXhA&?({R&=gh{Z;jQmzmYJZgs|6e zQi{QfCP~lfma*jR6V!xdrgMex;`9t=K%dEIqCotW^)R4!79=ih4j&_kMMu-2yW|2` zJrK^~w#!hsLzZyFct)V!q1@$5dX<92IXCJ@V<`JuYbY1F zaEzR6_S=Z4DaC+t-qlbNQ9}~ZDW26Kl66@00$SpLZVyu%S6}z>luJcNlPZ>in-O}Y zo|docujf623IY~^&rqRKU0sIaWN@DmyP|*SfjP>DX+v%gSS;&n_la>>sx|D-w65A6 zLjR$bl*4R@+bi&8iY3e=@ z_)N%`tINyZS4kav9V4V0JGC7&UtDt67BO#I&#lgPab}xNKysJ#pWX5%X0^jDLLVQS zlPg|NS>uJ?9^4NJf33qxUG(CuBH?Zsnjt7D!K6OEj zw~v~#jJ5N{p5a8Z($rg)f>A>>@@_ z@;X1hBI^679WUYgdE+dmLk2e0&n0D@8O(%K`iFvJXd0FfXs4d4;Ox zHQw%mEr;al)W=izz4rw$YGn&erRlo?a&7_?mxgS*u*W3dzE}#LGOt*&xAPHe z%H?m>mEoeIP-d<39QSY7RWMj@X1!(DKXhc<6q4k1p4)*Eg6{#R?Lu%{z(#e#h_DdJ zU(1XEVijsF+=Cb~rtesyZCPayar>SGQO=&)5C{TM&H`CP|L}y}T?kAp#A|74N;V~a zc+R<%82DX*Kc974Jl|D7JoBNeKp#UhU_5O&UNrAx{OPBQ%XTN?o{V$l%#5jG)(u|U zc2Mi;I_v&1Z>v^3Y>pJKC$xA4 z%}k>3S^=q*cetA8p$P@6=1{1`KI{gr)B$H8LMOxmnRkKGb31vRyHk=eMfO zXiF~$CW97Kc|>X@XRg{Xq~~}=;w0p%!Oa+ag4Hc>cU57;DAyB}n~OMvG1POTK*pNaWaWm zQRR8!!`^U`t@C3oUi-NaKVJ~y{_rVe^^UCiO|pQ8lvZRL&S!9?#0d$RV_J<4P4_@( zQKfSBrL5*P^cyJZ%8zo_(BC_e!2-Wik5s+fS8X)TJzb>Vm-#chcP-#;JAYu4YenW1 zw?IZCTcoFVTjqm1)UH>8LR-Nilm-jhA|mXJ&3x~!h=HRgc~NR-!Mf&i#JU=hFO!QWSMg{ zohHVxH2mtN1B+6$-C_J4ZQAvCU=qv5o*%;rURGAy)j_Z^3je({-qMfwVxysJHW_sn zq${>z16m$D{&GChZbk46nUpC0tT^3B0B29F3Z0Q%FX{>*+uw7X(hYj)JWegCr zDsyoB6^AebD*QEvFvTtWU+iFVmwBL7L~{Cappz{~!f;(--JGKz_DQILyBR`Ao^X;m zJ>on#x$g$*NvluTM-%Qv<|5^m`FmGf_`y%4Ag}a5$8#+W}$2SKiY=SnzgH=1-49ad3EZxZc!p zjblQ}r(pD!s#rB~?G|S+hV?aFfn-U&^C`v~cM>a-$a<8c?zuz+?UobAJb1m=4`r?- zPa17x6JvA~R*QhTKWNUy!3eEDT=R(=5NoDRLG1B)tY4z9`?a1+KTWk`fKV!boj1_8 z+N<@Kz~pJ(OAL3t;{P0h*Sx}Kgp^8pEIm1x4NTs@gtIa`fU2Wh3X6sfa*VbmMa^-0 z$2~oQOMdn0hgGCcEpE?f_=c}BP4G;;Xi#u9r#`mIV&-1)_HeBf*HMv82qxIh0}Wy_ zzvvo>tEEU-RVpFZ2J}mrObKQ+tDE352WB4wRZRB&X|CP53kbdnz65}Ku9p1J430fa z5gS(@NWX)9;f1oUyF?LRqS18%z(|i?iW;s*Ys2-HA1s%^QSg_A%8$C@m!qLRU&8i2 zgr5u;u?RGk)d@IQd#kKZV0`T}NLG+lJ?^RVv*w314@ zr~vU_8ILQXx|dk-@Jr`zGrolZ(co<_wU(5R74tS-`UU4Ny?@~q^TlQQN!mg$nS~cz zZJR%$W{u(24DUAu<`{BNv!kqh{h*q~=1vfC=p`1%(S0I|UAol9(0>)vL`75QHuG6a zO`o7dS#1ShiJxVpQe8ta@(sM+VV9bC#yT>y)gjrudd4~dkO>q~N9eXf{a}Y{=B_B6 z6=r3pX&dwNtB(6cs2ckxMLXFMc!sVidJhxsEafo@{B1wpmZZu6)C#!0 zJx2ao^dv%`!ds#yNvO~|kKeT^KaQ)W3<&2|FwFTejVXA|0DN{b*i@qd{;le(FlJko zaCxZOPfk&(X9hsLR?vyQlLjTnf!hBcE<~fi{7*2~UkWI+^pFz2Y)K)*2}?!CfuC$n z`Sl9mhaWX+dIT1X{3ZnS5J}qgmIcv&LUIva3~jCt<4w+h<`Ds_AU`|^s#8980{x10 zV_9CQvBw4Yi{Kw#{iVa?m3iH#_Oj$>&FNJQb(fXKCh*%LP4uUtdY@9_c$q@t2H8S| z;bK+VZME{KpK7}LRSC)6A1Yt_)3Xv+1lW%%_zjXr+5=QVoRSG)>Nk&6SSvj(lrpRM zaV3(*kbB6t2oZ5}ul63lmlK=pLLTeFTpzU1ayQb ztJ)E(T@HkQ&4DT?Qis>>Jg3#D?CXfc^+FUDeewT&tMxS>sLC@m*_P{iiA zgE3FD`qhw~(e&rJBF)<{hJ|iQ1uym(^)=LgAAaJNRa@DH^J^ZFGEP24P9FlhY%i$_T$^-R z@*zdZ%*i6;moAfn<}BWq9rAp2l8oicc;Fs%0Ew^|`yZJVW1XX1p4pu@VeIm2Y7iiG z!iV}Sc-)@5lM%4%wunklxX!Rc6Fj{-8*XYm$o|d)OJQAb@5&#vme;NNBY4TEQ6yqP zMj41DTPo;lq~56l_i=RuD7QrOM#~tw*$zf7!>~=@pADXK8M@ho;CUnH0?Cj;fCx(f zjiz+h+R}Cu_|@8k;*1$5Io2?x-jG+LL&3sDoAbM0jYbEKIm>1+a#F(KcsNkiSy1%s zWZFt0T53umZRRk8csP``Sx|1pf(kB@?}pBy^50SWiUF@+rdYSG-P2mdFkNf8fJGo* zbYd3cf^0UqK-X81zY7+Xr;4l6U&le7${X5tkO}I$9FwY_NGuPJP+x_cSx$ zd({(DY3e>Z^OYnI*%;sNx}rcJm$N+!OPGZY4l^DZJdh!TocG=DJ35-1p|SKU2&cGu zGV0(Xk=WxWZSKi)Uq;5CR#Qh+YG|B?6N+p+%dPd~>9STaqRU#tia7p)GpxJADU+X#$|vi>lvlEZE=gn#*tpJ`l%@vk zYO9PaZV*VRg7Buy!u9~8Mb}g=&2`Ty@0JgFNOYu(tC*Z^czx9&)&6^jTt`O#vP-t} z6w-I2{=CCIDy8>F#n3Rs<`GZ$X31by{S39xe>Z=GNH_Wiw?2=;KoO zoXpN_avzeus#Mq-M~^ym$hx_t#zr8vHKoVYagV((iil_phoLHC_=EZV>-LvRH>ASk zNN|EvBPH=pOv6XOYZ_#O;AJlKB7+kq8V+K)7`-oy;$AZUj{=oS^4(;h$vD3^CgTOi}lMqM)Q&pe_oKK_37J zLiiP_%xXC5L?%A~B<<5;$%5M3OL9c-YvNMCJ&=$*Cx%ngNe|PMrJF%iOa;bi_Jo>^ zXd2Y0?f>!83+S&FQ!W*_Dydl>I*%8kPcFgF%0&IL#t7%9VEREb=)2&m45l*C1D?u6 ztTGV?zS5eSRhLfbMFchjZziz;V*xwG3>Jo*u2zEp+KiyTik|^z_Q=2KeP2#bmitH) z`hAkTKImT-IjPCU*!>$3THg-MY@x9w=II-1gUx9PYRMKeMviXm5E1SpX@H!1jnby} zqW>#BpB?nl19d%u&Kn)Elfd<~o}HibQyCd!Zn>{a;yLE?{_2UkK5qVE`4*YRTu%CI ztk~DI377@e096S9@t(*~9}T5-6?VUdOx^1I|3Y~c86md0gJ_zs5FT}MC1W%DfVY>H z%6`SpaQy(g#P=9E7}~KEO=Uw$iwT=jg$P4ah&E-})zqeCMzu6w^~oe$8QljGdU;ei z8T^iFW`+1VxRH2pB@b6r>YDg0=u|XY0O(gz0&s^fI&CQGV!R_-&5t!B|1ACqzLAX5(eq-x{Dgu5;CXH+m& zjSoX1mn1x)X&RlTy0D~fU2{Wpk(@#%S4z>t2X=uRC!cn)taU7gB1Fxs^$t4|(M*2P z;3VsFtE!4Dl|LhP=+v_=`h}q;KZhh{5sjUS-4+9NOyy-XTuO<8AzaA+4-5|b`&1n% zqDZqXH-_4C+)%Raa++xj3`$(y{&Ses8U~0}By><=IJ?MF{XR&N=^vrSeF6jS@ZcNv ziRpL0cO+OpP(+_RT1q^-9+wfUiaA!1GqwNl&&AFr%TrE?8beKrc_2oqmtXtPyPEaU zxjF{Hr;m;eMg`W*v1un4$p4xpq0>mwA0ekIiW)7%~~AadEwgh=&WJaY|@f_&^LiTdt#mI zfMh~NoQ(tmFzVSq!VBM_Cd=CA>})K%6Zo53s@0hdeB>|dU5m2V{ck5%6j6=pga!Yi zcJca?snVf#&-`5jVNS>IB9Jy|U^yf(EKqj#{-^ld!ZgiZM5;BjW++fTZJI7#T-{{C;q1B6CAZ zM9|6>JAW6_rMZlUJIHUEuKuRff4m&(y$%W&M@=QrMB~11XefwmZ4Bc`+Qg97Tu$RP zaFA`k_h0rm(@b_`B*r|kWc&GH+YbB-4ukF~GEONHty0IKk##G@X6mbbXV;1xv|tJ@Mbv_q$F0Gj@!TBbW^R>OtMIN>vboPO*qbs5P-I2e z@EAtQ8?#IBSz*=CKlD;aVy#;a{GOa}{Y_oN9+N^Z>(5j0V%%;L>t4Nu*h*%xipL3^ zMlK(MJO`K0zYvP)bEQ=79>mjWghy0==FlsykEk{p?BrNfYba<0Gfk*Ca9HLp5!N)i ziV?xZY&Yi+F^d)Yp5!V);?j!{;_&kYah21dp)WS(&ZZ@cq{WrQH#s!`;cV+?q*2-qcvbUksvMVyVM*w*AnxQ8(JG zeEzyWQj$(LO6Q||`9UiXFXGML(zml78u|_{F}3W~CcxvyE0e1pJyX&ma<|{^xws&R zL^lPQtYafbdQPSQnpz2c#VUr#MJk5UMJl!@jDlVt@R{$gLG1v2#=??pJhNABv^NT4*ROK^qh{k^}$*{vA@ zIcfh9c*YnH(KsC9-w7mRRqQ`n>Y{u?_@f-HSpP0I*Tw&gxkrYz-Cd7pN8ZC320QsW z(`i96dXDH0dE@5&=j|JpUsw*-lTF8nxm%lZjJDTxYAoi|F<|>WS$l3@AxG zM#IKNnE)fg%k-~y977F}!4VwfC8?-2U%Y1iQy>vSTDjYMi}dXE#PN2X!T*xoH6d=*114!CiBpt(CGafe z^31jJ&fq@`<0mq2Cw4|6bzrcv>)X!DSeMetig`5;=AHlmBWGrAOtg&}lNnO~tz@<0 zHKBl(u`A!LJfM9PhRwrzelu16&35d&r;zmHNxy;G?EI4j+LU|!tPr98A=k{N1s`es zHr=Xs%ZV`H)PMT8C!w>a%s%P+MFL3kL47iLqky|c}@oBTdotA{rPsrpQ!QvUIlX#^DOC2uG0`^ zE8^M)WW(wP@~>+XYG+dGMj3=VTdr+n0+QSIoXVEBzgw=o8Ej$2&OFxh{2Ngd4g8oUm&i^AQxk5~Ed@V^0z84}4BbRqthVN(H)EQ;+`8 z3pBeIS$<4?9NFOS4QSa`+e~ks*GOpB2LQt#rUTNVL+v!)tqr@n{5;6{;bBrwiA<1} z4r~=~B)&tzS+5B?xZasuds2rS?lZ)lC(kvF7A0^biJZVE&K_9(MwQ3&qT_6N=GPjS z9x$yWt2}-f=ap7SfRD(-o3q>A@ur|V84^lltyBHNFb7p5?0wZi=3V_q zTI7ZN2LcZ?6X>TVbn}zPg0Ci7(r4}+Z2;$3GoeRXc({t1FARa2k12ziPXdFQZ`i(h zrOYzd7wFy)_{|u!#-EpWH-mK6u%1U#InO3A4O-3yv>d;BCe|wWXw_)?d3z-0(oZ1W z0P~ML;j_LngPQuiI zs_u5$h@!4R3nJP=h~cQ9Wq3mu9pHm$UQquESY^&l3&7v`QEuVlazXO?cG)pzJa$%J z=G!x>Ce&MREO$EOe3gvrXKBzLbOoW{; zf7$tccG;K{@G|=0Kw?#0xFXPH_`sv;;C!UdZZcpg<*SVT4|2d#4He)WdRJE+>vf}c zz24y#LXE)5J5*8Cz*a9Vf_}BO{>J|l*T3odexl8)DR_oJ-yl7=?8c?DP1%59`$r53 z{90)Af!Ywmx&a_MhJ=qvAKQtUFE%_t1)$5;4l66fttuNlYigIT|s_Pxb4KV04sp zsob;uB&wFSsEUQFAF=i&f1tL{W$=eNIwqIsj^$P*16L$k z5^V82%hn0ozUJ0}7kfj5cIq+2)0~DcjdA)H^=r9sVKn&h=UbB^0ReyIhnI2No9-G9La7|da;J-?s=&ZVX} z3|g+A2b*nrok>?DX<4LGy_XDB4Tvc4G}DGh$koy#WqcU-gul#UOv?jRA>(mobz_e| zVSH4Lka&IT%7cOX^xZd%l|2f~H}i<)9?&e&8XL<60Wbgb@kd36H=lecmvM|ry?xqPQ#MI&i@f@<3X<%QoPY=jp2XwaZ za=4tInGjd+Yy8#Tyzz8W;pe8&%hwU?E%;}1fH-QZ6VlZu5ZUK0+tncBHWU)4wH}~d~4~}fY5jP*YEcxmpcbz1JMQUbqZa0n>510UL!mjlB zBW^Y>c@ZZ#CH|ufz6&i>IVoX>NzNJ=ZPcbN>uYjY>cqE-`;{#4p*v703peZiv@mt4 zsBa2md{ zGi_8K52W14 zGc;VLko5e)+8^wWA&rJY0Z?OoPVuPR_lSoE^CV_rh{`sy3!{HOII?J4Cz2md)qARn zG#Sx5^|DCofhieVa5jty62fu@%YXYm(qC1R18F8MiP}+rt>< zcZwb?6Wc;z|MgrCR|LxzEySv1OH~x3mlUJ+mS8!q6%tx1e)E+G>5i0 zDL><~W#Hk%Up=<5(&D&hR}^dXJ-uW;q&=Kh#RiU3SQIA9jm;f?gDt(1F$r%!o72wP z-qu4wZfOZTqji%QJ(}p*K%2nF4N1=!99Q;r$#WJtM8yHjY)Pd&rX-ufulfaw%uP@r zmBxMeXw&5#bTmN|Gg8__PUFfMj`NL;>9iiPg#tChDHyQud;=jEH+vg*nN62&&AZS5 zkr~!m)-l*XYs{Jn?ry}^TH!m6O(mZV{1WV&ew*{hX8`-E+~8c8l^>%^8z2Ar-ul+g zw{NUz-={pYHi263k&3K~%UYW2> z=vR{F?2UByc5}p0%>^Q+7yU-hm5?5xu$*#HT#f2I=GcDMe;)(&F7rlXT=|d&gwH{C zln~C2n^Bjd(f@!gVdYxg8vjBco~>bpENTa&9339V2R2WBhPKX7!rEm^tB4b?j>2LM zvRn_EW{LJw`W0sg_F8*XKsahj5>^G-iDNrEs6hd1;s9jRQj#k^XwE~lE6145#RIJi zCLXy^+t#dC;S&+y3Cjd9OZ8=VcXEty+!i$KM72KL_0E%>!jt_R!M(q5g&O9X{I(|nIpEI! z@({3bAK7~@kw{rnH@=z`8S1c?`qkMOn2=6PO^t%?6*DkK)B9~2v^?XyZb3)IK2oy# zLB3vAHsJAt{eflDG+-un+}1L!b@%4T)#CqcYkbJT(&P=4ub)P_omGz+v(ira{<{$s zd$p^0wY!Vk7v7kUq6)%^eMN6s#1q?bBad#IMurBfazI$V?jQ@D0FRWR27lmJtok6O zKhj}0TFTWO0R*;|$3gRZlXD zEL*AJgrOMDt?YjXJbn-kRj$CY^$qK!LDUzwI@hKJ*|kC$aOgs!KFM{2x5sPXs}HWB z#&bH?1Y;s=OkoYguGMp+I@kIHRnIKZ5(CNG)uQ*0f~xiI>wHVSy|oh%s#6D~(Ixip zFidCa!L+4w8r9y*c{L5(l>bed!w+EwYjX3D+!*TBl10pQrR)}68!MSb`;pMx24dyz z`3(<$&Qfc&f~Kg1`vVRLQPm$gRi@_x?xZ!#^ZWBulVGVzNg zo4$=O|N4l7IZjNz9EZ>_;G`BH{P;rB(>IG_{O3=}!%puEqBLx_H!KxNCuE27LVCC` zS*`g#DjK8i8?`1iVxWlL#Ncgqnl27q*dA@2`TpyVDW=bmuIYhW%yhmt{{wc|z#4-S zG@en=4NGwBF)=B=%}?3LH|A$ki?MVtl>wZ|1J}4S1~^ma~$SlKale|;3_~HxVbSqLHgC=_7M5+I_J~JRG@tF1Ic$f zUz=Or;Vrb$b2fY`jIC2g>7D|agLWDR@x-9x)GietQdYkuD4e)x)tbfiQ4&#SUD>2m zhxK$&@Z#bIXz%CX_s4sya|^4_2{XxX8gjaCYPm2#J|Z~a5d`@W!5o@PDpUMw&02b^ zT97LjYXlW(d>Sij44Vga5z+~3#O^)SCrjC!S_?m-F9b_Lr!DJ)wKLOKYyzAgR3+4h zA`I0}=jvv=h6(uchSG_fF!y5sxyx~>-yk6>w_B}jYz5^VzRAaIj?cM^q)lG#b7(_( zb0`1tMfX|lL#|5a`OykiBZ?S8gC=Qbut1>Ml84=*v=W86uWPqiz@R;qrGF|X?W3xg zH_b8km~?uz?UH9+J2hu$wle-)*IF{Lh39F0V6dcDXOr71yw;Lur#I)&p2uS&sNK?+ zscpwd2xnrQRMn)BTbjkRVp*x0MqpK`s773$N0s^WclI~)Ve=JmTC&P-R$94nHT;CS z9^6eZq*qH=mzZ=ZG;SQ?jhvbJ*|0#In{QlZHtWbB-o#@^TuBI;)~f?b2uE^e56TVzZOWk8nw+0E*49J&D5zjtD z7i$e4Ts1<-PAt{RLl;YTMh8Np7wXL){nrw@SsPQTL3oHaER<%g3S4#WQp@w6`1npN zl!pqB?Cdnr4{qr{UF0n8SJ>H&q(-O4*ULe&%!7;1J86^Ui#hg6)SVGLPD(L zPV|*A(&LUc$;Nai=-o%LR@r39&y>_iZIW=zJH48kJb}8E+=giJaZ|3Y@^`K_%$`7I zH9Iv%uMFm{4ZLQHN-Ry&Dt$)Qm0IlelW7uqM{!-AAu|R>juD8A$*F1iiObA$c^CLH zc4Ydz0gW>95z$0BB(Pez&Ql9b`F*Ccrm9kB9Ch7H`kWCB%V?}^F@}+X#I78!5Fb65AEYqu07V3 zIi)!*p&+N;?!YtNYNoY>!M{iIY}#&}P1-S^Cd4VAYZh&{2nF2H5G;pi)u9(i(bT*#|P^u>%3?DI2Hz&BqZn%q@lC+==+m0c0o{gZk0fK>Obu zkokDt4!#>8@ zs9XaSa4-$OUC|0Rl%K+%_SIb1Qny^~1gzkPS>|5$@sA-{=6;cJTUh26hBDL9ty zHw;^N28+QPJlJd!?S@=p;|1$Ud#kZ@j;y9nH3h&Fi`^0X*4q1Db3pS>rjx)adnu*K zUPdS~5+1aM+ax<-MGpjng_sAnN}BFaw;PDSI~A>olqz^P*MKf4wX&D%Wj3tU1=UTv zpd8#`>y(;281(dyu@W6Y*g-{LW4)Ic+2{7R0M%Fs(oEMOA(D?x^AQvXS_gG=r@_5V zakh8hd3d%59TBsEMplDBsAI7Wm?y2^3D3fRhzd68Cx279RsIIP>^ic!Vp|dRruKz3 z8K&kBl`!bMkDBna-IL|N!RoWKRb5}{{dQt9w<;+TO~h^3N;{uP{= zVm9iIoNNP4ujk~_X1*1R86*dsWsy>G7KU-72YAz1paZ&Lppd7HlMk^r4LJ%twUNDZ zgL&{XWG!dq1gw%WIVPqvvt~D$xlCxtyYQG`>T_)l`)(re_aLo~w48|?MV{h>C@(oE zFNX%Y!O@R?)Xc@!phhObNC5@+o{Ae?R;0`uw`8)0K|%j*j5 zi9^Ftw)AgF>D%!}?CI%Lx=h7PTEDzhW-E_=_8fU?L>DrZnQE3lB*h-Kgql62T@n+8 zwoearO^XM|5n9kK`sk!~YEaXUsua1=TW5__V2Q`utZ$r{il&=d5h9o|&26g2@15BY zM+mXZ^+dhAzr0QVA>z5ZcqA~G|pb*HM&xjSB|K zPhQSdvr_wv(^-hx%y0n~E_@+s$_$88br7nD5F7N0R)~Mt$;o>(LfI@ZS6*Hm6?!sS z@CW39X{px%PT6L?o->nMsx!XxEkH=eF6O{Z{3@ze$C~o?SRG6`f*ob2nd3-fd+%zD zo#>9Y0Di=l%Jc<<)VX0(9fqr}X`la%f#B1mJj0q$4l;UzcyeG6sYii$%AyjfFMxRJ zViwtBhC1_00qX@nBRd;`cp5E?+1ZFd>5N|`FDE5-rD+$R?eiGV+(O~=+azD}@GTXc zLoc}Hg?Ks)0rM}%D7aOJcnXaG`|2PQ+1rOY`;!gUyP!8J!Ts&599kqZ8k$!M&dmF~g6G!sljeSmT?f1OQAmqi?8Y zUNK1pM2NGD-wN6#<*H81wGH1EA5XzeDQjAsAGrQ~l#V+1uo#PJqE!2Lb4j!Y+CNu6jyvIjrJD);h}1w#+CaD0;zGgIMn!f|Y?_$2&yexL|;Uz3Lpo zl>-`=dwQ4@kF5l5qfpgn!3D=pf+jd4y8~jC=Yo4j)Kh{I zt_z`nRLE3t2}6>S$DsjqqV5HL#iZ%r*A1wCNQDX|qkrDaOzcr~U-KiUQVQira9l&I^o?=PvpUMr^9F z5^OaRA|rG(HeG=%Aw`SvvxsTU84aw@8Q-HMp_$7mDCrm~cq1|oRBbLNHqc_^vU7NA zxAuZ}7$beb5_4G5%Pse}E`DsG#W1L#AV{ic5HubOjubBzA>U%e$mzelNULb*xnzJ5 z(y{;OkenP~(wX&r0>>%K$%rbb$T^6Tik7CHjE`8Rq;8Norjmn_EX6+6SNq1`fbAP4 zS;|a9+@k62%_e02{I5M!7ml8m0ulI}QR@4+QoVtDwU{zrH>FrcdW zx7;}o6)Uhp-t7NRag5v$b3w^Q*Y0nY%#VO&Of2q^FyFU-2k2nG%(4=od9(J|;wE_u zi&5XAaGJzgbivR?^He_0c%iTDL?nz|W)~NLBYfi0kQNd&VV+!M6f*Hh>tPDS4iO>} ziL~FsBbK3WyQE)k|M`{U-RYtpVShoEXES9R924}g^ZWfCrcKI4P+&$9;sJ(X*S#l{~j9tG%TC&hTyZ)LRO9m4WL2OnNEoBZ6E_1MCC>YpsvM@dQGcC{XCWCyysd;HuW_m~t&*fku2(iQE;N zqMh88n1W|(4JvZp8H0*HVjP3QElPphH3|_|{3upJB`2EbCtS2eOO-X8;mACFL_BCV zZk`C_Xc*6gBYVK1psQo|K|sgS&g=<;i>_865rg6j*YmsBJA1!Iqfja(JkaPO2NCuK zS%7xOm}`HDpFW)7zyXl)?)X$%KKlrmT2ziDSaz0F;B`ZKFOwx84DF%^9sXaU7-j2}FsEmgn)W*D5iai+pV!1JB+ zh(=<2emSw);g^FbNr#12WPs?ChlV5JHS!lt!JeZ4K2k12R51K;OWGOQJmp{fg*99W zE+LpFb&-UJU<|!;6){F)(6UHqY6%f*-~60jN0}NGij4_^}+2wVD`#>R1R=>Pww+YrV|OB zI2$<-m~gz&M7lNBTnCwV;Xi!4;pZU68GIml9`2cC z`}d|mzM5_lMmf&7WEc9V!{l0tt6N1AENWILaTOyt$f~0zZ1Re#&n4-Bu&5d&4IR$P zs)UW+B2iG=ZbnfDdX`=(UD{*BcRr{8$U&Y2TqD$I^}u=^H{Mndj2YshiG3h9FRL;$ zR~s2J$ugmS;(wXZ5zI27u%P+qjsYEL9xSg|b2~c={5;id}&oQi{$xo|2;WGDlA}R>LfEXrP?`CQP9S`3KVRXgsp%uc~(aDN1Jnf*f3C5GG>8<@-QSDT&rxRF>e! zvIA4}p`cp@%QBFhkITY*=s5{K!PIa^I-5v@Dx;gg09UFK4){w7z}1;Nn;%dbBXGCt zCVG9m(3J@1mFlO5sH6DJ4#}7!elo$Vi3^4=tU` zCL%VVnx8-vHg?MA0wBo`)jUB~+)l$*G|0nN+%5&tS`cjk(H;;T(j@p{*I86a70UI> z?7&cMlGjmKS#zSH#YshL4HCJv7ff(E3oADeYE8^%CninE*iX%;SkW@wn$rM^4cs^w zveiE0{nq`|hj`_317~{>1PV8uLo61j-cM9TKg{#iO6vz6%D&
X3idL_O9z zn50TPmC<%xgR5A{Ti4ySc86J6N^^=K(%PA2^HhjrDrcKY_2VP0b7>)V>LzL4j@5PCnX)1y z-wYC(wAE}PpW?L4jAY_u>PjO)wBJ_T^l4QWPjfk(xlWO+F6G@Ivlf^H3YhC| z#($u~>E z`bmUK7$;iVVmy3%I4$Usvu3@=!F;L1Migv)rZZmwZgD8-Yz@p$gVkP)pOu)0Y2N|X_YQKtB8Nc#Q+Y-c1;c;kq8&avT@e&oLk3jO=p zG-52K*#f3IN`^KU=LLSxdxE(j2~hfAK*pO|mAqr=P**aNgJG6qKP_^1j>Rr$(1&cV zm7sJwsUXX}#4J4lLbl5rP&!eqiCr1bi4o9WRV&L~mhNFXogf8OkrW&4n;kxGhD1ZR zU)S^K9IFGT0AFy?;i7I=1$r)wUAN@OE_>nx2Yr#8!~Uo?GE9-wbyp#47Nk>I)J6!k zzdc}fEy;`|YJcYhd+)b}cu%y4yk!r)DD?ywFPJ3HL>xE~6Gs0caIn^^`dz&calk?j z62t82{$ey0zR8bA9Mr-;`NT<{U(E?Jp9(|A#KgX#h9bMtaYF9gqwBeBY)p%IBK7VleO)>Yz};u-rH~eS5QrMu&GsP=+chTIHa;4%IMPE>;|z$Tz6HN zPLcmV{K zCpwZi9;}2>!f5G3P6fnh&FcFt66daIC=Md!cUsEt-e38;h*bNSHlXzkHUXs40f!?ieE!?mnj zR5gsq6W|BHHKP?Y7ZUMg8z#P&`UB4g#usK&I>BFO>xnA9|FUWEAcpzyu4tDtdHOxC z6=uIhHQgFIrWeS{^hpZ}#kWfIvq21cooQi|vd8pbz}vYJg7cskoc2I;Ab{Cwz^Tj) ziBK_Lc;UhA6*4CB*TwaIj3zvGA8 z2}yw3X^MwR!0#|O0Tgr6Z{nfOQTH=Hc{dAgrzNy^4>^2U8w-64L?hA^(SN&thwKOs z{HF>pvG)|M zMd-#eye|49?3Ub)kZb^O8@Pbt+Ig@tz6=v($ddDHP!<%>@9tVkX2=5P`Qah5h8Uh( zhl?Qag*Ok$HZAIZTF~dFVw`m+;;XVpU%{d{K_*ynD-0B)sKDJoDM9&{l#C|2>-(o> z?`x#Eek&Y_hvsx^-1@UA+jL|FEDk4Eyn)lbBBX6kU#~@XXK|j}X}8b8+OItzats$n zjle;OO4)YZyx2eZ$OhEa3cy|i%!k=F2V{&^qs&^8lYrmaX?N)>1ocB73jdyRYLIdU zO*{cS#+we04{>J#tOWUqg5z-(g5l-Gx0bwqOpuZs7+!~(x7>RU7bV<~;cWT}d|w!g zBa3;d=s2+-ggK}2H-c%3-4n9$O57tMLBO}*zD?ZFd3e-`JSDckw;QP0S!H-XY-i{G zdh7Tnv@|K5Yufks?eX#aIAM%~IiqLEfp*1j3Cy@69KDYK%|c3hL?q~@Y{16_Bg%O~ z#S&Ppq6>T2<^R{ek0&gYS|QEZ0bV=Jxhbren&~xLk}0e`@-;HSNxf2$T=3f^XS%ew zPM|}k3UiFVJ+~Vr^MPyrC{3N-hBa`?L^V8Bf&@K<%-9(sd{(=mfC`vag_RSOK6RKc zEuT?6djHrCic)`a=BX^#6=c3RugFunI48@OY0`59CdbqK0D0zR-w2os?=lG#-xLTP z&?&|JAm0@%X4{i2`N^SnoMMMo!EJ>=%+J>ca79ql)okYK0YiV&E>|f zC1kLwh-#&EzPSwy08U6vmSJDrALmg)LyMH5K=iD^fgcbIC%w`TNM}p_VO4au^1Ugm z#*m@O`c2jE)ZV-Jv*NCF3iz$(t3W2?_PlU)<2f?KimzD5lGDa2*^6 z$OfiY7?bTuOyg4GphpE}{7@J5JPd5!((^iu8ep0v-cD8)C;T2YNpO1!H2Qe^k1-R5 z^%B{)hg&>_Ws~l|0$`XcTXoV>zjIdHPG)gKT?9|3`=__RzOydKx3LB@%(v!6V#Qeu z>Tj@Hiz~>JD`1jx&wUh@GXR(2>~d9E-=6 zyMVWal@^Xp@8Y4V+bfDQv58f_6I9seB-M8)_Ukad<6OcqDdKA+r;^F=TFCKPTzs=2 z4z7n%>~G0x42o8Q;TLP;Uj24MLymKaiuyo~)6I9K6{e##+mSl8%nh*-GHmjldc88L zM9>3}Zq?2CRtXG=KQAM?{>=K`I4!zis3yF4>EHr zVFKY{mkleET? z42+aj-fMYK4Es|a565~UMtugf#&yXoYYV3yPsjWpuEhSG@kU0{#&yM@y!6Z8&G}#x zzkas;&`AnBgxWo$cDYO9^_Er0bH6+0e{&@UcA{APR8EZkYs9!Yl(3fY%X_MU499~d zamMprG6%Rr!xFqPW%E*+=f7hKV%ztwrX-CCnJ3ci?vM3=ojAsIBk6YZF%`mZS7N2A z%8i^Cf8x2p31sAV_7*0~O%(;e2^fsujS6iFfaXw4ano6)s%svciKHGz(vZ*8Y@qp< z1cI3bk$@7Vc$kOoOz&rd#oaltITqk9BBVt)37Dx?0kHjlQ(rsTFT%vVxV00;0xcf0 zZo9-CF1p?S(l}~w`lz`yW&sWF2*2(Br5uu8PF~AmA zU)F@G(h%u<6Zh)>rP!?Xq@MnYU{I|tade0X;F;ngjHMwL3&7VwCF8W`2Ne=VNm{_Z z*t|Hk@KFkLWqqS!P`jqCGT651LC_9UgNHfM$kWyr^glsV+#9;{8Nu}u>*&BRFUBht zU?k77TioSM`uo6tH|Dhhu#4E`&2o0oTOj`$qQ_`3&e+Py>S{c`;=-A-n%#hiHvupi z?hg8S4h$lXq?3r5X}94c9?Ha#sygWC}ud>SU4CL=s$ zDFr_7fT-udF~kxcH^;M?PeNb)3TtBUo>j*Y}6P zs*Ewl?rK952Wx~$(C?UOFl7R`tR5YS!l%{+@WFwgyYf3y zMeO-Ne9wr7neHrzN+1LJ3}xk^3wO<}&_eUzE3 zP#|a4qFQe8yU_3SB=6W3Di*(ADZ?lw)bBl?MRa}%5l6Uo8*9x}`M5s5X-CzH0Y><2 zZ|^^N?iR*^Hj6^K4E)oI%hKLq)TZE> z?C1mB7!b|N>uK|*_k_sb5fay?A=xL*sngZHvw%m;;(1@=geBC3M2zm{>z@+R6tw&_Lk8aUDOSd%aEx-@O=S41QJ#U|?ANPcN6aO8^b_!wP4oEh zG7*^u1KI#!8|u%50xwsRcsYF>^k)RM?5*00ah&-VIb+Vhyl@kk`*z&v3?L0%2tMKimovrt_x#63Os45Oyz*CJ^(Vp+@>P z4Wi`)mvqH6(!-S#`PpyBgU!vgCILjoD7yow6*?Bqk#KUb0yNhtA?6?zp1jU3CW~f* zl493KR>%sOb?M#S+i}GFVUHuq>-JD{s$|N1C#nC4L(B6>U&KqKU%Do(eLU{wz`nQ5 zUh`a9E`GZB?GIDTOJ9Y`@pXJi#Ux_D`IM)Rv9J6s@RmvvO<}AWt+D0M#&l zg4S^_@lx$oG#fY>TXi1fdY=b8*Ebu0_`X?J7c-jelxo?~MB1BhBu`^?|Ve*Q~1zfefOjP+;yc1;IG#mB?TIDM`-y855Q((1WEv zK>D(M1_5^GAPLn!_c)2__Awy$_@V2MN807_B@^zF$a|3Vqeoixyht3#8c3yyQ$^?& zYM}2G^bktst=ZhTmdd(As1it}ZA-nT0#K>=C;a3!2cobu45wU+QvcS4DJq%ySux)!{E*! zgS!njI5h6=?(XjH?(VKL!16rr_hx_jve|61N!{mE|IuBkYDx9EultQKV; zTXR1SGJlZ;F9p$peo@)Z% zSh)fqF|jycA@&*b;%eAyW;o`U(s0|aiV@TY7yz38Vu-D(HCWV(EHf7dP-t2;5G_~o z(`w61%G|s)cTW-8DP~{$@@Gq<{OZb+(X*jl*3*SnOyeu1k93>cleRJHh*waE>`H%= zko(EM?Tx6Wi;yILy{`6MdC_pS#LCb{Eu$KDACJ8@U~d2b7B5_+iv@@(Q`H)Jx|4!Z z?dszbjqh#vjU+X5ln$PNWF15`ImE&ij}k4E8#x9OPF6c-cICTprAM7wKnJF}u+odp z-o+#yB`l|`7eFm3`A=I0okWtwC;iwXGKTKI>}~_L_zriv6P^!6RwP9K6HYp=ME5kR zfaY{FeDwhHOeOqJ2n!C* zy}&6WO4@U)S?h5Y^#BEjC9}IMa)q@}%43|ghS`Z;04kd$slHa|tg|CiZ9HBc2^ExB zJd0unS#nOQzJp&ha^Yece5MN9c_5qg$jpGE{87GYs%g;cU7kb$g*N+bokV~+{lJfJ zXl&NR`sgsq`WVtPu5mNtu^PT2bWSr!4(nv`apt*f4=)`Rp8_%ONU9SVBp}6Ln5lf7 z(hq;$X;NQrTXShFzsfxk{4M4!Lz_N8W*Y^}Fk}+K34GS(83kMoYZhW%%&_#lvMpTX;VY&}x-%sBKe*0r^FN$%5fF=KckI*9)<54reCpr1 z(2lg6TBEU>n&HLSS?G&%sU)D@*jQ%nLwDJ%s+3WxBqm+ht*XjnQM$FE%sK!&oZx>s z&d>z>OR?R#j`D5Kc= z08XBdOwh~>hFODRDKf5?6Vi07gV8xLg+Jhk)EWkt*CpE6@uKEKYf4zUY(>-aqTU92 zaBA7)0$*?_>(JzaRN$YEqEt0t@ao!Rk3*2+>WQ@V^gD5h^=&ELMlCE~$oyFNG`M$y z?@BE#z;h3y8+Yf_uygO9sD1kI9Em?l@)(4scbeFpVP>>HS;BhZ30Xhm3RY#b#n3kB zV|h8}O*g5isJ=Gsv>&Rzj-Eaq@8@Pws_x!m=+4S-^7Q%~p>-bF6{Z})D$(#x_%#z& za$WqAgBCj}eJf0v7nF)l=uD!WG&-=Jku#TI7SY3VR;~N!^tp7AV&v5>MwqQx!%%9w z_U-nPiHNbc3h`y2U4q~e!U8UbfIdo;c6>T!Kr$Pf9>%_uvGB)QtAc^dB(KM3O+K-J zX475g%B-%m`*}AxD1&~eA$9hjx9j8e{`cwY@#Fh;pGB08j}cxaf=Tt`k@ixAD2^?U zwCQM$)qmPO1J5*H=)tWAwok+@;N{uwJ-ECJguEw&yzjw0RGSf%S~2mh7PkBz|5%!u z;MOiCzfwf-NkSg$OfX~u%mabIrK!rD1g@kLi|)%qSUxungSYe8jJs1}Zml7~#X!cYw6#I#hgB)2tr$di(VF z_V%@JS2Ko5ln?TfMjB|*m|M|4Q@p1WF#$NM!BZNfiP(B5W)zcI2p$8zCM?!pT{wVA zh@C7 zZCRk2s^rDqNI9Y~nA7Z(v2CJ8904|?7S;e{n{r%`Nw>jRUW|PFS03Sd6^Dy!h{^E{ zo^N9H8Y9mlN9imQcvW?8Kn_o{$HPiAn6yQ=1{|_-|$3Sg$0y9*W7a3)PiGX1VdQ+OwGHWShfNj37LOLtmG!}K>p_;>1!ekJc#?F>dj&I( zywiednWMPd7v4~|{ViqmWuZ&#&tj#`l6*udDiNqVh2ztLjUFtmwIHPkwyqKNaK2+^ zPU%NW4wJ{vdXU3M;}J{C3o~Ki8s}bv$w~}wuBNm|zuM~dzM-?re2ixi6!1086xdu5 z;Dd-+fpB<^g@Dcvn+_AovK=5whlUT2h(%6GX;zaRhl%8}B!P4|PkHMtnsmr z6LP`!)?XJ%py_*mvjQNOp|DLA2ILo9MsZnsl+#$me%(jqX~2>=MNT=NP19)5JkuqP zHut^fV0^=>G#ndK!1-GECljNAM-CFBJS22uqg1P5hxvN#5~snHn}20DNzJ1(1cz*C58&^B`~ZVGFJacz z2Z>h6LR{}-Fv$q6GNBwO_3qoByPGM(h{PPLwP?Yj<`BUxp#JsrApTF#3?C06X){aR zI;Tv`GVuxe{;yv)Bt~C>4j*67*G3!uMf~IB_U7lQ{d7I{tawKsulTylO4<)9Q^*5+ z?a*)|kA|fBIfICH>27XVWE*{=iu1oV%1MP?Pk-<3UjvsyuNi!|`1P)h+SdA=zqXD3 zh6|%L^>#}n)q6hmb{iI3Aih{7%v=e(Fm9tp5vDEczSjJjh_XlF)1m`C;Dg{QR#o@&0oN|HAOsk$Ed?RTaU$vYz_8b7Basypj+ zFG5+T$ZfRRVYT&p_lq?S!74tM)BYa*S>L=TY$D_YUZ0;c7G-11a7?8fw*>xJ?VSe( zk?~!w81|X8`<{wzN`o0*=(`|unG-Z{DEl`FHM*?3d9NQb`glVPiRIW7LgpUm=SrQxSoa!3S#u^#mK*oCn4Zc6xneKVBAw3GaokPZbDdIC+#@nnc}&M=aIbZibIFHA_VA$lEE+RXL4N z2=b|FwzvNk6x=@bD`jbq1J|I@aE~x?c=~`seQruIEOZcLTshkp&<9ABD`y7D`?yb4 zT+NTW7nJawN-2BH#pc}=kcuBlONNg*jaVu02~S@8R;hUTxOedheAb2oa4ko{2Mzcm zi=A~Xdv%ak0vClAc&u?7fmsrj@g>UVhF0iY#d&RpyV5zQa>+$bFlpV(Nm}`*FPT`Y z;ad4EidYX*RUNJ|2}s&BV9lg_Io+Hg;25O{R3ia4q9`NiNJaz^@ONvVBbq+Cdg{+8 z#XSP!RTBCfG9D&O@?ivfORFLm6unhw7c{*JFs^bn{S6ar zv9SyBpd?O%{gvB{UPQ%sV7h)nL3y}i6i__qt3q-jAvHwm{{`J7ak!@m-{^o9=BzfJ znIu&vv(@*9M$Pa;=^FWnGR3ZDaYlZQzC*5H(OtkrDY0o96S8j@Q>wK{+!c9GT4U2S zv%s%Wu}Tz{EcR&7e!Sw&7#-?c9X&Xf{J&S?(>IEEq^Lpc_+zHd0 zu!lAx`WG=M*|k7L!Oky&>k*Ld;Orx_llF`inm9tH)%=w4z*^~7BItUgWcZn zOFEsYx!_VvO4r9bFm(YGF|jSm9%W?ERfK=J5q1Ip5C#D&Q801nq&Bk zq{!I)bYq|YTQa&8y5NQC9juH9>u-F4fH!!Eq&*v97if?M2(ch0a-PYqbwvcgmaS`j ziTn|TL}&r{x-^g36*xQd(j=F>)M)}Gtf`a(*Y$mFhKnmAztsW(U#35Cz>M?$vYLTc z(-@mG5b3Iwr2Uxze|2cq`xky%UuXZk&?Y9CK-a;_tY1kL|7bFhQMl=+l+sC|f|4Y% z$v3D-P%GDi^KgR6&gW*Z@f(EgstJV~Ndc21i;vEna)MV>cF}!54&c#t?+l)C z%caSo;K4ZE5ln^}a_;hgw2<~n^FU?I4cb6tTHtT}(?X~=0(ij(JmQqG!`WaY=Y3Mm zrCD#SUzFZxad6n8K*PVn#F}jO$paR0DugD08$6Mn@VtkXMe$y`60$0D9G#*;ATbej)Q3!fDf)rwNr5W8j4^11tnp2v^zPYbSy#N z%h}7DMtlDo9u<3vn<2Wmway+Mpy+g+0%{{70fJqC(+Q5F9|O@nbi+ySp0SMupFuId{q(@J_XSfk+xW zice??^#-(e`xeWDKwkzi0s(u+LQ`rCf_vH!s#jcxFJ4eQon2i`H@dzqU7bFwBKay# zh>Chqv7F5_bdH9z;&_M=sa@Hmgl1A%0FrL$a`1Qx!vO5Ksf|QG4oZhOUQC9t8(*c< zClEJ8H?6EJ6>gx<7j39q0%hyAVn@nP3gN{K`emI>&L_hln$+Oa;AfG=Q9k296xk&C zElipe98AIZLKBe^)fOa52jw?{TZ?wFQ}RK=lL^8 z=NMyfhm1=UI6Jw8Mj>Ap!LTPhoDV(*kE$B*4Aq>!Lx4Me_(VqekhF&8=z5GyY<>@Z zQZ0aQ6dr?+Hg7);L*1ulTcv#VUZdNY3Q1C zuv9rPN=K4GrL)B9kVe^Wk&@4t>;BRfA-N03a_^Wt^l_$^O5a#mIO&*dJV0Cv6MgV6 zZyVr}IwHU1RSai6?qfv39wo<{Ju1czRut_cy^4Y}k&Czq66J@O+OKyBzMq5(+s=4) zAtr*>VmUm~j=E}9QH3Yf#@x@5rlyL+qN@pVGTYYhY>iC05iUcvvqjlBediBCsO9c;*9I7#X+SXe zXXT;CMbDHLQOUjjFmctEnG5K6uvl5lQI$ETg61w@ThE0`lL+z=LSVzYZ4Ueewugd( z)>sJHHp6up*08#5K0}?!Tw%{*l46<2Bw8;L9pFw;AHp5g^!f)>XkSChe8huoVG%(F zqLos}B7nm9C|V6CEi|<|bt!Xyon@WYqr0P%Mmq7E`yTS2SdO{nUFwkdqurE@*@$Ge z)3_*m{74R{c!%)7^C82z-T9j8eZS&Z^dmJ`CyF3$_1Oi;JVh~>l+ayH(o%`R%+^jc zw$ih|gi(VP9OQL&`o*|VJjp01kQnsH2wWKSBx)AXoYszqsi;#f>Gx`C3T34n)y|sJ z^U=@I%^`%7#2U9=pk9E|ZBeEtC;e*#?^~YdSQ`xoa~IP|`AYKMNsj z^4=eqyrZrO5r~j+4kfPjG|w%^u1gXZ8pcKq>HMSn2~3{S_u<-7Y4z-RmaiaZc@v=p zP@rb3wx$UfmT1+utYZi*Urtco@PCWbxdkjuU|y*JzfqtthVAR-+k!#bekW<-C=qrB zTk|<89AndBJq~%DVLDiik4Ban0mtGum>yJFV8kLt%uUsR?)voh6e*-S*_@9~envWi zY5dlrtG?E`TylhJAZ%Di6zl=oi1OTMCHmXP`qIucXWlt48w@?NtPYKm2>X;bC9-1K zhcsb9Js(JliPBGIoH|U`;d!FfM4(DckwFtNij9mYJ2wlhz&n+>8C8&XnfRHUGZIdl zOedTyaP@qr5!r!hyZj6+ioZ+_{W&bFUr;LdG)`UcVMQ1t{)wrOc24f@v)Pt9<7=>xeKr|&#RRqLK z=&Z1>hCHLPDMRiwsWk3yQtbqpjP-jxesGzK?DFBG`RXs&(D7UX;awLuf}bnoMmM@0 zOG^2%NqP^ItV>-G*ur6_`-`dnrdWajZRkI9(DI?&R!JKRr!ZYpp{{87VegJoVg$G; z3Q~cVnu*GG4suH^iAM;12UPZVKzV2b?i97~{?vo&=HY0T*7d)A-(TZGZz|Y8hm=_p z40$ji{VyDND$-?2U`@>U2er58;W1+(5PL|6gy+%N;Wk(^4T$~ z_82EfrQ!mG<>YEQD3sc}v}bXFgPyclSU^}Q6$z}`$4Yx_*z?I@%Vk$9^_UQ+i!yXB ztYzvFN9;N0h-%0?bR%6MXnG_n8QEUTB<;P}K_U(W40w6bVagl!u*S`K%WtG@HYq$@ z5;odKD@DJZLG-*)PRQ@h%O2ig0Ue`8isvLbNhn4|{j5!x$LL0p~%d>37$_2@)qB^~3ltjk6 zE2v+_WU-!bf;Sr%RaG(TqyCF!@gf@?_N@UXWUu`DNv1QhTh0Qn@(aXci?+JvuB=me z6NhV7G8)Z|{?z)2XS|`!^0%IVQg6u0^m3t^nDySl=cot-_8*NSOiJ6Kw2({A4RQ|E z_Ix>-T!0x`e54Z$Aaunk7XYt7@m4HzKMyQe`(dN&nRr<~Cj=d`%U{T!lSFAJ3kJOc z9~QlW2Nu002n=%SL>xi`is0$9t7iU-GuOlqGkh&HHrIbi8-X-Ot(xmTOaQTz6W9ZE zMwY7dZ-#-A)9<%$w@V@&Qph!}^2BIkW!PwAlAZBG07uw9j57?;pU_Wvx}x(w^b+vXi70(KG59D;8?NCtVc70fl_(Tt;p*m(O3Suv|!EkJ7F$ zQno%>k74XD8QN>YBll~W+$gqHBi=vasOk9dZ2#-I^)*?PA9O;F>^FM05%jMr5;|hk zblMhfs~|>2#fMV(TzNgCwcv0g$Q)w=@Eqe9$dVcLXa*5YP}t~S8VfcSX!z>*J!~PSUtG?g?$M(1qkO$wrw*|`_y42COQ2$Sr^xp74%LAkdr(EOgUiwy!(OqOgrBQ{bDXem(-uu8x4 za9xg=!9GZFZDhj@#7Fy2@ThV@>Fvpu(?nj^uM2TPSmxecz_zU-1R;8h_R|-bad?av z8KofOpNaVQ(?>uG9NmQNP~nrVC&M3w57xYMJjNr2%`s}5m1+hR;H(5uCHA_)gx9sk zk@gRX%W|KwQ*%f#g!c315*^}yHnT-Y$uiTk?Thqcg64bOi$Uihkcta0?WWMo7D{ji z=DZ9EJZO!Wjel-KU%tw#Gj(XLL7b8ZppX?u@~jt?a#dXa$kE0FWnw zU|Nv9?|MG7i`XZ|xQN83uS&nF08B%y;;*-Cl;xe8-u573Is(opU^A-DuOK1WUug+_ zJMm*)I19h}t+&;~-RF39DT zX>1O;YRHKcIC@xGlz)@DVaX~KutH90+{VDhM=Vav?%7-cBWz;GTU&O}KL(R}%^<;I z`|S^_g7MF{;&f~C>=&AD6nMwp8GuI(`%<$3KjEBSoLC_oW{98lm#ghS*XcX^G{Ed% zQ_hJr+TtsbvVnUwyhct$?y8b(N*~o5AmG7{G?DRF5LXDo%S$=!G&7e-XY zC7)F@Y66-kj&9LO0w!_}|!1V%@sR+h%A&v0*}+a%h? z?}PaOAV2v1_^plHZO^-jq+y}uUpI|3hRvHGP*Tda_q+`&Be;E2rode&Ju3%@z!wzw zr<1pK9IlYVGG0{!V67?kEV(5)e1rCZ_VKs3x8L>h=o`Vu5N-v2a!n0A87d=`<4{$N zp|+9rWXo|6%VrFEWJj5u+mc4j4Mt0>zzK7B!+Jk(^cb!J=c?YXGlpebFA6v2qY z)7L_w9}O$mFtuFuww!I$?74=dcy+7tI@tN0b(D}d(BR6Zc@PvZ^EJq@=in_leB`)~ z9Jkcl0?I)Ee+E}`4|lWsQJbzLzbzWYIbR%fD<75soS3~3$e@Iv=P`2 z(Igus&_#eGO(d=l8LkYZO3l%l`fM$iTN8i?Z7OsReWFJMIbvEj+Dlj7D<`|fpq$!i zVg>K%b}N=zQZQ{~WwWO2@+o*G2{gw+5(OMv#*4iFQra(xqAIxsu_X)x@!naeZMc)L zB8&m?Og}&LwNW~NSPblK6^FW3;2BoZ!x#UfD3%H$jf5!2(^5epIeXB*uS7NZ*7m&nymE6n5)p!Qv!AE=qPski{v`m5}uDPh3Udv4J}o@f4LcG5C6 zQ~$2w)2Dgjw(zHNtwkp(qXtFQ#zTSIf`dwVQZumbT83Sg`~6uL7bLW7ykX9ytPVqL zu_^DCc#pU(zzl`H%_Fd3@zdyN`XPGy{_Waif&-&TUufXzJ*%}KkFBbWSSbBxgwch!*DJy3>GJ}GFbowSb7Rl2f_EJV2i6AO!Bv=$K#4*A^ z8)z;tH0amt=+W${(+{uyD@qHNwn?)Aps87PzE!5B`dp)1QI3Wf_?bCpj;-szT~#>f zI)^F*psBMBQa5b-T9bO>Jti?(q4k12NpEh;j02xszPjwCd2=aofxHu>kq)jTGj>q@ zU96ciVIBO>B?7r7BrMkQ!Pt;8rFYq}$JSUb7cSYaE?YeI(wYZqVc>8BYm9c2&Fe&p z?K4v&K@pDXdU+aiV>}j)DsO)*b0dEXj%vhB4D;oP#TseRV*PsW!qW%LDoOs0`sS19!9o_=`-V z<~3MU(ZTdy+D@aFD|mW+%1`&C9Z-UVX=koS)aKkkt*6lI7D*OO zFEw|?YM#s4sCfVZ|K;q{d=<+j%DiQCQs&dhU4!Jff>+zH<=4SVH@*&u|J*S4Pggxu z)-EYEtmiT=xoLo;@YIh2Ni^`S;{u`uEaF(XI0>v;8E6A3UM;pS>X_}9cHa5%jpIT0u&3n`kl+wt?zau*dX%UN5) zLuFjaLxI;lc;WN7PAN7$>aRUuN3#6@t_63V*O#adM?9XwYNY8CnKrQY5`Wyso zvx6JBJYng3#k13KlfX}J#Uc_9-EjThV6q6}ig92<0W9JyRcdsf+(vzM%94-bK)6>P z?h=>|n|#71xmDm!$GixIN1WIMNu!1HrDS*Iy9683xca!n5+l8J~fZ2IIuu-yX zeLuBSNPhx!GyG{F$S%%hssHvz(zOod1UcMnkA5Q9(ZZlG&EbSo6})5<6+^g+-l7}z zfHPSDrTYyy+ONp2hgiToXvJjFRA;v}tE-xa24_~Tre?cL(_tgXz-sWINYIvZnY zHVW&|?IY0nSKGkj^U~c)+)fN|y@cvxU`!>wNV7xS;!pj~lYVh7^_*KT4ii7;5Qp4g z@QE-|6;vU*stx&^*!$Lppfl!aJMb{iwh;yuW>1Q@aR)`~0OwXrK%{QRp+tTpH# z!0B{=Cw!L?j{ws@N&7m4_2|=+n+i1tS^y!Zoi!Z+I!n133alVEoQrxfwMt3w+4xq# zLoSZ7tX*?Q1s>5^-$1zz)IM8k!AGkg0cILvGlBxIh= zIKJ4Qk&eZ%h`^_u23Y~lh6Tl3pFglw-mAP;_w4Jg{E_qq5!8^~xUS)Ria`KeGt-J6!@e!&rJ zYiNTS`){SX_Vk0&PA+l+I_ZtlH>;=qvnMcK*E*9#&SP1u<}pdaqKbiS!R*~IPkq&} zFdtJwL8$mx`@I4fSh!61j(!uQ(HMQ8j#xiSruE2}j2@eWWQZ6G&aHb&PT1~_sFTQ} zyW!lux5m(5JVNQKb&!Mg!LoDnQ5!T6%-DN6-;o`n zpsTD;64f2H%@)^VZ8c=<+0;w;Wkb3D8N%2Z2NASIi3jtx+7_qpPkn~0jB_v4Ig1DY z%aVh_ux(y5=0jKh$Eu-PdAWf7_4M0@zgds{jYJx3IWR+4Vpj5p9ePxeG`Jk4|1ose ze89e4zL@^&qisNu0^l7`N>B3qBBJvC{0kIQ0IPW$q((s5T@EsnR0BvyEF{+nLj9_loXr0F*RzUj8oe;8zPu^G{36 z(r+0lf9rqphS7@YOaHuLl2JcD!;CWs0qq38^KdJLymG%o{ zeF1sT_1yRs%)){Q;!Vcs)_FWjuU2f_%Xsr@1V&7eaox#ArE_PT?2-L(!t006>dhfv zNypnho!zZ7UYmzk75S=7XS@~?gSg3O_~9>1+DUI}9d1A_-0v$78Y~~Kw0NS8Ur4st zFO}9BJ(K9Ydb%G3L_3^qf)yLEdk1^>;Njt}aLGB(ZLeIw28vsH{j>qBpOz}`FTpwq z(`CP@2<>5J9cd(zy+O^u{1=4DJnUJ&wYh_fCvOwHWXKv@?40F64qQkqm*mo}ScJ3{ z&Ke;}ifZO6t7_qXv+kauv?CR$3MS_!zHYyU)$JeTH67n!l)Ka0cGhPy{z2<#pFquY zYIbkH1Zc?gpS4ioAg~P?V$z=G+r2Hjv>aGmSD^a+JzWK)*03Ve^IPrFyxIf-TzTJ# zDC?vA+V?k3Omc~1bUj#_ro5l{gxghr-c+cmHUs{Lo8^9Svo{I({vLYfgO=jt7`c<) zR8nmxZ04HvIOdx^>7kw`XSCSYy9pFOQMPSGrnRG(!w%9k&w!>uNWY`>)sf6_Wn5lX zHd>6RX`Hm=*2)DTHtQg7IFqhf;|33!@@tEE4Gn{>>zEuZA4xpEI^07%*}HdU%LTEu zkJvn|C|8A$4?j5NEu^nGh=j{8eexHx*n6LcuNf`yc6_}_?Qs(2Qwg); zNet}3(`8I4f)LA1oN2kf)^GZq+_7lAU&P+NL3!1`@|&gWB(&rgHaqn%>s78Ofc&c;JkYGlu2* zlyVyOm*Wy=i0HZcn`x?ePTG+@^5Yd_3x@*b}>!Qv(!;im?xzCJ*eB(OD z_XQKt(}Y^Zif*MyoDY;7{$}|87o0^T^ zG%?DfmLLXBTkB+~h_@IROoEHB2Mo2U*(Wq~qEOP?sYBAMtea{q$OxMPm4CXwYYoaA zW)-r$YP1kGfbHgC$Z4%o_nU2Y)O%WKdtUkUBE+S8Esm_8k&^IU+3X_3v68M%SXcJL zRU&NO7S~|a;&hIiL%tfVmu3{$1{37HrrQi_Z+o|HLpFVc_bkn$tk4yLNn`v|TGAtS zq0)H2dwRtf7bHWEqiaVM;4Qe#-#r81;e;&seSSUO&+ALB5m06+_>r;&_;Ba->=eXu zy0KyS^XdIR>g>J=z4E8j5A~>bO96d#m85 z*Lk=gtQIJD2xgw~cR=M~#l!NR?VKGDOe`Ji+xeQv)Y)>D!7l;d8Qj~=!|C>7%xw-6 zE9DT@BFv8e?znK7e9<$^ug)r~*s586XfihNUwB3#mA^E$(ARiPA^i_NOGt7Fr*`;X z{0wtpf#p=-Y)puc2N?rQCsYc71~1pkJ=w#M$T^>_875&*n%7m{@2`#&5S<%#CPIl%Js2RziJmeBev zhK2lZuyq?SZzj^D| z`xX$H!r*qa=2iRt89(bbsX50>^uG{aDWv^)@kT`L=Kt$c-i-T7`?0?(Ns&b2!ds_- zyk0DOs$i4^A;8y6S`8>Lp(-i){qEk%w_(@(i2Je|Mt{!H3$K5(eBTPK)%bl?;Qy~e zGtB+}qR=da_fUrapBdUbLqM2&K-%`FZsF70jkkyQhY1Eh+gu!Uc(*6^JL*a}jjD5m zjXgmX7@VH+`B#d7#}l_vAy1+*uvB>`Bm68Nh|<4^RWEId+~PxD$2_WN-%a0?);wxY zKyQY({ccTIMZlu;+s4$D-^Ug{qFMn*4iQ`vf;f>X-)|Q>mJw@cB7;W<7xhia46r|R z?YJJRREJT$Y~-$?IzQlQ(;?awYRVya$ybP!l3r@?J2>PqCcNOUn6ZjPApSiU2Hn&<05 ztPg4gS4Id+WxcB@olg@7`wW^3iB#xW7Mofo5T;~b^$ihGG5W`Twi$L$%4o zB*O{s8!AQFn>^V=gj5}{@O#U;Z0Bg#KWR2XFh}PLo{7h%YZ9Lvsl&Hie*+;F&oZvz*SXv)_K2$iS2M?ikY(+_~bT{WrA9Z6PJbTj#U~h_uf!nye*z z=iGFXwfxcEMy~Ffx2mT|W}?H7EGw5Ql@GYv`iOm}zozt2>@L>yw@U?rIz3ScIIyiflI+UCh0a+w^V3HK7qZ zJl-Oht3Y$9opJPu6Jf2xJnAmfC^;oivgxWpF{kA`+@9H+#q1-{xc=oX2uSCl5zj$L z?EL|7=yLO^zP!V2L@bgJyuLE{@UDS_dpN@=sLXJJ*Lub}!th)M^6kPtI|%4kA<(U1@a-z}Qk;86YbcLN3eunF!fi|KbX zmJXM&%;e9vm;;6AsDdF|BWE@Pq&CYQHat8`*);yqM$Q&`Y<46!ok^(u z(iTmqUR8XU&N*2GXI|`|C!Amm^gZ7A!khw*W(1SThi(Dv#I1hJ2j7MW(R;%Bk-y^x zsotij7MOlk)w4lxJXK|CMJo|1a{aZtkzwDPPgQ zP7LkKiDmkaDfZA!N)-zINjTqbcxf4>8->AfmuF)9QRJ`4;-8e#Tf?r3kF6+bsdxFq zP|X~$1&`ojyMWr<1z?>6F^%c5pK|cv`^T}}d^xsZcndTZupXkx6M`P(sT{0{99z~i zAH$369y$}SUneNoEso_K`K`|iKbgkzz-FiLqh@KSY5mDt1$qcp<8+UW3TwW=86M6* z_RK>iNOHM%8faWTL9wZ{aHx4`#(|@wA$rTlVcm8qUu}F#_xJAkIWb>8e3*`60cSd& z@Gx!DXn-koKX5{58|4a-7_}%@JP{;SNLXKjTpeDBG!wJ-TrDX-^g*M#tozf|i}%!p zRr`#p`$SYEAoKA}b=bnE&--}JaJ_7R7Q0c~CYNGJTN;#O{%quY9!(eDqZHZ?%{w7W z(*U)jGA-6!9^GG=s(}uy_Zm{hI@^sX4Kie;pCC%4yKx#7K%Pu2yC$G5^i|}ce!_(; zu}%sxThWI9hg!HEYN8tEFvY)?sBx6C^6&E&3?3F+w~T}HxZzUCXV;Ef{*7yh%{w4R z^K~;sv$V<~rwC%QEMd5L|9iAiZgjrLkMJ>Ny}kaYnsJ)Yj#1Iu3uH6*U`=Q{l}>S?*l~M1}NQ+T_eh+%?g#5n#Q+fNMBWO zN99W#y1r~AJ9CJ{zk+j-!a~%z;eFg;`Z%2FV12$TDOKGA9Z?jutDID-0>lq#sKP6&Vq+Kug1`SoZKh__eLv4`7 z7)vRu6J6p(z=}x#mUnCBRi4K9#vRYRJ?<=Dz$^*;3z(&R0kiQ^3W_gaM(Xk}Fx&Y8 zW-}`P0yFzBU=|$zFEFG00%oE<{{zg-TK@rN)&BuzlwZJ1F~!p6UtlKUM&T699aa1K z$4KxCm>mszz%MMWN`C<}x_^P0qUyiEEMe(=Z+&l#)?>Y+^gqC?=j{uaasCIGN&Vlz zEZ|>YCVKWSFf**)XZl~j3`z7~V76cUe*&|fi#=%vu2ORZT6SY|QdHK84npuXUTBlkU#-hA6UMnMbvmqdI*q#lWSJ})n7|Gdt)}{C{MAu%-g_A&zLk0Hibz7-{IdlR z4GkD86(wmjBn7v86^%9X&ppy0>KTS0>KYs_2#)!Z9sr6wJ6Ux?Z`~0w%HB6?rtDBxu}7J=PT@vvx;qK^-L zerhmX*iZ3e(d&et*B0xhQ1S||QwUv^&hO(d6I`Vzz))jUszHEt%1BXKjPr!g?eFFR zd*jy! zWtvM{@cND`R#R|3=(NxXS~~R&Jt|r13D`d!2&S{V{RUn5h$@2iL3f$)r>U!(9zC=p zFzVBb_+2)Qn6C@GN()i3pj%Nu}0cfQxx$fXV7;y%BIh)KGrb`%Gq+ZNo zE-0tYdeSJXKgw?Py;#=9{QKi?f4+>G-|0^47W7_mAVyemARc%IBI?UlaiCW11%5Hb zb^Rb6vkJxW#v11dFfuJYV_7L8{0E+eGWg(yk=LmfybG04MLL35QSTzwV}u5&DW@)C z`#`v7``7+rn%HpF-`{^THoFQ`*QInTRobCx zYov&kYI=xgyamiIjl_L<58_-r&uC?1sM2e24GAYD6BCVFSB@%Au5MI>Yo%)EG)!Y67voT#B6U-P*A2Z}SJh#_>d^&~BD?R)u zCNT~_A=QW|8{u~S*3)E9WeernV>TWPYc%*cvEI%+(hmx%8my|`7TKj@eh>%U8 z9Ym?{zqLt0Xli036P03aQ}(PN>Zn&?hdb%yT0K`%ldGkwC>dIY`y<0JfD3`t;kdrv zR~#WQM5^t^l#|&nG2rOyb4gJsS;hOjYSr`Ks0vX{#=+?TjPz@OY2VkZRzAVs7_}qzbzghw3FmK z!_Z1?f2+4^Y|`z&hR`061abzeAmQGoHfG7#CVDE2^~J{EzStNfesol7u{#HzNqevO z;B|vbp#$R?l#d*rko;!e_$p_(Eet-AKNylhj-e}&5>q|0g)N=mCxz0^ADD-))rrE8 z2qNt7>g+s|P7RZ66_{>~ri}JPOZvaccM=Vk0qvp4Z!*oz)3gGJ#d1L+xNgWR$%tU% z3&KQ~P+JsVGh>-GLaSJ>P$$SG!8X|R`zbt>xz#?vs0uJs!w7#G)Dmc-{!Bct*73bJ z9r9%+5woGaH>0QPep`)un`>iIwqA9$B4LB9W|=uF0}CvyE>f3vxF7otSEg(&%x*R5pXd4 z{IhdfSd~~?@PfFUYVdj>82=Y-XB}2Y@Ai4zy|{ZR?(SaPU5mp(inMrfcXxMpE$;4c za3~H%iWX?;4t;*F?Y_Hv?H_wxWQ3EGOzt~#KHp3-i3FbL&@8M9n=7OSqX&crUAYWi zei1~l)hPX1_tVIU)lb-TU@3WxeGZ+>(*`d1@txn0zR+}u3gg%rbY8h~G7)-7wS|cE zPmH3wIsPHyOTZv9erJVSYov($<-qcMjQhpF{v1ygusS-D*J+=IX0Z@#nHy@7;^Yu= zW=X!sT9dZ2O>NG+kx+4$r`+FBWbtK1=;6IBzJ=b1kp1$w47i33vUQQNsO<&vxCGFt zj1}~&V>M9fraD#{{>#xgIHcGJkM#2dv10p`oEVSvsFK}scu?1x{1M*CF_gx|g`?Uh z)_L~pi*6(ABQ?z!w>UFw`Ir7Nh(5Zm3da&9X5zV$Bs0M1;^V2M<9?DeSZ>7yj|-N> zS) z6Bs(9IVt4bGv4QY|6%r#rpoj=ox`+G$%xSwG5-qL?}#UuZP^5Y;c}rv(}*yk0(eM* z2du6WL@0(&A^j(!61y4&`A&rMDqF0?upi7_68a1d@LRkvzRZU!KS@=x-6deyQ_zGO zR;!htZN8<7;fy^;N~nX`Fxxvlp%WMJb!N0DVGRrOc38(kS71b42qU+4z*u|6omJ7p z!*GYv4!AloSH8J~jXzbbGs7Nqhl5PE!f+>mV}tvw{vF-I_v}4$7VF557HYgZ97%?f zxHm@qMsjplyl4(Jg({fmaAioLMOU17kOUh$s?5P`r|uJ%bvA}>k1bg8&IN&=lX=qv zJLSf+IZ~!Hn_TG>1MzAgNc`+C zREQ@QoU*`|G=IPu#@zBba2j;iZb!t=2=^*e^*{qbhY8E%Nxe~d3a7Ml&zM0U)C>JF zkM-{Mhi)h{9TMMQd4cZG9Hd#4;lb-f!~OHRz0Div4TwHtN1jKy{FI#35}? zv-=A~(jt93wCQ%h7M_~trR%|~uqv`{&N)O5yqN0MuKx*DppQrwP{p)^`O}Ifu2vCM zL1<*+o|8p3v9^ucsybL{#&z12u#=#fBVxx+>3uwccaz-U-%qL~pm2w6~x`_t|;IFQ7RP?4)3NNZvi$i z?pV%cUl&-~WZqA|IKU1*+aS;m#YfRS#T+R*}vBvE1^`mb9^T9!j52yi$3r zNp%T=u|88u7Y(1l7*;d2)!SXe^l7Dl_LC1GViyt`T1(Y!(!h&T<8!J?F$LfD9aac@ zyah-dTBPiu6gFf(U43wGKDGZ05tPUpv+$=nHLHmP6~o>b0m3smGI010K8c}oLU^Er zTj&t~!O&Azr*y-I_=oI#`&<;!MncuNogxwh2Yo&AERCNcM7Vra^Zs_k@(hk4_o^s) zt>uh1b5!a%f0omYUQMEB>XOteM!c>`kSFGjh?vic~bE%xvK zj;&g;1-exlK)G|XBeRv*mU4rsNY0NzS)7#g|9#wUD8N;HL zToT=t6AlEY1NB5aTk7Zgvn8+PJ1{YMPWzKYOZcjvUvl!XjUA$f%;&PxV>C_DWQp}p z+|fC@it9C&FeOMi_s1>m`2O|}-2TJlY6DW_o%8Ws3^}ezuI>{GX^!=?s`DQ={@^PZ zeE%Z+T|`;Bf42lB&{Xq}o!RqS>v?yu>gj|@pesq+y0cRJx}JYDSGb1C_ay6i!s(>d}$KY*$mh5D?g8RS6%4w zMhmTXj`!xqZ9U?>MKcwGJ&J+6aH}W<;=*}MR0(balbP)iFMCPL1DBbtmodkGbC4;d z;6*Lm%FBwlkmI}nInB1vaK0L>AR@Pa{83oji}1o$IlShcZefYES@0b5;eB@V zgB@Db8N#e^ewg~TQgJ|T1q_jYO)*u@Rao~ta}aRXGsy@lg)MpSevjX)9!ZX-8tA}!D zHM8cj**5dJEJnW_!V*a>U(CVRK#SM}k_XwxCK>KhGx|(C=R9eettHI|Yp_l1aPEE7 zQK^uH-o5OPHW}?$FW0-aQlXg6j4!d#d>8RQKgJHm7GgRUNpzkjc?tKd;jkdo z%c{O|JwXUmO@6rAKQ6SBIYAsj6@8sCG&opoc`U0@`L!- z+{wTzv#pKT_S^^FQVXvvXOUaBhYw`%-l8b;g zQ)te&wTTd;cko`LBgvN!u>$;@=_wR5OK3S~B!UBDL*p(2hXl%_+Bu8W41!(_1Wzvv zGdZ}M6qe3IA%X&uvZVWAp_cH#5Vc?7&jSUX6-N0 zmDA6ya!9!3-kt>)XK%;oI)qBCE<_0|`Y&UL3UXB2_MEc#{+F?4u$esM^URy(ruXyW z(Wh9B!}U4ppUBAu(Ac_43qVZY1I6FL*OK+jzJIb0ZYRl<=4JWa8f-UMkLm$cgpsg5 z0;x~!{$#rA=F1|{n(tcNzB%M%#R!h_+^>#}IaF$6{PhtXW0PBbbkyq)idjCvFqKIV zXB|3UTX5&Hl2mZI2e>%UVE}Ed%u#kUw+aUR3U@JH9{FVtd ztd96@k>CY-gsacLV5cmT1(jDz%8TQ-d@o;h17uSg#Nq)&j~9VG%r(nElkNoqMWARd zyq8P1M`MG!Sw$>>`_GrCOA}9cs#N?_4#M*Rp2T)!7{NO(Rb2o|iOx1T%aK&b4dZJQnXO zS2_e+^lr>5xkQ@vZq)y6=XP?S()bgjWoP~_ck#^yx~nYK+~Z(!9B3kvi&if186)@E z&o#T!*Vb+KZ5oIDVBl@uiZcqWLg;liG=unvBNV@{BYC=W2Hb3*7R3X1-rmDCDKO6T z5_Om|=6&#SpN{sYH{$%99^Ptr@%i?9H)CNa=d`(1_vXSiVWU^c-Y{74y9`t_e##?| z{JBN}u5?l{<^d;l$-IO}B{GkD*9P#H#ZLHXG20UYs1zhUso!8t_(4^priBu!X)(rL zMEer?xU3}FsZhKo{EG@Xp_J@ZjJe5D=4I*eUG*3JOmdTuu7c^Y$5iZ$kfC^D<~jmw z-5=Z6j>nW&=n!R+-W7f%&}hn(Giz2JT!0@d?$RcFQ7s^6(UFMB+Zl_WhIdAC4S^0I zX9uyxU<8lW1raYm$D3{uU&F6m2wCZ9ekiaw4+wz_fl@k%uZU8=>*I=^$*B zy(u2wxN9R{V98j$vn0PbQ}7`(v^*!+q$0N>H`s)StW{%QL*BX)eed4rq z8sn~sv6mep&+Ek7HODPQoRv$pZtp?wZI-JLTZ$5y-c5~j^`#`0aA%mv%PkNo>U86D z8RU9#ag(%hMZ25jcw~1Ly|mN2YKmpcRIW(Zaph*kw0_z`s4=NFBFbO#rs7-$!RUWt z5Y$tegJDqA!(Dvc5-!55Uo;7pA+~JVgsZ#(b+W89Pc3IuE!p0S{`!hT4FAImtH`5g4KE9|8n!A)24kIsMki(B?r2>#jrq1I^W&n>{XzBXGu@UC z_N8#d=g&kP%~SU0!SL6%NZVpyoTsh>`5u}XEwrbuSra)Ox4Ngg#_Gjt!ki@I1mI^Q_tC~1=~9HvwPS491(T^F^vBY{ z?8;yVTu~wQrD%An78gx@(-!BDxob}kc-oLo`!4~sy&|1f9dzhnS1&SSrFM$CF3nLb zEN&jW!y7b;Bot3G-5NSCPZBUtbWzE&zWd`t4Vo$!VGno-Ry- z_avcAHV>yf0Zi|rZHFXR2yT&EqiDD!w%$)|d{!gEre(9Ju!Do|w44Q{6YI1q_;%1G zr6Y=`KkCwPh#*@WTFw1=)V=*f346on+MYBjh-`RxG|&PyZ`;lkbsVt@mndvTp>yDZ z#3-~NUZ+UkwJu&azS6l?^8Ul9%?tW(+yR}N_~Tam;S6P|p3YjS&!#QgA1)+X@9wuZ z$qJuY&iIcjRL~!=Fb((OSs>o%HmSz@e#VPq23B_uo|J=QmYHJ@Cf7SWNJbE!4Bl(= zVYoyFmNAko#bTj{`B5P5#DYbyg5Y!aeO`NXnz`_zHHU1vz(v^Up{M{S+hTrXJ<;UL zc~9<(%q)u!&c!bkTc%mEE(4zo&v<%rxviDo%mHghO{v1AT_B~4r^cCQ7V+G{uemj8 z=RLv83Li3ldir|P?$PCr)vC`_tFKtGRqtCRlA$7>>MH0z>MGa)!Rt|%X{~C;R?L>E z_8nO?{OM{^tTgwdLbkzfi8m*9+0oh7pRFyCi>Cr+At50yrnRJ;F%jLj=KWrGt`~Z8 z%0-{Bx?P2Q3VpVjTggsOniUN%qFl=&Q)7wlbVa70KF$F&w{GZ|k6n5CAuU3fKM~F; zk|~{~K&4lFuFpN*I5pjrZ_xS8s?f}HE)l)=*h*cHFn6(WiERPi+DUB&xCYMhBVk|# zw2w!OhaariWCSAH*L5dPra#nt#&zj!Oe4w}%KE{0m~g~xIp_Gph;2A~2n>8O(1=eq zv-qW@+$D4B9x@**Jg@C1_9!IgTgj}}mpUx6i?z5hWkNf{$Xr($n)$9W8GReWFAq|I zF2*2UWH^cu%g~e7N#)UxSkBmI6i4ryjESmFJ~v7j$fYN`H>OeN4(&fO(k@xCtqSv? z2l+j+QfX?T!=?s`0{Z52|djB;W}Yt5qqSK zktm-Jf=EmOiHBzlqXT19+cxi`CU=0l|KDxjVFi9bS{xTo1wN;9_G;ePh-J%!8OQoT zefs%z+ao5t^E*9cx9w1}rptk%i|1Lhn~%q0kGzsUDSRD`=KZ^h?k@tb^`B4mT$a%` zpLG-On>!AF6L&ng9B<9!dx?Zz{8m{&G{owv@e;Y!KTbGy+edoXw$%-SuSD&9x!A_9rD^33na2Pj z76@^7Z^KHLXyaCaR}JzZ9C&T1HzvrUdScY(d3c2#GM z&S%5=i=g8oD1@PoM#?uKr%H4y*(x;xrt_DB5hvNKai9A|w-BK{-lFVhV~2XzvL1il zJkM*UDIR?&AbwcX$Xv$ARWVX3Aq&2qFH|w|#2%NA756w%rDGAwctAQR9$^mlDhC-* z=M(>8)Cb?g&nWr5LC>RlZhucX)(o8qB9c-dY{6BVIUUFX z?LINm!s$nZ=U76VC`?j zU=h02f7{6tx#5_5L#vh;9T>8zMdz7WMkW;TG*?(ghNmb(xLwWyKbN=pB@&UL_;kXY z)A@dz-J|vsPv32)c4sE&$sW6is94W|t#aRr?QGvFbBiu5Yw5aCm32KxE1Z#$w)qz* zf+zjkT$YhsormPX+-Pg1RxaQC#db6ULS8WAt4%4%>1N_|}t(F{NyR&0`8a zearMUcFEfoulV37YWxN`z&Um5ygNNyd87YlRnd;<{aZp?H*RtNJ37N$sV!Wr@rfC6 zkH^&%9l+7Z2gNe^UvKFKX+g%h<_nJbiy!1Qa;ty?>;C0?8N&F96`gbxn_8vudwv*TQ zX{r9OI%?U{aPxR#wSe1bQ<<*3RrU+y(Od`m{bGFI4H9xDKDySt#uS$iI+tJHoxE*Y z_!LTImrm64M?VTdjXDKd&d_kl@Q+B_)e$+Gls`FQ&A0r^e3+WOi3X~(h`Pzx2FDV9 zi_5~91{mfW88XT6y2aG)Tl=B+#xXzgnn*QKF;mJbE2NA!#_JSfZEFzFukZA9Nm9lZ zT16-E2}>{IBz2x)&$XM_XlkOXk!5($G}~?|n9(c8<5$~sbxv~AN2PRxYj3lYeb`qz z5GHOl4#pY3Q8F&5`|@&xM%F1Pb4@F7F!ig69H3Yp0B>Ga0ddOeTTZ1`ZNv@^-f;-h z<OYJ)KopD$0S(Z zkgGu^QZ6iPxLeXcA73u)TR;)~nm3v7iIUz~We8)Ut^Ua=H%`h)c?*}#cHx>Q}R`oToKtO zRKiO886|+PHohqyQ#aKrj9bho?XPMHN?W2Ed_Bid4qhsuku<6d87*yytJ43)cU{6b zs7|3gE-r|3UGV+9;AH%Jg2V@k@E_)7ndTHVC~gVB=cJBpkxK^+$+DlZXxPonK-f6gG>+@CVepIEsE&r%$ znY{PF?;~%JM1W_JKz#NF&jOck+5x!MArc%)@PT zjR-?g#q>tf;p~&_EitT8X#^!v>K17PpGfvMDJ!Z zN=~A&n`)K66)4cmE`zjBtDx+sJ`K&%&mL^m-0Q-2Z0r?GUT1a*FpmqCv%1t`TVAC0 zPYS80wO>{lsB=G?aEqxwDl(zeJcSu<7BR-^hSEp@4ImYZ3a$2Fjq-&y(3Ee_6R zw5a~32P|Wxxc%9quHbYuv#jHpwjx{nuR9vr)eMqWVZKWNUNT4;>;E7Y@rBQ@U#@47 zB&=>8NXWcT749uTmW2w9TS6ZZ!PjIl3s>Rzk~;c9F`R;$rt?v0w6lE;g6n1mq)L8T zJfVYRYHY339}J3gRcC3o&_J??RgJ4{bR5j%McWG7uYQe?*y}rOajEM#C$4f3fZr=n z;C_1Fqigt~ni;6Yrrha;teM|c(-h&}G2%wL=j!)^8s3}M;}1P=5IgudATs<;lL?Dt zU$qCF@OZJf90OS(H;&7jW|LNa#g2(&U&#gj1LcUKW3NvGny*WVLx_y#GwP?Aq=jt= zNpjL>jEH2HiaUtaNEGAxWIG}DQMH881GVuzQ*g3ppc>26NjvOW7#)1F&uW+7U#p~l zX+SdaG$pX)YP$V)r;1t+WTxfuu8PYtnI~BHKv7J~Lp?4%`mq}*W$S!iy3`%zJo>eq0);CB>_q{Fx>LO6};WX#u)PZOXAbW4!Pr${X z{2RE=CQdm?@hl=9Mx@dUE`SYXIS?QC@dqL)D96?}T>>Qm5+Sa*1b!faUx0mz967+7 z;)2OQyr94FkS11!q|)u^n8!i+e`=Y>iAz|vYvf0O>GJRHPJ-u!r;Uf%-sehtydB#- z8WTIVq=HX3F!w<3GNg(`M8A;WVRk;F{EQ~f^NTkum7-&6L`-mirpGT{fJfx>JCRwz z&*nLhV()oSCP|%c{Sx6f+0)Ni9H;2@$ zIb+l=&(b(y@OkhRAMk}S&TR1Ao$TX5Z+<@@H_|%!!~*}L!85TUKhS;1XOBCMNJPRl z8NZ?k7@WL!tT_bxQ6VD*k_7b{#|wc%`I2Iq;}J?Sh}humM_E0yUYlO{+KvN#bxiTf zC(?$bJA=69?e>?Jyov7|78+)FA*Od(G1R&vJXv_GuuuS{%EIJF9Gn_48d?WEW`D*F1!D` ztC>yHrS*EWccH+Fh~5_YgH?l_`*^G)JWDHF7}Qq9Ez8`+i5T4 zXeYKE{9Iace5VFkGiMauuS?(!tCn%;{K(>z`#)2(m9y*OD%?_PHm>|61VwCR^g z5Jc^=eyB4vJA?@yIEZydqENojF5A;t*)SU=GhNl<1`ix{N;(u(jp_(Q{B(nEc!75Q zeQxrBXX9`yaD{e(Lm82dNuwR)cXuzl*T2G0jPx3muJ?Wj8$u%*XeZG6N5_|Uh?g-wx4TS`B(^-Oh?`G3Zwq0G@Wov9V^>}{ra(#8bZt)|a zr}Q$B^CiMycI2}5WSXf+kvZpK1U(7dc^4mf^{n<`+o&;s`{r_cjEV2G=TF&*2U#b2>@1{12Ym+v+&9YRcfZ5o_gse>J;PXRl>d^z4V`TW2 z+DfU5$K(0A*@=JMBU0O9^~8r_mPC66*q*OK<_t=DA2BTy5xQiX^ztmKxl2Nd6h7I? z2FSmN?U~YjzoX~>G*=!uok;`z+_p0F@O*PvxMvwBs%u-bt<#$U!s=rHnF(^b} z;phmQa(Ro^-$FVajXIJ%Dk^W6!+&x?8dlc5EG!%ui}(6rB_qstJr|E2L(Kb=pP5W- znoNY5tS8H5+6RN6DWK7fcDu&ARI%`UPUD>ac&^3o;j-t5cGd^uCr=2}_NdB^HUTg< z;kO$2Ehwjtx&)GAS{Mq%&Lj{OzMa=T3W=6WCCC9Wt^S*OK^YdX$`Dmd=Q;;B<~)3&zU z){YvT;5DX_un4Nrco!tgoD1RpvLD-?nHX6= zc)Y{24k9$Y80c+va{oTi%jNWQCj*P~mtBo@V( zrP=kZT#>DKeB z*U|rK`{G-z+1K*pXytMSy__w8GdIWg>lc}|qsVKPo_v^1p>Q0ifwtwK{QCJOu4k63 zorz14RR4?G+EIBLmfNPJfir0Drmt_JELPbIpr4FfAbWbcvd+V@$iENb?d(20JjbHz zphf14AqS4gq4iQf>*4Cd?X=Ge=QTUq#_xSbRK6Ei9)Xhz3Ub7kVD z)lu19$<5dDElE%o8BtvC4rC#`pIom0Ds)WCS3DD&c-dbJPCPW1t9U07n!WQOdAg$7 zt&_tG(2EDQ)xeABP`%~^5VR8zth#W1W9$$LDUfw*$&hslX^@{XB%n+hS)ubP^{d>z z2$!bh$B)!*XZiQdmqfM`!??!UTwL9G5FDD0v??*}@U|QyY-wY#R?>|gQzk}EGDC55 z%EJOvZ4I;q>>Ow`K*Z(dqQ`hU?H5vgPT|S5lDS~a6&9GNp5bXZKbT^7H2?AAb|zQ3 zHdfhWwb4KC$d>&0h7iGX0xrO}#Xs+%ri&&GN)vQy;sOu-COD$+5nORxenal`rk&UC zW*oxlGXVtJb;rfQ@s=GVvodI{B%62Oh#4v69X^r_4Nh=jLPUVH*YEQq_)p@+`Ow8%j^3l|AKc?4Uwg zS{b9wc)+zLn1AeG&Z|9xdg@>%cPd7lj5zIA7@d#E$~UQhRD%{Po{_=+L2ovM*1|Im z-m}Yr?zQ@T*ZB2OhE&Y#yex3&)TLKl3uV9lgGpH&nsFP$`jS)LAty$X8MJ?eyiJ$T zPhAD{`G!~~i*8qY20O!>)8EL8U(?g%Z^Db*%< z{)iIwD%%16Tla2Wxb9z{fE#uFa`r7Vcwu11@lwQCc!whM=8;dxlEdjs_ZQ`xoqZ?A zR?3Z43vB|^^k>izgnTPKoX(3To2W#-{n`iK^&PxI<{gpEr`DZSq1C5WQ9G(Lq9eFF zIy)QYX#A6`&vz=DM)jNvE^G$=>~Q*yeYDn8{YE*yAG2r&c4bY4I30AGq7_M_Rfupk5>_7pW2jOFc_8flMY+AIRE;{nt87J}_Esw-35M zo_btD9nmA^{@(g>@8z+)cw6M;i6z>zDU1>tdhY29j({kUvhcDHkG<}i2gXw#PV8c& zUdrB*g-R4m*TDGOsY0|ywvr;~w30p{P3dFC=wm9iw9lzJwmcRxT(NulDo>=Sph;6w zD{HxnUKV&JyC4%s_J*Pox1sqfw;16G;y_QLDI}!BR}5awt^JXEOFWMWDjgq3$eW)) z$gG8U`6~7EKp3aKCUZrSK5m)VPt|%J?tmwTn9(?a_`B>rUMC?cDXV9%*5M|0AH5e` zFA!$02_Q|9g`jtSGA{Ag&b4>Hv6V+qi-0J?hBP_p`MSSD+5N#uDj1_~Su<``9re3V zl8iS@RRtc5H?0Q73QVD0z#LZ}!ib*zBeK;JlgbKjB7EDWs9P=st62ey_dJg)UFPGu zz!8Oa4INLvcgy6E-vK?GbSq9E$@_k}!fj`yovz0`->uYH>B>kud9unAxW$=45&OlqIQl@t+h{s@&`#jEjHF1k~DAqR~cGT%HQu~yK1cfyB7K!o4QTb1$4Q#~%7C}D89s0>p zEpW{gISZ2HO-3~OE)|h!%;Pxs^~5TzgWKj-(l^3$0m_9SRn()~%DM1tcHovnySv za>oNbLoU*ASqh$;EUHy4sAZ@>LO>i)vW*s0GX_ zSW8}S?W{=}U2taz-j{HxVV!#_YG##XwVx}(W|XB3)lsAO?yGB&WTQoo{**ZJpl5!m>x1qyOg zsz@4-8IOtSA&Q(ODp-}>(*-2B=@h!O9}=s@JVFMGOCpD&=HC(~O}O4xT36Ll>xmIs zjhkDRD|L^!mNBTY{9)Y_>o`-FPwID7FHE8PeLOA8q}m*N@pc)@qR-o`G|GGpW%Hzh zrXqcTsX>pO!HlkPL9<%z!?1q3k+iG=;)4B)Ucr1yGTsJG0;*> za3|ZJ_|BPwK+`0-J`kmFOliq9m%@yqqMML1cboZLBeOu(KSL-jof1`08?wtn6giHC z#lwE_s|pt@hcpc?QR8%LkxXPX`xhR1Z?)Y*q=^o>mAgH>F+onZH`U=%yZh!Ki+4wJ(rlKqjtzvF6>;y= zlO>Z+<-DgD^DCqarnT;zI5*dzJ3xEEZ|3N|04_wZPcaa(LG<8z-qPxtgrX-ocH( zlB+u*MXFdUSCRmAkQpRDvEfg_c+%{yrv*C&UYhK6 zu|O2`5VJONv%TJIsvfs}9wDDnQt;F}d=ZPT*cj5cG8aR+B#--}GBU!v&O1A2ZQd|^ zGb?_FS@928apE{IJZG>3L}3`_ASg8U7OI*Tv0>>q*Q?8S z{9gi9;_9odyOCgZxkte;DBj4SBf=;K(4pux@5Bar;9jlL%o!MkYUCxCcgZy)HVh~F z#W&6I+pSoe_pfDBH-uMf1@_LRJn)TzvHp8?E&xxEDQ$@dNt96>@Uz3;)IjXYnO+xS z6!0c=fH2D_cs#II5r!aB1aK2KTA!&-Tzx)6An@sjUXtP{z*KPmh)9y zqu^Pt4OEy4qkt$yGqGV@bCB3@$`xR*H2jIx&u|3mPu6kuHmsvyLE^TUzwUSV|DYzs zhVOM|QrhwB4C3k`$w$FR_~xLcGNYsOA$XR5Y=<*e%?{lrx@{n9dvS`+&P^(Fw2&YJ zlr^F#>|H>Vee?&AMGct8RYz>N<9zh5#!|<#{jU$_z^foPOXS09wTB4|a9Hy*Hs!S6{y%f@MNh2KTLpv zB_i@JTZn$$Dc04C^^WcCBp9_XguN!hU3=e&qSiNz3j*OIa&zN-#75xej`^RS&g~$7 z`E0gRxaUH8t3~pDIuruaaE8u3_#Cn=+Ag@7v zJt3Ga^>XYRrkyh}bLmVlF=P1r(ews~6%4Mq8kP-FGQ{3+t(Akj|JXNt*yn_T2Lchd zx$L*b{3o8>|#30J)X z%6?y0uX}~-*Eg)CPpVcHuw&b0Vg`*F5UtqTH~eXENdyeG78hRcFgkL?K;mw}nfjbh zJ^@FR>F4jbdj$^lx7)eu1D3)0x$?K9DVBBheO}6lw_S&PU@*k-4El{`mM}tU>^6TB z+Fxs7@UC48!xQO4y*8S)I@uvo5IK64Tx20YxRwyEZh(gtM!!>3d+bg`1e&SOIPtrr<>ZJmG5PR9CNw(pH!Rm}-H%%nVhqEl;8| zL5%Z~3GhGQzK+mNf6*oRx_TQ%FgPHZ2@J*}1?=_4jPH5c#7v(Im75zCC{if&3g)Z( zm#4mFQtDXDK)eP$VJe8K1}g~S++w{WF8-Cz;`WGQa+rQeln{)+Q&Wiz2DMRY$}pgo zJCNADD*U@buft;fH17iZW`dBuWtg}svUbqo@9Hps!K7!a?0C>Az!gKl5a~k(MpqLq zoqg^4xpVN3?I;0_3&C@oN%Vfo;x|yby<=~^d!VwZ$76Fy)zw$4MpaLeJcpnINoj3X zwRB_gFKppJ7?cWKwvBZgP_xQf)L&>hg@;> z^}#{D4GolcJ5Ztu2xQ^~e_gx0mIl&ysxzhL1se>ilJkOj`+s$bry|^Q|M-->o0XG&@@yJS#>~(Rc`$uzI66cuKo>$E360i;{rfP1UKVi=F^H0z`oyU^8&4 z`?xb5zs-N0nbWO~{{0X;Dz{-vMkY3q>fzf=jJGrJc!!Lb&*{p|KsX@lXkFw#p0!2= zqPCUaTUUG{xwY08b*jTmJA+W+GR#Y>IPVvu%WMy)?`PYSMc6+hmhCXNfD9o zg^L#ql%tpcFldW9luxY(;2DUgn*ndgQuO|Vy;ihziC3Qy!2nOlX8QV4AWRxi{FZ7^ z{4DxW>K2HxATomqAPhJ8c)^_Poe1DrMx4PFRFplWfK>G{C{a>k5DCVuM_->7DU1Up zFZdS$;GB;LXafO(sGHct#2_5#3pxu<;L8Z7I?(9M$8pu>7*k%z*u1r;ANS>K*hkmzQf&KH<(wayK!8WAZV>}KmfrnWd;EP zn5!CM#HxJRBYOHSTSZ?yP7Q{<7y&~5&jAfQ-VdBW2Kpes=9+-X99xsie8F#2CYoL3 zI~@4|ZM67?Z=z}trFoZ;&{{@3|F*$_D~15d0XVx-`i|d`McDoEAKS?~Bop*@Jsy&( zxk!C+s{6sqpPRw~lvRUzNCuM_WQT3W;L_M_+6N@1$x~VV+R6j$nYb}_icbuZE--e? zFmkGUA3mM3O9fPP=K8OieSIZ`Wso{fb!`6JWlLZTdl>l41Z$#k%4|)Phq0R^7hAXi$uw!C3c6^9O4C0Gm2CU2g=-wAjw3e@2dWPSx9`_!9Jzn45 z5t@Twf3RblgT7zI!hms)9el6A%?23cmTPeB?$;m0iXr0AY+Vvn)&# zeI@}2KuBVu1wCreV)?))q(%U*1OQ&+{=uvBD_+T8dW!#Q?mLzv7kLj6wS^UPl4E_W#AJ!9REv`v)g8waE$^U!2#{Gj=g1>krf5ofVKX_&5b_GV&{0pzZm;Z&= zfsTLh8dndvuOs9yUIAeXG++LTU@TI=UVrgQ{)$(20Ivjp@%maT{=eXL^xyHy4&apl zz-!ch;PtQV{^AwyI1~B(l;Llnbgy{51}YnXS2ii2vGIymoaYcUhSC4PtNA~8jr$i~ zf$H*#*EH%;0Iyg&umpec3Z(THuLEtE=KsPgAo^eN8ux$3>*)UmuTkf~z4b4=Mge#o z{Rgj6fAJdk4_>4G;`L?}EURJ&qBZLj{POIJ`YLS+khr-&J;^|Qzm0_ve11M4epNJD z7`1JI(a~xyIf&dXCT8+9`!}WS-!1xvrNgZ3aubK|C;$}+5fg30hQ)olXetoAdNLdk zjoM8M2G%FucXE1PyI~{wDy1^DpAF&4OOI?f=xYrYu?oQx3&^Gw4^<{h)7CxW)Z)OGjP+xvNKK|&uZ}e+5 zUOC3wbw7{_9<4iOLMHCU8{K-k9i1{z%c*4=5G{=E$h{_Jm9M>zVouFQb@l!TfPmUD zF%$T9N(KUP{#)N3EYW(zVW&!*1t4~M|K~ooza^FD0E2hBN@1RiZUB2j+yX1X(JPS! zO^i5_=!O2Z76Kroas#88AYXfpDh&xBCV~h|O8KZExRE&^IyzhcZEF4rvo2qkKCG4q ze;5abr8EK7PY?{|2fA|rF(rtw0XX211MuD+264!%s0FYGria^59S2NhgRxi-M`|#e zZ#YH{bZ5}L%3RdVflbl8QyMUs6VQo&#Wx~4&`1fd&+@SX^kgg`dEo-1rw07b5MFD= zndO!u=Bw0w4NSS1ujqt`nNh30Apw;Iei&u<$LNLR)1JHYf; zP!fZ_PXeF>r0-u){uNoTpoD(~A{Fn|urB1U6gN*0Jlc$GvqwmlO>fE)nCQv8mI z3#N?!j>#Uv%>$BYh$cd11k%UzCOXt^qJNaMH6Xou9ZfFQ(;E~0)^FQeHN(z);g%5@ zg4{kKnM%L|4OA!}1K_4)%8zA0{IHs5# zGdpHxW@cu#1=dhUyGF8qdwY9rG~s$#jNw+T zQ4s>B`jG>wFTmmzFHIlD&MF!4_bFV66Kvl^nHeMr8fr7@ho?@kjzWXt1k1m-NU*cP z1ruugSI;7X3nBv2J@K#efd}JS83>Q#GOEE6cGv|AR)@PrqAiY`A4|Q!!Yt~a8v|bS z2L(l${_RZzEA-O>urllem-@F|o_`Kcdz!l{%wLrYPC^rwFahfK$N_r|YNwqNM^6f+ z3}2*ZpunOxpy(YK9|U-e=)=Mi>DAE`woqiReNZC0Mz1Y5_;{73B&_#O?ykU)5!k}Q zZAW}gBPdGx!ps$%>11;?obq5_rBKXr;wlbY zqf@lD%Xf_ylouiBxmHt!@E2|D!Z>~e$xAn)Oj&_<{9fGjfQZWR<^Kkz!^7(W3K$$q zuEnVHDAtKA%tUaQZNr;gzSU;^J+KQFhcEan$}+)61LU0=f2(aWbGZS#69nQoV7~z? z5Ge1D2d}%0SH;D#P=ygX2Bp`^mgzzHmS!{qOs#h-TBM^`_9`RL0lF5K#D~Y+k41@kMRh@J&->u=Nn0-h;0;}g- zLBUB*rza9U0l(8)o_~Z~c-Ly;nZV2r;qQnHt@CjREy_s<-l;E;wc4qWvrAb)k@92> zfB=*!6=9#P-mldp9^6Uv5c^;;3Mrg}V`g_Cyh{l#k(|GBHgxwZSjWyL^?^(G-`E21 zhl6sX*{^ngpq|RW&2_d2{gc|qco%R`>P*7J#dZG#CW)!62ROgvA`i}ZgCgyH!62Ru z%qfXb^(6mQ3eFpch`)Wsf0Gp`5~6lI8}ge^vQ5^?vEB|s69o*7I0U%sxc1i2;QKdp zL*~|ekq=s@w4-NbaQ8&xWCBncOGr=x7_?#kI*pIhjeeR|k(hc{T2AO8YcYb|0We30 z1*3HvwVIpz-$5z=m3I;Q8flPvxsHtF?Cy79-z=u!#(Inl?0<->3}S-mt^{*4i{=?V zPbFBXyEd%s2J#)I?!u?q`a!&Sit4zKPnMnh)dNJ;=>UuGA6@ChYHI{1MVHMr9T?Cg;$b)U?T znUV>+)}=+B17FUc*;oI;rPbBd6EIu?)82n@>7Tav3zsbfCF0ey`X_>LuYr5t zhrsHU)dVaI(7wr}CYxtT`V4p^fgAC!yK3YA1RE#AB?DL#lYr9+Sb7}C8JXHrSHOMJ z*Qrj^)B~$4lZ01;xNZ+HI|N@=82kf5AUVFrLEvZ0_Og@T(0(%N3V`_!^8J_L|3SY0 z8zNtt|2N3@oeq_Qs)?63xV7?ugeSUwrmtAF;z9dKl_=Ocv1SSmc9+lzY5{>3f zoDIGo5^ojFPXCNWsfp3ZK_XOj(`&>r2_Xk}3Mi5iCmO2xC!D^>`Dt$E&Mk9C>rF!V z0)iBE4nf=9ptiv7%C_+}1NM&5H3A?;i_i3W5ET*Y8qE)+M~IRnqvAN8sRYZ@sjLX~ z#hJQ77}P)DMOg-ENSrKbuq{x1mVuCE5~9x!q754kK|NdwXuFX^<8}KgK)3Rl-p6yT z*zYIt)?qpEnmdDbHbW~PkSwL`oMYapU60R?{TF8(UaX;=QLialruXhDH0XpA8hE)@ zi-kJ6fAVSMSD|;{(d~6yGJimrW0_pz*~`m>)o)aiIY^+1>-yet_(n4TWX#n%{JTnQ37b7WIXkt$teni`{O)M~*P15BMy!gG)j_ z)FkL{PJv>NMV$RYo~z}A<9n}2gqIrZ*EvT(wKs>k;0Xk6^G(MU$BSDB1_q@Ux<#5j zg&#)Usb9u(LY9ArX6ZAhWXUHlPxN@9L%e%PLbwg9L2wwx1`tN$^hW0*L9`Dd?;4Q$ z_E!APIc3oJTwB8!pxz9@;SF00M-#wq47q#Ne@Z!@GQovA@|g@E@PzQjr3vw zvQUa)P9kF$b;XiBY|#=#kMPG#`bLq)*Mf)7Q*nE@!a>pb?u1j!WH*qp+_bShlggi7 zZM?T<&J5QIP7=6fkQJWreSw^^GWn{_!_3XK@Tn3BEL9GFN^XT=U9@C$C(pL}UirIx z9V(geY$Ff%mA9ufKV{X|NvykZf-U!Y^21D$Sc#p0Jp@%n)WQ2Mu6F{G$2aW~yYGQjf9;%^^{p8nJ$RA;##l-0A&A+Dua1)s^?_8+Home8x^)Z3_y zmMeep)sV)@;wGa|U^VMALo1J{9oC*Z5=AIKmS2&$NT3i#7t`7UCOt_JWdYG2>mms? zZ(ckE{JZ&lyZq7|j$D-Xy;-n+y@TU6^A|VC(&*ea)uWHXkrXsgt4P2X6&^1~9FIm} zW>tRJH>%H{i-4oc_l!$V1W^eNmc^D)Bn`{GKgtDljnoIneBsE)e*V@dT4Hm{yYb*o zWD;Z_ysIwEZ>9F^^#9EwPbX?hZ)r=#M=?$c zx5@(Uwd;?CIU8(#sv2S-$5{_LAJpi@5ATrS4n8I39?ckN_B%*flT)*dxuG`7kc8ae zLtHJ>_JrRS?mT;b2ECzj+4u2=6$l^MC3$1hQxNcqh|@}<(1I?1D~H`JA3n|?~?ykCiXL0s%o>nPTB zb7HZ;poix^6Q$@wF!nzeC>x4k-ubEC^y+J4blHWMGCNi zX6?MlecuLyCQh*QDJJr8)IvX{CDvbp1YJ!aBkEM&8>Z>F(s{3qL&EkHaJJUZYQ6LM zeW^zrjs<%F+NkKC(V}MfUe0IKsU?RMqFod(&XEv}2)!X! zVd^1lmqMv--y{hXX6=7vrZHzdzSx+BUsc3W^dFWX)|G(69<+mqw_ai#-XuBSW#?L_ zk#9ZeV4ga$pnSIx<%^HJQbPUD+F+a`^Ks1i+jF;PW>h_5+5co0V7GHe6kzMS2oHso zt4`hZ>>kHx3|$;#_+Iv0kfU(Dnodis-oWq5MM~plQZf%2%^bh#sW@{WWy&zOJ;r1gGB?3y7BVNlD`pqsPHj8?aUi;Gb_ra}m>Ns$ zc_fd*EY;f!Vk1>|1|HQ2NEgWHu6$U)zOnA&@uP+pu*uPxjyzUKCbm{Cqag$e#6GmD z#Gpd9$4NSW9E!4Gx3CNpiwhU!yhBCB6i239Ku(dVho92l`tLL9lyOcF3AQ5tO8b9UmAbWre~y-vj6 zs7E6`kAKFUPV#)58eWp=n;sCb}?k+zlGUvK}j%H;#P^+2E~guR7Z$h zduM~N>M2985pK#m?frW-`dd&n(v@1IDKF}8T>9v9azKV_GYoi7JRo>vMxU4U^ar~3 z+pk`KD-Ruu>~%E0sLH`;`fi3vdH6}TgAB)wjq2$Mv+e{9jhF(G)yxtcLX z+yB62*$Z2Wu5- zD$E*jyWgbz5)b~V^7#wkCHVJ-unW9zjJUz!UHO0mOf2jy9Gt%Hu^@2ICMted3(>&4 za-You%zLbhE({h1ZM)57Fs`rI{K>NhE(yozH`C}%-CRV3&UZ(`Z{G{8hh65{iW>{Mv=;WQ%B&lUtqe{E4*TXt>xL$U3=Tq0@RzQ;tSgu< z6fYFZm&j-Qd(oc9Vcli3`NV=>|0%~;ziUT}J9b0T{8t*8MVjTZwFa3T=hQ75$_~v1nau^Y&l6qL zH*Sg6yok?i%Yc6D)-GyZ8!tRvyP>tE9}k5M1?=QGjkuPQr*dyjtkcmteCJjuV|$Ln zYjZrRmkqdn*@Sf(6~Fj;(jt?PL(a5fii?f+{`bCT3*bJdNV^SC zKV=#)Ke;ZxHpT&{kK#r;G>5|}8iK$v$NvFQMAHGNzj4Dp@8+n1ZMD)Y>ump(&&K?q z{^^Sk{eR9t%wdXxCEn$9rW?7u|~w7XWTYMR_%#RTMp#6Z1`Md zegEhd=x!7{RAjX`r?)Ss{@1f(IrV>hvQv2;;JbtV-27S$JsHeKBYwWpC*D>%U+zb< z#_#TJOu7)1mt^rty+85wAiIXnn)!6K@_f5R9XoydB{D;89b@Hj;PR4+x(gg?U>vnb zln0bsXsrte5DsapL-YOoIb*fVQWghOU`pd`E!lD{-LkP_W#cAz^}Je(v%`eJv(nqup>iNw z3jFLa;5-j!y9{^P#5ifBnxD#+YVjsLr`z+rGuPab1@QBloZDbnL)u7IE@W$28(R@3 zP!@l}V!ykgu}IIm2ur5S_0A`B6PKO%;+?;B0dQ+zT6fZHWQ*Fyu+Q;aF!NGu%xXVx z&|QDfqLZRp7dS0mZ>C!BCMa~P{kui^-VWXxpXd?+8@K`9Foj0nI<26~L6hkM3;=h& z0{!74Pn{OdcKFby71gr94D&@r)IzsSax&(F*oNlRyvR|u6|Zx9O?FYzCjZ<$XtWWo z3;e}57iT}_rM@XP`X0)g_7Tp_8-dpeGl+PX9Uso_&(_Amx7wn(c$cdm&ZdiqTP4He_!H`3gFnQbvtu$D2uQcH=dpdkEfl{z&zZ^q#oOF z5I0600Q7H0;{s8VsMNG#1#{amjW;)!$!yL1EKcs8v*3Z_P*%_^P`*jC zfZpkwpkcX^S(Yz;CPA_-3*dtjkwOkj4K|^Z=4ZJj_C#?xM;Md6Oxp>F>4UdeS+!9lILKc3v z+Hx^Obc8JA|6i_D_O=w%LIQAtmu>+OiE!vNs5!uPCoKlIz1rp7p<&{J9K^)CNw=)Smglr<}=GlxSaA)JLkKcN3L3_lb^#yK60 zH~w0QZ>fl~Wo)tS-|FO+n)YDnKyYZe#l?Fz+2eop`)WvrX}WblU;*`6j`xi9ycW%{ z(q-=qZ1`OF&Pqdlm{+12210xw*Z&#e&3`6v`=1%;joNct!VJPTb{BIBMPZ2G4X{;y z2}A|#p;BuTxW|tCZiOz!4z0QJaN2Z|1N@FQ)xmTp7)V@;2Cr&0w-lUxy{cx&APlax zbO-vMHm@|2WeM7E*h+4@+gqPg1Ne3A-YQFmt}S!vvj};fS=q5IW2Sk}&SuQCyWJWI z{Vk@>I;pYuLgZ+5N#(@tI6H&7-;j&bc=Su~fsF2$We3u&&z#3yo{F^VpfUEr-Z zR0Yc?U!Ms9vaFMbYafg4kp)gEeIK&dTS65yMMF~8Yn120nz;5y2~aUp{9jX212!SA z^C1IXs+9dFL72qFGul3>-EY^%PnkoZCk52R#WDFl9%QDs%86(TlF9;|$`$kO>H0ne z8V5}BJdYApV}|W6S5Z0%J&h;3_*IPlCwqG!**w&48630I|CdqtFi0 zFD*|e|CfpOxdSeU^dcf7FTXuomc9DciZbAaS~XtSRpE--IFuG}x+*W>T&>ovw1w}K)h z0+dgMZJl@+7SOx!_h~Cz87#c)F zHFRLTe~bq`sd#@dRpVOq{bQ@bLO8e9;B|yCG*DWB>_Aiu;9F*413+PE$6oAP0^73R_Hv*2X zLsjtETdF$*QiU0AHnq<)>K5`RLo~1Rk~VXjLDKxqDQ{TTVCWwUPtq90+n-2rdo{Bx z$hxzbx8O0<#DC}YT1xt1T9{uZ-CG2docz}e;OI^#Nlfm!Ahb&5gfkBPk}a{AnX<=3 zv-+4R4VT9JVS5AdsEy7DJS4FN7YML7e;nbQN3XBJNG_VsUlzZVM1kW)Mf+KD-fqQH zYFQ?5w4I(r8%=|nIpA?-RAMsQ3Bx%jb~_ld0e%#3>TgWFR?3^W1OS0Pc(ioStOO2s z>jxXB6v;FEc!H*5a>;J0v7*bo>fJakZ1Jd3fk$rUZKq{u=rJ3b+__M*E)v3O0E!fX z?zr_n%BcaA8GJkhUJ<=uHVb5G5H}fdzn67;L~naG1UH;dE)n(JFR6Ae5)CdUPqr$4 z&ArC5{QbB{WY6k8N_eqj>D{5rN-&TJxP0Z*G>AMmujYoj2hHodVl-e!!6Nl zy7b27{{CUTTQkaxx77FY?YhY>`u!Jkz0XMYuWDYQ3zU{nR5*#QE#~izR;R)wb&6h4 z5$4;@JqbUo=}mYFF~tZ!^Y4zn5B9Mpf|)7jzBr*9;99A zbq#%R=A_nV)Qc$(ZCS4Y8Oy6hTpf3b&hH<|NVvn$Kb~Dptl~j`J5z$2RS4M(tVg<) zn4Ok&(BGdS6nQ>4#5ekezA{;w_clgm*6 z&j%b*Sv3LXu0s~{{1EW(JYx63R|w=EP$R~fzHejAETwU46g4$r}z}=Y%gxF z43{WMQfG9HQZtTrOYb0tYg<;yh7Z8lb6rIK&Y~ud5BfXXF#?NjNXU!QwM(4Po_LH( z6&n(siUY7fHa!x>C|Ka4RxSsJ!t9N%&2`z;$jprj3cqkd`C%4#hU&mJ+AvsexNcV-nw|m`9#o!m~$d!xPE46k?}V$Pqxa;uR*S!<*1E>ORJ22ekd;2593CEtY>xHcPo{8v*VdS{ zD#tJsp8 zqbnxta#?iMj>p*wk-qA@qJDvleltP@=L219TwZx-Ic!8|IcB++ND8Uw61Mtf#*(t( zs4~t2Q-$#9Q&FcYnaXwu!TeG4m%v1Ca2xCChI(LsOnOj-pW@~9z4VB^$}JVo1AGT+ zD4Sc@#tox7sVOy0s&_m^!NZ>FZK$haNt? zw?6D3e~?$(d)%^TXj=DV?8K;yM8#;xg>uYYzdcn}1kK<-Ec#awzXYddgGXcTsDRK7m z)gm~a132j9ci<<53fhwe1#-nEg0Y2?&R4pVOq?PM*n>q|2<|%;p$i(hAYPIZ25FL# zCJ2&}y;hBEZ)p;DC9;hz-b+k?%m)kh4rIdW;W!prUY)r;QTfIi3|q<7dONgFm-P zLTn4VZ%X&FDyJm8T@^oKT=#cenJ)966XWbhUQRTzowsqYMHH}Ymqtt|1qP7oAY+_JSW~lKQe=*qTS+ zJB+8?cS&Sq@$8ROL<0`-?@a?2d^VmSmMwywO+Rg!r<=C}uZ9=7tD}j;X=5Ck=d=q3 z8o`l!XB)wz`-CEIo0DQp;7rj@jiBSkO!2@f5x%O)fu)x?D9u1`-adTlysnLcqXqLY zxqnk7RW)RMrg%S-#c+F>R8D>;d@a(vq08RJF(b8mFC_!WDz2aYVzUio?W%l{Q%uUC zsRAbOCvWTeZ7-Uk-K{A3H>D`t;mFqWe=qORa;nX@)xb^v6$-xg@N=+LAWzYXHjK|! zvan&Go!g5poL0ZJ>-)VvvGDQv%38f8v84MKMf$yX(bj_j130RrrWfhEjMdxHtXXGGI*_>`Un4-9Fz zd15+WczmUwhtEf9T?}@tIuqVYR`y#5Qa}?E4=y|FK&ByoQ1Iq_oFBO`(1q!Q;nq~g zzc3;9*3`hCV1>BkZbW`PtGLE$zW?@U!Iy`|w=&+CIzKSKCPyHr6s^#GA?B9yDK0UW zm|ek(^Hu7ynD}Jtc2A=7#K_R^@#W4M9ZTJMA(KWTRmpBYt&I-7b@0%97u{istYsH{ zeb$g{GgZ=IoNTjFV@Vs%(d;Vm$C$)j6d%{@;pH2iaO{v|K1?D`0XNPWMsxL zhe>f_1{^8(C1G=R;WSNeeBp_1A|ivn#{gfZ=L16zHc}mp{2vufdQKTo$Zxn67X*99 z+(YU5-?__{C*k*YPU62cy?@M;ZH2nr2HCo^{ZWuGX%K6Yl|tqD#mmsT^aGzkD&(mt zRZF-5TTaJ_h*`S`H8|d}M@~W_;dRc;zfnZgs1TR{N!>L;o1G$B54H5zH2lbu9$_Y8p^Mc;l7eCELNIf#8(ba1fv+Z z)ziiW&2Sio2<6=Nn3JimYADz&48 zRzzsFP-GO{NzI|HFHP=RR84C+U3X|joG(CYnP-!>VZTJic{~$Sm&?tP=iY=bP`|_a zJhf*AJ7$gv8#*uWy>_Tjfr~Rga}Dm=it|MFh9lSbgE^gMd^{SqlM(vm>g7h}h8;jn z%yVc@gpPs|@L`H#`_#;0Wd)8*2j zHd^MYr>TlhH|5Kn!)cmLhw4?HQ%9K+IkyEC9!YYxAtg`M><8AqD~-i`OUN-}m4fp; zs&9%GZ*dwgK>y~*IAj&SH{4SK?$@%HoC$xO)u*`R0pL5^z2duO2a4@*DEl7UQ7*A< zaR__HK>D>Y`%o>OrPdVtB3^<`WvXCVQrJ97l{0N~f9-UjiR+Kw=XzPQwx5a`DrTyC z-rASKU4xCqGm3Aw^-nS1Fa8X+JCGdRgM;f7H%yO#A|UQ|Zuw9gWaOG7lq_%UoARn; z8k4}By=EQQ6y+1ZJ z)2XxFudPY2jwrT$owhJ9rsL-!mmzL~MOswb3ZS?`a*a2+;5mHzW6Y@f3%eP^_>ZLS z*OTU-A@{S&6!q0ojkWS>@+C10_odka$t5dSh5ckwue}8ucY)v-{~@2`d6?#hT5T*8 zBbs+?u4ccxn5QR8P;2rq1=ih+$^F ztTK4XV$gMZ!{Xk4d&lDXTanP14u3vR!7{pze6iuV{Y7WbE9t9EXR}4_3c6Iq(_l|G z?X1ObdtafMG3V_+Y%SA+8-Ii|)(Vz_nk{-)(D8I~9#b?iSIfmK5o3A0)Jg7sy_2oL zJOLnlhIZWu>Bw0RbIJmMHH|0f{#yrUv(80CW+YQ{GKk#v{=p=$X|T(f>rAw`0+)t? zjXK(Jgm;>>Ex_NILzz^xVH->{jwdiMys+rR=);mf^;`W(7zIcpV?H!(GOK0EG6K(I zmYM}GH{{3!NY$8slZjkWWJG=>Mo%Yy>vW#v34aW$9VK+fFG=%%IW0+>`|$p02ff^K zkGCHy^6~?KubYew__+qh)8C6|2mL-p@;9#t%tMO&Z{B{|*M;F79>r@yU$`r;@jtHn>a z452rW(@Y_q~xJQ%%Fw#$6%<7wbQ4PcMr}wLP$C(uo z+9$mV0l{p;o+7UHRU269Byr64QoQzUJ8VMgEQh?>PY}z%sGls`3^=MxF*I~DvI`yj z2Xcb`^9P_I7z(v?1=QEkX9tGVjsS|)r}kgVaYO;P({ahjh$lMmg&#X@3%Arr#GNFm zi%^DN>X&(y>vf>lVVXo@A(JU_exynkzAHVDGVBef76D{mJNbyzJd})i+WYzw)FBQr z*Bt|ziKwd;cHt-?0N+SVA!#Cb2U=Mp0rK8IofN-boUoqTmlP*tYJ=`F?_+LizUaGW z_(wMuWY(~DGq9YL1tf+Lk}nZ`oXFq^bs6G+T_hr@dpzJuj2k$*I~|BG8F|JDMn=|d z=<|l5vt7e_1;nYdpYUG4RN!SLzZ`p9q%xVgLbKC06eaQhe&zJfM! z+H?;(!w(lo9vs*1#BK&nl^oebvR!^Oejc2i6Hug2yQca6Ar!}#ky^uvmd;7Ei!Vu5 zhU&oZt7wa1n;OkB;}&=es754CQCGpr&~$7VmacjqQm47~jFDbaIeT zR-uHAmAh;4qMJwc?c07WVovozp)?E{TUkeT?bh&2qhVc=i|{;%mh|*{rI;FCHV(hQ zTX5M3h9k!FEsf5kXVWCs&u!Ajgm2;~&`fAhbM{bXh*$eMFSkfmvLAutF<~GClL0L& zeAr8^)v=vU&LDI6p+44zpGMu%T9?J5s+dw<6~je#$~S(p#=5NRz0Os&X)#cT_TjxP z9$>@b)1A4Kvy+p2l1iO(JMYLAb#z{KSJ}xwh8jNRg28&AA(2+L=~3chMVO-^=xV65 zI1F8c+7;`lzE+(bI7Uqc9I|z!_BsjrQzZT{E!6**YBW@8n?gGWAri?}FXwtTB!7ck zOuArCh-2c#g+uC?Bqca+W|s*cns~Dt`<;kDWjaWdZFK)*8-li>u3$#t z;AWx*kIi{$ypQ06Zd#L{Z^y@$2JEFgRg9(f6|p7j#YJ0pC5k5A()jiLiv3zf$Lh>g zdbWsJ5|O5l+dVt}eEI@4jyd6_Tqlx9OdoYLWvwU3r>Z?`i*vf4Wl4~nB9~jVN=>Bc zu=2HMo+84rF~mL}{chVQ%^2VRf#)b|QRoVVPIMd@ePcKA@aIQtTRbYMdJ?Wq&)qi5 z%e|+2Zj>#KJP2B`WCfAX`m#}*k3YL|RM_FWPEu8{sB9+vU|r+4FnT?<-5Tnh_e$*& z@iNz1*$y>6@|`chyonr4o0Iy;gWRtk23T0e;i~eO-~|J=arnY`{D%oB%_liCJ?tDr zk)Prh9}fHKg@Jl6pBZvk-(25ln|1q0shVAWbxJ=apRCBwN492BRek3!viUObNwer{ znM$e}joiK_4C7vfN}5`k++r$V&5Tmg?Owt7V<`(q z`M7|pxuvoBqqcgFHm-?ANcz&V1$gU(lkU|rsV5DXW2^c!y=f(QwJytaBpf(j;i;56 zNwc3Tl%%M1StL>+Q=e^xP$xi_^&GpFv7gYsjFqCHv@~y-v^=TDICS`BnK&L7q+2$s zEtRgNnfiPD22X68r<=ceCMCK%l``0hRm~AHmc40qgG^MVd19o{1Aydc;p(Q-WO8Lb zeNVI5{j($IE9FV~1NN=}*=FCDyQyJ`4Og-#+mzAf!Ip=~&8oUP-`6R|?mBap)U$$= znq_q(f84Bcb3ZtHrAXI8xa`+G*#`l)*@i3sWsqZ1?vOLX7*)phVj|m`$cP#~f~UT& zv_$96uC96ciXz&&KEBlDOWq7QFDBtj{ww*?MtBW_*5W0NkIU57<^_*i>~3L8!DJ7bzTK zq%o-=t@vu6{`z(#e&{R7!>B_=Of!D)l?M}|<(L&Y_hL3NBLR^#kZt*8=uf_w!9n@QF6eM=D(Y*uxU3`e6&sOeNeEr0IPI#Xc`lvh~4cTu6(npW2s%M!Q_6~W$> zBN}vA(+M(Er=1b}oOg?-8Ne%XDoLlW+h2?iN)J6LYsr2(Eo+H3q-1UL9;4&8YtKJw z@$xG>D)4$MKkD%E>tv>x07%nU9zJ-Y6b0XWkLGMJgLOEx|DH{Also=gW~6g}5gZqf zV!#VlmP*p=;Cd+W^mgRndcuYERGSoO>BJ?A6}W3u!gHmCZ|x8aom%?q1fQ=9w36k# z8=XBFr%Gqh)DeDTtwBa184x{+hifIV zkLRL)qwt{diJnpziK~dL49~oB zl|quU_XfP!$!CU|>X*_={s^0u0yp}IHTIUgWO}_vnL9D2pW(Fz&DUs)>!$vXxAc0y zL@l-L;|?4@SD5iT-Bb@<{gmSwW7bm68mwc}WExGTn?!_QsLw4ZS!0qxaaEX~&-1Z8 z`WPiZHnBpWIZ2RDQ}PWUdcb0-j7-Q!cqTkwsZ~{CqaKf1m}Fz55d0_A2C^(mbpXL7 znO)pZCP)kr_-$#nS^#)g;ggHnv$i1B^3!cry(P9ChSqQlwYJK$9?9b=b-%s7C`P*a zyQ4mi?lXxiEuBF<%tCx?<mnJo0`h+EUUX)5bFlSY6|)j` z5naWd?YkdaO?ey2%cHh7zb5GuuM5O-XBIhBdEtj?yvh|W@3F-Fk*VlWVVa(Qc$*e- zEE(7tfcAE#WhxW*gk8|q%rReEJa}GWw7s02_O8kIe|M=UXIO=9EDO1YAi(oEM$0iz zO2Wo1&ipnNa+0N2<(sN?w35#QvEKpDbGJ^(L#0^(c$A&4PreIk+!c4WAsRe=S2G5b zp~O;daKHM4=;>s>^T!}A&Tf1JLHjkIRVtzsFQGKb$1N5^0vhVRO^1pMWteFZ2CzO; zv+rPj;wYg&Yr~FqQIix^U2JR&RdYDfLYGm8I7_t4NRkG6cayUd|S(?WDD&uQ(!=bzz-^w zB})>o$@^lf zIfndcC{Rn9K;iMsPFX(ak>hN_NZuk1E>~Bz+R@nULwq0B4dhYoJcZZ1e;=w6x+#7a z?(p+)L`mM=u~?}&FK)_WaJ#@d3)aR3gx)Vd4w+s=ZyjEkZh$6AJdaDZnFhbtOWje~ zbAFN@@)c|kNN|6BaDV(>{vOnFTlsO3+cBqx@=5Xmv|Q#;CSuKMY6_68@^A0(e@EhY z9*=D6oHmFvos!&+Ps?j5SsCzp4)NbM$W5}l_|=ys*u>MYz z!KNx3a^dtuw)+0e`EY$pw|GH!jwREyhMFDWsgg|NEoGFW$Zv%@S0Wu2 zQ3dm=c_N!(b=E1%?j+6adm*L?_jrn zmwF?IY}YT-8dgxs!-E{`Tgv^#CPQUVc-8alQs9+9qgUbk1!Xqd=5h-wA)U*;`Frt( zf4P@6=hv544wwez!D@qPQO8rJd(hjfuR!x^a?N+h%a9CjD^vOt5m9u@n$t?o%iU%p z>#3sWVpp8IdmZkmbO!&iX@*P8Pc`}KT8ZJV?vBnF)mV6|oMyu73b5Fql?S*rehvin zyeAdMni|+S0b^ez-C0BT&uMV=or~LZhMf;34^y`(x=-g`moe-i{_gHE!Cb{`qrCp# zd4C3*ZAR$}bjdzCUOb)f5BDrp=YS9uM&4`Ap2BOt#=MCs>C+YqgsP&wpFZ^0a*oMV zZdvQns+vbt|9LtRd;)Y3eV(i@51&+YoJ68y=EXnOBqIG0u;> zkX}3$HW`J<=Uh0;Ixc!wht6UB`k*^s=&BBXdLr^#AEfLa`qd^&;IO#OsG1S!@GwxP zCv<9z5o7Qoo|}KPi4sIfe8bM|_TBw#|6-NquAt`Vcc6Fbb2qH>9YNWlNy3~IhG4VH zgda9)clu%$@9JvVRipfwsUP}X!^M&Du}O8dYWSm4b^aJGMBoO^@G zc(~G%QJvj&^wL?9M?*XHphkDaYFa{u?@dU8a-oQ&JDmM+bYl+1qVrSVe5C|@yEo8v z6vuF!*5WCp!rfdU-%Z{*DC(Hxyx^9m2#dGQIzgjLvN0I@?&r|$TBS^&1(UogRiE;( z3L1?|ys$aadX!aP0`m4~uIZO_>#wd>#eMyF#OkREO|@5*{VwLVC?!aCd3gEz36Gf{ zex{TZMJ_8Ag=xqZ9h-@}@OER)3FKgIK{CWzK*!E`RVIdqsN_!xS=3*}_1wkg3j?Z; zI2oR#)Wraigo3~>Wj!_80eu~i{sFP#j=%yXTqI5A#{_R0Z+Qb3Z8^qvE=sCDNF-G8 zy5NpZsW`Ir)LrHowBhxmL5524e0zZ|-%%3Zh(@^gnY*`*n3kKHm7@~p_3>Sxixj=I zF1yrxXb!QJ#>~F+zsS-L*%KTX%7zR?PvxH%AY`m2bv60A>gSyFel8-Zd+Q zh~Q2<17=SGd&wgXO)#baKCHbX8pTLMj*iYI3@%RfqX9YKXZ>mGN*HP&0cbehCDfTtPh0!aL`F-z&0QW8^g`fhqT)lFd?|0KltX_nT? zX)UPB>YktMdq)btqlJb#@L+0^iPd3A^VX*w>Z!om3h&tAUY-}~3whwrpF(H3YnoAq znQ6|4#?zUesjQaS7Kg^o?>|^;Ff1<6FYZ}cXEv7D8oBru%kX=0LPOjBK03q;|0GAb zHR7LJakdN67~TgbFt2qg)7Lp*yTrsFH_ox^L{yj+}KU?vtRiZ#Xe|Q zK9+YEtyjuUa5h~KY5a3>F9jg#?Y^&vA4`k;Q4~RD8G}N+*T-M4+hV1R{KMCrn)|O) z25U>gVwxE`5&6M=grVp6MorJyPiXbXhIVC;N z`VT8?S}yZ!%3kD;`i*|Ml8?LrR$@C&!gKDCTwe7)VxxSlMu>i_TRpQueW*U^r#^7{ z7w$8>j-ThB$*p$mtJru~(`|WIb&T9=Id`iG^oB6m79jh>gQ1j}VZ~trN>_hw`T$R^ z2Nmo-e3jrm#>2P~9u#{dtc&Zn!q7IwfL@p>(*GWHas-qv7#wZ{rMW6M|1#@m`83Lb zZ?Aci*279 z8JZgzjYW%-IV+02l8sza#;i5YI&#hu^swcX67fbdt>spQ8SVmDCoOt>USO{9tEOF< z{S`30=qy?vVN)|~*H!v7?9yA#OtF)Ss)oKd2_0LoGrU z;_t3A_xVYDU_;}7W9%!y;%J(6gF7tl1c${P7I$~|;O_3hgS)%CyF+jf7M$P~Bsd`f za+mM_emUpdbD#S>)%8~Q%=C6ocU4#S%=XZ7m5nr$7W8VbaYp#Mle0Mn#ZAT=X}MIg8}1W8{{zT-AK!>ya8hIQ!xK2Ofb7W7M!Y1QPG;dA;|o!w_DPiqI-8t@q4QFR)c*gDwDVrIaKs$ zP)dVW6KHw+g_3w`S2h#h^0v_~PVHiv%&flFBS0an9Lu!@k>EALY%$GsP@n!Ox`+7_ z{o$_DxAco{o!leY^=~Hy(yPJtd;(Z`XR1_FMzOx_ow*<69q1^rv6Cw&C-fY0k1;2v zzcoDa@tCxo(NRaHVtkfG$P9z-G)cmv3C_Iv{e_Ow&0jmxNKk8^4Bq_k#D3lXXB`}l z|Dj!OWcX-CG8vQ7FW5!_^a5SGEJ7JguOaEQD>jwkIQ?)vzV%Swd+lTCP+vOS0J@=C zD6YX&S)O~^T7bhRKM;e(CTKZfbua=XsT{$cU63HVu}cZ0O4DYMkY@-br^XRQXOFqn zePDFs%#fvE4r6t>G4wI1=*5|8i_kd~MoM|6Uf zQs8KnM{$^%{I2%ZT3sZfqbR$y$H<3xWlwD`e3xE^jz(j1Yd5^-#0frQ@zV>X8*M!T zV)HuT^k-{I<3Jr+&P;1;pV301chz4+9U@`$NRlwoScbLpXS9wS3H&jh!zTh$Te$5@12^1QOU~X`BRo=Iy@O%P+`rJuBGy; z6EzqrlA4_;8^4n-tJ~XX4XLvkqtb24eUfgu0L`kIF<5joUuEwTlDkTMH>J^BRV(g# z!_9d*>3`vmj=MBD-?7K2n3XB(cteb4_9n*{-;L_mM#Yq|U{F zTGuT_s_IO7%L6M=r)O2WDAR{1&Sxyq7wztxfErfsOzW_45hvZu(aoj0tiC`?^qChA z1wQHTiD`HyFVsyJ)9Yx87n+wu$EDWt!)a`oiny8?$sOQwP)dHiBh%R5O`fC6&dE}E zO<1Urr&4o?yB{!+$ueM8P~E$*kdU%DlDGeI=Aihv%5+H?qh!D8U8a3(Mi%}e&m7Pw zalP;~!p=?=Wgns6iORHW@?_-dRJc8zl5@O3)*Hze5|(1IO`7Hgy4-TgW!B)6i#qjg zEw(Iov|mMfZ+~`6uCt<@yJwi+Bl=#q%8lK)7j8;Hve4%iVza@HwO2^l(QGy_+vmGO zgikkJ!Y7$XhON%*1GRTaTQ{qgAg#fAFLlyGIvTYob`=$K>dVyrrc~4)=VoXgB*IZR1i|G<-P<7acOhMB*2K$A@;>4EpWnq)5wd7ZR97OYxZ%vBi0;Cp zIOE(AtSDo`n?vWWeC2wGH#O9jb>5^%yceu2Ws1O_C zmZq5Hi`N;%VAbz_Jk(eO$GOFsOQ z?u>RqX=NP~ml-H*T{)&y(;&^k{|RfF3tHC5l0|m7qA?eu5se1$tZhhSqaI|#KbzK= zLNi3wE+9Uq*q6w!K?MVSg;~te;LFav!+;;KVIVH92T}yehw-P4&p}~$Ur2dQoZk3> z6P^+hkrPjhC4k5w<2&dVEcy{jv7k$5+~SQw7;r;9qv;<&7qRA#flIyczG!&=gCywl1wlhTx6mZJXqFvHaS1qe)FTwaW?=@~)gS z15vzvmpIuBdT(4ySj*XaCbBeV&{%g0In9yZWFt(`dE)030k#bAoyvezi30)-{{p9E zVl|^#R+uBAe*hvKFmg{D(lw5XMXL#V%vjlPKgJl<-*1u=gPVYC@@oWPEZ~qJ@^8MK zWa}PI>&rJLnc->|3VMT07*{GCA`0VKR*WMs)D_^2Nqe!~0LP>wTw+3LH>ABpbSvgq zF^Y&N$R^)FX;8r!14ou)9aNr`CEY8_tX zBmGCP)ipWiWMqYDwBNUwvp(=+=Ez_Euw`L)rR6#Gs2r^$x)_jQBA2P_XH?R%rp7@wx&#5$lk)(Y(NoW|^8m}q%l{QeW4eFzV1KY3_ z4Cu*6+sIAq!0;c<0&XNtNY*xy|I#{|LzEGgo5S6#ZI$2~im3KCz_8lI4}q(<#PH7w z$^1u!s)K(2)jGqgqk{^qf42^-Wx|(#wT{7Gt<<{E?s4 z;|r##FM^33vq%hc(AG6W+t#%_Hi4}tznaGwyZOJNj|owA^+i!7YwHJ5e`gH1aT=v) zS@T4^oT2}dw=c1STL>WKxSjzE{*&wKr?rQH6nixJH@JWYYo;+F^n?Q;^jppMZ3qAB z4dIILN-}0~i?;`Cs&0^GG@%3oH^f-X;}y#Iqn(Oj{*&L3v`I94aLyd%7pp{CvzT7% zGMZe5QEOw$XmbCoE)sJVd{+#qh1-!NISS*Tx>E+@pt*yn3yd^D%iyJk@<|ae%4mW^ zR(l9E{!2ms)>C)DAs&KCTq4+F{H@(#!!2lMZG#BbJ4A%QoCH`0!yVZs9!ZQ_LH@T3 z5qX`#3jep>zro$-EzN|XMhu7MzlV@B*|^F0#%n8V2ky1yHN7yY zwUCl_g!m@72@vbK$Y9D=xBMCxc%+t*e0k~#!!P{CDIyP;Hv{0|5TRa4h-;|&IJvNTvnzdpMQ4#10i2?lsONKukwYaRT0xcKbca@F5Z3iGRdYs$Z2U z{Cuoe3YaIp@sXcnod0eO_p7J{{Pj(XF${1A2SdagLksh&lml#S55}y=PN>+*W;(v}}e=CXoFt;NE)dRC=XO1bw zOSLl7@z1hXbJ}#*n39jglZXEt5P7E7%Kv#~YJ&*Qfm01wQ)DAtz_5}E4bq=w#XJHR z`7g-*hi?3>B5xprwW$3(JTYT@UaFq6PBdz0h`BFk#9SZQn7%T+I%R88r(ae;GUgNM zB8jdtOG`e5mn-3z4qwG zcVpm+a;}BdKV#+Sgl(Kp(}7!J5AOZ{(i8lc!EaIoipzV}H;!07IvaMLDn3 zlc$aj&5PU9pqH7Pe*?CgD#pmE!;$RPg;!XH)rm@+RzzhuSi|3J65x>bzgU`>(CqUH ziasvWtx-ajIAWILRS4JF4$E2m$LUlvXXGHz7@)#CER8Q*7~G@8LH~wgz-4=IL>v{^ zzJRsc9X9~$bIuvlGi@+vO#Uz1Bt<_BvH&~3)NfU~EXC@B2k_*($VbqQg+_p(A{Z}@ znQZbJ?C#-!4VK8}K~fdiM9uzfsS3c~a*l&wJ;npL{sqdtIoYbWj)-J zXSEK{#Zyoe@UTHfQG}=v;Un*j8v&$XLwMNmh7&)N#v#Kt_Tvw~qAuu>+V~x;TG&r~ zA)Wjmq^onWZhNt68*i#Wv0{iB@-RSd5OjK_tuacVOmqQ3oJ~t$BRsDR6&1`H6+THy zKo_z)k8C2v9zi@~v{HrVTH}x>_<=cHJL*`KcT^3^W#B_WYT;+Xm4#&t~4bM7QFMcKx~{arc&w@AtjF%8)NaewRC1cl0yYm7gyy z5i{w`iV_+{3Br~TC$Jjr6+O#`eA?IhE$GPC!tI2YrPO)vZx{;C4qu;s{Y(mw=NMYf zM%8~GpQ%3M(d>J1$>IemDmiw4R>^f)zyGVnq0lmZ>Ua#hP%X$KN$m5+$n|M>fcaP9 zd40&JqbA*YN3<^kH^*xkC)076U#}b1zZA>@oZ{v;W&jx!PGJN01)cB-#!!%hS3mN~ zuft5c6^XmFgx;&;`!c6>CK7K738>DWo|`MHBTEEWJN|bOB)0v zoE%-qC^b1@XB!0CUr~W3zK~G@GqevJA*+kXCQ9rP0s?3+G^s3}DFb1t7?4r2@U(Pf z1r?!zMQ;%!tkeNgx*!pC$dEFOxlMd=S4-k7s`<^3JDi9kLC+cg%VAZ4IL;aU5G7=S z=jqckmXd~gs3?D8<@2*Z>fTKUhj_;xBZE>@=^Y+-8`v_%nSrHBe5>WmE;=Z+atosy zV|*(FA;sLRpb7bA3tdK=8XQi|XljdG&1iyZiP*12qc$q0trd-VhI))uwK!ijqiw3= z$45D=T4^^zWp|e7K+SoA{~-3W7O;2+`Ukb%f!;`$<1aEp9jg3VRH?IIGrwdrKm6b5 zdvWB~A~4Aa)R4Aql=(Mpo^#o|79ENhK6Gf;#&N)naEd|Jqnu9;9Kmw2H&g~GAlum) z#Rh$aWd}zIQh`5$PaE!2Tuc4FEa<8kd)xIum8oM{{_FB92HV?m28Cv6I z`uu6XZ;Sa+FVNass(PY(aX5U8Yjd^1$}~7R*7It{!YCe|4q$y?j=q5)>+=nybXvJwA!3a4C|=b7o@LB` z7qtm2V~87Djnxs_m#EuIgh3g{JqHT9R+nxz;Nhde1_96bWBAdlfhFdd7hUDgz{}9 zd!yAjmNxMag?^z>ZGuob_f33O<=8kr3fQW7XUkz>PTtEHyb8&&T=eE?Wu_x?T5edM zIRYk^iH#78T&pPLA|RX9q%{g|=fQ=DZO4*k^g&WPoLV&74?Bc-94z5#2`drgy&ZC7 zI9y|%4)~$Z5_qUggHBH9;UV-~O2*bcI)dI^Ypn)m{+?E+(?OzShf_@Pg_UoK@l zF>6sZ&pdkVR;tGo)Wr=iXG^-fanAOe?@d|-9UhgG&OEq>AA$@?+Qz<4+m!?+EAxX%z@+86Dv8Fc>;KMyLLX&>r|G&cM=? zy|IyJRfqSnapzZTo54?p$8%>iQ|_il*IUb&eFQx%rrN=DPlHSYU*4LP+@6<4JFSC< zQ%1s;U<-mQ8>^zi#&80ZuLJ~#WtrmJwfUDDGezuMxY-)N?Vbx%XF_DZqS1YwNbM1( zlla&=HUfUoDDWPwa@eNZfMH|i_WnumG=>2*v(y{i&HZyTsEf0+U43N61lJ5Xy_2j= zG`icP9&r+9WQ=%alT=u2qny~v;{Rz?FBBeh-922E`7Y@eEbv6SPDxI(u8QQR3#Hn+pzKyy)q#YR#! zTS$mS^SbA)bL1tt1jvlNYkz&_!sp|P;0HU_Rdys!@CN~fO6K7A=nNuWGC75~qnQlX z8M?!_YnAMs4#Hf{%E#_cu{d(>)eSE@yqkpjwB4>ZnI0({*_CXw)NZ~-4qxy}W^|^X zG8(-Ha#DRbWa3Hd>*U*F64ev+uNmcwOPX8*_x_x54WAI5Nflditl7wFE5-p(3vN zQSmWqUe@_L*hCxy1sskwh@vvQtjl*QYRC#+ffc~;BNJgs7@b8u)hszjEo-LU)Y8tb zf!J_0(8S@;ZOc~se7`c2+~c1MpC`L!0}sJJ4!++lLYK(Qem9<Eout>8|r411aSDPV54;Uj&_WNoF!DX(KAA67nl z7Fd%Os&>d$oVLsbKeNsaE$z(@EfpvNV+lmDf@PR_^K0N+D3xys++u3m@^hBE1h%p6 zjB(Q<{haXlL*BpZ)G-rR*m$wy4KF*@0U;aR3xN^7B{5h|QEy|#*u-TceKrjtTRjgU zYr725wV+(KEno9vXP}r_*jb4lB-%EFRb6=^q6HW7)W{RSN|B( zo$QBzMA$AHGlfL>P-WtnNNsvD6Vy0%?MWDl466=QRJqy>Te6c-O-9|^ zkzVy01Fcba>KZaVnCq~R8#R`$ecKe!;a7UXeL3MFP6rQqDMaMgEs|t89_lM8{3ONx zqYp|qV{_pP$++~a3PdaI6wo#*69{ytBz?S$=tV2q8qo_;)`2!CoCFxYa1g4^*{jr6 z-319BCgZxOBVMK=QL$YmS|Mb?Z(4+kQl#O0LXeA=9^@^pi~Bu9`iDCr8V&opWZp>+Gpp_#ZTcUfO*ak{!)Z>$pV(ey!8XOxRlVRiiwKP;cO z(}NY9QEtqADcy*d`>nrF8Q<=5dh|I`GTVBujZZc9M_T)^+d0nRVgf1@-(bhG# z&JkkiBa!%o6k#}{^oocCb%cMb5D|j4jI6M$U%`*gSG$q87GipM^%;`ojq&wK~UNDe`L4jIeap~hf#DW2O!N0(e##xTR<+$Z2sqmr&+V9;kCCzY2S*r z7BNC5D+)e$94E*8oVpl0$_%GlX`yYg1O=4XQ$7RGS_*q=Zv!G5Z*^&a;c)hCVi99u zW?76-jZ(wPQcTPdvo}9soc)nyw)N3Ro1A17o8RC^*5Mutkvhy>15ewQzj)pyY6`k! zxrlQuanvSp7ZuM|=GT=x#a?I}x;%k`*vL2qHT4K%inE! z75k*qhUqhI+Lk-ky%*n+x19;y00SpM3Ow~i3jU^n784fQ$3zbKo>M;y)qWrGpKAWx zIM(~-d!ok4H&sw`mNDDJU#FFwc6<2%Y&S^THNYxNBwUCPx0*qN=WQm`eH0ToiE^~M zK!aC&&)xD02Tgp1aslx!81-lP1H`*|w(9Ps6wl(a9zxE;tqR}|Nd<3io5^k!wQsRm zHxBeBp-A#{5;&E&gzaz^{&*$-5Sc)&%_>hv&rO&e{zj6uh__$>?sytJ- zcg0+U8|MAana~eCf0DijP<)%hK!l62(=iU0w9==Yu86loZ)C7$O=Zs$;fm5u+sv5M zVJ*GZCjdsw(~8H8W(>w0FL+5X$h8o$+*l*Bn^E_dv4{!Y*heIKs%6Zh-iSq*BUDrp zOM(bqA`+G2r_yH^6g|1I^2&d5`I&?^LlQhiy*9$d7PUr}fxXJpE54}TT;1hB9nPyN0k*6Q&e%X^6o}Mo=Q;Q{;jn1E?^(dtLxIbX%x3;xoIwX`9v|c7S zoLI>mPra0LbhmQQw=+GBR6Cm;7ue1sh@c<8@EA`xAAgD7suZZhZQmu_D1X^X6tqsk z0CgAo_;@4s`d^@Hk+43(vu2Oh0>OKh%k3a5bV8#m2)!&alkam!=k=ar#{H&-BQKax zgYRfFW_2C96s6weQIeU>mN(&(|8@Az?0H>WwZ-Ty$VY!akNp-B&Wr_f>}ntQ)1c{{ zA|s1;FmlT?eSi>S;PT>iwjD&vCVRj!V_+KiyVhecb2f?38CKv4@L;?|7>v5m5XMhv*1ijUqjlMl+T^otG zFFZjDa)JjXhm||-g`?Hn_c}XEcBon$l^%lJS(c79{F9;=II#? zv6=(ZC|r~KnNkY23JogjJ%+p&&N%W<2iNbRAG?yb9T#rmjl;81*mGd^{qT&hb;^hA zeLXiX^7+>mH!@9d@=A5I+lF7OezE#%7|@?0rtYevFY$A#!~h|Axm5H$p|NOQ15FsF zPg>Ww76VvBEdZw0unJ%0Ck+JY7Tq%)!u^A(K2`e5FteE2*s`L3h61eONQuSO7}A z=DD2fdR~=$cmh<#3E`LoTFBffjVh&z1MJg8TEt=JE%3$9ELfgyky}0?*P($|L!Ezu zV4xHlCwCvbe>O-&d8b>mGR?~IjN`Geh z>|ZS3^RLox%IH$Li)8WRtGY##%t;MeH5w z<;_b&5Rd08Zeg<}8qn-_VXiED!#K9H-SooDJ9GK`IFr?c&fsM+q(+#x>J&u-oul6! zXiMcH;C6Sz)iTkA*1Nu$rE&C7gJGPbL7oE(D{%EN65O}BcNgsRgP}Kr8V`LDn!-BE z>a_KbLrLjrnN*UYkN8SWJ`**rq0hi0wBMh&FynTqb}yd=5e4S^+c$lVZ-z2# zeskju_Fz3~;Dv#AcPN7|*(!dU#U6vurD+5q3e0n$l~FS_WWioUN=lj?hOo?`ZFC5# za>g|9aAaXPt=MDjV8DeVY#5YWc^WkdUSz>?B4~kB{3bClfs4T7 z%>?n~=I#p)Mz@~y2YWLA*08&;I15_;OC)Vk3+&p^U~cFs+O;o1noK@F;D9%-opz*o z_bK+jbGVMT6sj3ie~Q4QWtoMq*MNR>5&@$W^S|s4%(E1qRHa)t`(Q=OziGoTQW{8+DzM%ya%~JbKM_Tjki-O5ae7zY ziScy5L>p6+rD(Z6U&Qbvi#Pn~Su`4gRQg6ac)h4d8}!{lgM3jPndi6b^sLd|%C~c8 zH}j!3y^_wcVEcCI+XR>mhr}aFq_(XhzY)-9pf(oRpFS^LCQ8efmTqt`{}W#7l&}CX zIFS7pY=WWbgY4G1g|{!Q+)rtth3qAlP2!WG55R@`V|0b3&7HG(SS{ z_qwB_F)Xst)L7JgQW7O;n{lF)t|sRVc&Jz}C&(xGy<~RP5@M#~Au(tJW&5_)y3AhI zkwAtt5-)_4~GDc#?Z@ap2{|o+({XgJ2sSrF#IN%Fs>@i&(och7oW4JkZHuAs1&DqEv z!9g7HF=pOc=$Pv0`@?n_#@k(BF&ziquBT$~zuOy3pf9*%(}M(D{ui8vcjYEj(~AJ7p}C zB|)dX8%FOMQ?VM{GPrT(ub+#zKR^1)6*D^c`}ZjiFzp^SZcK3!yi@6hAobI`F9Gr< zIhH8=Ywy#~p4$zYQU>$-1k4sJjlRbqV{MDQ&m#~#01Bey$x!NRg(Iz`?w%^QeRO(Q zWdBWLjlk@9i?#PWxjOok%IYF6x}~;A$P)D}@N@OETD?K(#e?vNl%sr`>=G;#1&>0> zpq!L(>&Tqcaqmb5&eCXIKenm+Ne(q1P244{@gxgssu_9NtjrXS>>tqzd)QpQLXz5jx`Yy2E- z(pj%q_W`02PhF~}rcXhN`Yz$u;o9Cy+J=5`&6Dc0bWLiJEdkmcW6pW|^Ffnn0b`KP zgO&j75z?v@5p}_k%4~-ybw8??H0^}AFN_IJ4mNjiyYTM}p`Kl>d zYDRM^1+d36UGYPtT7s>(?`;J><`?`V|33V(W^Stn4P!Wmm33ufi})ECimq;w%z}UP zG@OoZkwhXa_|)ec5^$!jCBA@9$iGdiXV>`u@bbR&>l3M?9<7njJdy9;O3ami(t*~Z zhLBMDTv1wZE)iTq*<}qolSJP7-D#>(yC2OS(;Al`!r>J7(bs6ao+x+NmPy6!sZJ72 zmze1T$EnCv=wURqfK{x}Q;`gsE;Yjkj!4p(4a5}Y%R2$HR_Q*gg%I$n{o5(65fAoZ zKu(4VYgyn1BhGzr`Y3{-=+*<~{2Wx&XE|Y3F9ce}+gRcrCu3woyy2ZN5h85ly$U11 zu@bCvnYk0cbXSb+=IhFKEo=#PIjum`ZV3zoUn))Q~M9_D{=2#oO%1Cb)c-p`3HW-$80dva5c1`B{j5E zX1s+?M5ydcwV?Zy7J)35sYkOAAt=UevH1CdLtiVsK?7A4X^R$!u>j$DC1|VK zAamM#BnYCPh>R*d(T1#$(v6okiWz_o0z$GwAHkP+{%W10r5Mr15H19^CA~vbU`>Tq zJ^?!PMhK255E>(QL{my{Heyg-YDZd@*_(z8+w+O+&8Ct%AIm13Fod;8pkPlVg)imh zk;)NdrErvRalz;;C>vl@FbOojkzn%@y$vF!*#yU#YW7fR!o7B^BU6JI|70U_~I~ z9GJ*y$CXpg-beV^9c2YYKYrH-az(9UbdKemNbv?A)bpNqLAkb2Qq05yEkQ*9)>6&V z8}DF9bM=_XRBCKb893A{cpWoW{UL|ioJY|NYY{Q<;g&M6-Hf?F{GEZ=L+msB4ugW; z2aExotcNo*1ek)77Grv_+r(u<6_}J(4!|Pw&8LB^!e5;u&`>FcpIlsUsDf@NG@Ai? zRo3d#VE?5_2FeL##o8e4BTwrei-cv(R})o1%HeQ|t&o1>e#6q~O=YuAp{PZjVAPEf zl-@B*!Qxr&GtpBI*Y0oIiNlp^L7f}5+dMVtmIUlmXwNHmzc0q9G)K>VY?%-qU@M#e ze`#c%Q!*v^S2r6>xIa)#M`@$_B+YDI@HL*`W?8d;c0?Lsfbmc_J0st~T60cR$Ukmc zz4NAv&c$8>;e9dg2VHp9^bZ=WP^ATDKory1kyRSJKp`^;8oG$hc?-Aj zhi=$@>Xn5nR4)eU93KsmPP&%T&XREIfPf#M0#;qAeo_2myRgzYnq+2KZ zrH839tSq?ucB77u`)u7}E%Mr2(@pv4QaGxW&s^J^}_G9uiExWbkIB z@JrHwSo*La0(>Y=wlqa-jIu5|ZNfIRdyn4zOoARx4CAfw5`9x(=JyIdwLiD1*k zRke@W!lI06dIevFcH|LUaEkyrf)1Ul1fY#0RVHd5u)(H{D}{-f5~;>hs~yDuMD~@= zd;z*r6PQdb-71^SVOj;F`QdcA`AIk9hEB>{HYP~&1it`1SeODjND>}07645^2G22A z+r&{+v(RL<^(CfKvohfA+Ix19Dvhdq1l3x^7vxtp#dQ@z9Sw$_J4s}j{;7l*S-d2L zbL{8@JCl0NP_t@Z2@1E`b=T5$hLD6+>_9681#EJ##2$E3UL26xZV7+yEB^AZPg5CX!4Yq{z@qAu&#CuFc`YN(CjR3w!R-VkO$J zF<*p`xTQD**GChr;LW58AFVJFTZG#&I=;0>i0!&}d+XR=Sq&*6hwCZ!05pjN!6X(ElSpr95zqfuC2w`odN(oz$co< z18PBQ7Ys$`9^q!~?3CTPWa^za9z73ILcty+kL>RfFJim#1C4ruEl51Ml}Tf?+Exw2 zSqu@VVOt5qSoBqJMiW|C!oh-tFq5_}-WUgBB90_HVjNUNd*+0i&csL!DjR=wbD$1co&eIcsa)GNoUN3M zg%YK3{xwJr|Em}?fYLYUj4cnocc5s}(8{KED{#UP9`6okrQAnZp!mqQ5En1R&pSf{_Q~$Wlku{L9UN598gX)Jq65nlNJHYvpB#kYr}If!J_ZjwBMjCVAy4PCvV0sJ zc*cjiwM53zkEv7^a*G&LuXx`Doo!)-dL$P-fPfCI7(wbJ3XT7@+Hhbkk?bpyjfa_v z26HIBuyGacAW;F!VW^w`3qTpqs^>klH~Su~Fgypz7=-BL1$```*ykLLlu-m#hY!{{ z62yn-%0yOG2{%u=TQNG{VT&!1WAa$P!blY(#Z@7VpP+0P#2C)>Km&^{|KtlLajT28 z1bAv1UqSN{vXKH}Nh@!i`*oEwmdpo)(_hLO25Wwm1VDvPu`g4R8d1EUwha!zTI&)2 zit=2C;fS*i*G3!-7j)kxGzW$+Jonng>zN*mG~@Ru#R0=(R2PV1Uz!@xIbB)t@Lmc( z4HhJKbS=sFXAe~Ekx>d_>chDwF^q7%`?XuQ1jyhLv3B?|k`&hA@l}G~_HgDh83D^; zsmy2MM2>Tx5JdP=N6j@cmocC2GZ#3;!PSEwf}`>&Bmh2GCeT5htHw@0@5l)Y(zyQwStWQ?H5z1Qp948u4CzjT zyz-i&Dq~_?&?DF^mA0|R+ZhMq_^w&q)rnW+JO~HPTcm`jiifN+I&g`feBZdSw3zt4 zA+WSn^M@)!n6_ut1S2i+I-+UP0ZcVDJoVaZg1#{zXE<3n)M$_bGiaA69^X0iT?rgi z{8ml880#i3N@>ZLs29|TYhf!Bj;9_0=l7U$LCi&}ERz*j)2Hf)Jx%l5=OM|&j|(BH zr;<>?sO0d-ZTWSLc*uVOZg5q1HZf%jFP-}X0S3t}g8+oUJ}X=7BZ&Qi7>7`jqFsor zh@gy&RrUN4cqFjlwL{Z@ftrCYx0(;*!dvI(*Qh$oyYTT#=lM0fCU){g;d%ku z1cIp2?Glho_6cgl6^9hDkO+_(+!yit4}4{yQY}$y|K&@(4cAZps`okO{4@iad08m`8Raxs^w(xy_l6nnE3L9PJR^9mr%v;YR($=lU0K}T1moi>}i5G?Q5-f zj{bg4+I2tLi3Pnk^#x$P{%A$RxK@~7)f?37>m zG@FpXQ2xB5|K*eA-L{SgJM)$0NtZmgxsQGsjk%9*nWedpVOjm;-Ti(eh}>%0jiQ>K zgHMm#pLUym9)G)2wr*C7jaP5tX<7bDT1E~dtDs&HNN=x4RMHZgO|ZWC2tuOn3l6@J za2j}F)FJWCK(<}_7a39e_<>*I=@WVLt;B4$yKCS0&mIq&<5oUU{nmu{h2pHOr;A~C z^6h$V&dTLM&-bib#;Pyv6@uGEzx<-V{~Zw`IJgioNZr#XPad0*4p7Flm z%JtZX$kQ=v{l;XtkRYHJVRz(L_=_w|5{*{8*S!r;$r>QO%OnvpF&MelPFuf2C`|PogfngA0r7={CIC7!7(|DO8|Z+>VPb)3=Mdf5G4NX+>VUk z#S$s8Tl48S!~>Tsc>S-WsOz_+C$64ZSEPB!KJi<&xpHrW?~9gsGxX}|WxYX=3VN7S zzq+IsN2Mc=QahE(zi8{abvmr8(as-AEyjuM&YuqD;$#Z~92-yRGjOu|3alGX&$7Y7 zCRnJ>$I;Vt*U`DNzJpZAk7S%T-!E4hNjqWx!lcLj!lM$&)SewMTrRv=Z=7;+GhX!G zg>K%wcD~*!^`vQe6fDIXzDXz5=P+4)Cx%5+y0(*VM8O<@LTK}Bir$g{a_@T$Z?7~9 z@*BH&4ew#pB$Jz-#m>m5cXF?L=a`y`7<$oWg79saE^Q~a!6fn;B;q|*rydymjh)I1 zty$Y7pl$eTCq|12zuI2Spw){{*>FaHy=vMHKcH61I?WP^;}|jK6c?>vU}|Vu{FtEh z(L=taP0vj;*X<@NjuP?E)6#S=C{%<=4_7D7 zls~Pg9dgP!X3B+VzsbCk2j^NlDjbPnOqfY;i4s%-o~*J<=(QndPn@6e6m)D-|mj4?2r_;0!?Et1}P`Mz3 zrc)h^AFA%o6H&{+Eyyb9*mVx_qawulF(Us+&IlxyVJeb-K2Uhqp!41DbybLm)>6oG zTR9jnQCjRB;d=GJ;uh5)*HPI-tHyVXXi+d$-YQH^ipecKKwO@38C~$zIg6BxKPF*j zfl){OCP4tpG{hWR7zMgR$YWiRMdQwa&P0i1=f-z4hv1a+Ad3zYh^&k$m|1H!hC*4G zsB(K_+$+DtnTNoAUD7o&%qK8-f74A!5&U>xe#V^3;|lA2iJp0y1)GX)fIW|f8GPlYOi)u`bv zhG{qW0kmLn5fq`ld8adL+nz&)9+mUs)TIp;7kKO!N`8#eobwSQDo4uzWI&Ats_%07 zt_Z%uegC$~cDA}MX8{X*Yzqqfc3#=91AUFqBbR*n{!X)m9;4gwJ$7%-wV zqbWFgqf3DsJxpr2-^i7g(k@4XFn>JT@324)a9TLu&mH#-JW z)pb>o!_1g6U&Ql8(&RzEBLzbk1e?ujTPUw5RQaqy2T5zp@q}j9p>v|MG;#z*5+&f7 zzBrP0K7+ND{sMrp*P!YD0LMT$zbR>mmi<0i(oj>R3?WtX~>rU<%=rO%HtdDO@R*QDw617J( z9x?WaiAPL5V&)Oa!)C@pPu+4k8CP@Zo;L;!&w|X@3dU(*1N^ccD);Z_r1q&_p1&a zXKHD;&Ej;pJ>8uS>)mR3T(36m^4WU%n&`u>)L|%eT)*zilHP};`&P%pYBRMIIwS3Pra>|42E}f3 z>c-&H-Fjt=8Y&P+jT*Zn&p|O^IVdJ92gQWtpqQ{66cd(%V#0DzOjr(TRB}+G=%AG9 z$e-k@%f_4jJ>j(LZu8lJo?W1uBQwWBzo~rMO|LA_Dmgn>y{u_<^OW4hh^F)Wrstgt z*sMm@18NIwERchb0o-nzc6&H3pPvzMZd64-Y#;OOV^z^j?e;H3PZHC?huZ}?x8ifo zDT>3r0?*-IflmwPOt3Y@6P&;rhqL0+NYALnsq@DjmFsi8YVQu6p|6FVcj$7zd$M_Y zcU-iay__97vWxQ;r_&kH(|$nYISe}99op5#a5w2i@&Phti1a~$0h0FBL5tPum=2wi zaGl{S58EJLc7*5@kj5CRO^031UE^~Fq*pZxq;n*vfaM!Rfw}GGY0?{u5s>%EZped8 zweI#^FtUHXKE9L|K*NcCqMc)XgItkZTg->qTlC!IFm^9}j z)3{9SGM)si2Z!;@dFtQd3E;VF{!XAn%eVt59@lq-g- z4T|+VXHVxzCgHp2E04H#2s)9m32!zdS7{TT^^mW#3AxPA2)WG92(RUIf@TvbW0r{E z$MVS1lYtfo&=r_3e!aRs-a6co|Znr=2RFy)9F%`5eZsEqp)GWBljX z{TDu1?lGPOUjKzpmV1mXLHLHf$Ji1OQ|Jc2I!^@u9#0A3B*bHECB#D>gNY|Y4r5CY z&&C|amLQ(Un2e``cmiQCeyALNEX1drK^enQk8+>oT7$oZ5P#>wr2H)erW`Ti7J{QE zTeor9;4p5ZN)NKTH||t8j3F`z1Ge4L zVc&wXqaBL2V?D~Rut|2NsAQdrO2(w9wBe>GdH%svYLBqW7?9bNJEUTq?NQEmjutS;%2L3A~j>oFpB_mcXBl z;}q^N1-Fn=IItT$5j-WtV8&r=CB(4FVQdLvfaWl^1Thpe8P5>>eS^5!;4roXGC)w> zkQ{@e{HcXDg+0oC*6FxBu3p+sDDF~tlBf)Rn6h0lQ?3}E+h@uV z!;fK^a>R%O)T10R{LsAd1A3-hF3G<`SRebm zXY;<9gU=n@X*anM3nw3v&CMiN_xEGM2`x{!ty6RpQt zFxA~cLM->Y_PF1!-)JdOZ*_XPTjEcpaA1WH7;YHQ3W8F>`v62Y`hrnSveAa)Hp-JSr;Pm>ER3w5&86RHYX8mS2*)S1Z&22 z#UI0kR_J!gnnTozWn|6aOjRN%b2zI-M9Lh_fDw^3hqGlwa5SNw`Cfx3JJ(tXb$kcz=irC}8OVpqsnX?c&r` z6W+GN5G)Ls}9PdD^BxZJ}%uIQyRg&F1OmAGtZ9k#2Rr_a|*+4YCv?XkU#@;jn`YsM8$ zE<|%iE~`^RYe=c7xdm* z_9LOPQ{=Wx!sQAzGc5;~+h$p(JGdv0XaB}9omq8IV=Wm8_sZ9LY;kM|eU6ri0ZcR= zK+@*rAb8yKraWwqQk&?BQd{qdN-zE?D!m}4D0R}BD0L8%s6xBaR<5GdHvd3T+OR`W zuC+(`+Mt|nkMgxaj?klgZICncC|?^>*{(M>+q+#C(d_o&bHtggwsanHYLBazWARdL zUt2gQsSBL1-I*^tjWCb$d(xUxul;_S7AU_9r+yb^@9HSZ??TEo_b6WtQzN99TbMd# z?l5&6-C^q3y2I4*c895B@D7_8*x0}tja?aiHaD=D(T=kYE4oxb79P~?@-pev(6 zS4M-bj0Rm94Z1QKbXAKOI7Gm-p2$Ov__nKpWJD9+q!my|Jyj6g81l^o6nDT8ni$g3 zr^@<*j2;iG{m3Xt>p%ky-LnlhDG(y%9`AWL{!R^_2YNOl(SUfjAx z2*OFd2^^zIKx8ZvVo5|~92}CYEz$9L7{kZj2Lx-+`+n#@14<1Zprn=os&TudJuY^q z#gaa6K|x?TNsj#UWxIU7yj>ioF5}-bb{TaBIWV=$jBqC?lf8X;P&+};wAmTnZ{WE* z)dnl@%^Ch&r^u*9^7wTTcCMXkT`XTSPIEi1|7yz4bj$I(DLeeI4H>jOxW^{in5gMZ z$v^3b$?x$*@Jf1(cmjfuv1}Y$waqMWYJn3AH0x|EP_C>ZDBV~SPv3IE<92Zl#ls1N zz}dxP4hbp8sZZHs`nrNt^`@w*E(OIMafDP|O4PUkj}VBe&4D3f>*mO#4r+|4D>)Q> zyM&Z<(X%6@>Qd6_i9M#BD=1aZ7DS$Ws!KujA&&80OQq?Qzb^b;FWjfq( z;2w~EjlOC1WVzXG+UAsfFi%o7QQ`sm)G2=dO+g@kJg<~`r93-PDxTzHlc276UYohw zFx%=cM(impP}tbO8Ur)zz}TW<t9y z({do5HxY^&($Mk0uozcbp?Y=X|{qg>wkZ$rEJtkmJ ziFkE?)#|HGqcvY07OOz!mm^Wn=~qA$JXe~&u-@!zCK{(~)v8+u1yg}qO<^qU>@? zTH16&kJkIt=*|6pxqXdPon6JD6RvKF%r9$-JLxi|$968;Mn?vE?Vz!N0_|-;g2uC& zkyUGlT4fs(9jAw-4^?xuC_!U`Mgy`sbI>R`wdAi^0Ri3cZ*9=GtnZJf_Hj3(G1~dn z`{Qy1*EL)>aLwVie3sc#Q-8Io>zd1A1#`0kvkPlhmVsJjCuZ4+S=LtV)GRwQ%g(y8 za~?Y6%;I00(ePJI(M9;Pc}gRwn=HAWfJskfpgdbMiXPC$lQewh&VQl@papm+!wnhT zw@+@mHZ2!hVCszNn~~258cWR;^NYd;G21~^G2j|RCxAQU$UFr&uIjIx3(CVBB3-5z;}XqbmXs5pmt`HLV2x1qzAojUvAL19CU zxi2%o%$Mb09p=x@Orwpw-q7Xw)1tkd6aI3qWjmCmiC>N@EN?c%Sw&t z8CWR!gv{5g8`Tp><`Wx*3=XdE;cnb@-YA5#2|cc#qbZz@Ju(P3>Ag)~hDPyC?`w0A zX0{w#z1(%^X}ujxNf4bx%t@gJ?m%Spy*+ueX;0hD0UsU&lJ$^02(5W}E1|)^OI`6Z zf?f2AAl1jId(*91$ab?!GTr<#x%j?ft^hFZ&ps&WHL%*g?5%P=mf+M#%Waq9Oc$Ht#1NYrA}38v1|J=%eq2Nc)ej?jJ5aWaEGO@(4xx^bYT_B0 zs*7G=AH0CLOb(1LGY7_(!_+%e$y<)0fb68fPNL~_V@sAC%v9B>ECEMqn3H6C)kvlJ zN82Wh)S5?X?*D_43p1(jw<}7;rPRN?f9Ro4c^RLNr!=$X<4I0P8HPhl^;?WGso(NR zX_O{D5TB+mgi<^ZZD`BB6`DPGh79AD$Orecp_rvdFiVT+JtLXRV}hRJsa=Z10fdM& z0tOR%bklhQsmpMz+nq=^hfd5#Wu2VI0Ibm}Z0C`pV&yz?3Y| zn~?UJ=2=3w>&26M+UXdqV?a16CWKe5Pxjro9Xw2ehR`WNgY6|~a2iAH!*nnp!*nnp z!;l}4QNO*(YsRs-YQ$A7u7*xHJX@UB$NP5Q;r)(jG(|=AyQQ?%#yb=F!pN#jBqF5o6v=5Z87qHn9~!RjL&{cvCeE?-PjYnld81dsDl67i6i6 zWDvDNV|f48drJMrJ*C41GqqW2pK&)mR>;V|5STPhx04ogGFLh8$_|~wTb$Wd&e6u9 zrQs++{s^u#nF?=8^}#NmQsr0j8KNE7|140dH)~k96bcW!qT*WeY>DDp@@&Q8TJpTJ zB(7zLgFItaZdRT0dsKE>krn1|#YC5o(C%Jx z!>a1l&a4_*IVQ7^*OG@`7(*|Np%=#NR|GH1L$8dXSH?V;quOL6DVa7a2cx9^< z*OG^ZH_-3~8s0#|8)#Sq#y(+V&#po76EMFCC}IMNn!xiWp!f;MHv#!4(7p+`KUmX~ z#STleu_x>0hv%1q znT2Mjd>LvVaoLAig_Bkk%zT)0d} z{QQ}jdouUz{UEEJ;ZhSP~8r!oD^jjlG3^ey5vvOQEt_-AMcQg+nGhOTe>-{1A%Hz~Te@ zy3!6pUxaoxqTlVyqy??9PBquF#=0cYk4P9jfB|2YCx`1zUT@XEyH15TJIogN*x{BWq7r?fcTW_V)YO z#R#h3QRq#q$JfT*rtC!C?2=HnE7C*8Sx!1m?AwrU)nIA0A5CXL&UTgF*y_6;tYqkl zev=V)Byl$@1??!ZJ|OBJa&Y#x+|J=C18z_oPds!`yapv0cIwQHC$NFEO(kuBcI@({ zO56vQRrUugUZaNdcTE-crH2MlY$Y~iEV#B|r;@P^4^}1NVIpEU-(#}z;Rpe6_L&Ag z&O>O9XM)PPSiiS~(69}bpwh+?mL2D@EN{_Rn+?`>j@<3wb+YkP+@A@XNya0F{fuDu zEa5PihsR`F9K()Fy2M=-d+#Rg{G_sKu`?1v>qVx#MxNtNSS)4L!IlH8!*J=1OMhH? zWBIt43DZm1>B*KWxW2{pC9X$t{fg^dTpyoVl!eWt>>AehVGku;hwU71WtnxjTDQq{ zrd)%9#zDrg<&!zHhA2MeoXxk7v~4mN!{E+3ES&FC1nkP8^(#vtT8Zuz5%-lcY6j$tYQn;I_+t(_RHx)MOoyah+hKE3Ms0N4VNu``> zIJ52qhiq1bvLmokWEEx%BX$jFYhHHm0KRH2tG~?wi6Z&-1ZI2Yt9wc@E$kHdxsI^q zBDhyfj4dVRLemAu9x^o%cbqzF>z5HxoYzZ=gu9!M%zhP0l#&yA1on)a^jSn;-zp>w z1EP1k^p4fc+ET(o{2rt5PI?o|Oc3QX+T2|8R9T&hs`sNFuqmeZ-0Goc&5bXz6Wjj#@**A}*`5_k!7Wh1vn&O%^Nl zH26g+A-06J4&_q|Ni>)vo9{XHoJyjJS-8=m=9sf7W+k51I*~L8HrOfbN^(M4Gy|0t ziX~wKDdnlz6%@8LMSJ3av^E3HT|>S5nD1YOggX1lo)WI^ z@GwoX-`T=6$sYIib!}~rq)c(gOZFgbiAyUslJV4Lq;5+{^ph#d;*z7M;gWpT1G1~7 z_{KJADdSG6I?Y`JqW44920dmkJ}A#P`XA`(2j&^8t>S1yJph?(BPWwB-vS)@RGJzx z(a8X@cTreQ$VFs2bn2f#dMa(==bmpkZh>Nhvl`UsH9@&A9DYOVVfghp48Jrp_}QYp z>@-Qay)f)(_QTgscT72}U^e=2Lm4|JZI4(T&Q>>yo&wQ9K2<2qxETaf>EN&6!2vy# zPX{hTA+XJMfhVlS8Rr}N{ z=dwzpwGsD>DL3=v-7&$gX0Ymjy~tYZoin*$c-U||)KLdBEhH6*~P zbCjXod?j?wTOM*R+>#MeL#Zfj?O7tHJXVWk6EIFn&SlKzr3Z7*^qWRO%u<*3zOnPfb%*;-2WNk80jkjjAtDKVwZkU26 zYQ-GLb2*xLBK48U+03MsrQt;APd6PoWLRdpfa^b<{7)f|>>EhTv@$@m(s0@q(tvZf zAub`yQyrQ@VOh0IT$N{aGB*=_vCR5JW5i5nJWymQv*&VM!|;;Ig}OrqX?Bf=o0toV zhoP7|%BCE@pcB@4v@id7OdmuJBFbrzuLiBs)x(YgM;iPnJ_^K2?NdKP8cy!;CXWT(JM?p8owg zIP8ln=J+`Mi5#sy_Li9%N@sc{u5@nrCwCU8k+Wxb=E)zN4u&%^<5cg}&+xGRiMmmJ zNG2Vc!Iq($PCqry|31ufJbVP4zVcb26gxY?gOW4QOguv}&iUX*l|)aSYMlkX+JRo& zP=})gys32LmqD-r$(Y)J>`~Z&!~?zP@OqNG1)otN_=+cgQm5&Y3+f21e&8{mbwX&J zsD%d)=B#$f|0IqB<%7dmg-X*=;KPpiaCkcBa3B8BpL4_)_q=6aRus>gm?Qi0g3}acPct z*r{F*^}`YmeDvo`KIHQ~WxyZO*`0fYHBzN<4(%OCK8Fq->Cg5MPb%)*yE6qX6wYNo zN{|1*ywDBQAAJXcK`G)?FSMa_^!FF%d>{TfU$)s6KILLO+dSN}4#r3p4n>@^t`#2M zna6^0G{@u$`RwMe;y|agKsnd*e!|aleQw@!L=x2l3 zcWj7-tKp&ESzo%e&IyO7WE=YZ31cRK>@PSw`Us!>;kg;UxrI;1v%hRGe7L83*;USg z1mWaQ9*1OCvh0~tK0GD-Fi-vPjPaxD)K9$yhvolFPW{BSP!GN$2p<)L*Wuvi5B<9^ z*^{IZqdP61?4i_XaQZM)UQ2_*LvBzpeo^Toa~9YQu3=mM@rY*ZbbbG{eWSy!9y74K z}r^b_xY&%3|(gI(;^MPG~ezV|U-e&F%@ z-}=B?@Bh)a-t~2#@v$HCF(32!-M^3d__zHpzy9C+@!S8>+kXF|dGyuqe(Qbr-~Hb2 zf6_%7EB=}I`}e=~J>6a3d;gvF*T45WKiJj(E-G;U*847J)o*<4{L!d*i^fKm-(CIY z$9~JBdGS8^sE&E}{d?}m_wTzOU4CQrTd#KejBo!d)o*|7w?4Z1&~LB9<3FML{a3ud z=KEh(zw?UsH+=thRKNR*_mBAg_g266iuX_W{^1ct&-A(Tty?Oar)xWv;ibr3hNvh(VlQ&5RT`lv= zkFWl#hZLM$eq8lmJfz_C@-J8a*@Z1v{pRHtR{y0#Hg8^jLG_MSuCC z`d=@;^wAgSqVF8nRBXz{-ckK;#Ztkx7uElM@mY^9lTm$u%Uo8~|8eojkKRTGMX}T6 zy!t;czWmYSq7`;=@#R(Z|6ZK4RxMKB#>?yK|9R!xCvRT<_3HnA)!XaN?7pk|zb+m< z`b*udmw$9oJ^rLeAJ^UV)(0>D@S^&nzxwDC-uu?O-dRuIy!>Yu)jRsj?xDYSQGIcL zIezo>l{*7uAjU@i>%8B4-uKQ< zqF=hGzBv}|r2p-U>TA5hoxK0xqWTt(?jH4v7uC0Z_M=ae^mmR(rZ1@$U-sw=kzwU# z*84Xus+Cni*TivkV= z_f=c(&Q7YocTv4&-r4Et2dZ1&M`h~x)r;!Cy)Y~3EbH5=mtXeiF;dDS{d9FOYv^p~ z`>LI#(#{g!S?#~@QDrubJcQN1u_?$r8P z^@d4xmb|IHBN}q2o!_~rzBXQU)bCzYUl*@B@qX{3`ucd)3G)XRRc)!Eqnc`LFigm9dj-_V@PpO&38-uG zu6oy}Jo>}|>WuC?tMAW|PN`p6y*EcXZ!oRimm^(U>gtDbq;n`=QT;%UbV81*AI_2P z>0e&`V2*U{{krM{InuS`>#O(YNY{)>^}(-jV}LMiQ%F3${M_nCzoam?2iv@j+2!X{Kk<-)^UKexe#(9vP3+6+r~O(|bThg9?CNKnTDl%wRX^#F zUAH``e%2;CAupd+Kk}6ic>e6Nt^Vf4=bP0uUAMkb{jH0K)HA<4R)72AAuXO>o~oZK zR5|#X$>qNKyPjyB<*uv0;jx{q{k7`vdu(Upch%qV*iLu%)i0JBodxx;S3mF7(~Xkn z)!%ziJ+sS0^$QOwIlugj>K~L!4k7;J^3$t-_@I*0%TKBPk<*I0v%xp3U-sC}&Rg92FFi9L(vd~fwH zN>3RgkjdrytAFLy(+!$;SHD)OXOMP!`J>f8e^ANEUv);VG%V=9iyV{p!V+JowG==Ab~)o*)Zbp!JItKV{# z$DMutRP}ogddTeZ1J&<(59w_6C#&Ca9@3flk5_--kezk@MD<4xl3;%M$<^;W)pT9+ zt<}F@*h-O&1$>OZ{r__zIc|KGp;`*rtnyfBw}TJLzJ~UwFmGjxRs1n?qjh-cc9Y|Kh8O zJno#!FTdKYv&+BS&5y4p@uXX({L-sE_UiKEyD9XQZoR(z!fpnArCaBhpU_R3ANuxB z3}VM!==m!b-}Gquu-mt3#oFE6bQAWkUVLu0Y>gXfBwIJuf9>K6AAPodG_kZ2cvtmZ zK3~&3^xZz+`Rb~A*B4up5A(s7bgQ4Y{4&97b@9pfn9GVFN0?N*$o6{+g@SkQ;-Gi? zh2q57Efe2UC=}&>R()TgP!xPweZOBQE>gM)<-MjB;}m`QS=A3be)Ks8AGcL6-&Xx# zslep&GpqNN3e=ZhT>a2zJ^GZiETlHR?*kv~Lah(xi($roy!v5Lb{xyTr}`0rlg0`E zzUoH>PLCw~`>P-O%tx2mA~(Q4RQ1n>gPTygZp-Vuv_Q|$@|*hU40-g-?#rqx)oiH z_YM8gZY}rOk3Mx!diU>XV7{kYyUiYbebEtU7j*e0U8MO%k3M%Oz0J0aqMc5wFM7wL z&ofK(BWF~-!!5$TroQ}|>WjVS#6j~7)nm1x(^oQ~y@)S!=`n3ge7Q?Ev?KBrE?v`> z+E=>th<16uN^K_bUK|Vl>D8AQEZ=-h`y*fNv0YF)tG>o#yQp+teXYk%>EPk(J+{-= zH&!E$9n%I_{TYuw`TfIgS1_URpFGjCco6Xx$B;9u9~>5 z)OXmIS65#7u0uYfn&joX27O*N&yh|6pIgmxq?6%us%ehYv@Ld>BO}^g`-aO$k9y>q zLg#PHfUZ*>Ro|QeT_66H>YFm4d(J0T-)g$lp#1J3i|R>sN2k2Y>RU3P6aJH`Z_9vA z(oe3I8PGNO?bX762yI`js%P09-BUlMT4g||bANo6zH1y9o2Uf3h<`f^FjgM zPkT`)&~?_!>ZKX*Z~;C8dZ*9NY18mcpP$lk>;GTJbuKn>1mVa<#^=IkdhfkTI!P8C z=}v;fvSi6JwiALVQ4%MH5JE^uZ?BVA%CqGA=I(rlfj`X5?zEkqof+Fg{SWU~^sBN5 zAKowP7mE)+ykFGcV;_BZp8%yyqaWnOcx%iV zu2Oi9<7BI$>=kXdmwLi0l3rS@`#4GIn#_!GTZpz#bGv3{riGcADKW}SPK=S6n>16S z@NSx^g|f5Sj?9!Lqd%wImKw8nf=iz4WyvQ*eCN3BnY~G2W^aNjy=u3+TExs&XCg%V zRk0sT(JSpP_9k>q`-f7r_V*>Ur~ShzTKoGG+SmS(6s`RO@>xf}ET5yJ-ihl+vsHse^#Abt^0u*QZL!`&lgA8Nnn>y`RChWvK}(;$ zON-rkXH|mpG!>XDcZszPo1-W6l-f|^$rh?U{AtG_j04$Xz!tjoFlIQ)I4mqRo2YYn zu`3Q49NM6Cl2bFzlzkwueZSuA27VnL4TkFOF*eK9S;MaxUNQVCIhN~^zE1nm!(CW= zj?So)$zh!T<}sOdhN^jF1PT}ua4{@U#E3u%qk62R4vvT{BP$T#g1`!<^u)M%NNlY^PZc>B`vIAmy(W*2b5A__U14{$09<0?ny{{IF$72I4Tg}v=js_Oo*Jr zF_FzVY*SV?=lV6fch5|o*Iqk^s=>V7gV!#=Gw8yXiWTe>Shea9-NjYLgz2q>q^tY5 z?*}*|GRWbYLH^)juzquXuLP=I+ZQgOfs zok~fxwcNrq@BFQl!vcdGwE9&mszho45wmug3fs6xNuIkdkViwHfI9*%?h5c{bAd9( z=tx`daV$(x2LO*9|a7xXjEc7%adw=%Q$_h?2n) z$_C4rH8_ik!3wTW-EtkLNLrC&5Es{84SH{046KG&VIJ1|YS&yBBfO-=X5jJBWny$5 zLvSWysn*~X{>ktdRg|-q)T080FkxMc2^8)8bZeY3kJ^^L1p;@}iAeW5SfDG`#rh1F zrFj_+Gt>H6-=h7cSd3&S3nEy^Opop|>T*VZCBejuZibKNv6`YXepYk`9f1{0(EA!H zBx>QytQ9aN;9^>!h^+!8fL5YzXln1O!G+#;>5VlUrW5_YSBrM$nb z2N-(aQ+0^FS1IwzVO2=H|6DAw_b#h>s`r|@2YffF{@ZWk(}YWY8`mcZm%1>y9wuDs z!^ZV-Qjt_p4y4IE4yMTh4y8#KhZ76xn!uQ#=~E1n(STtNG&DbiX>?yDIZmU?VlU$q-L=UhOrtNv5~opKL>#)N7!$QMi3j*B zUFBcxaFCu)jPd7aG5rlb_+C?vqM};wcd#tL5tcT>$;CuVMsDP0k@sp7j8QG?6~APy zSG?HND_-w)c5WJRqS$`7+u6Qp&@pwta<+Urx+lJKK7rEhr}8;y+XHy*WZ_{t2qK&yJ@^Xl>8`G1?vZ-czY!FmoW0NiZnPE7i*~u2xjkfv8=x15$Qs3|h zHcHvzb22s8o_K@xb!%6Xal3Mo9||@6aWAK2mr+cUQ-G# zWxm}k007u5000I6004Ava4vXm0oW`j(^zbHRxvn5LLeX@AYw2wIUpb)ATncPFtc-N zePVU5R%{xyz4RSBC&Z5semi8}W*;onuKw#rw=iQ?!_9kw{cNlRi312_Em*S9aQc$} z)u2_PLC#s2q2vi=pFAC);$g`?s|~m&ZoKC8CvI1TB|lwJA4yng6UP@D2t6y;tUCdl zU1!XlY`IOrdL{sCDuN%{PS0w6BAK#1<49#4RHfcVSMX1QUpWJ_G68xaTPl(!6fDuLgcf93cG3>U{Nv>J}zho#2yd+=}TB}4-21*+xLy5_4EtnKbk zceti*E4;G4FC`MX1I_en{CXXj^)x45CNhtyS;ldKRLEpFzopvVyti7Qnt$y^2e9B8 zQ0gKraQ7X-3fpZB~`gbcyzu4_O+joIRktZ76F%AdgWce|CtqyF~paY6flX#JKkuMI`x zO(WZrV{q$Y3`MrNb@kx(1vF?T3_k|p0ns)@G{^9MERu}wb!RSdXA-g^EHX2v~Bx`t+lPt25+4}eQAgxmKV@;#h%caV^q$ez(=jgDr zU=>R$RiMGmq_Roi!_^`sr`%4qGVdlVDwR_ZI|p1cW!~D6L2^NE66TkDo`|ri9#-4v z8vhx@QLPqUP2?-RX1x`O*wjM;oG1^cP61^e!@^nDvw?k_pyv$J%(jOqLkLBDjDa>$dO-&% zs=i$R{CCU$pbyHwZ2Q|6=`|*f<$)tbdXbWfY+G)~0rj|Gr>}m$qz(v*ZU7@$N5O+2 zsyM6_*D+4_E&0CET&*Xd;DXpyx6;-e1tB9y7K#xfr$Kd$&<*G+vh@^LQ-L|8xY_o_;DKMSK!T4T z3EQo&O9W72>zu$|ZjGP@1WV`J3(9PGD|mpJL}m_H>(lfaRA=iSR|R0c-Es!Pj2Btv z%AOkD;F-Ez6+?o94P+}tYz09n-4zfvYH?1_OYCXwU~12Kuc6SNh?wEH1>yh6pW9aa z+mursFrUcPe6yCAjwH@2O!Ecd5Z6xaLd<`jL6+|WAewfWBX%;lC?Ih0XZ8{=A{t>H z?OPr^R+(O#pLXl{cT^i~D)#Z95IG=V7jF=916 ztUur66JANEs^pN=C)1NA_)_7LrOW2th2Q6!aei>72i@POJkv2NL z?|A3^{nC8XVnxk(PNG}PjyWLLx!kKF&+^&>S&6zM%i4-kwtEraQ<@0KofOCiOz3fz ze(4xRQ*@f|QeT{puvpU=B%ycO!N533pqO4xcE<^Xc7n9Vc$dOge&yO&O(_%ynBK@k zNw_SFm0WizIOFSMKL3-rD&Hx!k#53uf2;-tbO{al@t9r?$ z7%F~&9)03bJw57=%~^>E-p6~1k_8l;XX@(=`5qM)er8!thSaj9K$`dEM}+Qs+0SXe zrd3-u0)d-rjQ|9jFqVN!mE+&IbfRR>CrrZzJe&*?ViW@D83f1NdA$G=)$R0+LuF3}rx0WQI6J5swW&&4%{ixRnG0JtMyhmlM5i$b z{a+@jrju2iiRWPmL-omwg$Zb6x1MMX4(4zfFa1)|^)_0)nHLLf-+7Hz0VH2^gS|W3AbSKN?QmA8<;U5Iwu!=|Co+tf|>j(IY%z}G z+rCGw70Ts!F-{0ybe|(+(Q17$XP;(C!}W}i0pg6+b;slk zp2-kN=<&pqI~kobe2GN4{?^d1nR;V@`ZR;_X(_ztgP1NhNhIfXFRro_zV# zIHd#X_U;}Ig+5TKD8gv;;@j>p!ts*&>+LagAB}g}Xjpvr4-+Yj{f#!TdXGz;qQ-5% z3XQYuXt*qXW(-x^wQSv6;Trau4dB}kG^Cvfuxg-D{U>*Rj!73<-0E6*hTV1g5Wll! zDQ%Oe!+?!9UXuUv?MWdTodyKDZA&}qj4<191NLviS^)gelyOHB>FsYjlp<)pOTn@Z z!asg*f~+@8*p@##h@`e>i!a|N!-((8SA8rQkLgrVde z^nxaVkJLCeDG%foA9_(+W8}_Wa=Foma5h5uVMdWW?b~6ZFU1ceJo-%8|9F%PA1LNZ zb^%_h9569Z9|w!R2Gct8$IK;*yvI+}ZdFAMCeb{4|L~pFJyq5FcyK)+H@(Dc{mdMR z!Te#z^$k~cSB zUhdfl6wnEu6%1_xQVJs9L0c5&)hPh^V=hp zA~|KI^K|1YSbjmphF7F-AxA;ddjKGv%X=u@Cnfh<%<*JMRv=q~F+9yeGgWChw69q? zQwV9ej)^pXXREp+Ur>K+WA+5G-#p_S3I*h)|Az$ltO`U^zxZfwkaUEoYqvfO(Z%4( z`5oi3=u7}hKeO|X$aJvVO%YsI8?m(Q_s>BA9W(^QmCXh#pW|*R&ofA_=qZq*XP+8K zx@GZ^jY{@V=?HA2)fd;>=QZ!T%!141wZU;DOKp%TIS7cJFY+c}7i9-HlKpG^W7rbk z@S%D4r+oxRU_Z%_4jUSyhTs3Q5FKl%9d7RTQw^V1oJ~o-!HxIjABDcx1tSWr0=OJc z59=^a>;bGSX7@vw{;ndfPJe~QX&o9cV$(Zi^FI*$?A;Hva+2gGh_(^t-R3)KCzXS| z1PF~wJPx2q$oW|zYOs^<0O+x;5T#smDC!*$H$~c4*Y;UcRB6G~L~){V%>=hNn&Dvj z79c#v3sQ=s-)NRbzV$j?^_;L*;g%EiN&gwJeOEtnLnA7o22pVwDjWm=euZVpWLaHI)by?Q@b znz~Kc8dz14AqHUL;6s?sYpw26qvE-#S2|(bEX;#0Fu>>(qOBc(x5CRb4ZUpZC^r<> zX10@f8_>J~DZ{$^ZH{6!XFJQMe_R|&kjh(HvNXHn#8y(zlwM=W^2cG#<%J2bb|!fO{5iQkp_Yyh=9k^9aM}c6&kRfY}NdJ)@p+I$$tk@W#|w^V&4VpR8V3F0d5?^`(4?PxfgzNh2J>I+Z`Jo9=8W_Oa=2n_z9Q0)fUwSd{`=BgD5;2g}p> zDRDxL4t(MejPy3mCI{k#0R02Ybm%{3gJ=#}h6jCKIP~1`bsC7t3nS%59KK@ftZ%v) zwKb5B4o7P{orE*9u63WKsx6R*NhcVF)v@uMqZUG@Sr(9U3~m?sTX^PyE2Vi?K0n}v zxo4RQkn}U2sS}cI55_tizvt8ATk0AXJwg%rGs1J~p5L%xhCWs3??~27l&7P2{ih-K zoe6<#-Z>VS>P*3SUWq!-i2m4UJ;3AQne(MJ$qcX5-`1tf9p&>`G~u3*RyBfyH-{$` z+!WLRUF+;^6(IM*cp&NJgd1QkXH_)OUAX_!qJ8u#)ksjlIWdo=1#p5wp3;3N!?#Qu z&Cj^qPjm3uk>e%Pd&Svre4k+bx+T(4)|?1<+h#$YO4(U);HtC)n!a_|W&B_38bIEJ z8*x?Y()`$}Q;d>KOsk~(Wt|039nH43H!i{5-3jgl*NwZoJHaKm28ZB~;O-DyLeSv0 zaR_W2f)iZ#<@ZjM5cWSz-r`B4%(Rd-|ezcoe`}+jVPN(Hyf9d|z z@A5N2al(`~Pg3h5>d}F4nzMr%io$0o44Wb35yy6l)1ji1Yf;DL_Ki}Ek<&f`|k9n6$vL>+u^;lr-btX z3HN#Yj3C|=v6gu{{iFi$%Y)hV2RJWjJ76g4HKb@Xnt%&2O=B-R!EnG^HWI@%#OvBa zAA4+5HmaPHitz0Ae0Uq|G2R0fHj40S0BkKSDBNPj2QL)1Si17#wvr5jIL-#xaqAl{ z!fVh>=UO3o{hOO;B#?dKks93|b^7zGZir%9#8nkT^%L*q%iAKw)d52J}Nc5|AznKChJxU!n7 z)pt5yWFsMP^M!uaCc&y_OWD5M{v4is?KOVng!k2|^ZI9#W~wFztv+uJzP^dBDgqt_ zaWmWd;?fBF8x@kt*DQ30->L^-e9}7IgcRNHYB$Hq$H2LrT^ZJcZvMJQvPil}%ca+i z;g4;7liW<|RJ<63jX`+>`@PDZb5ivAmvs6=&U9mv9Y314w^g456see&84GHnc8a0r z1y|bBIkgeQVdw)1DQS2B8T@YOeW@g8E=4UGge|&ZR50yzNV(JDVel~s_vv0`hN;d` zLlJqu#Ya8c6{#3QDXS4zab1@QOr4nqR#jc>KECNK&0NoTR*<%>POq%m6;x{1DkJztz0-x|E(X`o-7~6L`fS)HDNIi|SH_w$01SXRv>F z<$o{rk+w8R8`qBM11@_5n&l1E#H0MK+1Cr?Ndo!Fq(f` z9|s{+sKP%87NZZL3YRyv?k*d>oLi5Gr%l(rC5=>W@m1-hFRBtwTVOsq*hxJd+2~eg zo*2uK3E)Y`5N_)@Qkq=88Ltac zzy@yb)JuBA=75KekcHKa_{>?dG8~C9pQxNn`ZszZ3#t2;KElf^`)hOYG~sY5vCL(T z;a&Znrl_s!*q=ck@4hMY$rI^E5u`@ri*CoF;{H0qq-zL!BUih02QMo6Bwsn~d#kcE z>i$hQ@dNMS-I4xf@5c?OsZ2?!w7nEtX8Z1p@@E(&oZs*QDdFy<(`|dPAG=P-JG(n8 zU)pe>4GRiuI)BQVYR#ag4f=fHub(3qrLHhPdec9ZMP<}x2}EH^gEKBI2%Q{U==Klv zHPU@o=c$bY={X26v2%HkD;k`aKgp>$cPVE-E1~xYu3O8Ub#fq6bU26cw}`%y@z2=` z7yjkq=O_9}#E=)Mg!9r#_))BzrKimZmfe8zL`ahh9l`gWu%JLEjCa+B{Sy_D%De02 zHu7Xy=Yts>{2ZtVGd#8RlJ|$3PATrwFip48js((FWDb^C7>f06)YU&8OqtPKSgd1j zoERn$t8nObxk!l=@W5uP(=YQTK}xwUKM8bxF{g!=_5d$NjO^7O?sdihB@;S#!6=mo z$=)f;*=pMCyA@Qi@uiUFTk5x~#@a3|T!+@WT=~Bc;upW@+YC{*MNt08-FEj5u_^(Y z4^Y`2Iw{vQpss6sKVg!H6j4}K@>85UBK2mIX1TU0m^DJg)jW&rZz zbGofHf4(?(tI|Vhbu%?G7)OrRILXZ*gQ$I%bVpZ+y}T*Nau@TX$t^&|G*mQNujwd1 z>OvGS3=(q1-WIkX6Op4Wd+%V=V6;rZK|pRQAk(@Y>>hAa_pq%L_5js{?q@J$-E2g& zfvxNlM0sq5V`{k@=q|l8$jvq|ogNEwV~QDVdI9#^(UDpMvT6+o1B_L9Y7n7V;}fYK zg)d)AR**CN@D`yTe!oP89zN$7L8Q!ANE=NkGh{Vu9MJN$Fnf&eYdf!^0^B{X zn0AmGe!+s?udR`s=?Y?K^cmmgMPM^!E+X5V@c4e=%BeeUBIGV6AL-1;n}%m!CnlAs zoeBr4ab+RQrka=rpNgJf8DhTk}9Yfrd?7n?=4Z7W{mwYk{b_Y6r*WVfM2 zpqf(cjr(pLfCKps990iE!WTsmcaSmgC!&;0U)uX>r~inrZmDrDvAXVLzF3dn(Q9Crz< zp(A@~*jr@}>X?n5^gKc1u-JE0ZDzp zB6>`T(35&Z$Mv@tnuk?}>EuYg~K!(Xmu`h?8uP4VmewRMMr zJ*ro`s_aiBc{Ny=f+fqf2emGU#>n2FMAk_v8FH?x&HiL5PNTE{-FzbOKjTRQ?UcrC z394cuDtmi~*^&BbS*KXBC0Tx3;NVOZPMO`k&9LF$#rTu0ub`eTi?ZTW7-M)ddPg$~&l*Wg{2|s{A{ejHhI!Z7_o-8V{K|}u zC#YBAo+LOn3(m=;PVg6XoI=s6!sv(9F1{X#CCZM6q#4i8SXMc*7)%PoWy+&?id%H( z_3(q4DtGI!aogW7)bKC@aHq=tB)TT1G!)6ZsqiNT{G`NATn*fJI{W)pG0_F8JS z^0_WK!x=(_5}G#1*uKJqzzN>&>gvwcc88|Hbd5ppduc(^wc!pp@(7_GiNn`bE35WA zwh?V*(P;=G_RXfdL-O7GqBn>HvXSwl_#7kS#c9@Sw10aG)!Ay0k!m3GT2~j?$*Q2p zD6J*mI;Y1EXEgm~zkWW6;=lm@5Pu|DfWIg69I&)WQagl97*o*5!sL>9A9n21EvJZO zkE09*8;~@pdakaB5=54t2Zwko@>n)W*mYw$v3p8SsS7wNvHNKVGoP;; z-f`H-2iK=2!)}yNdoY+&lj1mg&XBfDvi||3Lb>=_d?rPXh)XZVf1>@t{+*>0)P9kX zJ|1oE74yPuY24Y+mh!<0HaQ`V*;rK`iTB{BWN%+b(dIOt@$K7rC5IF?B=9QZx-gtX zH#8ro)TiKa|CQr~IWIAbya?;?4kP7W7<^Hs_cDE)ZEJ4_x_e;8T=ox1#4kxOpry7n zUUqg&rCx@=ZiO{X#9C?Z7!{;|_0gJdNlI`gX}#n0E$Hve2xO@i0m*dT z@7HJuEEmyB5eddf#vJw4^#za{C(y6%TYBd|4+7o&*bZoTFHS_4XG)_juSM3xCZP!r z%F9L|suB-rht;i7;%NLLW>&0%rhT)@XXzEF8-=ecpQpaom2L3g+(cv7Wu@_Isl*A+ zO*PWUsEmQ#7jx{dBjh_gk3@+m5meV~j+@Dy`L(Kb_~0H7#H#|=H@&t^+Wl>F!Wzxi zlGFztXpW*eZiKZZ%v)WN?B1T~ZjiuBs zI%GS9)z!y&+!(7R-qEed;!_U_lC*o@tR@(sO^^-m&IMQNV!13R%b74|?6}%}nBEwm zwYsPiWlIsPWxwJ*Kw_?V{{sw85!*G13ZIcwn8O-|HE9roBa=Buy5gO3>dP)_PEjSs zWTfZl;bG+Krw-R&xtE1J7E_Qdb{uL`AjB-SY7QtwJ#CFHn32Xc5{E-^>U8@5JiwIc zqsce0+PJ@=cT3)Yi+`rWBZj(gH|`;JVTiD%{(LJMoBjT_F;jy1eFqBT3{|O2SBbqi zkA6mH`}M?v4kllJH4f7gW3#pe+iaYV%oz3D%6QZ_Bf%**bNI=2IGof7>X_y=V4; zOSEoe-SzTnb8KI&chAC`rZCky`#_T8ykFuD*hGoungmv8rXA z^_;oce z0N1Lj0L(*j@pM~)gz2cg`?9_7WA9|h*hPj$V|*^8G(v>h#qV+|hHsbX2@EeN zA&$-t6}#2i6`a=|pzo}WpsX$~ZA%$NrJO~-K^9om65KL%fwBzr@KDbH5c-j`I!*jj zv+!FV-^$}k0LXt19-Ry1&}h!cj{(}><~A>Ah~cNA=IX6Cr#^2+b>x&H=kd@!u7l4S zwrlGAHh)d~7_9V9z;3lsZW?h}ib8L**>^{?un+0Um_bf_547K}2F$_^+9MG+S`Sf* z5WwPBn4L_hE0>$1s-esF5{gy(k*J<-|96n0Fs^Vfn2%~VQ+>Y8 za~$I<3Qem{#o*zs$-B7T+;qc4s5L191g&`Ece`4Hz#LJ^Rm1(Qc#5qo@~nQ+RG@=T zRlKHbtdNPbFtIJHEv{DA}``_$7RW9 zAx`&KG|*|8|0%8X5+-#EBF0A@qc<2`nji{$aI6ZRl?jS2du!L$wlVY;0%xJ2!&7#| zKIofgC2!Yk?a<6;Lk^6!G}&HJuuE|}oXrVRs7~tThRI^fIe65{aGR}$d=v>wlqW*$ zYniH^P!>v{?$?=U&_gNRU;WNvxPJNV<&&oHUQ7V?mxV6je$!#>JQE*S+%J9bXVjj{ zHz;XT&*4jK@CSlci`{p0(A`AgJCv{>+7Nzkl6 zFozeGoTQeow^AC34O!YZz+Q8`A_#j4Ekp^n6lD}<@ot7D zk7WRAp>$pQxM8f_yVDxj?CLdSuwQi=GrzR|mXwCWUrrP!c*O&+L<_Z0r>f3SzflTb zY?^N;kG8K7xkD~+QX>#%`I%+~Z+ZllpAV;0jGv3c{arGh;h8|wM|q4&wNah;gGB}_R?~Kcne!8y8$wO=q-z>r$pLf8w_e26R)92m+T+21=XazT z-g*c%hGRT3Q7C+##))QXqHm05312b+O;cQLDLWQR)2_E`?J!)a?RJzo=}vq?xPak- zS6SzDXWM1zr%pttY=SIM!Y2L772-9ZtW7D_qq!8MCk<6!-Z`mdfJ7KdE6gQI@xv`H z>-V`<=d6U8)lY2ORa4HHyjT(cTA#jnqn<=}yh8Fo^VuS78jZ51WUoO=U(sN0{3sxW zvP$7kCu{ymJn{gMKCEL}n%t~GtTmu370ij(keiZ?fQ2TmJ}Cugv4$0RIyO%BWg&rN z(2AqQF8V1?Ulzy4qqPC&9d9D@TX*lgK=SfRWatkx@+YAk=?R0Zx;wW7EV5>dY~_6@ zOSaepNSRw5byG{dlm{2mk&`7M2IgwXUyTZ#c@W+ln0+$0NcWpUX1gJv6GCod{|;I- zPw1yfG~<=cL_!zZV}GZ4Md=aUk4)7%E@*UkUh3UBG~8^)JkO|c0cGCt>q*UsPOG`p zKwaq8`jht~_1PlDmXi#6lHfjHbGyf><-sf;T{h9NW~lEu*DSlAXCqwPP4L%b=Fj?C zC84FWHq@;;UDxSk?~Fp|uYQUqUI-PV8>wnC?-Mo6-pPDUV`;!65-5k+<0RRHti*N0 zBkoJ-s(18^#Ym{8jQ!9U4H?$_Ce>9-`L$nHr02JZ?zs+1goE4eZrw^cFn@HZ_V`!d ztfJl#u01xbn(MPF3c0MOC3llO)>RX~78T7^ZLZc=j;oBHR)YBGHvAF{a}l?ty$j5a z-+zhEBZ1x*^M-^W0Zw2|Lsckht#?9}CK+2YZa(ocAkjIO{Mc2WGPH8 zlDuPYF?!EqIDk^z_D6Qs9zEFFX$XOF)+VIG@LKl{bN*gu`O2y8lAsrco;@y<{NC#) zsBRs7Ys;Tt*8EB3{$M_6X#Wbj}|=zL4Q__veK z(jZT)CPDz-m0E2S&)U5&Dy2J_#vWI~AeFzE2wU~g%d&gBrK1g}M>ZuCaZpDnt)exW zn~u|3k}L|aoz@ZKN_q;#*vMw@$nPy0|VQBUZRcBV2d&thIJ%6Cu!UcX7I-aO+J&^tSEzC^>#nDblZmsHeMEcfX-Lktz zJB|?S;VwlhmG4{Yih3;|HC)_$nYeWasA`KeUGvh7GB|}L%$zSb#vF+iK2L%*=FsOY za)NH{q@q|+_NPNNaamqXhGRArWB*=N3)hLYAP2T$qkG`7Zs`*sc3dM>b}k)<9a?kL z(#zfy8WcwUv1YyalN;!A!u`(0Wyh+Jd%xPolou>Cj7)tPtw(r20xDnBQ?9zTa+fH< z6cwv%3%PuW>@0#pIEZQxQ-6bhdO zIBwz-KvjT39S(7LE0I1LFhI5V90s^7(HTeBH{OylFI$7$0WkwrG_`H&7LBLiyr#kV zoiJo+VI5U!sk^9sH`Ga#_=NLyq?_8=6*clwZM@J;~a?Ex6 zn#ot#)oiV`_;nm-L`eMTs*eopX@aHE>&+ECIzJCn1#tx+5M8t+N(+Xm!pFV*;K9GF zZ0;WfixGqH?>6PC8|anuCvTbal(^XaOPzFdib`V`k|m!o4>M$q?1TgWLB8x zIH64XKX6H;cBx~u;7iRY@D<@T^mN#0eal406?)i^B4P}rwzQJ><104lhe{Hpi77Xz zZ;0CV{a8OWU)E9&6f(gHjYCh)oMKlP@Q`nEb^h7I!)2itn<qx|cir3GNL%;7 zDDNXiniYsO?-u9&5eh-uduaQ*_v7_|$QCc;R2VZaL6q2q=YBX~16D!D8TgL*7TZ5U zZ+S1?Ko04~Skel(b7E4_gqr6~p%V8Znx8*vjm{>>>;6a_A?f1yED!&yRk_luuu&qb zSPTF5fT!A3)6dxI-6nM6&RoFM3<1{lM9$UOg#r~H`8=z$JJ%Mxwlxe;mhLeIa5B^ut`l}$ zb+U%DxW_v5C2?U1>bqKGKBnAl(A&E%KXe13S;A2V+YRHxZE38!rQ7H?6T~tew=rd2 zU4UT!#XD*g5XrVB+OuHc+K>188$A6rZUhXP3%?nR&)+sr7ct`{h%&)BJ#p7>$MIf% zaa2FiLXN^hD(-+DFzQ6RUy-jYvt_^H8nwCkUe!JlHZDszH5hdhUA%nQr{eYP3zuA0 zDACrZ5ygUV`UJ&VzNNd3sijSZCY{7%wR6-3Znml@`A4^|sjkr((&=F3Z^g4|R(7rt z%1g7oc&S_Vfd%9yrFc$DcNmVrUA}qjKf`x?S@civyBE?c3iASQ<^MAPnulHg+47%o zXea;x`%eJ0iJhvgue*wi2dkTvi=&zEHuCmY#Id&uiX%D_Il2*O`IGs zBLqzBE^5n$3PhO>j;2d~{i*Ytn3WWt!x|h+aiQW7M?UU}a7*n@$a*nLR7MEjW%q4zZ9nsnK>IU;u8^?E zPnJikMD%?lejZ(f*{wl8$0|w-g)9)?vUWvn|LOtf0fE(zPbWJ_qRKK-%Fqfwj*16e zdp1T#4j46La>L?M-!P1KE4Q($hW?mzaPLV_A>cRrX6G>UBV{tYyb%NWo^2^2)S*km zE%$a+`D;>92~Q{)ZyAmX1yd;tpYAIN@iH%zH~> zxTsFH*ErQU;=qQ2tCqG79))xxy*#IF z8EEv&sahu8fVP9CyQvs0!mn;CV$PcIsyH=U#2KN4+E5-DR5 znaNF9?!2u1v^w|lfxO&;(IGNWkJo%vbZ+u-JMkkf1+Uc}BZPfZ>$S&Lit#@+PCRz( zek0Gi6Dd>l&gx+OT@IJEVIN5(=A6oi{Z+%7?DJO>RRt($9GHJc^nZa$yU2J|$sQH} zKzLQ^pZ0nSfQ0;WWohvm-|yu3H`EbE0~bjQ08GE0{|o)o9TL*@%KnoSU}b6jH&pNT znwaz2N$9l;;y=A#+aF6dq<zuZOvS){>CCy4uBc2z9`E5ZA(Y+Uzo>#*=mgtc=`M)!j-|l zp+Ap>t@{V^{%B0shQte{+9-?f>SOKmPyR>$k6}0QXPc3IOf(fItHP z8d1;yR)93ODu=#>s-2>(3YQYIwlbfB6^FExvag+;ojr%8rKOarvxPR7JFhJ>ua}na F{{TuSGG71y literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/reports/post_place_timing_summary.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/reports/post_place_timing_summary.rpt new file mode 100644 index 0000000..c1fab6f --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/reports/post_place_timing_summary.rpt @@ -0,0 +1,338 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:13 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/place/reports/post_place_timing_summary.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.261 0.000 0 114 -3.111 -39.391 33 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.261 0.000 0 114 -3.111 -39.391 33 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.261ns, Total Violation 0.000ns +Hold : 33 Failing Endpoints, Worst Slack -3.111ns, Total Violation -39.391ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.261ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.676ns (logic 2.260ns (48.332%) route 2.416ns (51.668%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: -0.028ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.930ns = ( 9.930 - 5.000 ) + Source Clock Delay (SCD): 5.226ns + Clock Pessimism Removal (CPR): 0.268ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, estimated) 1.972 3.491 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.587 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.639 5.226 clk_IBUF_BUFG + SLICE_X1Y8 FDRE r LED_PIPE_count1_a1_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X1Y8 FDRE (Prop_fdre_C_Q) 0.456 5.682 r LED_PIPE_count1_a1_reg[1]/Q + net (fo=2, estimated) 0.604 6.286 LED_PIPE_count1_a1[1] + SLICE_X1Y8 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.656 6.942 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, estimated) 0.000 6.942 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X1Y9 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.056 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.056 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X1Y10 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.170 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.170 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X1Y11 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.284 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.284 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X1Y12 CARRY4 (Prop_carry4_CI_O[2]) + 0.256 7.540 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, estimated) 0.946 8.486 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + SLICE_X3Y11 LUT6 (Prop_lut6_I0_O) 0.302 8.788 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, estimated) 0.574 9.362 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + SLICE_X3Y12 LUT4 (Prop_lut4_I2_O) 0.124 9.486 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, estimated) 0.292 9.778 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X0Y12 LUT4 (Prop_lut4_I0_O) 0.124 9.902 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 9.902 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + N11 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.448 6.448 f clk_IBUF_inst/O + net (fo=2, estimated) 1.873 8.321 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.412 f clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.518 9.930 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.268 10.198 + clock uncertainty -0.035 10.163 + ------------------------------------------------------------------- + required time 10.163 + arrival time -9.902 + ------------------------------------------------------------------- + slack 0.261 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -3.111ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_rst1_a1_reg/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.338ns (logic 1.465ns (62.657%) route 0.873ns (37.343%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 5.222ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.222ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + M6 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + M6 IBUF (Prop_ibuf_I_O) 1.465 1.465 r reset_IBUF_inst/O + net (fo=17, estimated) 0.873 2.338 reset_IBUF + SLICE_X0Y13 FDRE r LED_PIPE_rst1_a1_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, estimated) 1.972 3.491 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.587 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.635 5.222 clk_IBUF_BUFG + SLICE_X0Y13 FDRE r LED_PIPE_rst1_a1_reg/C + clock pessimism 0.000 5.222 + clock uncertainty 0.035 5.257 + SLICE_X0Y13 FDRE (Hold_fdre_C_D) 0.192 5.449 LED_PIPE_rst1_a1_reg + ------------------------------------------------------------------- + required time -5.449 + arrival time 2.338 + ------------------------------------------------------------------- + slack -3.111 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 SLICE_X3Y12 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X1Y12 LED_PIPE_count1_a1_reg[17]/C + + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/post_route.dcp b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/post_route.dcp new file mode 100644 index 0000000000000000000000000000000000000000..915fc53e1f24e5c7321b2193255796e5a78fe698 GIT binary patch literal 176103 zcmY(pV~{R9uq`~cZSApb+qP}nw#_}ZZQJIaXKdTN@2T&rd+Yp4I;*>@D_xaT){3GG zC>RJ++1CNL0?H5d>OMWz@*w94HdP>Sl+{y@U8K^` z&yAR}wIrP1)EKi}?%pJp$r=i|Xik$!=)?`o%?~;pPswnX5rQJ*P3hy%O_&R>e^ zQP#J6bGX?yL7L03A#&jx@NA(W2y6nqAyaQ(tK0>$g5}Uaz1XY(E$a?#Uz9$O=*a4va|1=zMblD|2D=PjHL3r-%{(}9-q`I zhMRa}SB+_|kE4ope{z_>Z~ubfIgzI$Zw7Vy-aszwdDeXePm@tGyJEz61*F8NLo)M8c4aZ7yg%D+Q}C-9c}HHjIjaE`30s)cX{eR7Kb#SCNGc~u% zFjLgk%LrRUg1SK%>~=y#q3vL0m3Oqnq0l_UU}fEubc6>B4%?FtOdQ+hv%Evvig?Y< zs;VzLS*hZl-@M$?^Vk(=k1tF~=qsoQ#yeaN)_%DEZe|f49r49LaQVxJks6g$&BI;F zZ%@+0)+wR75t*LO9DBj)RNWRHcZ@cNLO$y7h*7s;492)|!3XO{Yd|jSv~;jcbEw|h zSlB54Em3M_J~a&Zq=%8;Tz$!o{;YOUI(Oa5pJC`I+(3|B_<5y_L~MU}U6s~fsN(cAgb zK|%DwZeL^>j)Nn}Ku6$xEeNj)puK~J>Umtc+#jfJE_Ce|61*rg?z+20OZS`N>%ZBr z=K8uQ?R`0^D>LlRdjtlSJn>qH!UnsqD+~7sHTb|8=S#2L|L&z-V&D0r+76nXX+3p~ zYdaPjFVJW|-@LERr`?b7aA7{K+j*0wa$MX}4 z-?o?WmYV9dcGemJUS+ssyLx9Oer-FPF2b-S8W@UX9PT=v6TEU;qE^=HUiUtkGD zu_E(2a-ic3{F*XI6GgQzX*|($bF(VqA&NhU7d>){XV%k)=F$nA<~XC1PKZ0LyVrY| zd&Dd*N7^ia(YoO_r7%FOH*aK13QVgHjhs)zS^l9@$VlY&K+x}3IR!Pwdc zMARc&uP*gUU+$(Z@PS z`Y<`lD}S3rdF*w6-#!OUf*6bL)IVCi z%k>Ywy63g|rRsWFx%}P^lLF?`!fu%yllZ#$2F$>vSq6BLB%<@*&v@-HW;%*7M|*)d zHht+m@u1QpANULv$sQ7mmAjAnp9D5|-vQJOLG>WW(=wOT2D;aWd#j~GZ5*Vc=GX9rI8n&kM~CRLok%S0 z5U<5#FOBVK7Y4k6;I%c*NODGx*lg?fSX({El{NuVQG&1b35$lMLRY>I#nEKv-*9yoSXf>cGH88K~80C zVKUP0%)ebVeSm`X5`7}5)Y60%had~qYh*`EI=+;XVIxwZ zpq%QC;&8=6!Ns4w!c&#PSYypdwT^=#R;4h;_z1&@yTap`LjFg&dC!tR;-#_zWiyNx z{7DCH&^R}+NV#yDRULK0y2Ht9Z|~fCGr~K;Vehw)?DjCWnuA-LX}&$D`CgFIEk_EW zz62I1+ySN%;xQBc!@Fu2LumOeWMhg7gwPzy9^S=y_$YcLo%Ypf#jaSOf388t3!3Dxg|`V=w!z}$Sj+o6r2ft{#YQ@K zGn*usEy1PHS@T7ygmHlxCC?WDXf2TNqOwOwewjcX-jBtOA`3v+w3A82i&ovG-Ls-Z zhPiU_iYP+KaL`E|v8ct$1mp8apWyy*e}-XrrsOMuKjhL4-$uoNVSU;OZ!nL^z#gE95ApnpCrT7vO%VM~)yQOQvXclz4I;*_p8jsu{jZ z9g?OsbTp}%-0?K|hY>8bT39Rlnqz`kOsbyP?`fVGY~Ve&%!G56%H;sldz<+MgX9pc z$Y0vAzNy;{6w-yHp9kAT%G)P4u#K;`KoLZ|O!94@C4gYOZtg@8TDySiiw?{jX8vzI3#%=Xow(^&+*_OTmT|{JP1Xpv7aP+?0AvW z@vhziJxnPUm)n`$g^nLg3hXd(`U7nm3v(y`ro^8;uaFZ7`HNJTA*E^R9Xz?}bc1$ikyq|j>0MFwL)?EX zj`9_NWh-uFtPZQ)>gGPPBlOr@j}|x3&S25>bM}J#c4Zs6x%21yuoLI4-qqHqer5U* zcg%hMkZ}JrQaKIo#@kx8d-annM%ECeJe2x1VR<^6jaROj@R5!lwtoCYB%}ima9XMJ3_(3{1|26cOV}GiAu?vTbgDrttG?zUKg0Gf*Bo{p&ZP zl5*V7cie`P@#|C~+wT6@4&8Bgi<%Qix5ZfkdP7R5ytvNc$;ZzSHW&cv`|DT%6ZFk% zHznWlXj{iR*jagfz+KYDZV(|A6L-P|j!xSyu#^*x?RvJU$aj{wDVa&p?>;I!M%_FL z9g*b))puGr7Soac)#8}*Jp9{b&vv(}W#lLfNOP%QLbUKy*&W;?0mfZPEOP1tW&a## z6zpPs+y1l91 z@p$7gc$7zRR2t^c#?VEL8T$E2CL>pji&nOxIq&K1kIp9G*I7lSOQ#QbX?Bv~T~CQ$ zM|%h&^SJ3#DEp`+YNXk@h!R9|TFUH@aeDS-ZzXM;CegCnb=?|sP-Sf((ej8LATh3K z+eXFNCu%_kii75;0;Z62SC1UuV*#DLUEXn}=K#Lv+2Q+IZ#*C5hrso7lY7JWuqb}~ z95ldEB){&HE~NBtZtM3lQ3};ZJF6zX1LbmHRkhf@C+M3dUYg!#rmyzHo|_M(Eok!F zVB~fi#tI-KOvUZtITgvF#%O+2_DuS+F*m&q3!7kwQPiN%1^e6`-PODI&{Lb2q7pKB zll~bBgz<7g%&=`pv<^LlLIAxk-Rp#BXI)1#%nQN@VQ3G zQpO*n8rUGH#D^=F$9uFx&?YoF`2M%uTKJ=MSOB}Y) zCzHyq@-UZ$n5Y$3)HBbF`OQ=jJ~3ih={NK@8#}+Ok!s?P@^2!TeCjCvS8}+Rcc&2m zDN3KD^&H6!DCe2m5fu?M6}#x-|EY#kK-_5FM314$<`hU!pxG{sn^TDqYmHpgL_H%H za7o3|iT|S$Z}k9W>qQzQwCfx#|II$bta)aLcKaeWFA`$L*u?GG(Y5SkpT+=G4+&cz zAuRF%J^m}OZzUabkln55E*cL|h7k2{E`5p--BA9Z?I^{rl_4}cvxB3*g5wV$0&?@# z#r_!&+t$uhINOEoZf$6t7j}s694~_My1k$hq^t^bLczZ+-fMZ~Xr*J(;QW{EfhY>Fpq?XzqtBuB(I}0)hD5I0t z@L))K1pWw8Eon1KY41+)@b~B5!l%j8E<@?BK3lQ4zqYtP$LLkbc-n&NlAXsqK(skT zluN>#C3~%X1$a4D*H8b+-9hX-NCOz9h!0&Oet7;VHn$p&9{&Sc?t^KtDagVNZ)XtV zK>=RT6n2r5Ip>$LPF%0QZD7B(-pY9iL&vC@yMuwK&pr3HrMjd02D_YSKLNA%@sR&} zZD*`nJ_z&Kh#?#uLwJGJmVQed1LG-%o)nf!e-GsUU6dzvj)s;PEp+7~>E?|r@dHho z<*|R_8LMQ=X!S2GN_;JI&!M?~FYA_#iFxFJyc6lp3TP+yx@kiN0*5wqUfb*kOu42w{a>Z|xsnRPu zrAvKjJB>BZC>SLCrSYm}0&0KqI&sA`l0L%?kyz}1ig~|h%kbzGlN47dJq%=q`hS56 z0b1kER7z$e(SNIH`Efs`vhGhNS+B@^lBO3lMJgaZh}_zJK}azYE##4WB_>!Pp#ZP^ zTUq>Dh5TE4ISl5FB3P-|x0Nj9Z<$hK0y6&?ifECTFZTqAWns;-VZ|xu2$2F6t6~xL z;i3VSjUwqzkbRTcdW|AYP9mA9*nBJ4MylAj*-O(*k>+UTh$1IKuwre(aRX5hE8PBK z6~`%+JycVJzTPZ<@CE};otuD-u}QB(@Ap=(~qh@_yHI)bZQSrIYTINLG?txAx)z4 ze?W+Vs=jHbLPKUiD5ydxJnyVPAB$b^Ro z2h9%%ukrOiToO83 zOiI|~J+t=s)@AvZpl`kL;@q^Vz=YL-GOxoRCZ=#Z^+h&wJ^L#71++0ff{@PlT!Op` z{fEs7Ck=lax|k_Mk&TOuwe<%0FRrB}q^0c|QLGDThsS+){t$%5nU_9eFEKlF_l^kX zcGoT`f3Z4;b5=LyqN;d*{Y5ZpG6Y%uJ!d2*C0xp5w4(pNY`tVj%n9&+i+)T74fN$U zh=Er`1~a&3lV0~}WG9+V!l`!#GX|W!x~hj^hD>`ipvuQMFs=f7s$!h@8Wi05Ntiot z{klY869Aq*u!m#}7|UL;xn%S)(UB38fR8RG(6D|ArzcK=8tyOSYk}|DZ%g~pWvcmU ztJWor%DlRD8*5-)cg{bZ0?lA9GjclSU0+xaSfi36>%)7P?QTqpf>l@$tVd})?{xBp z4IJPve~FhKX8uh>MvaH`FgY8R!?2^&bI;=JRF;;Fj`p|e3?VKn`9kLG2e^>ee5rM)d2Zsz*=x{-R3tgONcO#8NQ&=_hu$WP;p9-nN;t zMZJ?7IxCye1%xfP5gT<&DOzwj>lg7dGW59itE!SW$&T+E9em7xoJtUQ%BNT^VL^0lh#$EyL)# za?cb@U2K?Rz}`~uE1*aYUeDu06%||E6x@R9vn9PXox9Dj2nTkEbY)^>i2gAyN`{sq zp2ywp^_8hGe^$VePc70TrK=O{J@lZ<^GzU&JggmKlG}Q3LQXjk3D&-;3a*l`I%kp) zHQM$U`LbTvw>0i?EotG#h0jpx@mc)`Vc3AJbI~UoxKru8jIVnEZ^72LI!NJz(7J%p zf@loON98I8Z?a0xFb~?C!~%7n(CpQFw#vfKl)TEHc2?k@g%Z1yCt7K8dfA2;((vy(TX|Z~y>B@ZC-!vMI!Yc_m>wD$aTkWT!Na)_e>qU3Yfq9+TBx3+lcRKAj zoJDN%cWd=s!i~*<_6&@yzL^!X^FG**!jDm&gyRK|8vk0!{fkys?X;v+3!r5+$)Jtx zK2+(|k$MMSVrBlym2tKo1jH@)b%%FuH`rF)SN7CiiPnf54AS>{$FC^Qt}T?T06E@w zp2BL4xcTL^rcb0gY(-QHmQA1nsg7)$i8AM9uobYtlyFTIa2{nm-U<%IQYtWIYE~yM zyzn2K3D;^0moW{`u`+lm+6Bx))%?-ik+uz$lVTT5giD;MrY&(9NEF1yHPH2QSXSp_ zfpv>v{sx*F&=FSN&!=xDM3Id3E)7S-eCRT8!_PF++i}D1K)E6=N-F)Q?kxv1?0@8h%X8!HT5|3t<{J(AW^h^aGllNgz9f`8WNdapLvKvyw#N zl*o=(7RTHNhDCWIDyqmq2GB34_4M27z|y?&Usap(rMLz+S0h+sv$Mm*uogGbld1JE zcZieg{Zb-1)cWKa4C#vszWHdBmE=q>@g+&zqP_jK3cFYHQ->-b6wH8Ul29qq8>%fM znt+}TV`#P0%$!}U)Ec&9;DDtH=V&YX{5NT99 z-+Q!xXR1pQg?uXLu0Nhe)Dh@C3m`M;(@F}ufAuSLW`)YLj4}8M5imGpgPIXlCsh1S zi1vf)C8}}ItOMxLnODfxWSQdXlptfyE|zRASY=D@U;${R*^<^BXs?g395z;1Qr5cF z7qPOXqFgITqh8BFP+~5R>%y4@$-50)Cy{8nX;E*mk495XGUtVbMt6u`nQ2Cxm(ivr zEguh!f;`-Q!i!a30=ro-G=>;WGJb`H4#xaM-nB%veUAZFvMDytB$pgEw=iLBmRsZ( z^k%(b@mQeF!uk}ZB|6RDvI*(oYchg9RyMW9aq@}iI}_1xmsy(r;}K8$#jd;wg_8c3 zh#?X&Bks`~?l8aZ(X1_MAl#BVHmE$mm|1>U4Cdb@nvHRx@566i{zt&2t?T%|^3~6h z;m=TM&rs&}advNrnOj}^GHLAVYFl0zec2qh*yPRzDDx;{IugxaNd`!&nhr+JF2aVc zl%Kz`M4 znj67Hce0nZ@Z?I{lIYt&HZTy{I{*|gt({5}& zk3HYN4BQ&K`~50e9}PD6?b5t#Q#x0dv;|Dl1KDCAIr?xT?ynew>$ig_q)=`0%t*9j z_7doPRvR89faG!;+*s+yFuN*xY)QsQVPyPb{%=VT1=M!v<3Am@>_1Hx>c8=SBta{C z6KA_bIfYP0r10CH=uX^h`JnV0wXF#;_DGAR26S8*rtK!2fL^_c8=Pl5?g)>(N#7Q8 zR{Jw)bk+7;UyaV4OQr6Dt2KE-iR&b&vAu#`r8VD5L-O{2l)L@e#Sk+=APIy^SU-Ku zF_;lf8*FaxzU%7Ge~65z7^B66y@Dw|ejm`R9Uu-<{hF!*LShT{w5G@;auvbQF!zj_ zKGB7PC5ugy=oJwn3c@*AxjJK>==8cr#HcNVc}7QX1$NT_60|bNE>xr1@lXQGTuI61 z!ApPXti%gf7_8e0vrY>9Sh@|x;h!|xAGzwj=;eKmvGdY!wOBVypl*l-0k^%Z9}Nun)Q5z_3b$jxZ9p`10OU6Y81lR(7txC; zwUO{#8xvu8&GDUn`JZmN2pk1pR7o$kq)8ifR^&uLj?B@QESRmgi00xU>AejJW9~`4 zec`)~ah9SJfCH>_xo`oktNhbhPIUEr>gw$r)6?jAr}(VI<##WV=~1=k z<}9|por)DVHLmc74k80uU#6tZNr5rAqjseY&AQrjcJ=%R!8>6EWM7`7mm$F~()AjI zD-Ef*i+6hs)$?s{V8Z_P|eIpt3Zr-TDSQJCrTmR#|Wk0ma* z$)x8JIz&ew20Rpe!O%(?XLWYiu1_b8z5KMO04E-j_y?P9k%g+W@p|R)cJ0L%kE$kp zB{yV~Nt$u>pW>Rmav}lku^D}o=DUv@;oRq z{U^J!;WI_*6z|Vh^_8mIcjuC-9tfMXh1-X(MQe2{TXCf(oIg6*gQtzHawjSV7C6#* z=_5EWs%%n;ZrLS63YS3uzm?Cn7T-l3zp(Z%^n64Tp8;0-wmTx8!0U>^P3haCW$_Qj z{Cd8NEoD`0XHfsw`{yNAy!baX3sj>`MOAfI{9O!KS7TYVPZ>N*_h3A^*m50j9ZWvO zLaA_TjUf0Qm6KIi?v(96SREEovuQ!>=k$3Uk+8!`J!p~SqSo0&|rh0;fb-4_N1W`+R@)+WyvD;sh;X}q zKntaOwa}eGDMvk_;H>Rpx)vHPIysxjR%mLV**z4Xl!+4LKBAFgi`x<&fb3=UZSNS? zblf;=3q%+=>46rH)^NZB#88qN2O`JnpLrY~4_rLAO`dSM>}*gQNUKyiT)ZHq$ig~q z(`nZje7#*}SOQGj4K-Knt#j|yH9kN)lKUPDe3dZXLHbOYxrPPtA>rfm}+DlK`jnF{EYzOLM(Wzr1!lZCo3K= zI6Q|$rEje+$3IrpXq+p6hY*BSgw`OaR6ZQoRA-eCWZ;agpga*S3git!7s1;QN0VQa0yGmV`Ep(Akq1hJsIx=RHtLccQOhimKE$D-MF0A%bcFrG*() zbLM2ZOBUX0n4qu2o&@b8!2RNiyQ@ii2U<%Kx?iRsCSm$Oz1C5lzU1ChYVp^ouXZKKh``{-&}^K%l@UU1#APBK$8l zkEz#8;-{BBoW>fXpooOO+&%(0>wtl`Y{04flA_>YPQd$LIB?gz`1zRH!oa@hNan*u zN_g<6rJ+bumfxW*=m7Zw8v?wf{ld>5{zqqe+aK{2-fwr8f^THW$poqBJC2Pk^vG&! zJTwQ5yI&;#P}hCJnl)b0@7A^Q83lrY2ez<@=vo1hkPtmQo{dtbkn3_!D$&Nfd9W@u zc6`jM7C<)5&^sgo_m312FKY@H7tcfFzfNReUm^K8Xf2c8jTH3f3V|y7+hq3 zA5Sg0QTDWxLngz#(3$jCR8hP>l=dA1XMZ5Gmyx+@7$H zp=rtiw2BcSCsJ5*eoyM5<^HvBtIWV`Yx3S`nw@7nDUOHu!`q2$&pjv znu%Y$UK9jo3Up$t2Zj%81v-tapTOm;{X)a*(k_H&tco4+4BT|#*l>`T z>6s;Ca`Zb-NV$*+CpE>_(9WkK1~gjNRcm}6>8s;oGS@-2vunNG+2<&apkAN;1-oL$ zteqV0f$_#W1K`<>#I!wqXH`PMuth5$fYtAiQ^R5GNVs!{Ij^otEV(bZ-r8UM5O7fC zeT9bB+J%Va|M=)&F3HtVFD?Cv=4m7PnVr!h(RSCx?MP9H23>_&8Q6cUdZvE;+j3yf zxsls>Gh%HNsLJARuVk(TEVLh_?L#;O*tQbh zw0tQl2F+g~U&%un`2Wa+{w%1+g=>r!Sf@oXL$Jl(n44#1RHe(Jv{HV3635}5Cs}bc z(0St4$Wy`Vpk3F9oarV%$IKG~Oe0*UzNXYz;=kZXE=Fr4Oindnv}4r4qveuUTCr5g zvH736ii|u~r=xUVn zDG-UFd6csQZz~_OPSPzA64?z3K$*1h>A$di z?L>AHR|8x3JP3)|ai!=*&%#t#9{!g?PjUOWAxvnXr$P797dGjGTOSWySG5<6Ij4*f z9ng%CC_KR5mz}>2Y#RIam^&blSRyL{jH+6|Xw9(ayG zrq|0Ei?6*qFv9+Zno#2cw~{Cn&*{}c%9Qdu4f6ek0vA7iLZZ%FX?ICYVsu!jNuDM* zt_gC;XUwSK!f^M_xO#|jM_WOZtp72~m{Wowd6IV?f=QgF>~UUNnU#^I4K((O0f9zJ zuBwKN+|&~=`Sp(KBq;3SZ*m~BZw|c-sq@AJaz2j}-MILEI7;{qoUTh9&mzS=ox0-ERZj@_Buwo0PM3 z?$`#93kc*BKdYV`ZB=%rc+BE&x3-ZXLD>fVg7WC>YrKTTQ9^=zmie?K25^;!DPf%4 z>iXW~Zs7dXeGi*9W#&(<$E-dKE{Xb8uqN*TW7nRHUrNN!5(p4;rrcX8TPWaX@o{JD z31QQ%k+*V9>X_E(VpnC*j4o;ZIN~~_VVswcdrf58lv+2c$~-j0sP1 zlyPN9{o&DE+0UA1Z4WHp-sGJ$X_D)9FW?kpx2s`RrZ4dnCbz{Pw%R#)GW*Ejr2=dz zX2+E6Tjv~675o!nNd$}JZquU5ONR!vGDUaD$PM$YO=L)qCf9{mkw}>&;Pxx=qJVQ{ zMx+Zbi->7Ws$3Lt+9BgI`lC`nIl14g4=i|RkIj+3Xj6`?Np>hsE*@PUu9&$fl5i{? zT%V+*%e@dJ*Xs(29eTX}_RbtP~6Rs`U zhn-uCY$0eVN}JvHu+gf8$1h7 z@lFuEZ>UIgETjj;vLEUN?~q7*;_iN5n=gBW^u#2An-Q_8N6KekpXuRbkK zGGr(pwl;nZCI_i}!E7j*KfWA{$=-h>B(F}SF$3qj*~<5z^-sl_E`M3;HZFP_u?jFL z;ZzTEmwN4R<(n5IBeEfwm@tE9%lcLDs^QXLN(W<_ZK*q|v^^%H!4I(791b@)O`}3u zA*oRDALK#dJt)130#O|{AaW=i;c$SUas{GtZSf}A^ON&rH$v##IbjphagSHWDM(hk zcd+Yc4W!%kkkl?}_d$s(Qz+4$*gb3OjQZuCsJZg#*QbZWnm|S-pRi$;Jx-vN!EeAX zd*K-TRS=mP{Dwb_ehkqb6e53jYe<=~BnFf6mmCvpqCh%~Fe}lmB+SMOoBr?YRg1`N z+zG5=Swq~QjvqW=VTQwBL~?N5)h>-PPF**%wDq?3*2O!&}nF$bI4)_?vc-9q! zH#Tp7yIN{M@Z=gb5Y>;4A}jo0>ZN(J=2wbrc=(=pzU1Ssc@v!h53f>1y0|Mk`f-BL z@}zL*${6ALU~n2%jo$jKz^JK@nRAtX9#DeH9(0>-8$U1Y8!8fY@4>$yW4DG7fyt7I z44LQ2#t%=vfC}9ZD33b@9?MyA@BkPb5d}9Dvypd;%vf0kyvzz+4rEo@3AIcC|9zd? zkzn4xhcOO$TmX`;Q7!Vmp}W^##(PrK*Qk1?dsN0!8daj1dA=Vz zTf8G)A`t3CXv-iokXm)dhNF5S#K7v)#Z?F=JeT!F~IWL$qnaH76li^o|p^lW=L7_@%&)IM|8+hTzCDJ)7m{PkfQ5-R&$!{*9<8{@fOmc*pJkDzZ(LL@ z)ah}TmRjc8xp~|&qxrRS`t$uj%=H6N7B2dCfaQ}x);B(?-{jy>_KOO2*Yv<4D{>zr z0LbIFdiImImhVUV>JfqF8lL-$=wqjMZ{F{Aeu*-)Era1}awtUT{tr1ure}OqJ+tnb zfO+C{-@u5yQ-9_#l*MRlIXzLGKzsn66(GhS}`eq zc#&8rVG-7!r+lSYRB7Yj5yFBE@dFDbS(H~oCA3g~!cnwB0THDkwv}PS73k_t^AN69 z*v&Ddrg(xi0QZKsnCBgT-0wbCbQo#F_4V>^IN_Yy2YHb&Q-sZe15N}~0 z43ds-P@f%(5h2f!>#gZPMF3O$%*SN)&?RzL~LBhGYp|)dhoWx z{4SCuBmx(K1>;cgb-;<7~j0%X199sLbwy*4Z@-!I&O0-;K-BykYMz zs7)Bc!GESp*qN3EJO&PeF^y~De`WUkPxAF#>=BK#g4yFe4?UJQPzupG98&c&qI2gv zA9~0+DSgK|Api_MR7S{S9TFD{=j{wpr!XW{MhHU(-d7(B=xIR|I(H&HB&II0bJMw( zMUu*Yx!t+milzq@?$(wdulsQ@{5tc6lnice`#cx>wxR?xK8Gk8vii1u5urbv ze?8EikALzhFQ&gOD3^}=-YD6ZGd|~$OaF_fLpygi@I)1pI_odWB!7aoU6VhsiF8NW zFQ;@*exr`o6MZ9&jvgs*(Bg;^5OhU%lG2qsqoJ%LzRy?Gl{sM9u*HuGNI3IG`GpvT zd%ojC<4AnVptL8wf84M|butijWr>QRv?sprrnJ|qa~#wlr*)w~qu0fx4~%#ZJ3X)U z!$D-{9Q;r32!oY_LH7`fXTCv(F&O^G=EL)DwS+TZ30-^~ z4FmU@7%m)-9J@;@`gGpJrkxnpN9bc>4R=7iMzkTq#5h7mjL1X48Kww3uU?!pe3MY* zh|mYa8O{i{KOGr06e4(btXNpb;s;_qsmUJ<-gif(NY zo!E{8lXS13Z1giG^Ylt-=|v$FEAIrGTZ?r426a75c^!{ctM%VaQu02iXr_!P9)6cY zSI$CPm=bNv#T;N04&7^J>gj)T2JgoLwXm}+7!L#!4Do_2_)iS97i{5WQLz68bX^M6 z$CVJFLF08FNDD}rJ8S|9I1;K?iq$NTGL;SvJR*I`Yk-LJBX!OYVlkw+b$uJ|!X9b? z4bNVh&>>{c^RR1Au{KK(ky}Fj*{22zt!Tu8>505LVl%R#B{=NPN&nO-p?6 zQ(-hV7&9$82jNa!3EE^ZSgkc^31uC;S~_?MXFW;|T2c56Ee(y*sHBi2E-bZ0ozkk> z-GsPwSkn& zu3=5XFFbs-@qz=x*Q$bVEI3ODJ`g49Qd{_%4TDyCrNAmAEn?FGjh11>EIj-R?- z)7z{!G#en){)`2SRpGE<)TFBYqtslgvWX35tLHY{G`z1Fiw#C^$KilUzp);o)NHPf zJ`YTlG8wEg(6d4F<*%qxy7Mcvt^o5P783|SNM_=v>VI)J?oVUerKg=C;5vC1HmdWO zWI%HV0}UF`{_==p3^+e`=*cZW`tx-s)ka_;ozU_73+Qy$#oBy{P zJh{PAjpH5A%tBHUZ`-u?vGHkfF@C9qtnTvjS7B8Nlg(wFleu-w7L26M?@aS`$%#VfthP#fg)%=wVF=ctum9J!_oBIX_O5TJ51ao-<4-x1wq3 zK{_FW?!oC||F4nCW#NitD;nTeDnADa6Dqfe7=T5G^7i=ikyHW@w>qW7*3;=<9pzg@|4?L~jCx4|F=$jbsy;TTQL>!E}m6;GG zg;fN9LnTraqlEZ^ZqOv7#Q%b3j4^Vv#0ClV7L}tD2~c z6QuF;`Ry(D+Fu2j-*>Dszy!;F82QXZZnWvXivseU9S+v45=fWE$dv1G^3(bKpVFcN zzMmaXlv(-j&b9-(11@?wGGFEMxR29&^RKjVvKuas!qGQ#$yoGfS#Joq_g?_^U58`4 zUteuHs8#~9gkkzthjo(pK4O~{iJZF+@NE9>mvWdfut@Ols|pU;tox^^{@mvpDmQ+X zOGhielDVAcKT=lzIn0mAdpk}M7Gjb2!Kcqnl}T|kG3G*iECroEMP)?Z2K8AIY1i3j zc9`!WiyH;!!^tK<_&p9hVa@zb2b~fYyIULtcBio48#}!Q+H!9QAWX!A@VE)OKaC(C z)EoBm0omNnz}XD|kL@izLX?dM(-UO&p}39?JaO9U)|Slo^*C93xj+!?S4BZLDlY9h zpZk=3Uk61jlgr7gj5~*F(t?Z3lVGKlq0uDMh$kS~q|l6!j+6nX4_?ZO;kO|&hWde( z6j4-?z(<2hp`W8Npi7h(Zw$bE1{=t8&r!}ii7SWkYLur?j|tuzDUWK_yfl|o<5#Qe zlu+QFO3$d~sWKr%XP3Zu#p9G37l2I7I>@k#dQ;Pv>rg{<{r!)Rt&`=B|7)eEEXIwN zlR|9@>_Ms)J7)b#s#ojhf<>Gs&mB+u2>XBd`lc{Jf+WngHEna+wrykDwr$(CZBN^_ zZQHi{dUtPkzrBZhsj9CcvoijSh|G+NtSE65gFIK_G6B?^$-;0u)ysBq6^m_3kx&eg zIy=L(`$GL(hVd$D8I}RESN1Bga@%LiWZP`rE0Vj2c2WMx-Fv{Kh&g58Fm9DcS*2x!Dn< z!9m57n0mR4V)d5v{N3OCEcH2Gn6qs=JelB|Bi;7x7lPZx=Si5BIzDpXGGM~sHj7un zMF%-BnJ@*zdk7CN<95O`K_^s6pI2Kf)9Ny3buywueIlu!HIZ|CG_R>Ih$pa=87A!o z;U;OUFNvq0C}?>uIMT*YTL4dx!^8*ktKI#t@+7y=!^2cXSx9JS=e_w6fxgdmN4je$ z+Y0CPlt-zH_EtB$Poc|~1gTG@i*`2fRL)6O_t;bpX?E;u&BQoT9EV+vtFken42g8H z_F0yM;928VnPcJBRTgH@!h7eRg1EOr7xRgM`+=0srPyGp;_VD^QIcjAV`K*5PKJUw z^6R8HqUDFNNa5mIl_LqmHFu*Sg85BKhs6QtLCrLbzyi+0UB+Q9!lU=9X&CQl*-%jI zXOCzg`iLJ z*EBquCOYne3&%6tT1JeRFOP)V)BeF-!;jM4y)eU%6TQ9H-{W}9QXQFrBG;@5GX}w7 z&p*eIFxi9|6*#1MVBq=v;INI~4@!Wy%-&$!giMk|I(;d7l8;gMS~gpE%j?%)_uIuh zp5NT_xSqOW0bv$M^SCekd&_@HKDp!Wjz?oiz8GG2-*E+=@c!Vw@$XGP^X^D%eg*u< z6VLqx3nFJ`kf(bQyO{NHARhl{X-JLrgLNrh0Nf!mFo}UXj>GHiai6+sdK$K$_Ij!9 z(b}MB^)d(R1kO3)O3tN))1J_su8B^Y=LGGuZNu8j=3pdlU&Py|@Z)u}`_uI1+KW{R zr7ekVT(j-$_a|(>jaK#J3Ae}*DEJ2{5V-&QVEN3~&6n7^aEU(YK88t##f_DL&+E99 zRo^wqhV!CTbEBD0i{$Yt%sAJ$(%3Q9FZI8M(;VgAqdl;p8F1C%2ZT4jS*wAWGXy9*uWlgrZjADWMixfg`;-nt>y| zGMdVnekM)z+@PzLrqv$xM{{wDGMq~m_UZ7PCT+-j7`b)qDVEU@iJ3RWJZr|D34>R} z#D`|O&d39;(=DaeM+J@b;-FPqYHozj{NQEVOCybUK?JAct%lY|JI&R?;I*S&3eA@w z+ILw*Sn&%j`^s#hIt>P9@#OJXiWV0`Plh*?BtAx{cqoeMk*90k9=D{4J zH^8(sl1UxIx4&(!a%k|UdwNgpx#?v~|pg-f{gbDb(6s*LM+~#?WkkMw%d&dfJz>ajITe*h0aJ_ie zK`n2!y;BHK`5Vzyfw;h^K$8K-{ZM)&bWv(BRe>u(mjKWGV0%P#k&IU->MeKUn(H=) zRO)ax;EtT_ozd1eCmwy*`!}?wt_<39T7h@q7@pZo;A^=jHhxXGA+v#DgUbMr`jPsQ z`jz;W_?h^c_#OKm`=R-w`K9>&LC3RMZGIV~;Ph^fD>|MYEz@AVqk7|#3*yeygU3Qpq%?*ixo=>qBkD?Vql;v=C09s#QJ!^TG& z0lxDC%0(dBkHRXcR!yl-JhA^!XfkTcEW%!eI-+3L7;H*!#Wb`?tJt^XUI#nEjI+|O zPOGQ3)JU@sY|3hdn{uwZ)UU>>NQayNG6r_=ZSCRG<*5Nsg`fgL1(x(3_ATmR&}FXy zBHAB(hwD}ytgk$}U9Qm@udftz=61khYmd7mIbtDd4{EK-+#GV|bl{BL9NnOqx-$Oh zCftb*v=#6Ra5w;PzhOVY9=#n1+yCt(y1RMJ7!%iI6St4!6`tw(4&RH2iOzx5KbAVi zS15)$Mpw*JT~kl6M_WQq)JI!K7o3)|jL$U2-tew?F90tHFAy*2FCZ_-FEB6IFF-Gd zFHkR-sn$CUfN8-xU9kp-YQdSr>#_UCHIvK-RBmzyj4C~=0!_jbC;^mu)rs{+mg8v^ z04k%JC?*xFOLQs`Y3IJ~xqzl1>AuFfpr)W}zRtP8Cm?OU*19Bg(5X?k$2VigcU1ST zAFq~ZH&oDhsskJPQ#5+-=nj(fegEtzRme&pWnc^6#vTq`?i#=q2umOqU=81@9u{5B zqm^EVi-TF0+RaIahyUu)C7|{zzcJqN1VK|s>+!D#uCWdJDO?i||JH=mN5~!V9r7Ks z9gH2d9rhi!9nc-&9pWA28-^RG8;Tpu8;%>WBiDpu*N7jl;F6PT*v$5t;ThLt+vFWk zqhny7bDt>k<|jGM4LRL*%rsjKf+`3VSTaBiproHskG(Ev4WjDd@bNnBu*QA~8PB#i z`#Q(Kio*?-Z&VM7E@KVo3X~;q6ELT5Ru7FX>kRM(;1kfh?`IF-4*m_BEd(13HefW+ zujuYSAtXC15XA=JU@=4Lyp~(Lz7O; z=UjvL0h$i|JF`jgecI&Bcy?OpGz?F(TIQs2M!zOa3)j&dyGBn_Y%8Jd;6##bQ=%1y z!{H7^YQ=#i%DVZHdCww)%J8at6YK=Dzr}wn6?l0{6WoMz)tP=7)|$kjo{cl(68q?A z4`%8E`L=95A4N9Jz&AM-xSC1y%LJ3p1}J@+A=CNs505jNFM${fTd3 z3P?HtdP9pj5FlpPNhjEV*c*&j2ygIZ7BB}|Dr$PKzU(q+mu%^FVt-R3pVK6n96{#R z)spEq%8aFlC#aM(OReHf;F@Rkg`{=9?>s(d3`o>w@>Qh1w6tKL&hq!}=vz7kqYgVY ze5pdH;)~sD-fKYZHS#|9Tnp4K+$JnvlsYGDn`xjazL&B+Xyafyd#J7$*AC5D zD++D9H10{So_$K?w_;;({XXYk2}hvy07q!TA9&ZG$JXAt+qnT_1+K=SiPnC@zlEk0 zERo`#owrbNGErGIUX{KmmuYQtQNht-S@#rGDg6_tqH=KpwUWFt85$Jwsep5=b;X}W z<5DWF!dc)MaQApo`^O%jBFPGR-B`=oE<=Wyi?0fCY*!99t9%hcyN^>wwK{)H@JUPP zTf9}$D4u4j?cJquIpXbX;dC>PX0wcT-MT&O?JO}wqLFZ7sSI5Yx(_Ie0)d5Spk1lz zSIrHBQY7kD@ek7+%)r$m!>#;{1aXT)CZ>@|dUC~as#_In!GEj-*QnaV%&`(JTq`b5 z>eN!MPi}U!4i-^3+klpmRwiSWEab}k%=aC1SK1c}Jqzw&$Cm4duSNkYm7Az7GhnNm z_?b5pcVlwXo@E%cu6$ zjpFmtY8IZ8K~)$eM(O0+vV&hHqfR^SbxG6mJSko)W31%UQms-F(8x-qo^kPssftv@ zVo4O`Qm^*JWlUvb51LMmWz}tciA_V+!BrdyXPs?eqAKHUBBINd3K1&HXD8{Z%SR_1 zQfCimZP;3z=RXQDqHa1Tl`y?es0>zBG{#%}fq00vPNYV82(SdzG`>p1cnGLwHV0%) z!*obr8v<>>mj2h>9rBt@pjtmWvPS!QF1Thz}6u8cR1dy1IIF@(@Ou|7)Uu=yj4}aR{Yf#g+xgp)-MPdV7LIV0#!H$vjNC5K|BM2Ms&q`&N6TuVT!42 z6tCtey_AvRgkgGMmd=#8@0CPm9-r%Cx0h``XXM0b{hqU#ySqWn8L63@_wcQ=nOihv zvdvR8#N>$ZZ}rM7N=@~^?CxvpMn#3KV^L*ALk0n~?5L7APxPdBd*tOJ8C$vZR zA~kqT+se;!<7WJRHVPh=HRIzhaiu?q9-Fx|`$wOnV=GFZW4+L3eH5+~QVJvLufDV{ zANSba%uvf$qZsy-jA;630$mx?0&0*5w1tcbH((rd!(3vX_>tAiyquS>tb}OK)^6IE3*~l^C#gp_vkIKSzWV=xQe?^@?_-`w9_>Yw)Am+NUfjG#2UI19}uK-W**W>fyK$s9w z!OE3&R0Y{704+pcP#K;BFCfFHP6Aq1@UycqQ3EQ%xL^fV0U~3_ia@Lbv7x<4M8P7a zsA2Gr!H^g~axgtX6T(T${ljJjk$&Ei(oD}9)zfUxqJWi+R8TrQf>u)6IU4a(JfBfu zYmuK*T#{I0@s~rbHAm%khW$rUo-l_1%4q8{O*s5rRv=|@8Pfb;O!e5!QTYE~LD7Mf zNp7*oEneU|jjeu z-ZTc((1#LYCF1O_2j@!79yjTID+7@7{Yy?Dhfvp$w*MN;gZ#rg+Vn+LdfE_0RY?9L zriKhQK>zYUFQC9R@x%2*8>OxwZf8X}U8mYh!*zle5D4=FY9v)0b zWF7d&83L;KMYK}X>~f<=P^d`KbM)^a0->}#o^Cq`_CDL^urYSakWnjQ@8Q z!q!p?9qVj^5>**%lW51NYZF{R-`kdA3UZ|tEH@=E->G5sn@s$yszy-37kb1+M3L*N z%0cJE+vA3p&)aagFmeu+ur09aiE6?ZDzbl%qZU@S=Iwh!NVb~!_1cKtmxSr4>P;O| z69$IUzkD}c8A)dpjKt}2X}hwzOLtwfIRFy?lITZm~Nt>YA&IdI^^OD`k8uep74qpnEg|3qLGp-$R7V~tMUJ~ z)!;*&!3I~CQszqM(w`m40M*WQL{eGWS}6qda`UBvgvu=h8nG+G)`^woIl|<%!~PQT%TpeLv;d zSt$wY(4T$+bAe-uvb4K6q9aO)?jv0hC%oh?slsxhz_>|d`9OjgP4l<_e`S&e zppGCR^!{Qpt>prQnDr>~bPGTw-4S96MvBEKiY(;<-0xRbPBa$!v$PailY~{2X*x!H z$BN)4PbCbk0V+QhQ(SmQOJoSuCHAU+D49rWgx6S}ulP&atwg?MS>5dWibrfwLEj`u z38Uz)biCPcBr{aTa(TG3sXq-lMTtJvw!9t_*12M@*%1I5isHlA0 zo>(pKH)WDe(Ot!ANL8b}dV#ZUR{j!YH@`TGuvx)br`jv^n2nNmM*LOioO9AznaFC< zTDwxaXybDYyvBQe1FQM>oq2aWw%k|lT};I+yy$7{nU~OO!5H43ua-N~74XVPGNrK$ ztQitV1ED*BwKERxBv9~P_~ zgKBp?OGxvVmhx&fB9rZKs51sFnkA$0RohB0GrVI>UsR)K4e@UimCT{GRZs!7kyVk3 z22Kg)-=?Km<=UpH3aijR1uE+Yrsrzw7mH0pWs?b7Qu#q5}N&KN&N}(^4ewj%_WSXuiv`H!9|>La>2bWclhEZEKT=xdSI`X2nF~C`mN= z+V81lTJcz8H@(2C#`Ajomh$e|bu969JAXb$y`6?23It;_h{A)3o+Vqv)OGv`dS_dAcM` z?;?-2C#J#oKF2xbV;Mp4^8Dj7&rIX+Z2Am5&pyOn`})bTsvPZUx>=l9KC28tBi zp=O`%I{R{NWX!jih>Y*Iki-fCV|DOoY;b{;S=|Pe-O8yO6^i42=8V)8eL+ggM zsHK)!byoFbk@HQ{04yuV0%_}p!KkLTSr*o{D-q63(|W8+hk{RQ$DSy-w%L7a$B`(w z_Ss*o97iIKT*;TvSbQ4$-a3sK>lr4vyU8>MqmW1FR8 zQRSPZLs4fy_9<_f^CWKKlWZl8+9F@nYb$i$8p-rt9GPukM~xORw|mT9sV=`AFelD& zN$6lk1D5*|CHm!yupTudE?b@=*E#@3Kh6)ri^yw;EccyEm{KMdW4-KwCgQL%Pfns_ z94Dfp+h?JzAM&v}4@62fPK;RH2cxu|3YIrcoLJq5qh#7=+pQDb5(u)t>~h96ZXl%U znXo6lL6W=+r=y}i-fDXsmgRY$=3b7UCjI7+XFUA&3r2-`e?3oedc*50Yw`-ZTNV)4E_wo z#TN3K@Xq_c(c9)A_OFbel->^-|Bc?d7#bgHT*eo$!F>400omhazUn4VjJ$CJdPF^;uW>&3D%coDSxPLSG<*?RMwf_XMn-mD%f_k5#VAqch&}S6MY^ne2a2UkJ;7 zcV0M+NXaP+d%{P6qC(ra#26-FbDh_P6Y^k%vupAS{c)rh3W7&~voK;yP2$-Mt2L0- znjQa1MVrrYM*E43f%CZ*wmmmbHm9suJ1g(NQp9cE?7Q{{sdPrHocKQcSAR%A&SP83 zF{OnBx*2%BtKIL6q#8yYDi9 zli>an4b%C(qW>wRT}-w6Ok1qCz^qZH-`J=eKZ4{`wv<R7vM|T=i6-74PY(3fW9uW@~91y zG}s^%6-uP{a+Px)Cq^>(MR_vKu5WkDmxuZMFVa$tKU^M zE$n@6qK9Dy0ksa>K1q{;t?oNm&~=Y)`J5cx;Sl$pj;-g$wA@6d18M|v-kL)i0=6m7 zbU!MSfQN=*VkQ`r>a2VotQDZ{ltmlof+}L6r)kEgu5H+W5kmHhjioket80cPBLJil z26LJ#t%#^yQ0P;h%WE5;zvWqK`M0r0+NtWjC0o02_oSx!z7_6}$pCC5XJm6ZSM!MmM#(g%#*oQ7D_t~=3O8LhQWMy{#tTuxInh2` zC=L8R;~p)>Uj&DaKF4U;pVBd_Oe=kC?9)5nL$%Xw23+Gf3)FjHL-%<$MqD{y>*&+| zF@?7yu0xePM9KP^vk?s07qp`<3G6aM^^>=Os?oP|4qU4`@pjEat?hN$;Pu$&;X0|$ z2LbUD_ZYoMTdinBMEdMYuM@dc#|_=c`}bOsB_8QHH`!(7+k44+-y$LY^tR^_3UPdi z579j7yTc=M+S$68`2dv z83>{;@x(6WQZ|8elzqGRl4Pc1px5F#D6lmxau1kTxO}+Vu^YW0U{KN5b+m^1tgZ4|s}c1p$n@;UU(k6@T|U`|GSCi?Nxc~5Ll)iYzy=BsQwm)fMGSjqqk^451I5miU znfaj>wa#C+_;q#ml(GxO`*f2c)vD{#BKbrErs8T3mL^su*)E0Z#R~IU7zZK(6@_I7 zp$W4fY3dT?^TE#9)7nk}Tt&NyT6)>}@2nI>stLCoU(VMob0x}U2hb|z4XW*=LCmG6 zg{sSV<$Fcvv+Q_fUq{ZvHs!~Q!xE)$L$89a(~L~aNB6rTiLb7yXjmNE=_x?1SM=&P zp6i5elN67;qWAF2i7OKoPc+^78m*Pj3(>7#>n&bap})z=oJQb!Cb^U#8_juCsB9E_ z_TT!gtY}a`Q}=F>AAnFALM;@o$s-3yX{m+uh5 z2nkOhi;os&f7l^@ET6HSE7j}$mWkS7VVN1;HJFAlW_r3eXQkWL(ziwk2AOq7&k z7f4jgj~p2;Di|Y>DizcTjF(JtJX_9lluB{DfR;O3CeC{2N(6Hh2X_%XZJ{|m=&wOV zQHT)TRl)jj?|U&1(UHZ}!MPJRlVi^eAMESW#BX45gbC+eO#&}UL1xE=ACkT!wc&d; zYT_D5){#FjCBuf^fHR(_r}A-^<{`*ZC{(1woO-p_ruur+kmH&})G-P(QQcEZe!-Lk zp}NJE#5NAIPIk>E*47RCTR#v%Wfw@Stsj=6wuh4JnnWC3H$b0!q8GLgKIlDK!A6v) ziw?bg8ssl)H-yeyAuvNTd`1#DgI$9P`tN$6MB&R$F)ZM zX^%=W(;#eFb(#MSi!T#{5ZLQ%xlH3QPgt}(=@YJ2Tew>*+mwFA`2s>Wd`$t^#X z4Z;=!_UOxs*^X0)%&4Ts1QoRGico>mY%#S14izGr?fOdKb;o2P61`9T_9BA?3L~eF zb8FVAE|{U=&2|eP#MmPPGilK^`p!g`bKg?Syu-?P^h!VHQcz6lew|p@2<%ce*h;x? zTT|(}?>xgxZD-xQhZJ9Ta?izFh!Vg1dW`^D+WJ{IhJB zsVh+fdM35Vm-)YO!v+dM>ml%=4eDZ6sw|lMB_8LFc_{G&jaB$&VNzAXg&V1Ev9xYw z5rIF*flG~fyY`Tcs5CY0D4>T3NS z08~xtS>-BNj37uXQvZt94(}&e58V(Q1-_$ag~*4t>*R|+jb)#w6rCyO-F<{x_0@(* zy2A54Rj?#Rmo@(QB3P%ROt??KSYrjd8Jv`xzKK)BZ$VatI98Y)sKJ&tuJaQji-pq? z*`>KoS*887+2>u5v-IB3wk*8BSchHDkJ2pMsJB|jTjgn3?=rgX77S{y5EQ>qXO|ik zHClybXdiU{$}Y}XR4K)b+YO2#;xST$NP?jpm?}r0NI#A{$%>PGdOUIKG_3w^tTx_XmcStEi1o>Hl)X2Zc94=EbL zoQAUajISjn27^2ane_6)Uv=`7Ch6EuWYNM2f)uMUG!7VWWl}I9TTO6i?UyqaY+UOH zGmz7HWeI;qy&YGlY{iW9*&Z65T<^(ovc~hKZC}(A`n3mZ4eiB#8e;+JCrAq0o!MU6 zRcOX}gAK_Y)yvrnO;aaNx)5EbfC*6~3GNSTf-~5(E`WJQd z3C>H)nazw1+uEM2SJ|8+M6nLf%7Y$TAq^d_WQ{}f?1?>-0lO^&8#fqE`Z!kNslbXW zSdap#f7j6?WRoXkKDhs08qVm%cFy)6EH(Z9Crh@)o&BRUoS8onZ&Q0$p`Q%rl=wez zo7DaVx84e;IJ*vF&z{YK&9ifKSAH-qn+028bY@pRS&$;(KG-v#JhS;TpM-mJZLbnE zB483#ej<1{LK;_Vrr?z7r(i+EVcgij-HNwbg7=u&!M&;aawL-*S20^%ahDjeV9c;8 zZkZHS%q1GjYKfx=PfT&rWD!Zs=M7gn*H3K&-f^O&u*2HVIg(AMnBvAsqp|&8W_+ik z?!&MNiX2SrJq+ST_F!=7mhEl){Oy->4PC8sjwCVtOB@!dh4Fc#`&0>vBui_5lkrm$<3hWy_RC@x#+Rj{fnoW8of{3k}j88h^-^nk3Hz z-jW7hDurE8OLJ_Q5ig zkwJj^>;A@-lAd_$ND-R?Y4`n2yl?R^D90s%xV$pFQEb?;yt{rc;4MVV_=Hfe5Dq6u&g&(FUu=OXb~D+HRz9ACOL(}YNYiI+o> zJS+wiHQB^Jx_rpqY-3N+1;>!*hz}f+Y%Oe*-?9^H4x2gY$OIGGor3?<=U|yw4 z9xw*B3che|m4Y%%>=lT~B>3GD^fih4MoRr~-8|#NcV4==$Cw>u=;vYyhCJ@JF{L?2 z+f25rVv3W~bvVJL3RhVFd-!h?+ugD$4r}tFexaCxPr;ykxA=KWLcTl@$Rc%BdAwBj z{NB!X(IexXS+fmxGoh7@2K7EtF>5i@6*7jsS%+3rD{7M+xc2FeYvUCx3Bs?7eBn>kMY#8-(woI6It`<7gR{~8mT6M>HNEunAr%A3COSN3R%xH zma5)pOLM2R7q`~Qm3RG2hr1OhOqJpzn+de$cxQKQ#w)DPqElxD=ykwj{LI#X39i}b zjCp2KA9|2gkF^n6McV`5Y;h$-_c8xiqLzWWVTYL^7 z&`xG>)VEG|zoJMrL#}2xB;lX0v3HL+VM3C*+*`hPehSnW<>R1oZ}`{;W?a)@uU*ab zf1;_d*@*7WYz5$6b+`7tazKT!06o}#3x;@TXj|aX%;>Rs%2yA+XA)_b_D6@%#y+~b zDs7u}z_kN)sV?q!%6>ak7P$hl`P2e6MVer$@$7`N&Z=?E&)gA+cIcMeN*Ro+uX~gDYWAF@b$xi?+l*(u0RE!UE>}WYlp+pJD>?9Q#GH zp=Xp1=9_a2wVcNJ=lk0^;_C%RT_>*ol3rkGxKoQ-Wy|D+Mzpf#aZ8?l_zf4wLR#Al zkJ6A^S$n*!*mT=QzMa=J?^^)+6HViU*YNBFmo|jpZ<`Tmn~OM)qS|l_&ZyUnMXf?? zk=COh*x#ont!y~v*T1P`?D zs!S?WxBk)&7Wddb87UH5IHSWZg%+T!&zlEMI_I~Nb#X@8syXk~54XuWOt@-68F8fuv}o5&FpITBgBeK1y-Km9NraVnq=nF=yUI8U zCZ9$lwq-k>I5+1-l7>SpP$uV1jnCPWWCk-I{n3UJL7f{lWJ#4WoF7%Cz>OxU+a2Iv z2?br*O|FR|HGnuHA1}2=I>Q%|9t=oxq-ezqZp0i3{&|ruATH|?<)}$_T9GWS!x)FV zO&S&Q>)2j|>JVc*u|ztN2C3+z$!&>YmmO&rQVe~Xs<6M;){z)nVvwLrb!wT)fyKoo zoH0|nZ?RfcQ5WN}ObJSU&_26O6}kiA z@mLe7*iUo@B$?PqVX*B`2j`%fSE zb4@HpPSl(qszHI6%`nbD{Nh#Sdk^dhDRt$g5~S@CoW9HbNivQ|?jcDsc1iA`Niq&e zG7zMKbzpmhS;$jiz9n(dRugsW&NmF_{YSTUNHTs&J&~}kR_fL@Qzc~mHWYmlRi91h zf%RsZt>^1uP&eR^4GEMzzE94>jH1GE=b2~kvdf8(dI%eU2eFL{%bx1rFw8HxhhR-R zIra3*ka}?J8j&V(=NOiaxBCa##y;Wan9?#TBzsIhZWzVx;8Tdg4LR^SD>MxRIc`eh ze-ueItgoLIpPYs%8EMOvWVCTMD_xpsR4URBKd?6{rR?dnStqq*sug&_uBg7okbcvN z_kb%1lvE4z=vgfW!|)MFiI$eCw~eoHM1sVqglYIPsz$>=KMoyWnUo^K)+1WEitIq#>ewwa~vKaW4C zwas>14*uCix9g5naX2f(2vJWoyy++6NZp3P@X`;JVcVhBkX5Z^Vbu-P)(o>w!8)79 zVNws#X}yZI6Tdx&Cw$kMU>4be^j#)k>Yk|*xJz&tfnmER#}L)`i&=*DF{Yi*QQ!RF zfdaM#jUbEVWnV~n)wv~F4FS*fC8^Gqg&^>}Y14?iQXq0D9Vrtur$~rS`Sks6OXZd! zU8s<7lP@s_?Z!P2&Q_;L-r|t@?sAcm8&s1wWmM%lUj}L~ocjFFgz=%h|C*3eMLIlK z!Yf>VlfePHFF?vgfNdz{4rc*0vT106zA2FMQK#Fh_+_ht#mm7ueQ)VJ1c-wij%Vc> z2IhMXB91``VPMzkyxdRov_~g?`hvH-Y(>seK8s!NtB|XTye8zpbO0LQBXfq$awo#K znVt*2|G$};7IhB?U%>zXwgvthopCiZ=*+ONSrbDJ?tWIm9@SAW>s|3BSd|?jA#X(h zVX9J8S{lF&WgY5Y+tVFdU|?6Cy@ci;xCz!C0T{EyrJEL+qaN_|2U$SbylMTY`)gM+ zya4H()Dt>_YQGGIFnjp?;XR~d7V4g~X_bVFRhVEhP&B++jCgvY#I~o+t2N%D{knmQ zQA)_Q+k}?#A!iNGTVQdlx?Cwch(EI$q+{fmn)mh0LoV5ZAF<>O+-EIZDqE_!ep+&5 z6?pqQ-3~QtVz}Ceua{2O4ei)SJlC5+^*+&r$}ir6m?72$d+2KwUGo!D7ogeS=vVk8 zNj)T)Jtzr*49dFmbCZnRo>sXlv_S1@X@n7kqgrcQKJIukVbq=@tio;)6rI0S<`G#r zZkB|W9+tMiWrGquJ9Zg_9qLxn;5V3M(OQXnTDaKu7X+2!h0mY!X^GmPK;9b=$JMi_ za|H(+Q!f`hbH%K;LXN!O*ztjY*;mf*;rSrozk5}ns>tAoJ0>)*`?#^d>qn7`lR*>C z2j{Zht~Nr(v98bDXD`d+zuXKENS<3@=zizr|Be<%1f#+=z}T|WM5{HsETec*kuf?^ zLOVu8^UPRcu)}N^GVM8B5e?2>N-h`h*{Xv1jHp|-$-3r^n=N6UpxBaScB7`UX`Y9; zc5_lDce7%mwQ`gn^pHv0wP*^#O;2GFD?4aBzkQnRXxNoRxfY&$;V|7Y zt~|&$_5?T8PR~%hdpf^`o9$pSDc{Rd(r_B}h&-|y+CBi-Y-X*P-Z%5_IB^QuR5PT2{h(?ttgrLSkzai#{vQvPgrX>_M4I2jFjsuFZavib_ z?|(jN7fiRqa4vCFdsItKF0N(O@WV1v7hG#+Ol$%D#41F;#i5|bD#lfaOlEITMQdZ# zD#WA@B5TCWl(qwA%3wp}4@cNW-N0&S<3%O7>_Y3!O+Kf3J|r}GF;~TQgzOsUee`tt=yA#?tG6i zvKdp+`t9L{2mrmESo<~tqLU5P)5m&P$-^L-ObSwS6Qx$+baODjegk4dd=sb37JaD;bL`seD6mBknysLH*7R{ zp`j67|12FZgTuS^kex6c3x>~7L6HmGBmQXJDUq=0YHN0ACsH19zT9Ra7g;w8JT)`%v0i!1<%neL z-7*ej1B)FLH8bl9Z*%`w5Zcc!9Bz8*v_(>5*>6^Q>IknF=>Ls<)XGz#rG5hfq8+W>4egF2sbt5|)H)fdIfEV4mr$+Bx?}C;0B~Qmv7ob}Ti%u69EE3GJ z2e*fIpN*98i_I?i#YS1Ft|DB8V(Vj{^L99l&5m%-^VWv8=`2R3 z$@@0zy7QqxMTfohVJL&w^YpS!+v7ZmRmbD>aoPK`s9DEjUsUI%PtwNw*yHc#MN*@A z2aCGJQn|6!S|#`M+O)R&YgA*-VuAZZtNZ1x`1`?6jrVypxEvjg?^BDv-5pDjB$tj1 zYCn&$nC`y2Tie3o)u=GGD0oFHe}lesw0gcVg`MiGQLdUKsorV4U3(}p{&-q5yll7W zR*wP1a+Ck?;ZBz_zVzh4t&(0wZ4V)hn1lsav5-~17ymO|?v6;D0Uf(wu|Gtf7QKnF z-}u-cHHgcSS61FsE?VDczBijt5`&r|>-_xOWS)|zwJ?OrG?v6v zrZKXmKNwAGbO3~$K!r;)*(k_9a>C#!T+0kM{7JGoDwI%RM8&XCZBg4Y19=Jw` zhA6&olggqg7se!}>HE(Ij0a<1fMX=pI%`W9MjU^0Wir53WWTj_zYu#LnvaFQw(*u^owPG}uFJ9M{iuU`f67~g6JuV|TU7{?~S0@&a z=bnl_$8wZZEasiHrAZ#K&7tCr_lT#+CSBJivb0F5J0!s)Hmee_2L9rGBc4x_S6 zWTKnkVq`LF`LCoHE!Ld1IqO>;Xs<8+(mKO6_tKj$SGHj`Ivo45anay$H!9^=ay723 zuLC`ol2%9EzdABh89SV0#OnDhyJ3%%Ooi)qjk+qo163b@`VLQM1{@=qnCbu>ok-XY zD$m<7X2oPJ)&!!}A#SKmHEMni6|CjN+_`!KS2L9j-4|!eaCR5xHM$ zy+@Zz9a~aogba_funl$9fYW))cAfmP2_Ur1X{B>m!e%vfqpbAer zL0+RDy$2~BOftQtI!$^*Xd%!)9SquEp4lvOHe)TS4fS`b&k>YGaY0&rizq!SOkuIz zy&ZElp|?@(=?y(gGibMS^>v5)yY0E|e3Vuva`5CTSvk{L(S z9UilH5KRjf2g)eQWfW&mWNyQ~N``dB`B~3!YbPTEkMlwvzf&V1K^OJ*Fz_`5p-D(8 zO{5y}%_-7^S7hTlN?Gd6rUWZ=ck3f>d*$Z+QIHVhguvch>!k(;O*g0s20x4~w_*+O zpI>W;j_j1fDwtp#!xxlgXi%i?B`{F{0AsNGgPBy6IZ!RTP$Q!`U|aTXumu5fWHVWB z`VSV{D;!h4dx(O+(@m8mWh98+*JiY4REL;fDZvh#VKbqK_+c@6%I zk0jm8;y;o`xk&GdgD!XQwI=7V>_OJRF)3JjBj3SxjTSshX{#m|d52!gYPW!zuoTX| zidKnVH|(YTtHy4#qaJDHssJL);`by7?QPuy1Le)NxUyVVwYotgnGL+^KW$wkwww*5 zTBkBMKTOo=dmO}%mVazI+0geqtI=q^s{P=Tp88tP*Bj%jU0L%@L?n^#<-lO(-7aZ) zVNLtp@|FARDbke%4&O@xaMPt|rq`~m&1FB@@U+$W+}J_$#yzzB?CIh73E1Sg*)>hy z2?c4%;H~%U&C|mu_9yqFu{9^Ydot1%mC)mfwX1!oJE;$OE!^7K&We-6HMV(} z!lRzeEEE=*tkL+FHi=Or-*-gNe1O{VD&i_X3JdJ&Ti9xl$lkHIL4E6XME&ZnGc{9yHIJDYh%89 z2U5MsHJID&)?3*`A=gK6$j)f%=*^DjETX-=v;YqQco_$L6ouq^6Nq+HdiJ;)<7WSs ztci|n5db!Z@&6v-j7NVT(Tqp`=ZIuH`uq6Ic=X@LTPZw(oQO!J?(V{MBh?dV_m%f% zfN(uO*&n9bFhxdROTwlI zgkOS%UVwcV$OLe~jYG%LNUeXsDQ+G(5WDp~`BKCGaRr*2ubECL(w2KB7c?C`PjXHu zzD@Qx&TEeF)Ox=hB{%)YAiX*QQ*u%Hv-ueiT1v%3GNRxSOPW(nV zdP~ljcT#hNBZ2)t-aqTw0?1oKM!Z#;Bd=?%@duN;9nF5Ex&HyA&YWy8xz}+UIQz$Y z=Xk{Tbmx1m-M*MRzBEg z)(QIA_Ys=}6s^nGTiq43J||G?V0~hH8tCAo^hSH{dVB9iJMp#`;n(uV&#V5{9XVmX zuwTpHd|van9-%vFtJzgaT07AkDcEQ?UvI}bS*=PslevF*`RGIDD}DXJkjp%c_$$U^ z?a3l5E!{PL_X^SD$jKGj^_}GXgWCPWi$@W0v@xAhzNR)WS=S0Db~7S~2jNVIo4MM$I2 zjk%?3W#v)2DiIaq6VHA;2~CY82CPW zueQN~%v~(kw&;POn&RXrD#Es>5x8FECwvKJVI%vpv<8FN z1s4^9#7&P&W;Dzs_fyf$&!LjpKe*$u)H)0ZccA|RZXp9}iu`H+>ITgg#xgv~lrzy> zY+2}B$K7q2MRi_DHBu(u_3(plBx_TgLjrjH%rozsedcpO2{Tho*AZUW}Eh>3?pnij7 z$GU%5c&KLcmTQ>z;{wBLvalpKMbxlPQXJo(2Ci~ZZpx|j1?n&RTq&ql))-GQkV^Vo@op|9 zTg1~ew4nT5T6jmFy4=rU$ppOQm7uG&bEsrrl3c@x%gPLx)jF5k5An`!1MRv3?Ro;Y zxPyeNd%1$>G)it+-D)vwGIC%lvG*`tuG27E7vKyqT8h>uaI?h!NK&8kKjL(mvR&5c_F8Kf3wnT<6mL7A_#_U2_?=0Wzku%6`J<9X_<_fKNz&aFuu7bIA9 zV57wt7_YE$?$YYQ)&ym>P*BRYWh96eEi38S6fpiA~%3Sc! zp2+M&OD2E~7R#v6xwjE;VJc)=46XzYLvC z+GzD(xfR1QD&FE6)bG%*ua9P$Ve?vBxW1#vI1Qh~3O!!6lO_+TN9>5$BA{<2yV;_% z&Gm8QU5BzgdfY-$AAJaX0WCjXJKngyv%G&me-!d>&3Lc);^fui?HeZujX;@!fYJAl zX{{lxQPS56H`8+_{j>ssa}mvzZJScnZ5ph5zk_zLGgNGP-a@O_@2(H}yTMS6JVLll zf@O2P-c9hymGreI!iiHKe~86IVA#v`_KkNU0*+BgnNq~-u{#_7bkugM%uBXcBv7Bp z2kUFkfL4u@t8RXZE`zC!r`wcVGPP{7V=bl6pcS7fYROfs??u?xf&#Eeep0JRwAeiP zGVn2$QElwXaH1K-P`z?2Q1L$xC|pcVRvGRjs~9Tuf5KSvi=jS$hpp`AZUg%uR#9;> zDQ|#nsg&7uVpH&nv@)i=w$F37!`W#$GX-gih^S~9B;BJcnhLlw`-_In?+S-;%w;|b z(G?EwAk+|{Sg9;2S~-oD#LZWS&oBPS=7MQ?D2zs2aJ|bdB<-N&v}*0k`2@0jlz_&3RES<59ydgzAX?>z8=9+ z%-bVnER9B8V#K%=t}8P>hWB(YAz0}aM_+Wi{F_};$wM7KGL?*_^g^830H+IDzl<6i&^=NZ=+ zym34I6^Ywg$5%Yt4So5Sz0bvFyCwC3tEEUZ61UPbqx$aiqrL|tO3@=Mb`?P&sXT)> zZ1m-jMBg9TBnbwLG>y@hO}k(T>helRKYPH|`z5-{kXyoF_>qO#lkD1X$f|zNg2k0bbgQQ2ULd&5sS3ps`L8(zMAk z4#jDqgT!{Z(aOVRx zNEXxv_G?HAVzn~vGi|J^`~3n5?>(_>fJNRL0bg5_D-4O&UI^f$Yk9TT;Y(ikNp7^H zeTLpSg1+OzOa@UIq%0-|?%_#lDh1iw<0u9BH~Y3`BR?8QVnbKCa*_Mc6&iex6ZJK< zSYKZZZiMzrJz~MBwI)8jTO4fd{Eik#(wHb5#oc~AeUn~*ERH^!*`+eTe~Xq%Rc8kXnuA#1C5LlmFQnU30m(u3`>4d!BQ@?Lvr;5%;7e*T%`gRpLuywxg zDe*1waobbgxtJ$;kS9p|-U*)Kxa|sblV6O~N6JY?LGB5=O1lV!T)Ou~jFPXP@Pasm zdO5;JS>@NAL5ByA zY+`2P!G$Buj);VC6TuNJo1nwXbpHfm5)3G?kiQUiO2cLZ*+{(jNhBUp)+hc}lFX6! z^h?3|;mv}qN-QN}m-(<%2%)`j)XuVq7@KBH^iZUYi4USpSDh;kI3p&_5q2o0s>3(AJGPc*Lzs4%!(WGFYJnTZq8gi_wZIXChpEq>Yb zzt;2B55$xjS=)mFd1v;$pT14%HWf-pir8Tz6On8QArskOx88*@VaynG^IK%wg0cBl z@ftL^!gk?M@{@cg{z9Z_a{@-wQ(p`GWxxZJy1{e+bD9hfvK3p;YbO%au9_PlHfI7U z)&^L1sI$n=q2|*Fddw+bv;5s**|~T)??jL-37uQeAFXMR=eXIcOi~MIj_0I?kR3{U zIMclSGb-ibjI}pzm@6$EOXiKznMR4&ceUQ`baGj~vvH`)+_mtlyl3H83qH!^tOF65 zQPiSCKBd$^WnOr!V{cjrA+tW%owzA*$TBRUU~>=cgx^Acur+WSED(Gv=Cd#xd4n|G z@`+T!3QKEhmOAowf~d0aGcx`~oPpBLvIG9~<4&Ap^Fij$yOVWlM()$-Pf8oq;p=JC zBAZezx}=Ho?@JClifAw-P1l`2V43H*UD#QS!V_Z0*&5~E}yge$f z#G}HGy8{WRe``woJUM*FC1kWnvpsssv9YkZKZG^yo^sOtA;PTGdPVy&rkxd$w_UT< zAU$uiu3-_>9AVJjP)rH?!gLQM}u#n-2as$gXYt zm)0e!34LU^=lENSG!oU+b7@~LM&+8hXwi@@PURx*^%u!tkfos%x!38dyYuR)yVHcA zuS6%R=>gP2z+BRV&s^fAucLk=YQncN%v^%L&KWz)EP`E$Ble?p|{ zVXNs4yIlp)nKD21cX!8S%FN0Mq(c4NJeE2)A2i9rH1xd&buCb!r>}NUA~ywMks~S+ zkdQF|d^!i=Hsd0J7D%wvDky{xO3KFyD`@OeI1G0;Td-Rrq`eAitGxtI^3NTlqhNLxXPql=kZ zZFry`L}P0_S?zZ|IQ&2aUaVmx(C2ImcIGH1`r~&>%idMJpyS2G5N+^%ZbBvM55`Wb zE`zU>h8RZrMpA^U@ChzkAoHprN+g~dn!d!EcUyLULOW*IhZ1XJ4O@8T2HqtxgFkTw zL})J3px#WpRp}$R#0~b+GM5`v)(~NAX)j}2S z#e)^W`)5e46lUpuxObM&G3pcyQVdQ4T618qeXHJjS$%7AJN#aG^!7uT8`g3MZ3UIg zJY&%zo5aj5{`!|Ms*&>(OzIhZmlbK;##0TLtW(kIxjBtK5|yK{FIGd_B5W1P@&Iq}cj z+=U5~eid%pWM^%_0e`|apB-yED}0LVOw$y%?QM6-1BfHeL%%(M5DA93X) z2pCSm$G4vQzGGZ@#hAII?8dKjLu0v7NG;S^l?5!iDom+*qdpHoR%?mB#1V^svbw^N zn(=|1Quqx4B^}dAL>>K0uc*=2eZ=+$L>D3NFnPo7P%Jeu)_q_TMlW%wq^|xYMah;- zEQ-eeq3!Ny$n=Eu`-%}Xj@5cIl%JvKIkz%2e$Mw-Q)TeiS@upSi`~&{Z$kX!Ju~r)Y z6L*MrD!%^bRD9uxRQ#1^S0GS6l2W^1p$=Ish-aGH)ITn|oCsLpq{VYB^*-jKzL&0z zzL&m@{=FhVyXo^SZpTk_bqak_A*N2gcTOTa%sGi|&K~{S#S!yBt zut1>5Z+Zu7(Bh5F+W0TUMzMq~5EL;XIowh4Zc^Cl=NfVX0h&S!En$R9|B}e_4A^h? z|Ivumosp5lA#}=FnP=;-iD~s>OOh6<`F}Oo3j|KGYiUgI zevDllfRX_-)sn+Vp!z(TAN5OXneW?yylcGIz#}$y>*Ln*Ef(dLck4Lwm))(bIzD$D zcArWm)4|59TMv;Cz23*}m6tsak*%CjcLc4%0DIc5w0l|~ulGIaulF7A0urTlKL&Gy zm)iXJ#B%o+ev0%<&Bjz1&Cj>_akUzg5Ppuph?24~@Dc;)G=0A9nw%NE8&RrezAa4P z0*!Q%I0FOHd_qE)HBdK!C{=hW-{>F9xLe1h`G91uvSrG}LIFx3A%uH6`g2z~$aY9 z$tuKj$%GF=N zQ|yXfF@L>!F_UF!ZsQ=<2bttV_dWktt%EoVYljy?t!wfJq$P` zf_{wnOYt&io@U?VsZ0zN^zq-njJ)CRwDussvPX&GrI>nQL7f0D#kL0JVynTKcf~!J z9@Cv9{*)TUWR`5C_a-DJ-$=t58C)mpBTz@Q)M`aS56#a9|9uKukD*J!LH zW`QCYXhFN^cwV1Z5WLP*;y|^> z0TnoJWP-T(j98jNB1a2U9BZ3c>3Kga6Mjoe;I`Fl!X8j@qi##%mWn#<2~%1oWE~(; zsX>b6rgg%gvXfil2y0?(=%qN7dYyUlpLl91ck1FkcVj<#J9MnAXqd(yO{^Ec^CdI6 zc)el$Cg|jFZi6hvGFjd*_u6Wq*8M%%dPB5FBfCcPcl#|XmT=F$`(0=Iug|eUzVD?P9RNyZpYS!W7ofhlcX?ywNf_x4?@QjT zFC`O7h{>wnNUpVKXp)B%8!t4~`<|PMX(^)g{HE_c_nqdR&&Yc);D|PTLHVejTvWjd zACk22b+YrF!c|3J+HIWY&E8o+rY*8hBg6IH%FB)YgvE+!vhlvx#07@`bPVT|`9 z37LpA=7W&HxXIJWg4aH%TMYNO1|tw^sT<2Kdf{lot%9QYz&^;=2E^FRd|P4(pOi&o ztQ&@e(d+-jW9QuCs%_qLh%48o#<$Uz2}v$ z|7-9J1obFiqyOEE&VMwjVrI*y_dd5B3;+&JKDVKrlTa0dDr=>M zPR$|e>pS{KAo2|W9M;X=9W}5Y(#;;6_x*WrAEXuUX3sh{)29kRj$)_a_;{m$fLb`1 z>%;xIe;ji+4V5&a@_y7R7+;V^JS+H49kr9{K5_dM)$}NB)jKK8^zeX^sTOgqI^LoW*!3y5} z`EVb^7yo8&lv>!k@^fYj@y(tqweW);aOL^Q(m+rjWTgoR zUVQ-+0zk)jy~h-g>2vkxX7A}CZnGfgAM9>o{Ln{Z{-df@TL6ldfOg{x2cP2lpaS9y zC?SW@w@jetmy>4Q9XIl}TstYP=KgXaIn0}>j+=;%XCF#v&+xUuvbiO6g* zh%F-E`M=<)$*!sWR}<%R%PG4y`!`eo#Ir!Rzw>vu|15EqJb=XE311*F`FPx&POk9K z2tQn?oKBK&-k<;fYHT8caI^5~WF3H)Rl(O_&EDc@7|%k#7a25|rO2mhebv zw8Yx8bo?xuTL5(3|B081not3{?n#i62QkHa2%NL}`FSdS;w|ltGDz`luw_Rci+nxM z>0;_VGUy^B|vS5kTiW^3!}3CGEQX`G?mIvT%D~ud<}@KGUu5qix~t&EbPf z;l}2VD+AI{P36K3PwxUIB}_L_jSGz>BfeK^!l9irYSSoU)0yp628BqtB$ZhNEk&Il z_|Ql4+g80%(|-T)eoU|9Df!qN2)sXMcW7Q}sNaZ9kNov$z)kfF`}di-OF9&$X~o;G z4gnoX8wj)P#L`Bxj^yTh54uu7{E>KR?_}zC1z(SSeT;G91C#hDfB*32{^2m`!d2%K zn5QB>xE$Rd-wOLKJ>3XC?buznpbH8&J#~2M`)+MjXl&T&Ee0K-W!O=>BYHK&25NbIq6#3nvKSz5F)HA&|&Afvzdv12&rp(x$(U!rwr5 ze+MLL01`Pu>Y;Z-I4K9vpyLl2Q159REM0flM`g&h%n{1d_f-^OAXT9g7&?5Ps{qyi8Z{d+5F z0C>5pDAP5bVv9!T8PIg!KEXAQa{eY$_l^($=EgE0xF*c#*0KBlGP_oF!eZ z6~WU5AaN$dKYo>XV*}KYXsH`{>={B094Mh9Y@IZFaxWO zFp%#4NO&!Sh! z>fai>DYz2~#<(wgwFcGtpCb!~$>4sCZ!vphp| zrCiS|o?HZOcb*taajzb?^yAoPQ)-HfX7UZ z_862dKAw!P^($~5#$KfhGY{%^51bZD>m_cfWi}nga$8?iQ`mVZ92fa;G7<?Zehg(tdxVz-)>fVNX06~V?ej}V{G9RKfSL7%LTw^dUQTE&mA zb2z!*oxZl0weiJEb+=2XJsf2_8fDWhanmo;Z)Q_cqB-svVW-A(nIXdS*fVL~ukd9* z7-a)e?Tt>@6`FT^C|s$TIcF4-+Lt~6fc*5xeO@8nR7vK|hy>C1&jpvH-`yAj@&hMIpEJQ(NhUR>=zUf{#Ao)%Gs4@qDWBOlr<-oJ$4G zfu3w5vXoqOBEILxWA|u}O?VpnXIybJA&nhZ;cIB zHt$o{i@F)%>Z;H(5`(JZ?6qGgyzg+3(d3$_r%(Tu+qP*_<07LOA7txjk@N*U0PZDX z+xjj0^q@&`LcfVq!?KhA@P!$y&}^n@wYgw-C@Rt2el|4)=5F=LzdRYO) ze1gpYZX&tG>Fl6Or8&LEN`SPpDWJc5d%OMi3<n0XqYR) z8il(nC%IEehEm-D`p^-Nxsgq~CKdXjjH;J#3ssdnO)tQz-dM20YQ%Utt+$x>vzilG z$+p1EuzP9hnI`wn58DxAgC#>Gsz_sn(-3A%uC-AGy{};b?`fQDAHw$Coerc`DA)<5^5w7fnujbmTWxFgt;a9Zq;^@&jqY*;X1q zMDdiCBs>nRrW5Jt8b8<3QR8K%aUj~pXC5u~IW*t@P|Ey~j`4G&YYbx`gdNcB(oEMV zDVwgBX)8`^5=(`gFtTL$1S})djk(O?%|QqVSOpL!EIctDUpOo5irGnLib_sSYqsRnwV`e53A=)2<$>&U3H_yHps@d8}6d z#R7H^iLt6N0j!!Zt2&3%*jD&f0g}>2+!4>I%w%n&!6Um1+uW%X9C3ij$@a26B}1`7 zol*yn6K3S7v5f_%T>KyI+}Ku0UY?f%%6X}4K;K(0iDLlDLW^Q&nHq;D{Iv_4(};!8 zZGd}4vF$He03}$h#jr}%L%xn8AJmj297!DJ+(JXaW`h|KWp3Cyk6-*ecCJV} z#3VJpvE}~+WxK;sr;t!*yZ3&IXCby|D>c5z8gCy;JO{X3o{$k=(r*qI_oY{aMLL_~ zf6$HWFh;B=+e<^k`#F<->s+;Aa-kdG8WH+!l{?2{o0UbeSephV4+u5nX8Ya+giY#+B4e z4C?1vt#n2My*2!>0B4^!`vPyo>f`tvzP!!&6#lTyc+=SSrkAoho{1zi9o<*DeetTF zQtqa@d?lrkPVt<~sxwWBYih6L4RoQ!(D^3XHtV)Vie&cWD4tjvl~BcRmg4jSQ7Cd4f z4YLR?Sm#mDn!^HBkvHU-t%4h~Dw5x36uCHPSc&GlIDE5&qZ_Dx8}n0Hu;y(HTUlg| z+`&41>cV8zOlZ1RgjiW1f7VQUx+1&$OHOTW$>bS%rF<8aZ(qB;_07c7D(vG`h(T1(&Z zEL8_^FU1O+f&E5vRM1?xvF3&lwjE_vd1Q-VwMZK1hd1*3w)wH}K2YUpG+xN=#Vh2W zzo%32$otCBJkf8%81y4<9R3BPgRGI-wPpGcKHiA32S>yovNz~xWzsqC_QvU-&%co! zrs%NYrG`y78)jxZo6)K8Rek-v?Ke^_@hQ~u1E!LRYf|kNmSiyTJSifKom&)gIXo|0 z-{da^~4kDKX>KT%G8k=>8Q`0m2?6vx3ZCqgj(^+Du~Ic=($n-?85`zR3A!%V zOZtRpRr~#29YZU{m=AamPy8&e>d5J|#b}N)-i*)8!#cbf17MH9hT;(&81S}oaYn5o zy|!yU2EupC-|wI9+h^Kg^jR{5p_hZbhOZL^YUI|=K`fG!ogKL8pNPe$jXvi|Mx&Ir zWRkcEl{Xge1Xcx}CFcc3UveijzYdj!h)z0A`0z>w^9QlfE|Ow$W$jF%q9$Tc^JX&O zWI#;GuBg>}yCuBpTfBp6)-be10y$JqvV!;f(40*W3l(yh>2WnJd+33VJXk+Wgn1{BV*z!4l`n5pRar1Jv`cDmIX z=#+W9y12uynS20JHRGp$q>UHKru&hg{uk}OcR zqeZ%YL*Q&CqSS7i0d0hrfdAKBsI<_)AmlU-Ys-RD)+eJqA{?adF~*;hpjEnP*dd^3Rk1O99kZsA7uM##WW$Ws~C0nogH2wSa8kLEfIs3c^XWc-{yb1BFp+OgAVHQ5CFrO3m=5dLP=F@@K`EwO%WR zP1F&MFD5jcVTgC(ISnqGz#1NvbGztVD-o3JM!#tP-iybGSt-^Hqh83<_TCHr*^7Tt z5Gr}UXNc_^a=8noPc=$ci(;t#8nm=zKjD9@wUsLuXNQ6m+s)LN^i_lB6rRi7mYJ(A z-u>m8l4~sUjCs|smz3LMJ9RUeD^|bQjjASzHUeHRnbVrG>9h~@yMPQE1gIBrale`D zNpUgYj6~7;$!FNtB@0N7WT!5~%zlIeS3}rRQqYN4OKl@w>+s2NAIbcdDC-@+oKXQ@ zgTz_Co)RDVi2C(h8Bae-&U#m2PSJP2+qJ{})l};F zet~l9&(`@z>m3>*z$$P0*4VnM71~i&#kS#b)YRvp`z<|V7B{sgXCER%7U+%Wgk8wkd ze1Qi%rh!}-`?rK9dGnFU z#2>TVmIFriapU>T{kF07CE{gCkO>IL8h#O&A#SBfqFURmy~9JaQ#OyK$5mm$i@*qd zn>Oa~#itQP9>lfDaSAVNQx; zD#F?i!`*^5~CO13zVy3~udfp@T)wsusnxy7;7L$KQhSYS1Y-p;*5(+I&{ zA7ByFA(9GuzH&=Z7@fW|4mFJAZQ`vG&VdPUq zr!M%j1f-V)MuPTv<8)0rE{x756~wK4@(gMM!XMykBgK_|K(M*SIaDv^SYp4-n$nB8 ze3QuHd!Jods6q->DpVj+D#T2nS4EP=Ee@nXon9Lw%6hGaBun>9Z-QC3R$l}%Rf*b* z*Wx15(TrBeWGUGS)M%FPzfmgm0Ipen5nNm+VfdqVpaaz~c>na|Pcp9uM1+1_!`qlMMxn+Xf5w(}E{-7TjG~+td8Cz7%Abrt4fNQG} z0_ptYh_Dj^e<{UmT?>7W3?Jp8qe@|Zk3D^RJTbC!rfZ) zC2Bz5gTSyMR8sTE5IezBbBK%#KMK`QhR(|tyTH>emqRKJMc*-RYq}KZUV)E$nrW!* zj*GNv#I`ov+LxwJB4Eo}mA*%7U|DS7*^BNp#XCbPZHh72=@)l(mc%!2*9}<-f{3<~ zQYmcWnINxj1syE6=_^MRGX&6KSt)~V)p~m6H)Sqw>=8&<8ZH@(-YaDQoxs`)Y zvJe_e4uXQna)${RaQH(r`2XxyS|}!I1Q~Xi1rUyr5nyf^vevk1y5)ri3%hGxe+>;* z@z4amAdV!Y*-*&-C2swODeQt~)kqMvo=_8poWwS#kq1?x>03?bzWlf~89|+eyJpf@ zXU8fT{?dlwcrqD2*_z=RFbs%!F`v^(-J-Q;@QyEJ;PAz}l1dGVwhnUGp4#Jr==VPqhMjJlk`jE#UiWM)xOaH1e7O_9+4J zbW=Zw>Ntm&0%PJ?_1N4d$m`1+xYYwCbc6T~X5KBozV-pX&p%lyDhlOxFz^uC5@!g% zWWr?jW?Po3B#fLzs<#imCu&PU(Ki|dxluDBK%4Vlhk{P6vTmxJeZ(*_HwQp^uUZm# z;oQ1URDy}x4#LTaO+fqzp0ANKiJ%Rvh?Os)4m>kF32;J@J)i_QJ@`}@D3Ji-reO3^ zLSBP_ohRH%lCg*$%KfG`V%W>3qH84~;F_jHXjLFln|us;^B~C9@rkJRB_MWZ8NC`% zHa~_n?*Q_lK~P$qqu-c&D#=IAV*?>##^sIp!a}Hv1M1c0`)h{d(+Ef(-c?-0s5V|^ z0HctMnip#EwMY-dmensgYnDMM<_5 zXoXKu=i@B7{MN9)Asfknxbl*?UF_aY8XgS zl7N34ZJDT)3tUu>SaO-07<~3wZW{wEO1wy#^W7bXI>qy&gi}Sdh3$RVzDr*;xzhBt zl(*A{GzYiOY{cR`@E!yk zWiL`tUrwRMEa$E6#xRz16Fq2myENA`<*)MCs{#gJag}xld-{6LONXC|K)!mGDgYm` zMvcMAia78XE}GG1v6t<@+7$}o4kUzKf}Gf5m(>=Ebw>}Zzf**;5F27JR2B0SSi+`F zIr|&ZrOi0|D}6|tEJq*_%Pf^z~&ji~_pVc?mE zD~pOu%XgWzM)YS(C?oZds#H>q@^Lj_RSf6108B)l);Yc_HRG-A^X;WO7wTL+@5WjY z7pA)kDVRRg86Z`8d>zeF)~s^{EKAWpqs254E|&MC;FvzR){X>}Vm+wTM?W$EYvY@K z{mf>pC z)hmT(%j>b~f1lm19yPY_lIAPxv+*UxmoZB}TY6=6futrxG}dpma&fDb$F(KTT=ezR ztpzoU&edXk7I=IS(aOJsR-jh$y+A-M-WguVK~lIS)+ag~*NDY5BbDN?0tyVpd(O*H zau)n-Oa(LXCE;aPn3E1^7cob~w+uZS&X}E^lML!`F9UX0(j&mss())O7*ck@TN$Wj z>y7zmwJCsf$U`p^jvp|<&Za#ZX`iEh-wkWA%({_53h` zoN0vmhSR)|{M)2h0tC`k8G1Q_2DFazMsmp{y{jDLNoyTkLk|gU?6}!_i|5yNkrVm! zAJJ%E;+d!w73GPg29!E4*Hg$I2gUz9$FyRQJ&puCEo2OV%RhtrIU-mZ@~Gt6e{wK# zNL(5>36y%iqf-;i{aQ>mA+w;I7e|R}*e@Ni@wKT>78{>QmH79jq+Y~HWOsrTm-|6F z)$16WTPkMx;>!`v$kx9?Z_nKlsse&fomSVi8g_BGM9b!v-y^3S_R;fjXckmT{f5hkF39p zimPeDMqylnyE_c-?(XhRkl+r%A;I0<-Q696+u#;l26qd=!{-~8|D~$6WmkY+TW6?(X zbtrN=#6cXcia}}!-FY5K`R6(?H=EaKN`d{pOOoTz|I%>=5`LuIg>GA~xyHZ)wW?WU#rWuj0MZ-!LUtuMYpAt|z1! zCtae3vR~$ zlSG-*(vwNiMm{9=bw|H!)sxat8fm&H?7WLJu{lrtxP0-7_@uaG*VgGM)KC6W8$`z{5;2R~Y zoQI^Bw3oYV=Vg1>Ps{BOcqAMA&AfsA)B1D7sT$jmLXdOI*8iW~<)E=@<6^!Ia%iLY zZ*F4JOawz!a%KUoy5taFamGHr^ga8ay=a6;@q+-=RzZr}Ap8iP{d$M_JhyrqM7R-G zS0RON2aENdcfEOc#mC?=8Il#Db)IGf#{59^w%E}BqvVhsl+Zlq&qw_0de)@!>eog6 zI_Z#I2>?rzgNLS$Uf#b_^G3+HAFw%`ElE|+#|0X4fW)&x@&UKf)$9l zpA=UFvzl_}`oeYCG|K6??6eUd4Z$L@7Sz4oHfQH!#M|?Co#i{v%q1HnH6@tfLxj*# z3QWmBWdsaV(*eYc*damDi0HaXft~6kWgQefAa97d?j(Qoeqgq)%>A$kdcRSj` zB?keI%3Kxpnwp&Z8CyED>0hIvDO~o(+4E_bi~ShVOZ8~dOQ`Br2sk)A*XcxUJ_<*x zMZ?@$Kk#wXMn^8}ylP9E-HxLFjktM zIiQsMhmNH-*L!Ik+ea!Xo;&WjSH0-sqmtD86f|u^x+|{9xBf;nMoOmVoywh-aO|w6 zb9c^|74I+Q;zMEU<~^b7#bTMvN>zhD(fr942g$5sm8h+df5TmsDwXD?%1i}!u>WaQ z@tORgU74w8;bz2?xJ_7cU=Dd*U2;$r<0j;x9-qQu9=t4_CzdDj+x2|Wfl7h~EoNY9 zm=gJ+aR>yVvqn82qZ~V{8O#}|DJM-N@9l1l?o1D@N~8rj$5RP~`_9E$SmGzZ;;%A4 zNsRX*37cjs0&_`NJN*xWD2$E~Y2fuwfgHMYnzR!M?ua*@y4a*nKNGx28Z#cASlI3` zZD#(sGr8g*2%=wyH5+DkH`xiJznNPB4?;_^^MJ%*U#2J; z0zb1U$V}JA@1BX#`?mqJMPIG3PM*;kZBI(kN;jD?bM<3~iSZuDekf?xs%+>k20YR8 z+ruv1(=>e1d!{3C7QC7^u=jKMQ%=s9SK%v{c!u@(e+lQsicNB@Iiu9sSc#8mQwU4! zLF!T#q&s3`L-hF8Rrvj0a!u>A|05OE)b=a4M;Zn> zq|9R!;AqEFwN;I&EGKQtl){b8pxRaCSJRr888y;AFQMv-Hyr$S0$XPDVRE<0`hQj$n#ZGBgfH_W9~^%8x()P8eGo$=v^Lx<=scm z7PAuj`pB${JF0OjTS~8qav)qmT^ucj=-Q|cjpqri!artX-${A>e~jRby%*9#6XhM_ zLQ}PI*Y=`U5syfrPJ3Z9jD$40jU<7~!j;+KY2%K?loiL2ZvuVJ85J*Q&v4dvkvUiO?qThhJSz4Hq2A#LnM|~_xAKqp zIJXK9bh;9VjY>T{Q;B!mu=nHNuHt}=6Clw=9!^7TivWy_%k-TZ?|vjCUOdt5SYwot z$UB>c6}?TIXt&9!U}T^4Do7NL>I~Wkn{>M{cPlywES0iyCMV zfbcQGZ)r;aOq~LadW|+wSyLoqUSwzHy}u)}-uI%2I6&Mp=)_cxl$eyDjXie$Hn>}R z86R&*z$`=aS!Lj8ImCPIHBA#OjZhn%=dQ7_Fruv~lrwn)Q&xL9ozKWozT+-nE5JfK z<(iHJ>)47t>D8{o{~shAhNsvBl~}Y|J*O7fv(IkktEGu?Or4DQfI6~AUllm^u$^NQ0;taPi> z$xvROO`6UPQ;ys)w*>r*CNDNSF;d?8KA$vLyja(V}WqU+Wkg&r0E$vA=a%J zrI##gQNw_GP>Oi9nCUEfG)kAPVU7lNQT6I~26>Ah*slJJ!S`%a?B$I#9_sZk_AEWc*tlzUq6Q9sXum^Tc(G z*2&FjzljyN$*tgp0w3i?o99?f4SA2b6 z4CCrr(g4|_ZbGS|?s%{#0L=y~YK!}C+GOcZ`zu^| zV~lgp3qY?nd@|Ea{0#d_JW;bSAUoO2@r-vsgu2|@gyKxp&m0Lk{kG6#L(qGU;stx> z=<@IG522`}Dx^2Bx(=Y%kRC|U?=kl)bjKxR<#tA@Je?c1Uu2vR=nL7;o0&KC%YBEg z&eGsE#Hp2Z%kgfwi~yn_1*n1%c7Hd^xkr@R%(0IZu8*-L@=Txy=R)HH_fF^R2`7Nh z4?V7uZp9on_i{v_M-r;(zR5L|<4y3~HnSy}m2z;Ios;2%=f(Rj#|WfgU?5J0C8=G*ucOaS@|?3ER<_|i!XmFWg&BArxpT{YC&5LjLj7)6mF zlkG}N!p?YN%Z?NoMB(Q0bbAxbsAISD`@Fp(&^DoWj^TjRr{G78u3W5ks$o9SQI#f7 zJgVnyN~!)oK5Qn{lY9My+|3kVmvGnrRlN-X4#gw-@%WLmBqDiGTm>qe4XWp0)jlZaW!9 zPH`ya=ktY+HM+Me@uQdi@y9bkU8T82XF&4wGn2dkp7t^O>^7jAh)I`v?VZicPovql zOrQ^$yN~i4`tFea^lXJBOUI@1?-)0@nuH!?kFRk3%Q4701+=o3kmyME?61HOIt=F% z3QWjRd*-k00=Yd!n0w*u|CTim^Q+}4!b zCk)Ks6=Y7+>3AEa(wdTd?6$XpyLM}fUF=U7NkM*D69W@m39a_Cu!ge&24+OvI%blu zn}Z5_BJ<`+*n2B>okUzhJI?%?ws#*LN51S92(tT5^MxMG7%7{^7f@zxp#&8NzdP! z2m0QOf@iK%|MqHwfP7=rxEpggI3YOPS^3t^tgq#}6QKwaV%#Z(KHTc2x!RrZpKxgI z8?q*rTMqmFxH0R~Y(ck~3w;Zq9EK3N?enRvH(I-S(eaWrkT=8NS|7z5vX**I$OCFG z+aBKNKJajIVKoTY=5;8BPmH>`re6_dEoY9`tj%U*WcP48HHoT7Ryv)LU=rAV@Kz9T z|IPVx@3bnUPH|#7zQJf+pm8LPQe;b1#roz_)H$Yx4sHpaT~Iv%j zdjks#^y6MLsWQ067zBO61rS9fb-@6_n6~R3jk^7to7zqWu*P8r4Yx(1n$hI7I_XU( zt%dL96ME@^W_e6gezOq>?Q{*khr+KEI?R(4T6B|UgG`eY$#jzxRyhsa+!xC!2g4!% zCs_9WuN{E{X*t3~qpCa$dCTLw}O44$Oo{cx!nei>stP&J%ARhnb4$FUi zgLBv^YBN#f5pb{ZLe9E^c8D*df8SVO6SaL-GIZ9NV-~Z0w$$vcftvsXwKF+Qfr^a- zBXKV|&e$14SEOARtb?xC%}+FGTKHWjPgR5%V`4O)O2@y_3jY%j|H-{_z@2`77Vx1V zKo)LM(7VQ&QtX)5xy-3z^xeiZP1@DAR8md7xi$swt&je%V5$k1(b5vTa>6c`@Q(vS z-Bdi<=KNRjj~FW422C7Q*1yJ@e{;$&d6n0lZ*#0@Tqjv?XS0ht=bT1=IQrowE_x3W zIYfgrmh8Bcnw$bWZUldwrZ^_3whO1AIIOOLx-Ypr5`b+1AdP($qd#(;q*~Y+ggS%A zZt6yVCe+ufz3uhYjqI|pGA=v!oi@qMU&d&~sSi9(MUckuoo8!t zXT@<@(%#5_GMc0ow??J75vH%or4oK$D<`T z@<=?nXi%~0U|M`}=Nd=v^;gV{FAe(}&gw>&t+sP+qE}J-d%@l>fBK#1Uk_WYQNw|v z?Q3}^glZ~A#PLh_rupd=P@U6SHtV$xdc13jQ+b_Q9<(gnTTwB+Uz29=YV~S|<(_-L#s(i^=xzP@3KGZ&nt*4{&4o1rAJwVA8e0QDr_gwbMIuF}d z%I(${8lBAi`T{4ZR}~T}O$Bzk4E_vTsqL`z_2cE(j+P1()2DUM4z`S`3{dRy4b?Q$ z6TAayO#Vd1lZ!0dglv<4&5PZ zs>>|+4P!zkwiYJ)UAwbf;2a%u4}LM4bM8=H7f@!Ce^T zP*HW=bL5Vzi-|m#!Bk};pis$wwf8{(>vZNQx5kZscmBZ5{}*(U zvolkDtP0o@JG%6IdU7wr>RCE0<0K;OLL&^`y`Yo&#MOMgzzV=+xnbAXUh?u#-R&yU zNq>4)DY%Kc8#uy2`kM9LH9GqjoMlTdUFXKzsq_EFtdEOJ8LsiW*pzirATZ_CouVT{=f7QgTC>%-NK2I#-aUiR{i*Yb|H@{X7C!lMN~m%=yPt0TFLxz%xn zUjM2K3Hb;C|HlOi8e07tb+8JL3&|YJ&La5|q|<~U^zWNFDdoLK$+uvWg2O>(#@_Mw z|Ji=(m=#{~jaisyLFR8%8Ta}^8Mr65HcsLj*0sfW zGYUht@_h7&<1}W5n79!EbyDmrlmC$OUz6F^l=slDRFM7c_6%ME=RAmq_=6+^xQOp{ zeOqEd_Wmn*)Abn0@CQV0G!EFsqfu$IL=1Z<3=XZ+6D?8J6Z>zrSLeaUFJa5>rgt{;|ap48a<8(|HuE4u6{?ZZI z;}$xVaJhUtK6F9)#Wo9jg(%Z`o0^X8Na`R|;-irxME_G{v__yGWHrp(M=0A9kzM%r zF$KzN?6{pGaB32_t6Zcl;7qRJX|PFgP;jTLQC^}>ZAmH4wj7WppRPAFl7mI$evO{iP4oK;puN-Q zFB4wu#BPR3+^VQAF?QHLc>h9Sq*5i`L{c*8_X|kFXa_9b2)FR=C%2Ob!$9ml9 z*4w2%3&{*JwC9M}-vsp|dLD!3gGQM){WfJUHi3UK5u6(?MLPO^{b-&$jzkznB63g% zN-exJ5IYF>Ogk-TsKE8meMs9D(R-V>OiwO>H&C^Ga+?4&dz8w{82Xp>JW2Yc)T!FT z205}g+X=U!W`&t!^=nFEtE7&I16jF+4JmHjQr?mU$`y~YnbPd5jv!bjnUjF$_;Ik1 z{YEHp^3#;e+_cPQuMj_hxGDv^s$&N7&snz)&NQ<;;}P&VZ+?cd5n~z-UDxdx4h}?q zaGU3((0d#CFngNzKa9lG%60zU?+2N&nXCs6>k58DhF`epFN0z#Gq?w5GWai%!D*1g zc%r`VF5h;PS$-g>Zb^LhqHUia?p^zmPap_wVpf^RRaow>AR+yB z+Aib#r&>Sh)j&lp_u53ABPqY$rjT1>yU(Gi;{$?Sbb+)}E zgJILx6>Mk|TiC(!Snb4B^8s!kWPRL^fi`22fwp=Wqs^llQ?8436r(GMfi_g=Et~pt z^gtpjbR^r6Hrt}$p!^2m?X>e-NJ-Bza9jm*c~YG8Z$eZTZ-Hb^2eRIwriM9cgR275 zPxO+)GmCBX4U4oB3I!^R0rzz-Zgb2K4WC2sfAu{R4A;XrA+C2di$LZk;a++B(B&LG zKilO;+sp~Q8Dzr328EcWk=XhXHHV%t`A}A;>hG=t^j-abNLe}W{Sd~%m4r9_3@nST zDI1R4WT;I;buM30fH%6b_dk}#O>jO-Ti@UIvAcV|jMhLF`dc();I-_l`&))}pHWsy zdr!ICAckHGL(}0*?Lmjh*JXkKr)P*>N&$z!MirW%pWf}nZ!i0aHv|v zpXV#?wKIf{t2X_XSlv+eA}(1YZrE@|2A3+V(KL|scX^x+S?WK_o@N&dHK=->G0{#I zR|~$jSMsq8e4xgjM=Ngq3Z_e4dZ0bPelN%bvEz0%iNQkQ`E{@fPK67+UDYm&GV|_r z^e^9Pcop_`xqBGjq3Vq*@14_Xz&E z;f93N<0VaGrPiUX`k@-PA0iB*$OZH8Alujeo#2e_C>mdLFdo`Ince+ng<% zmjLH_SB|WAc7lHYUI$(LEYRxj>F_vvc0sxc9HH`pz+-uBzJ)JMV|s@)r$PEvTK#Pw zO^#9jIbewch#7YKHUd5(;+hrqRV{=6IRL}~|4Y^AcrPIhNDLbDra=O)x3O|f(r`}y z(K48a4E|*^hP|#k1upIaUG8dG6@RG?Sx$m?i>`+Cn7%e^IsCmK)!o{{>rkYtcskzW zm7E}crzg!S`Ki_FpO7N*-8Pb^F_U05-XX^S~+=4a!#!#N)-T~RS)c~=yi zZlA7Pwgl#^6j42=lS5U(P5zgX0#B8Hx4-gIL|Gk9wDb$a6J8#stg29cvaQZ`ea~V8 zWB}<+O3jW#*Ucw$6`%a;I4sC*fw+yWlFNiw(oX#jkcw}Jwb+WsM%}W;+j$9BwKtc! zmwaA1gHMhU%`rZK>t0y`%g&Q*^UgbL^EXRuF7m*0$<7FIlJ)8a~4Q$I%dTR&HNeDd#pi0I9M!|_VF_6Bp@j*Wj$vU%K;iMp6wCSbk#3YtYF{Lj1rKcO5QW?WXTDi{HddA%;gR((@btq?TDT%~ZpK-3wML zA=-2sD8)O|(Ot?>ea6v$VExbe6q7iN8Cc!3&kowC#s*c@PvkWg%SK77@)Vm?sH}_i z@2Rs&$kz|sZ1l)lr;nL0QqoVX12&rP4UaMZYv`Am1#C2mIO}~7>ih~{so1}=e6-aI zAly;h8rKW3(;@53qf_McSuC0mmn3VdDYX%OL zDyDt|>9Lv#ZybWRKMWkG@}y_rPD}w-Np~!l1ansG&6~pOmPy<7grb%tIB~8h$B?gzfyh^s3&@VzT6NB4?f8IPn})nulRWVr|2Vi=ZkO^P62ziCDd=&+ zH9OO~pftF1;guJf)-Bm&dgWp1Tk6!eNHh}0SyJ!o7W%dv2{rV(C4VA$P;-(|&LJNo zf7op1kN|)lW?TN8N_jDu>B&iFwVsu6r5tIf$yHy)3DJb5ZnVBZ+D_T-h^0lEm&l@> zDXo}6$-^GDyt@r*Gk2Y8)RFGO%3I0OvRn^B(z>$~p)XD177<0(nj#1Ik8b|q#O9#LTc)|j)7GtFNeJ0YkF z;T`}&jNhL^>&KHU>3=8X^Dl=u3UZGoSrQSVeWWJ_hQxxRdiVgPJ$$rap#lpvSZGTB z_XOTav}VWfMM>Mj#L}Dl5kgupbO@i>6S|hb6(`8d(pj_zc1FlWp=MAD*lfkpYg8wU z%SUJZim3|mxhE7`CLX2GtB_x9qc2CbUFH2BcKnA{3D}wuTuTM!=ZRJU|HDT`b`QCh z*V0UZ(ylhW01YLQ#afa7y6P1C*R_UBYCymH+Wb01dpfStU{}GBT}i1X(+|geDYqsw zr}W;}>Qx7vI916+>Dots1zeT4w5&J32KS~nf771si|%rs$|NcovsPJ6U^N!e-xYOh z@)9I`*<&mun#&zfH2JVN!4Tr>YW+a~V#PP%a7W;DZ(I?3{DUa6iZO_N(RFqyRc92b z=|rhgsY>o-!*`RH54mGtqHXcLPosqG^Uzn+Tibi=v+o+bD8y&pDV+hfn5}vy3y!)c``lrP+)1lp?~8 zQjo5pA7}Ha>#v}P`E29@Bp%DZKFdp{;Jy&0!1hpSK1o z;7o{~*9HusosoN?h@pt$OQ4hk3ArGv=V8|1lua4P2->7`YXR_i-+nX)_&9$;^h3+~ zCQkA0Y5zX&I&g8iE|V8|JQ*T!me466jz?bTq-#!9&gH1VAa5aLmxrU9stZ@T+)%mP z2)3==2%fClQ1Y`QI?{2h@cge>hiwE;H(%9Ow5vO6#9LSXz&=(n*3s(_FJ}zFBO;;=Gb^1M5~@Rm<50#sO8@;Pzk#XWhN9U@ z-(sf-u-O*U;WRhpS#C^MzIa%{@Wh=&7*2J;*r{5v(Cu&rM4dt7#XeE0ys}+&)e>%C(9nOp1%?Fhq4GJ zO_^FO;PU)$cI@Fsr2%%21D_(?*IUUSzS^ucpYEpD0v$G=pN_I=kBJ0od0+`{ zK9!0bZ`7+Q-fIFK&GN4LUKz0+BzM0a&x@^zXo;06t+i-_af>^xPy=we&H%>#P=jEF ztVY4H!SZn&2kUWNu56CY^}D0ka;9I{DZqOmVb}CukABfCpo7=(=4_YJ8NwRxu_-jP z4CG2~^E4boL!d&InlWsu<-gC%zHReEhFKn8#sEai9tP0}d2L_izd0%#^1hE9@ot}9 zP0T^!q6wkazQ=jae`m!jfW9zr6rls;R?&G#ks_+mQVEgds?khHk>qnksV68?DXSZh zt}_n`SY2-d8!$E^%s=-yxvmf6+xZCb=I>sf+^&dGz^d64CC+eQ!T-lrdHivhK$A8e zPoU^@a(BbtuqDZwh0B8Hc3?NW?fmbcwv`3{KD|#8lx3u<&rpG>(C%fJ2dVAvpdwc6 z;q#*)Y;xzfwk}L@pEGE5@?fE}6tw@)0tJi<vLf zN{yERz7q?Xq}5#I5qxoHMd}v%x+P6~aGbDQPR)?w&=0>4`NBMZBUf%~Lz`IdDZ^PM zXSFQOW_>$W;&3l7Z}C(_$&`;|fr}Zmx8CS%=rJ368X)MxA&wwI4=9N@)Dl} zDMWoFNV#_n%{EcaSiCG{9~@ByIo$lL4*$A{`F2s=T$z2`Y;t8p?nN6oU^|-#sQp=r zwO}B&la_NwCWxmBuQ8)IR>$QXW>TQ%h<9ZVcg|82=ZrVwKmK1yH!DG=5kT2xU+~Uw zou)}Rr0FQhm_DMB#|6^GtlW^Kx-aA4uQXlYL?cw(w`NEIyF+Vi2 zJgAu-$Ph$-C)KfJ(Uy;9PdzpIl5NG#Fq&vV=-KY0H*dMN9zKK*Z1GGz;Po|#D4GLu zvK9yQ3yVC6jHC3t_QI{7Ua9h_pc8O zO7f%}3i@gW?s1B(-T4qT^t!S%=|ktYP_^kc9tg@ddPj zE2%X=pV$ZEfMe<_hH^cX)&YzXE?SBEhSPKGQ4W*5Ha41uQkvE3?|)3;QJk3tGDQ5J-GFFwfEe*iJ(Rvk71eO+OK*qe(txZx)ubNpkqFG*}$ z$cp?-BP(<gvs=1&HTh*JF~EBVDNikcU`$fM z{yfsX-(2=LYpweh=DRnW7mlHOO>O<|&fL#;jN;B8>vzSMk;|ffuQ3@3!@Z5&(W25F(jAm^57&IOsZeI^eR!c{z(7H`=#+jl8f% zK{t*$Z}D*mox-N9$i2lM5Z&vQDwc+c=Gg7<=pjEl}>t*^h zRYVFwO{+9grT^US3j!bck{Tj`ft@Df;G=q1*=jS~1MZyO_W?HP5CaC_qLnC}lHAyk z(pyLa0>kKO0hDwM6@0}>AoZx`5{gGTL?#XXC`@s6+tHVF>;whFFj8}M+ZcIxM;ua< zSTy~Q&nr`0iK(awh(Rc3%D_4n)W3nDpK0TAkZQAA<`5On83mN1=}dwN+;M1O=4h8+ zJqPIFhB;^}x)6|n@2rAs`0Is@Ba^mWzDDSHa(bV+6ilvdpX~VQ{QNO;dU{suaEHkI zIV~D#=VF{x09bk_OAp-frs*GM(4?I6xcb3&Nrk)opT~deij2KK_Xtv-1Mb*M_LI8N z73b*7P1Ef+!UYzMafsHSBmdG5aZ22BseiwoIEk9$C_2Dic%e(A-TFjGm@INE@h4=% zY%qpg)~gkhQ@A}f{w{~MjNs@T7molQ=~+zt#W1cwaj3%oYlz_CAzp}juy8>hsCY~` z?EYMGmHRE#s%fyA{R^N`O!f#9rp#VM`tO%F%)m|Nu?1xOv6oT_hsxR*6zCX-h^j>t9)msC zNgr>YJM?h=tI$5upWwT=tp3>`G`bf>uDVS788BY1#4L|groAeolK@4yR1!G$hpv!a z_=^n_V~i(wdOPkG=Y#UBnx7C2^f`E5$LGtQ^ZHzHDcIeiIy=>S`FW4v1HwN=-%K@? z82-RU?(UCSSnWOxQ~Xjc5-TEVCl+wO9QaS__$HrH$)tQPoKUT27*46>@rax#pL*h( z?JS6pE#;_m?vs$MrYGwQzM3j5a^}jC5*TfBvcA~d{x#IkeEE0l^5VJ1bN+F4b%f`* zRTAf0;bX{suB9-Wm3Ol<#~R@XZ#u$;#=ja)=-Qg{gJS$K@xX4vl~CK0F3$E(d>8Yu zG%+t#cvI^bCRw`sN+-rR$01)l-vsV)S|2I9Q5=4FoG&puGGjOLC_fsJBWd-skk*|~ zC8(wd-xV3$o(dVlK`?AKPnauE6>2z%J4E%n(0iF^Gi#kpY|@N03Y&0@G>Vv*`O7^> z!IcXW6;II|IzddS2~J2hG>Xnog@Ii`DN7w}PEf}{D51;#J-WyOnVFqyT#X6E4FDC{ z8-@^Fqm(ZJGpY?>r3eNwq(q>Ls}!x~zw-M25DbmfJPYSbbNWvyeNP7BTsIN3o$cUC z=r;20e(`^KSy%+{e=R$umrkJXEDeI z9M4`9GSMnMW~5?MUiY_z;rU7sG@~nH`jCckiY%sUYRw~n9Wxe(kJ5#R!OO*cXt(KX zmIkIHD@0%x`-&rCpl9#US~n13GA?UYs;L%92#0-nJQS)3=X0*1*zdeO94j{|()+>= zOFL|f~k<&leVQX%8i=6M~J-bg6k-(p;n*+Co+&{T* z#AGi>p5+uSH0L*4#&M!S(ZLzwUX@srGuWjldF=srB0-fQ60-gLv}W~{^=Kd<^DkVn zq5GDV%}%QL$@=_qR&n@uoNCRPN(sZo<>3+@mHFkCQe2gJt;FR(#AxX@ZuRVRF8IPa z-f}vp&~hF6=%d~uy;-9FR3|Nl1XIwR9b0=1${0`K;94*j{$>FR>a?a}9J5>q{NosI zDcIOrY=*SB7qr~?#feR45M`9aLejNjL#>vMC_2qSvMJb!PUjksfIXULCkdZya;ZSz zC&Q?5Oa(diujKEDZ#PV~`NT^#iNx_!97Q!8L;*~u_PKn~2hl4uO9iH!Clq`}0AbTP1im*eHNkCfu#A87`r@in z#ACQb2i72T#s{iR`_?m+`5+`G<_(Kw4s|UVUy&8$xj8JtXubn%c$1tXb0&C4ln;qO zfO{1F@JPcwNAQ=zz=H;pfl?3GMvad27t~^+n#&K^Qi`Iz_b+mi5`*g2$Y9Iz2^IYQ zosUXx%=n3EnvWz_hov-DhP5=7m#s9GuDvuCucI^;p0hO8lS`Tm$e`YaVbXLaKrv^E zA(Ph0hM|T(4F_csF&o^R(dNpOcCxW+mte%ta&P9qkDTUT=RlS^-l{EA#Hx)8lUlSR zRg@t(q=D7%?R?hC(@(A z=sSyY@`G3=(4dube0!sAlNQn#wd?sueZfuHE@_gy7SASczUfXEbt^5Wr3x;U3eKm} z&Xsb`mAB57+0Kpf&KMYNSRO)B9wo0E4Lhd!0HNz`*q z$eW-d5vc>J(p__bIQv8q*gyP*tdJl0^Qw@-;E?hsC`4wd7jWhTpVAY*d1oM^e_?Jj7!U`MaQgRl|Lv5Tso-cI%F zh)D(Y%f_=Kqlo;R^Ho-=LMD0Y)RT$pb@9T;B)#~gI$bCL@JsIR-odOx;Zg@zzdnhDPv7F^oVK6x&zjt%vyR;4zK;Cgabq7=!>%sZ+P9XXXT$MuP=gFnb~?keU2D;^;zT%z zm4YbxSEvKsKg{MLZ+oZ!6AN{LH+NOwi|QbVlIq{Esie_~ZRCXuiYsn8MZdil9Sg6) z7?WHsU8uaf&{tsXPGtR!RDX_NeqSplyK9mF<6x6?wV0UK^%tbbSJIbwjMP#$&VAIbJTel> zm+&=g>odSGiCYa8iQB^=YS)Wd#FmggHU?^Lj{02<1@n<1ll*-S6&=fzp%LVN72H)r zCQwIb9lYO6NV(Mw|48oJbb1aOCKtp}{%5IA@Pc>9UF8~P1s!P|FV|1jzvMjF31dXj zvIi)mC3oSV1#ihF_;tHb;R(2t59-W1F8sG`l(8RPAx*p68|%4UkD%V|gd;0kN>61Kc5&s3K1p6?{XQ5De}AwRhZ?B^agDqbp}5vaqqs6Ep&(u; zNV)~`?Y8N1OXZHweo_{Nx^^)X-N-)X5XMdm+O_u=8K;o%_sb!nyN$}LtReS*$}LQ-9L^^}h5sMEpKI+N4kOti66x4VBA7L+QJ zgEpB$$g^(qmE4CAJk-vL_aG6t#(J_PXK;h?uS%)O=B}c=zSzyJ7W!9y@}sQ4qnL8^ zDUvi_dcQnNx9sXCpvo4ONPjmSTAcs;$JqQnBEnnujhw)-m!#>mL@+X}eJ5V;k1N$g zu`okDe@>%#w|qfH5Ys`2>ITVSM?{2#OxBFxH=gu&q1AmKS^Y5sHv5h?g@4a=vC5(% z*T3dk@71j{8$|!T`}@8e+$kXeZoY5IKkjf1;MtjlHo!?`Eoif&7xvh?nB>Ax8gZl& z>@sMr4t6>f{4BUZ**8T@p)mqSH>1gA+P6Ujepq23?O*MG>>q4u*=fq5%?ZpLEugP{ zH3Vn@&B=0Rw&Y?uwa@saG2;23(6Q^Y4?uqpHCJr zkE>nZ-yIpKkcGFD&uw*7V|)dwD^h#~CTNpu(}{LVOXNW?YciPqGKzHmrR`=Z7ctQJI+u(q@Ed(Nt1 zlA{28h_{=L4d1C5{LeVZ(40k2F$=ZvMMXq@iT?Qc;{WRD!FMYWP*QoW+zW_kjJknA z8SwP8g|KR1A|hn-Ofjv15d^Fyo4V^o+4ivD1PNuzB6fX_nlkbBYj8jUUu_}Mr8gm% zfPIkQR8=Fow(xsGnu=qlTd5UNuqw9QH86|?mKZV}H*lI4th9`cKpWsmJzJE4%aAh?q* zpqQ{wL?eTzPEM(hbMkSYe6?$e)+fN$=#?X9_=)J>Y2 zie%VnqYYAccFXhc!cELz{XLm+aR~JI<3keA72j_p`?bC#PVS>xXTyB>nl&(OO0xRnLOQvVREwD{NW=)boIj|Z$f988hY+eqJ9 zjQufazjjP2n*Mdm4ydyJ+OZC* zToZotLW%xgxtF{tV_#ng6bK3WJLYAKSxNKqn!?9CX&6rAEb7#o)^*o@3WvRt5wkI> zgNZM1hbP(n7q;jBS4sfw)U0fFrr@jUhQ*DLfl@5l|Gf~%Wdq>}J~Nb@|4uS!X#sVU zZr#T6w0A*@+momI7N^GEt}wgSe{KV2MH#6yBQHB&1orzpx{0_`U+|UyY?pV&35cX5 z=J#UEQzgp|e5ZH86G*r^<|&wE2dUee^>neXaP=vGz2Qf<7Bj6HBrWpzU zj>Ys_Zy?4W36bWKqWhxOLjM8Au0V``P(ndSxA-grEu9c(hfqRkNVhgD0}p0bO)w@wA*sWCPIHm* z;smOw(5|Gw?j6+GOfHcx=V$m1E(2j6D(Q!Jm(Z3o62liCzs??T2GyGmZFbmyzJBlC zrpr?xyu4+X=I6)oZ{q(#%Yx2kVU(NM^odT~z+sC&cqN!wDkyCQHoO1yc zF@e1i$8s7ZgXK*t@g;6$4uQJg!qp0R{|{;J9Art?H2St}8`HLJ+qP{^bEa+Ewz=E3 zIn8O?_H@tL&-0%5#)&U(+&B?8qH<+b>{YqykBVKfGJl!5;BLCbLe2I(<=~7W76;Wq z{oeYkD&tQ#-3+abj3HUi(9CClQcnJut5v7QgR|PhKZ?dCW=OI8yP{SKI+NsV$!z}-RCFz zi4%#uJc>8ltgd0lyL3kK_f3Or46W zNYat3^ZX0ri4zbyykF9$awfsn&n_aV9@>5kU5Xa=Je>*%G!IEuE60_3|m zK)m5Atz=z;GU?qV!IDfUwQf`4^RL7X$xd@~!iyKvB*c4ymXAH@XqzBgdKR%`g^)z= zA?}TFL237oisR!Z!NAm~;WeN(N67q%CA^Jklkh#`P??~q8PCHM7D$(pGih{&ZS z0YNXk-uHu60wRs|oasfXbM9#g41f^{w57t9Eg+Q zD<#1NPKmXuW0YI3fjX=B%|8u7i}sxO{f6&;kjjPdP5R@C1b5HP{4x4NO`o@o0fmoKN_L;@&*5SXx=9I!fcbod>y{+pdyp4BYsp}`LxLZcoM>%v( zgZzRpYP$goA*@;19%2KwOqWly3%L5B%nW~?4{J5!H?j+sgF+L_rHhF*kJ1feR@D&P z?DNbq5OGPupU0nbhIQS1m<2h6FRn|PF~ZSIP! zIZ_a(V>qqFSm~+rQ^d`wAvV~NCb-V&S2tI6>b$57JB>as=vRT~_09UfGjs&{W?eCv z`L^#p(xM02b$VxAOC_l}jKEW4)qdn2ng09b1kS8fH#?Z2lY-6Ajs#v|%9nh2q+MLl zYXw*wyaR1PME^Sb*D9mJ{n7mg!kyS?o)IB&~ z0&rQ>89TN$c%Y%FMBsdnB&PHo-FM)X7;qB##78$!bzcJ?!sm^5YJ^=`aCZS{kCt8e z0B8;P8$Dvm9G^(TjeqXZhV&G`&5F#S>Vg~eEC9VNGmZWf_*9Dbd5b_bc`Wlo@Wmrd zF3HajcpopW>(AVyL38y%pdFRZ#8IFDR(0|-;8jx8VHnrt!+&1I+cKZ+V2Fuv&09k% zB}3p7PILm-7d0nO2F@%R{>ReW1${ad*~Ohl8hoN0P8X(6v;pJ4Kfcqo3e?<$hq4f9UA?<{% z$AYu4;@CDRXkQMF{Eud836EM-lwNs9Lez$4DY=K_FeGYxLPzssmhJQ_4DO2#9#%k5lnHwzz_cey(P zC5<=2J#ShGiIYp~jnI#rpA7@=U(dHMa~~gbCoh>!l^8q=!j{ylajpl{Z7qk2Z}s)+ z_1xkhPL84=PT`^;H?ANa5$Bv8s(E0FzY>Y7`GZ{ol6FSt6%Y)@<~0y%5$I{0benP5nVlr&h%zMaJ7EGk#F^qfI%|)fD zHluZaUDZE~Z7!XB7|mI!hUC{*sA_FadSI^(BvUHc!XM|7v7vGfiqN3}~ zapi+!Y6V*?eQ;uGs&i;_R^e&mW1duxzDg?86mU0&_nRlstcFSzpR1=e_V6bSq|`Id z&6_hb?17`Tyd8ra4e64~Ha8;7m=BXFQy04ieUqti&-@^6_0^K4q>1jw;P7OYh-H#j zF+)*PPdA=iPyvon=YgdWR7$D>4GF9gyBvnu!XAG`dE56ZKqG0C;WtH-$Z55F$Y_O( z$p9R5GU|#~lJXdxIcc%;k#q0l)|5hmMkxh4Qu}NQuvs2Zf>NmkI#d{ALZDeQv9ASg zYZH^5x{n)8@~H)CIUbqaR*}h^`xD+_ln(4}4dD<98xdMqrtG-{@|TBU6);ht3nkO& zOcNB=Wm*KgWa2ihlw#!=Gw&n{XsqmaYRPY>>XbSrz16l$7XDBMJyIUGyQF{~f|$D~IW?43MByazgw3 z(I;#9!_TooR`*0tL5u`gC#k4bNZ7qrNhcJo)Kn_}*}ayc*hIie+l`vhc9^+8oFYRK z;UT~z7oW-Eq0B-X^6s_!zPndYA3%iQB}?PNK#FqpKLc{`aKlp?7Q$Xa<&LY+C~NsK*M=v=43@BPCa5;w?gVe9b>s_p8zr8`{>m zhC575bI9IfeUp1?KtGuX19&ytIa9exyilCap9-N|$>1RycJ7?x;pd-yKX>efW<$JJ z^smXnt{B8rV&$i7sG|}C1!EB&`AxZFv+UGEs#V+!VzVx6&4=sLL~!e&UuQu2V&8|y zfG&Qkk>bPbDul_tn2k4X)zSlL@v+2&_(Ha376evpM=1odsfHML7u>TAm)9s02FWDD z{~kn`CPXqmM3H;kNslhnXN8lN2h-<9LM;y+SWNf~J0zlYq+_1AnNWD3IH#&v^66}O5r2>5D#rnxC6(LSdVeb{e`G(J4x z@QuYcTD{?XMEs*NWX@BJ8$UiW+GpY;yFR5Ic{O3qb^U1Jzt@1x?X@)RKY$P)5qn-n zh39#V)I)jkYS;fOH9dVq_az+`hIb-U1a92!)9?hHPmsN{djQ_Jz2#`V(3SKCgs=D6 zV;s7R3qBpDoV$yUgNL_!=QxDri~6>y`jd*!4-x}iH>9jt7_i^x9u5B|ejGbWC$W>)?iu&;( zjLS6u>p0xisYvmGZh%=!$sNp3-m{V4El^3yf$5*ion?B~Ev2hIC~gZ~T1U*K*7`Ad zvTLDjzdOkUL}P!`o;>OO`gnVG{`>H8|Mthx$OEEY=pIR3%Iz1=y`!yIaqRcJ%3wP8?mxLBJOD-?vr_gD1{qW#87fu%_3Ra^r1Qj?vIU z%un&nD|D&G!6Rm4Vc%YKvi92+>r1mUkx?A|9ZxTFQ*WHK4Hzh?c5ufYeZGFUe|h=H zzi}ARHX{ycO|=ZDyw5%3o-Wzemmce%PVccCz**odk|2{`-wT5uOC5w1S`8Xo+uKwf zB;Dn@*SxaUx6i&mT)|8ebu+g`>q))7zeIbaF~ZNRY+HPp=yMri;VnN^SH5#`J9vHd z+*&P{jol{d{b^|ULts_PHU)L)v#m5IIsk#bkQAD!Jt^gM0Jp+nkKD|-jlyiVH9ID- z)($=KD?-6cBIZ~RLsE~dDMw0=bt4N}e6tEl_`$&CP;rD>s#kHoMxEe;Pvn8M4GC
Z{N$KU%xJjn8i&;e?~HpNMFqV4y*%p}6hk|hV8!|DXkF&Bm~ zb51wSD(oGd$esXE3rI)itAjla!P?NikGB_r7`Co&qN<9$Fx8X84OGY^&P)Dg~P|Ku7oZz3i>~%e<{t4d&$+6V6(0e3*Gh9joLP&i? zsz`gSEn2Vxk&`JYIPLKIH#9pQ(c8pi8`qeD3k3P9{%208ayMJ>=OKNBnBth{ljr92Y^*S}H1 zX*v)@`ZRwc8GoKnMGgxr`8(3= zHKhY(9lMw@ox@XMl+vma3GGfCwimb8_{ni6W_7X4-ur|E_+6~G$0nAPk zvW6U#pv!1#QVW~v^tOVmMN$(PIVb(b^-`61fk#2kckK{}oWw@9K5bV!1Ye7|b(h|N z?a5GcshL0wV3?FSw_GF5>Rb1$d74T8FfhKThp`tR44hG=ZGaYXL2s1%H5*>u)hCTb z+iLFIQwY-}xeTo)EZhFo%isMlW=c_!^?VS2cC{DZR=oP*#saMeVr4|6tg3^TeyLv` zYK!VNbqoAw8=A^TcG}4|{NbRd#4+}EbbZzH@M@_Qds3pmvt45Edl4lBrvu6pj#Xr; zO;meS^SHY2xabrICZ3HAbHV#lFU6v=UZC%f-&X<0e%Dm~ccoR|jJjv$+-GLO7iI?E z49{PV8$-+dO1~VlW3tJhRqTS;Z50wEYf~#jH>bT;S^&zJR|^CBqjVdrlExP~)H{|~ z-=!a*9#t$C{g+b%y#Nd3P*lcbn#$`iTSHyb;NXS$r5(8_4(N0d8`l*J0E7aI&GRGi zsWgX@nK;-d_^}Y53^P&xUo5RXoISI2$U@7geo~ZL+oyH>nF|!$teu#f5BqlY^w@m+ zF>W$?D|JC>TDPy28XX|je_JVkzI}PV{0YAri#_;we~&)1f^3OpJLb9G)$zP-F(ZXl z;^WM?UAs2oRr#I-g43l%2oa|PU8|s8z@Y4~^-Q?q`6fr4AIO4gt!EQh!EuKNc@QUj zwOhCltmR`Rnmg3)DjmKcb4bq#(@uJ*-^(2Ur7q*ZX1|Lh)7H^pQ^LFh1!lAC*9F_~ z*^ksC6Z6s6`;XoxGUW-SU9o-x28PPGk(U87oCfc0%5{!!WV%YX2iL z2xbt~f5P!0#p|3`Fpy36{@&JC^Th|%)vJO#ZWvw*j37%QgGZ7qp ziKGAB*xcnN@2{1=uZ?L!`#yk;2IWMb$o50KxO>0osYb7@sOhS9fy`?OKc(e@plJem zZu!?WUr)Z75rz?D&C8UMfU_vS?Eg24vm|Sa%T+GOZI^j7@E~U^D;TxC(5!ivO3(Czj&E8mR;+n{oJasn zSE#R-AbXnW>Iun@g43e$cYA`|YY=N`{qi5C zX_#xOPE3zg-NunuGYxO|0HAcqG+aP*$u_735u)46G^n%c3FrCbiLBjIl6@>MTzPMF|3ZcQup9V!_j z5waac-=@+Sh1?8%WWX|9@=&&jpJvRP7MqDqrNvFeC3XrRw>M4}v3f^4Yqa-g4@FEG z3qWMVdZOB6>)`?zp-8=vpYFRR$|u@K=puUPAGT)D}6NS{9+Mji%s;fAx>(@*ZAsQ~p<4WPiiOq`8&0 zMu@bm(AlrX1(n_a7@NVU9uv2YoO+CMB?^c!XO?v9^@_*c+(X5_^30mP@_x+0dYNLg z{T@hP6G68u{v&F=6k>K}3ED|}DBJP$u#G!)nsLFt32mX3&tVkEjlD&fl>@BOI(kn1;w*nU^yW-$;la%D?*0Am{YkKac7awsiNjHj*?4Ck^$CrlAobek z(9%@B0RM05y#=#tP#Qtmz5v>B$iKi2%RBvhs01_NPQrzl!sGFfA*b4}co#+C_^~$t z4;lXu7?f7C-lvw(8)J6%UWTPokDCmUc}$GcAf_~ScBI*TYerr0@I_||m-QLHkLsz} zRGiV7-C*Ck@#`LVDS!)mK5=-N^UW>0avNl1JQI&6J4uzD(tYWHTml0=8ir3L`Z6l8 zsw${iKo;R!r>T_N&1Y>ttKU!?T{HTh#JLQfte;G$wo<*~GxOX9vBhuSZ*e819-emP zx;&a2O&ZCun?m93WoD}ij`tj^*NvAkUnWV0qsR)id@2JSp@)z^C1J{3y<7Icw_e9n zJ=l%Xc3^ix4#7qf$Z&otOH(0<8Qb{r)D?RR7DN)D4J_&F3es4=1+)q;j47wE3Z`4X zy>c3jojibR1}G`}2#Slnb-cLZpenhs?z-is#dqaj6BW=+8$V_ZP;&)StFqx7Su(@S zk%;3lL;Q}UpLp___uvtY@uxcNM8`RznpdFOt(NzLZ7*E#mREAK*u$aBJKp94Pt6a| z$x4(Be5P4Fx4Cmk)=5HVz0EQw(mqbCR+Tl&psDV&{v3Ib&#c>@yW4Ao-{=ZWmET{$*Em2O4JXd$Rw7+pQUj58_zv>jT+TH&JasTh|JmN0e!^nzSOzn% zE4gqw&a`@(a!c9q&y+nYpeAhJ+a6flJI8QjU+4Ac=7vrx8zWvvzJe`JN@$X!Ybe5m zbqqQ)u$SyNp(we$STrptYhX}!jBFK7fFv)2>1rjt6zbX8!^s7m-2JoX;)P|)vdWKz z@DK>Ti>LCqyLWLYfW9|mv1T>H@~}1?O~0isp|`(JP&65nA3fQK_a5bd_Y=jyrYmQ( zmJ})uq>i1PEsDd`DT+h22gppl?#xid(O#Tn;m(E?Y<$vG0)+!H14&l-46Qo>Oz{gPDov?A3ta;s)`IO&N#>r^?gYV!}aXX>%Bz%s|-y>=u`0hj6 z#dg{FU?nkHovBK(v|9RKT4+DqUMS;fQCV$yg-~QiA1g zT%DBfM+YVQ;eRI+ozCD(}YesA+CM(_;IH!}w=jVA{1g(JcWWH_CqcL+y{(}+xN9yC(dy;gNQ$l3d+B2BANbrO zWW*zk`ORv?o>)7j8nCAe6mNG7y;ekK6oVQ+fR}l~WoabXfaBo=d?saFWdalZAtZ?2 zvPr2o`Dat$=g8QuDhXdFvz%iDtDkjDl`5G|lldKzxt`(8N1 z4!29QAKYc(ToD?fsdP=A&M9qJjRopFOW3fcQ{9LXjckZkj${$KKE)xws0VRT0||PElO^H zXTEnOtHMeQlK5r@gi0Yeh=UoaxJUtL;I{CP%05bQ+L=F@NUf@E%eq#?zS;^UiXu22yOE}Zzh852;R?!WDscg{StGlZjBgEQzH>#)@8o}Z-nymlxg66ULFsQK7+kQ z8Ne8|Qax`tsyc?XP%`KvObmq`hW%?dP)v4oKk#u+*>g|JK_H8(E{9=ZB;S!J$u2y0 zU?@C(RUAY}3^PY+AG5&M6Zxb$jHyS!mQR|pLWK+^xjzOj#kpC1C#$sfJ^D*Q-LyYR zAva$d|IyQpNmf6C*Os*r)-351;UDaV;fRT~cPiCO3lI^t>#o$d_wZP+4VKUBgLYIp z12dHeY+v(q^@^1DLNTuDgl8(Kf*Xkz^dqBE-m3# zg9gf274&}NdAwKu+O^l^n&D>WTRV(>oHu2|QUUUArdj-qok2TTFAO%L?*~gbbQb#w zvpYDSAI>rX;n4T1#a=a7N>caKn4o9>fMqB zEKh~!y&eb!bOVQ7~m3C7Tk5SWafnDBn{$Wip!5}>T`4t662 z4z)NVR@OSzg~O)ZsAx zv&+`dX}kvlvGx1;6!lDJxWABiUyEknz#Ik(Uum&?s0fD%sh<=v1VseuG=FKlF8;2n zjm2mJ^)2JRDj0BXk@HwJa4#;+SktH*yvqFhI{PT1QH?sY+A#Dw2EL7P8jam}NON2Odf;yW`9o-C#6y+- zUu@}rEjXkUT+E?vkWD$a0$IC?LW;@gj!SE-7DFQFmp+<8Ug9J+#=A z=PfDWfz>II6QQv#=Ms?@LeRC==4g@&3 zF`N=~R=#G?IJ0e;`%Q=RX7f-!ZcVck-dM)L8qDuz*b6hyv?%;lIf*&`+nIQ&?_)`**q1`M&IBx51}O2I5foJjiXVinxM$0g@HqKIw>U_6iCH*UDG@n?$Q4pSEUK84Q`v7*OlO`UTMQX42wQdx@zgPF8zlNqxA_+Wt%syl5i50-*5#+dWia+6=~`yko1xZE8^AnLj^`fKqB@@FTJA>yP! z-{XZfMVxue*}s-Y%mW$0dtbM1>R=DFflZ6VnlB>4J`AVT0i-n062q1W#zSKp8d zqAz&N8lZR2@Fp_GMS~7Yy8-8alSHm zfZ!s1Ybh|B>;L2Ghp)!({C5pi+XnA%Zfcnvm!C=hc%)+=b(`+mAUE!`al1&mF2MlF z4~Sra&M!x(S(A?Q+2wRIdR$3&8C_WLTC9#0o}gaAJ$rP>wmqH!LK>1}1_tJGjQfeE zKs$ya`~%?rl+c+E2AcJr{Z?^q6e%Z>3mWnvrq1wmpVKQF;dVD@)5dQrfVEKWa8iNC zR=ZH!C(SgRi1SC-{)0;FH28}40wW;gR+i71W)=1I~(KkBQUGlkh1Vq5IRfPJOtomsHbZ!}ywx|~M3?pK(%zJW4dxfet{+=b;lrPM67gfp1lg(U8E<8@vHI}42+ zzqvz7JeVB=6rh9O!7l%R`L+MNq*PyeNgy>my+$9BK1ek?v@Q%-`0f&iYGVYRQ?QG* zGGZxhv!!?H$|wB@d4jrbb(RIG5SL-8H}{0nX)xONOT)`jhc8PlY0;{81vpJQSKvN=C&n+v*i!il==oj%Wr%5Ux9QekfL+e+Q*D4 zdq|bmT5G=uuZTKh9r0+#Z4!s4pBZm#p9c@!UmrNl3Zb>wD2@JoR*aR@vDJ<+^OT!K zy8aC3ki)VxOdACZ&_{W(b0^<_Xhqz2iKY~ADJD}}RvyO?;KfS9BZQy8rn$3>W{93v@i9?2pGs39m7NS0g@_Bj zA2Z$rjq4v4`8qMXFL&$wyWQ{sn-$~ehTBM{vwl&bYo(j&ew9m8fw>USxsF(oz4foE zHth$@U<8Ic)H{M|?Z13zz_|&TAC;;x`o>zMw|vh;l#*Ghru}kg^PupMVG?GT0<*O; zchL}9xI#R7?*HK{HlYzXIKt7<v=1Lt06`5bZDXBn9?=PEhz;cC9lIEZ% z$X2!~Any=vGrIg-WhsAkC^5P`>ufIXfb2NB{EgpSo{u%_Il|`Y(v!JW006tx9c{CE zp(`I5Vxv?{&)~&s{;o2p9>3$!6g`2Eog+KJY)qiGf%O$}4>7yVt+jl_{?crOFp)n~KX?()Sx@i#77VYc3g*F|omB8lmSSZ{0ZXRp*Vy8jkmf#n@C_L zGKNdjCu^y!XRLlo&|&Pvred0Y@GCLb9)dT-G}8;dxsYH(tJ0F-eP$WNwoP0j!47_- z9^!4LRB5=r*X;gQO(7lJM!pdbA*=Q>`#bw;%IUD^IxS}EW-FZ2W_)zCgmV3_dnZqJ zb6uS#(cez_N=$t#TH8PQ@^lyQ6UVw*#dp$iWK~dYy;Tn)2{jKG_k!7m0lPJr32@Js|sgaLWc-`d2ohdZhP~ z+_L`A48faZ0{@A**r4-+Z10_fKe4lQS)e-z4Jfcg+k>g_qYPIOnH_9~JDFf69;F2_ z7Z?qK3>Xl6t5yZ#Z}rXJkpMmfGk|E<`U|UdPm}Letp@ZPqYfY67<`u;W*lFHMOz$1 z02rr*aR@Vs39muVE8eDvOXI+d-~&YFSUMF@S2)bv11n&NE78H`H3j4O}Ss^ zbCd;$G9LN!Q(f-J`%7!|Nh@pDcqeF3;(PVOtKS(a^D(m>Oou z%ttEHCzL<$&#HHrTuF~rdXNbgf4rsMc8*ZKAv5Maxs*9ZPuXfmV<8hx!rKK2)>CO14w zdoL;oph^}A-K+)RAWNtNS+&HF4Z~cJKB8SN@IRj9dq8JA9t%6XE$;b`8IgNn7OU;B zvw&$kF3WGnC7UT_z)Cu7*%%Vba#<-65D`@~MsAI44&QL!6mv+rF1*0$j4YH_4Tr#Y zFYefqG{1B8A{~x{^@L;Du;y2eOZ6p zuF91pjPmq9Mkp5aCFnI>wu=AfL?{q{LH}&Bni-D)sUeb5K zF+ld8F=E;o&rHpar1OVF-H6eYfTy;V(T>cj%V_-#Fg`yu#KUUx#H}@N>IVgnzoNQ7 zf4u^C1k9^#d>OxG2_HJs4jh`$53F~4r3{Dm5lv$rk@8vOPgo4|M}PZ#PW)--G~Hmq z^e>f0+|S7o(!SNH-3x+;2NT%4sL#LEWTi#FaG$5$#^(yQur&3opRLaH$|~cpAn>rQ zW5nslgG^ih#XY6RzZK@Voo6TGsdr<(5-hi{)@Rz0KO)O!G^rUUbqZGKn>Q&z9Cu6( z)3y_g5B1|B3)7iR!WldLCp64!>@_l7TM&20>z{z4qOC!S(;i2`II>z9zvy(zJ4n4O zb)nuptfOXH(n)B2(UzR31qvhKOkola6d9u52*A7*;f15`(1IsPIC$-y)}Sn13mmqm zaGV>3jyCRVLksg7*30T?P=gyxj}w~_@zp>UZT#c93vAW-4r!hB6+YQ-l`9uJW^Fx+ zfWQJy-e>&}b_}$Gl`(r(ibD8iAxq2(o03$QjoJh3hjUCf% zY_N*?eO}%_nKSJxRw8nNM9)OX{!m7d~=p&7B3P_!`N#(Img0 z@^~DHfS)DSn#Bv-S-{>c2UgaaMnt=!DKFqM;4<^JzB|^fRtmSDcOUQ@P_M*0F#3`f zYRBOxCF84`{bgvGGKE9uI0j)Z;X$syD_y>Z=JUX`E z^DObj4Q%(Y1S6%~qfj07-?Fr~!&#Ok#!KRT{q!uaFRdspmk;dn?V;TsemcM(_KB*3 z>m0HdAFt${mgL`c`x%*Y_S}F%K;WS6X-p@YW$-Y7no%L^Q()_iL01X3xrrlRH3-jR z09kP#nk!)G^Q}+8H;iTclMYPB61Ad?M<4EI#5ghgeR!fO5A~;&r;+zu@jHx~I`R4= zB+*F-w9p>v!mu+U06$()gA&TkD~qi$a!9Qt(oFP(Lyg@<9_mC~YO}LhHI_5G&F1uT z3VQB-<&B@_;ow1Pz=1yqAW$>o>FKUJy^$%+1|Dz|dXG6cu)PtQ-U#P>Hq!-n? zFE&}FF8oR^8KP=v_hAF8Tpjg#RqG)@Ikv!s5tD$z!D(1#N>4*1dYLm5vsD!((+mUO z@TX>@YKK*&d@trTbggi^HKdxR=cKa^*G)}cR$88~k#q3ju_4Dj;5}kI&R5SX_;6a9 z^FjCGR@6@H`yZN1346(#CLNI%G;5p7tSww< zJI?TCZiK)ud+JN*ztmYbg9IJED%+p0*FU%SCqtVr<>^M7X<{=jKRSGUZ}@xHH4b=a zR@=B}Hn)M?k*KEdY++~xPMVY*58Y>Ld-h{?G04I(h}ME}6xD;ImST zTvOTqfuA))aiDxCaV;27TMX zCDCX~egds>J43=bNwux3_%|JY|a3nT9|<@~z|Jvcy3@e3%lI zhbzkd=OxwsntZId4W%)xl9>W`(({Z<-kEiz&Vph-qWJ|vXh2}iyJKB zi#6}*4ylV?*bkTt?FX=i^wZUg*?Fv@-uk^f-b;2ulo6)$%J&NRjj4sC?(N+}!@|4M zfaR}V64Suw1VK5~onGvGHND^9T)s<_8ZuA^+Stua*3Y`s?+Y7+EU4 z6<32f{CuX`sg^+zh&*>SUJZSQ14m11B4o&j{IcoL1NFnpbgEN{>?$;AZ_CWxO zCNZ{5@%6*2`$e^ud4VBhT~4G1PGkWD~+@g!>K9aV>v+1C1A8 zVz1y-ounbBthX7nLL}k-%DU(!T@(V5+lo$y@h{<`80t7^eV)vnb>Mdqw zSuTW_MZ_WEZ;LXw7HrJpL)9c4g)dpO?cQDm7LWVLr&vJnOy%%c1E$j(GuV+R@|(*8 z9Dl+tM9i(kvlID{S88E!`_Ghcwe?>D=FL1%Nt_6!FV#O!) zYD1;racjjwb2mh=sq0VeOLa5MV{wtU?uCaPhId?@^Z1UN{L0SKjScqsIsLLTw2>*a zLPqR8e4zq*TxmAsX;lK<(aM~!6@9U9k`#BcGg`A*dzv=XhDm48JeLDbSIZBXZ05F- zD{*Okf4|_&Wl*Hl&RA#E@exl^fz?*HWr8DEMC??N7)omYZeD%dL$BZ{!k+q8o4qtFVyyY4zNHZEE@C4mKLptv> zL!?RWVerp9fGQj&z~mh--phzgLD!6IQ(O2kF5Iik!_56(l4l?)|0U1t|3ApH8uq`2 zyzyuMaAHHlOTT@s3hvRF<=9kd<^_-5xflc;S>;?sp$yTdr!sAJljRF2ESc?RFrY`5 zly$W}aB)&I!@0!7a`9V-YwO2i*gr-_<8UM74uX^05PyEZ{2U&8|&*Hbo`j63gH$uDYF*YNm*l=I%H=l0Qsu7HqAf4 zndIm{>{*`r-h#(%bMYE&vkVu8$D4+Crow32FJ=DtnJh=&rD|<{_`W}%{zuly`z+D0 z9-|MZQXQ7xaaiP!UrE^JzlU^*MTFQ`t5672Dkr3?$Y6rcOP5Pr@}?e9f9v^GeSXuo zwXNv06_dt0$@HF(U~JX%walWM&%D#Yz6PMZgOjY))T@YW)Km?aY2m$pe}ur6GCT*} zg&;0H`IQdgRBcIah%RA_0ml$642(@IZk!NCSPqchJ4+SMJ6$!0NKIIbCjsKIP=HAu zn7l|K3(qhj?A458KV;`?Kp*Txc`S|Tr5)~N=>GP69~_08saGY~V$I+9#l2#teR@zapIPLpp3ZzRz>w=VVijb0ze~YZ{4a49 zTW2+^9>hO^&}zod^2@8iU*m86+`|+wU2#ec_iHX3<=Y%d(7yU%uy_J64T;x7Fn4w$h;{OYn&F4R{0A^wyWpPwAAs4A^1p!DYvum|n2|Aed8ra8R_P4E8)pSxg3-CSXY)(w0}L?`n0ro}7(+jBO6Zug3T3&+<#Jwp_bIT3ydA<>>t> zpJV+@)i`6SWoj8?r1tQ(k+C27Zh5z^P*=;1jqw{0Ardau^C&GbZ>R|Bk8~P6^8=n5 zY9g6pNV*PRM}EdVwF1C7g2gq@IW@u=oyyM=l2k4`NK&t!c6BKr<>@*x+r0>m{M>>? zcMv{W!OIblsu7fhp}sgAytGx3k_uSwdzChUYmqa7vxhHfF!rLfE|Vc94B=m)|YT9@}9XN_t%r>fPLG(K{3+# zKjD;NkM;Y!uezNzOz1oj`OL*_Li(L0en>K*j9|bQ2nkJ#UL-(gXt1Ky&c(Q`si7?( z^3D z3I!{X4bEO0+u#Z4-}xyhF!GB=ka<1@Y)9bJ%lq#x{e~sOI!EkathRVgYJ>$*bAT77 z6}HKeR(sTWYAaW8IsqW-L6mr8QbcTJg`S}y#R`)GN>6{RnTpu>f6?|9Ky?Js!Y1wz zNYLQ!Zoz}QyF+mI;O_43?(R3ZYjD@#PLSXsJ0$PDeg9u?cWbM5t8N=Jce?wW9=-;r7`LY1nD3(#AVy@yp;@iG}8!YVY(b+jjWJ{Zm+-9z*)~ss2ugm2F3>40HYs~wKfglRNYIJuVP8t@e zkfGZ2GZKnN#8cL$WRvj>SQD-rq>ky;xjd67T=kjXu>Luip)vK)@ahBjs2Om()!h3( z92hH?v=R4e6{7w>)s>~7^_>2-`ukOPkC4x$y3-u0gYn}K13ZoUPPv#?rXe+YMz9)fABZD z>1)58Z1k)YH!0&pOVH6ZGQJRr1dM~B`dNqQ{^6~34@4wY{j^5|pVcfKqKl6#b`*xISvn3Fk=k>v@kFR?TS!*c6nd>Yx2nbKmmzpISk2;tC1H9 z9@Ef(+ZY6}ZVG(Z$moDNXL4xS)?V?7$41v;JrX2H_~D&`a_f=fU37sq#ISH)Pd^q_ z8a^EQ1RYccF5-4KJoMW{wGWT5;wF8Lu>_v(pKGa=tU=NE6s>C<{R(N1%EX{NO`Hx=_$P+~Q5Y(vN~uTpRP!L>#a6X({SzVvw2FYRS%klkKfU zY64aC7#vqxs4A^@uq*~x&TA<|Yav$57*QCW`l&1lBF}h8eXj5<36wVAT>7_U*(R_H z%uNQtqlZ|e1T|gQ7(RZPJN$A-NJw73$$3%qG?=qLb_f|m80+)kG_(Sq&nWH($RkurabkmSBpp%~D{s z73Th_c|Zg|qC%TlUcQ3M$Bc>?ybpXC0bj~~8CX&U@%_rHDE@nBL_wU7q~ z+%ru+I9>IXSeWN{1$z-kHP6LJG#D0Is4K#G$O!e323e#_3NpTSHrUTAIfg{P zxNt-r@FY{^{%F3&;j&RbQEx>L5An2qQoaqyBKB1htKpS`$%8eYgk5_&5ML=RtP9CPwylc0^_dt%UOtK z8bx%=&0m{vU@03Sb&@0%$fjdpAXJeg@>2hFYWo8|W&V06rGzRp9V6sL(XiDBeS(!= zX7WUTx=oYRm`{J9!){{uVo4BaK5a?#vFT%2)cRnJctmG@w4`?SNZt#h&gs{B#4!fk zel*h?#x5BsOcBI8KU4Z*!?D*veD}Qi3FdQ4Q??Yqo6JPWDBb8^&@@9BZiv)EngZPA z4C|dBdVhQmhLGn%+PH=hPVLIK=bl#hlx$9w6~dOpi6riiy^>|jq|Oy`PB4(`yp!lN zV=2=~CZa7dYN%eRa^i768b&&4^eupl4*Y((&4W8)IyM(iS{oLLgd}&ZWdQPnbUzyj zTn28?T^BT4(jqiePERtC7x&8Ci>F|b2l^Q{G;@yN=Y1R~y%52u-VO9;;q)z!1VqXS zhYtEtQE%v(25Mewk+$ipzSw?)mvgJBUj zJmn(#X2Fb7YWP8!3|8I=2L^4$JJ=z}Ri6uwc~jLEBE)ouz)~d#at*9t3e9{5D#@o{ zC3i7A(Gx^H`xMgLu1x_Dl?^N++i84~VE zUWmz{)M{`bO0PGy>>rOGoLAc5rk&*!THZyD`SC?RNM}O(GQ08KT2eh{F9gReIuzG^ zJDJFK&+u|JL8pD2oJ^vp2K{Hk2X!U_CAv{ss1wuAi&dN{dqr?f;7NpfJckI2p}`s)tc$QgP_Y^E`!S zOagiby)Fiwdx^+Evv}@{z^hHzw$;kFR|P4Twm%B%f5;(E7b-LraBSqspk)Iku(!3& zV11+#w%0FGJ|Wepr0!c%i!`y5{4UoZLO;49>84)Nm}p=NN;8amMom_Ap{r{v+N~QDm z$Klzr%zSTj$RisXX|3Clq{D7@+XlRg@8p&h*XEzbPLd0$8pqI0JklFJMX)T(q~q;_ z*)60Iy9B7PE7<2Om1T7M{tlreKtn@t7ZW-6R{8RrCM7Z#@VIZ(?PM}@)YmjXGxKD- zm?(vXa`Srr?XUoAZfW#oFuiT|+p1%w@luTDRdeeA**QJ)=xM`c_G<|wdkz~`=z8uF zCHE|5V5p6@(qG@I=8reKjdZx(B1?I3D#!?u3x1LnFfv!{ZC{gGbkQ-iaWGBQ55lO& z@R$cnmHuWH>iCB6*eh7Xqbo{MaesYXvoE%4RNFUX*xI@jAk20DR?tBm*fo+G$-Vqpfq zf*T~9EWSV8k}X?krZ(47iQ``$P|63gVGuJ|>y*u74x0z2!fKq2FC!@N$?-Q?J{)M% zIVU;e-3!2cze^c%SY#h~G3);t*mtACLVxzv zDBajBbi13MTtleb6meZ!h-#{bvB)ttKD2HeCf~y9VJLVKM#eP-eH3XpU*f_3VO#Cb z;7e5|`Jf{I+K~)t&-VSrYoq0hlIA&_5H93W!W6xNM4$6F(!%m!>+!Tzu9&FubMMKt z)y<{t1+0SXB$=dTP@m%bCE|09Kg`ALYtI7qHd}Ged;`H*K z1KvusMJdPEj+y;7%AZ2!Y~5-;#PcGupWfQ9-9#BSf7&x-Z@jI`K=pj!p37#{$1K21 zqaWWdhISIPx5>{upGVly6!pU1J|B_sYc*hot2J4kqnvBm1g)XuV5U+0u<*BdpTh_9 zbG|^5LdNrKtD#c(V$1?-jsUXlEWW3~j7jrOqtztVrBL~?kbe$kbC~YiSfiOl#{Ke$ zySkj`s?Fw{ZKZVbujN*0p7%jNKMJ`FTg4c0T^g(u8V~a+@t?;}9;b~R1ml${hP%2f z01Lxb3$IG~b{{R|(^L4AcrRuab_sO(E-YeqYYw)IjAA^=eAY>?cFhNHkEd(6_j9Id zzYZb>SRJMJPTxH6Ru;pJlg306KU}vNo3yR#GT5C%DUHm?5e4Jj#2M+t?8X%arz3LJ z%&PI-&vl|+Qr-}~q;cLGT^#a#w^$)4U4Q32(YZVySbx19<39A^I+@bt z6k#gII;88*SYxTEYgoEYJ@FI@S^_NBPX5Z9i<<9_ADJ-orFr;#Z%r{yV-a6LUDgnt z1#K3!^V)O2vgvcWnRxW*@~6i^);^Ew)5(D}WQ^*}rp%xB_HvchVDuDVE?hmAdSbZ#FXK!$ zDLg_WMAL`8n>uEjRo3Ybex-oZ8E2K+i4Qo3-)goP+8&2eVW7Twqc7Lufq6~#biN-n zz8%=N^>GWRdz67gHf#&_R%}$!Z$xwfdDgAkWpY~#m$kX_TP6D*^eY4MwPsrUnqAfS z{sfoDS9v{!2YflVyNUt~PY#Q*i9Yj;TDHG-qkTFSV@qH_D$=wI_4z{n3bMs-$@mrKT15FrKi?7x z5LDYdgF5P1fuDAtODeqaL68`JoKA?7ZN!RZ|+nk*3{Apo>qNa78qkoa?PI?taJ&Q zf1fln#8xl9EL%>>1Ihecv7)G1D z0(LtOGg2b7E~=s5pyq zQFb~t93Izm>{5MbCS&G0Fc{}0vl{0gu5JY#EiRKoe3Pg~5EtV}soWfkZv3+#vVZsy zg&}E2k%|zr&=C*cel||y4DAp!PJ@S?1!7KEa3SLdDHKvRR(G07w_oQa{9SS|-06pf z?s^lAldA_ybi+?I-(q7!TMwVd`-l4i_6GP5ts7zxzgG-jC8mqUzI{ z8LMvAs<3D6wwh3eBTeh}>;13BvgHHA3NzxglhPA2;^oOHnsYqfsMBVXhHPUla{}%bl+<$Ww2WX&>tI1OEo&m){z&NM*|y7&)$lC zNU;-TTsqW?mW8#ICEZLj(>r7+_pL$DRaH2gIcY|-o}?_@tN0h)q#u>y=7Ofo6Y;Vc2_HcJHMgr2d{`66@aZK1tPa)RCW%?y1WHPg&|Fb}?= z*I>0o83~b@t=&1vlR%ls=q%WRVU^TWKXD*g%>_yAjn| zaI&WN6+51(Hoeg=`6FBj z2fxMcYye(dHcr}}Km0o~`dm@J2K(4O&f1MOCI|Z1?H6uoy9&gmTM2OPy5?PM`q+wX zPs{fE>s04tZZGT5lHBJ`j&pxvJk9H@vY|3&JPm%%BcIG+bDv#g@#WP&QeIR;-|uYx z$mU%TVMF~+=CrUa)|V_m1;&VFN2WGbhT ze0Q-liQX~{>JvT9Dh%Ee{U-TF;i3_Spod8?k=UV7on(=^8r&CW2B>?jAL8f%KS>b; zAJBq{Uc!Xx$dmO{s4W^FlBXvWy=_bK_*>CR{+FgWd35B>p2$h5u>R%FM+Dj`K7L^-3@}LPM)qxUr3P?hxFLcI3Q$nW2A_ps$xmlg) z0So+Gp43p4-i~)laEsqA^sLEm-515|@i=1nGuPl2g-c^MGKhv8cH!-y8=b;0WbL5T zm4*$aS394g4Wy+CwU}pIV1D<}8%a*axhk>VXV1d~OUk65Wc2pd;IL^^z^XAM<}x6d zmy z*mD5-ApUImKqP`-M;YpRWDo+nkUrnHTa1Rr@v`UY!_dZ~tas~t<>sPh>9<#RWXt-` zm!*HuZl(2uc?6QQ5O2j9)pJYqiV(sQ?p?Yg^X|CLi>E9YGZVkPp544gPE#^pmeQI& z+vT$-rcrd|5ay148Z)~-op(B&|D`EI`?#_v-XGwBIj81>Ij02#Js-75j?+f1IIVH3 zG4Wl0503r9cv*gBO7%8sLS*QZN6>wD>wWCEt}dJ4KZ?%q}hkAI}D5vs};-Bp7?}~XZ#y0xsywNM!yv~pUXr@s2KR69X}7iqa9WYR1JiF<1oHX9MW3aq1;dmcV;}9QPW!5@}AjV z$HM&{AUIOLV)#UV^T9DI2yf71#QpvG5{%W;#u;j=(gE2f9mS{_vpavJBp}h2sY%HE zt)0m)1wNP`9vbIm>Jc1D6brF?x;{6w9EZ5N2Kn4O@Acnqb#`n(769=cGy5Pj!?>6^ zN{<+7V&9}JOArIpb@E4Zo#bdDJ%hh-T{}$(5ry$&G!0C&jv0sfb$$Bz_4345cWcvc zytY0A{yGthLL2u#V9%eK%Afgfm%Z>j6!=lle8RReQ=JVM%R8U*UKYDRi&@RW_dbh7 z-qkhk0-+bb@j&-ZN1Idmo&xjELa8FPr5Ycb@;Qgb< zu9U$-?s71p`irWOpnTAWF&E0DQ@ONTp878ylt_EoOmVJ#pEuzl-6;o&w`h@`bX|GO zZ6v9$JE?~G*cVFJ2Pl(L6OYC7J8r3xQVD{uXA2edJkZCaV-Gro+>h&}f9TQRVo6fL z3Ph%Co8@=B&?Tkj+V}{$KWZXf{a)*DKV1brn%MOQZ)dmeGo+k0d^ZvG6z4W8FFb2Om+w0+J5Tgl%IAk_R_>LT&O8lk zF3XWyHC-!ZN8L{Bay;Bi^v23ly$eb#1X{;rRXj60B^=LtDAvT#5<{wk=Nq4xD7D*w z0p-6K_q2``*(Q)wBeeB(VP3|i@0zN_<(7)VyXy3*o{>0C_o&(T)3df^udKb7AsHDo}hWuoZx<3Dd9w*Fl&CXMxWrwS0zD=l%yBP+>tGD zV=GO4Z%v@+&USrXv0xT+`;AjFw-^B6)>`4z*JD?^Sbm<8txmotq|~FGR)t{xVc@Rl z6ngih6YfL!jLFO1e=Z$uD_ABYGN=r^uv|HFcM-3ZtA z-cf5@#WL>n64ViJ8SZu4PSE48fkof1bE{&r;UQYt(Fz89QcGs>t-(Rp*NqB#J}u;! zW71QAniZ)S`4H;i*On+(ZndeJm)|c?o&uSSx@PZH`R?fBM9uKUw1G;>D@#Mmsg3y- zlgMyP)(WDNJ;euV-d1!UBmu;!h+O*apH>f=59}}2n@g#lr;Se`Rr04pH{6^)wQW2YRv=*Lmvy@ zekiL`8{GJ<%I*Z)90}boJ=zm&n zC51@IcrV+PQZ|Ze2WFASXdns8f+YdEr2_sgqf+ljE}-GoaM_CateT0iqQ(v6@6@uL ze%8s!9Kke728FQS{(RO1&{NPlz zz(BlcNj;!!Se*hiY|&B@D;=0bh2KA}GnFRJL9uE<-&y^eMPLv7_+>Ncy3!?4O|3A` zt2`NQTuHf*2;?UB)@t-5#zZSwKV(Qp`-EOa7+4(Mq(~M<{hb0CoFuJ5(R87hORnn+ z-RqiuM}~Kiku2^Cs;d>oC{oos1ru}x^=>^oCr_j13q32QE{Cx_TG%)$4j5X9EU;G0 zhX&P9TpG!F1~wz;AdLJ9v|u?BmDWru6;EaaNmsq+zOzj=6UT+r*Gkpjcq->2Pqith zcuB97``oZrHPjkTviTWS2n#yOWd|8T>`U#*{TUcoCPKL%u}svsd}`-f2Sn2y6e&jQ z`5hEZ<9E-7MAMa!*zFnoq9R#T1-ZUDp2+AVg%9k4`k3~<0Dp^An9!)$F-fB8r!zW* zQw~Zu9Q&jil5V)feBr^%RLV&$sFOqu9k`gJKbi!sHOJN*4^eHAu_+<={6xkurUGFz z`L*~v&Ge$RI#M-+?!~!fu4>*mAHx`3_sX~3f{8=~)_W<#10U*%b=KhY=kH_eCQbrF zG6_7~qy`*g5T=E6|1GH&cM7ch(#%yAu!^@R-sG2RifJzEZei-bnDjTBXrwJG1))}i z9uvvp3v^71O>4$L)M~T{P>qA)!Bb{u-B&u;#UDjmK#8X8qAaMAmg>i9f0F&Vp;X%) z6S9Wk+GWs#~aa2IL;$($_QdZ)tDR>X9K zSA4T8Sf&1_j!ar$%*giWp<|fF?<6raX>mQVsLlNP4R4)n$2 z`Z4JrZB$Obaj;A%eh&F9w5aw`AX~wQ*Da^*lI!$YD-l^qz_$(-v{5NTX}(IZAT^AGPsIZc{fr$NADvy+v+r?NZhHApnjCzC%s6b_= z$*Fx>Mr!WLX{wv(#>t$}=__fMz}=>t7Hvf}F~p9p_Evgyv;)RypO>73VX^ipv$V$X z5bOrJ8VC^iF{L~=!x%8kO%yPy*-U`b#utZDO|XE*{3p0Nx~k-M9TZF4e1W4UK0uTZ z0o*DYC2uF)-eOBX*R)ZwGK@{+Kk*}6sQ|Jg*eM6JNWaFI}Ca_7LFa z$9(#8QZQlobbStTe%ZRz*U#0XI^TUU!ArcgNODbB_s+o!vgL4$8x>8tpI&2cNc*|X z@4QRW%HViNsx{y-bBXFA|t&n??a2&VX|PnepYCAv11T7Ra_EFYfeFHK4+iWA%Z6OmBrY< z8e*$W9ZMv*c=fld{cPM*4H|Vyfvwex-J>VoQ492{EDrp%Sn>l-M63c9Zq)UVglx<6 zm?#E@)P)&krA1ui4Vg4OFFj

TcOh!F=djaC~MRca-1n*&oMADSa}4PkTJS)Il3<> zIktGiu@50vG=wfCLF*(iqnl&OEm z3+P%#5<1Xq&eSH@{`sWv=ZGlBH(kf~7zGukl?S)XE~uK(wQK~2ZLrtR4uX>Y+6(Td z>@G+o1D&X+dNlCVEp_p*ss1c^+NwDsX&J8Uv=7qhGvqI!dLclU4zVtBq9+G$IHL9n2_fP1tP6da&cY@7pR3A63O;LOo z2(~rc#z-t4KyU?n07(slW{HimL-o02z)v|rMekI46=~1Qw=*|w3_&yE8Y*7@LO*9J zlwqvGUnDgJ@j&SCF!sK5d~m_Um_i-y<{rT?L=~R&VEPko=^yc)}>yQ2N z)av}eXnbqQo9|y3yM1+X_;YFwTbC$rf6k510q-r?FXtK!@%9A?2#~XSPHzj7vV0CH z8$nw?b2{o5CJa&YczOMK=iy^SuZ_8aJU0Hk|HFuxzM^B?`sM2U`XS?j>msjCleg=) zn~!%_PHv6DTq~i#1w0SQ+3suL=d`oh`#)|Z=w=Cza`1KWkx+%DIbX=L+FtK%7+1hHX?QNJq92!;a4`Sg} zh)VjGgbY)$BiqH~r(^;ImviiK+a}Q9FpO|aZi0Tjh!9A6afLSIOIrj!KEK;G^?iN( zLdK_iIl8SZ4fRt~p075ZRx9tC7qhlK6pOyOYYW=KzqNuW?ZZbt?uo( z`@Vb*@6;x2hwEk}zdL8AHD~?vn!TP-`1&0CET``H``ppjdn`@0`Oo&$(tIdBH}(-a zEzG+2;Ae49@cOHu+Ns;4*9dq&Glx zYELXHLn=SV*MwVkiZHMg7lWoFMJl1S7A=h|CB}?PL&)0p2-yfi`t)iGjIgpnM;)3h zb+Mkmy}AYL__=L|(m0GJ$|Q{E>Q6coJxVI{xNT1Q){^MOD+ulM1#({Dhn0{+jM`3! z_{wh3&0|&~e|XWAP;X{TDy#kUau?_>CRp%AKtj7#Ht@8xRu`f8l(a2+;YkoJ?d+4cFD z>nhtSf$Ty}Ab|~{+n-lYTZj!qsmX##*3i8J#7p3L zC#|$w!)z96HXx!SH{9iF(K1c7pHMxk*KtA^c-E;uZ=aPR=6XER`MPusJlp63KQ9wp z09&R00n>Zd@kmn&_h4drE#~=YV*Y1kCdLcv_WpG41{N7_HcrGUg_N#;6TP=j$06@y z;7SHB>+<9E_1#0P4=7KQ`Jp9p(|IP*n;B!r%F#;tPsCZW53}dWRUfG;gcckadG?30 zyEA^D<(^?=ue#d9uh9Gnz23KBl>#oX1|9nKKKS4l$@%v7360OOuZh1~xu29kovtWf zFFYncT(2zt;`R-kzIlF*b)9}fxV;c$eriEA9v63Wk}V}YvnHqr~cf- zPbjKJS+K&SMO0uLtml96yShuJe^`5oXWyXkc25s6osWWhIOKkyci_@ejniu9sIQoTJyLhz^x&A$e)84*nkT zah_g{6nawbyi0bhpU-RKD={PReR5vl1U|mqe;)ky>yFYpnB1!Nz+@U~P3ld+a%j&X zwP;IW!dch3DGe%Mp89=2X~mEQ@UGB5uCH)q4URU;2@u3)koXKvdqCeF3hj>XIIvE5 zp-s^`bOY*XLu0+MzcL_)WJ?Dc9?ov}-bIb2D=+T=(0Vb0IZqR@P zmS_T&MhDqrj00Awivucw1iVs2j#l-R6> zvwB?=7^DZ}+p8!kexfO-GH+Vi^6@dCRQbql&khYB}bnJ{>xMyugjn71cD~|AG=G3OLiQCR-4{M&59fJDSQ_uarX%av`;e)Coz0u z5&j2_7d+dBJi`8+I1y)^T^NrQ7?2lKCQTE29gScX5bbhzO-kg)^MSl=Y7>;u)_hb< zT6A>NPg>P3lW0f6V}(cx$B8aYf1P1{#laU=&<;hC~t(HaY%)qlSim&T{3(RX#Utm1bcMpwiF@{^CiXWEO zWbiWqEldc)@z3CI4Z6s%mU57STpXxnprpmMz}&%GBKqfLr32}umWD-kyK32hMPU2a z=;^H`*mJfUp-N;0q^$K|`WZiQ^Fs55X*|(yMTO?vgB2WA!m)>uM%&|dhqdzEzfrt* z0{Hk|UhZCR_8|!xxN>vnj5r|g51;SZ-pQfdf2Hlq*8Q4{meM|@hE(TV`NeNv9|MvN zeYJ!>q!HPN`2^y1uG$wdXCo1an>ZS}9BdV08&VEt!{WcYtG`<~$B<^_!|KPNGOGK9 zX&t~pa<+erHEh9x7lXc7xJJ#nQ7TTTuQkL@ZwD^?{`Jc74^6gi z?8jzBjV1w+ahMh__doHcAIho`DTW;HvZbR^Yjf@y>}wK!u3Y{$>rOS3m6b;*r3AlS zJMXu5Tdp|_t%ftuQfX1AxTV^n#cv_8?!rTHc#IMGRhco^>ALxJ^rVt55}i1 zy~@lzXFX);?s-y3gw?-mo%@LKd%spxMS;0cWfY~2r0_Eifh z-S%o55H8WI?tafGD);bUtQ1dul-|Oj4e8yTP6U025ssu2X5%_Y8Z}=GoVA&kU=RlsG-7v z9Lxb%IKu&cke@FmoGQ~hJRgK*?4Gsg?M>+&zTtf8iKi<>^umE>#4zEzh@HDlvd=_V z-jn#OxgTs19d5@wKIfg1KIt#b(ms@7olq3%eJdO)(mX)soER6CWILsNoHbD?hQDuK zfaj8=O)cT7T7fMUchRl_kxBY1L%aGc?ZSIDLE8idBqnc4w4qg^l%vXhtVB#aiuXZB zXlZ98Xl{~$W(x#&)Tf0bn#!RoUq!&OZ-=2a$X1dm*d&rks~b;7u9DIRY~0c+mT;^g z!Z4f6to22o11J1Dc7-nut};kjY&o>%o9d{!l>+V#mn@XfscHjzDJbl?K*x~KDtcm$ z?3d!uh>9XhLLfRGP^1<@(qyUgewI%>hZ<-?O2A}6d6H(Mi^4|q z-s;%dwkd!k;!f$9f}6fRT1>J*IH`8FU25s2oVU#ED8}N71SGG$_))b5U6|KMC4ao4 z`15nHb9P?Jk)Y;&EKy14e$Hw#-N7O#?sp}mS#!EFS_M`R zx>Cg-8s1koTrsChNl7-j%jmOZc6o4k|FV8Mk%=rOdpb9TN*Jv#LjE;A_e)W$;6q8~ zQL;#8sBIC}*YBblJ%sggSS@FhNq~tR-ODcom zW9e&~DtX}%nn=>uU^4tB3p2;lVNn7}7o2m7Nr;^ECM+MK!(qat3bd4W!Ws}xE}~e7 zor*!&tY^(I%^yq0XPZQ@qRJ=JE0nsVkfp8LmqEPgAYM&aQ6s^4RBXZbp`i)pkh7>t zlFnV`BV}Z(A*M~7GcU5#)iewaMH2oFv|~l{c*UQSYtseNEtW-$5XkFKPU%(+<8cy9 zNH|tLCYed-Sb{VrsJsgV+hxSNBZi)&3NVTsN@Pq^PWC)yLO~?IuHUf|6n*1w7NM5m zWVZ~TjlGDPf3Ny{zG8z2yZ8eGqe1Cf>v}(akPZxZEldS@^wR*M!_|xPp*$6W_#05W|D045P8ieLFil{O*9l8vlZdL2tVSwd>X}cyq&=FJscu{g z`zSH^E9$B$opl9#;;nzEiEB?ziUihlEWFp9wAdlFjLODGHdSIz3tuvzFiVG%l#n4z zGbe3goa16oHfLf@>zy#TcDb|7o>oImCP_r9U>L0mGNv)d5nWh!c5ic|s0|ETugF5B z4^3JAq=seU1v1r_)<|(Aut7J1`Atz-UyhKTq$#OVUNf*X@xH>6?8I92o%mdJbcr_Z z2yi&^im-u7U)SU-3PE8*O@v^dXYOa(xt@NXO?d)q!UB2>Z+7K=%tth2 zT8M|snimG4;RdVgfvy!;k|l$XKQ?ZQu|M+`Bse%{mQ;h|h@LWvd2vNBv?Slfl+$`Q zX+E)z(}d59sKd_HQ8DYnSYGir&2O)f2zrg#SXP2o?arMwR+JYTBC@dUO zlkOBF%MJfE)dH1@*iZQbfu)7WXuRD0s}q-hKom0n{P7TwbOC1)ZSUFJbJea}h`e1S zQ~g;NqMXPGk%c1)3MVu269N-;h4(hmL|uY;DceWB4C7Q?8 zx9dvA)hAcB0Yt<$wpMpDBoi)WKwto=lS4kY5gFYWd3%4{T)f5ntjW6vXNyvH^d$yqe}XB z)GC7Zje2qQ{&0dr6x&2bJUb_Z#@1}axi-4BH=J>&&&#?l06Ki-jLZla@`fT(I-xOG4QkF0>2c2V&{pmA_P zET2Y}X<*hB#{f}Tp#C)?rww&Si8Agn0sRB&)9v5*oOeP#vdo5QY%MqhMDXueIAX%< zv%JmX>Z@a6a57;6qbB?PPvPFwe`@;wy)}VhY#sZ{Iz1A69B45Z&<{VsA+_iemrXsd zpV$9n7r#!!>W6qc8C-Nw^9uCN!I_`l9q4PhL_`|N$nql9klH~6V159XsSe;8NQ_4)`T1PBL0S(f}v~*+-eaH_= zG51W1hu0mbB43>q9Quj?R6L`)eCsbbRN@HKMi){ODmb*5Ff++Gvh0Yi3rJZFYK-%@ zyRkJ{83RXRy>3pocQ*_!aPJ{OX$iq6r6XcH!SI1jr*{a`+M0`f%9epzp{~9+lzT%1NS2^*aiOyCP#BJrd7|Oo zE^=epJx+vSZQVN*5^rGSgeLB9V6^+c&CVfr5&f-j+`O*dp~uePVlBNf(Qoaxy;U{r z)CXn}o;JwkD}tdgY;fBJgtsYhGt%cz(IM`vf&qm%aBt8((3bbe92~8*@Ek3USXdnT z;DOQS2zF7%NAse+viB9n283AF)&xky_Ps+x{ygG7rz3VAPjY;9naWH)V;+R~d~z}C zkpeu|qw%pZUiN!Ey-N;{aE>{b;FDbUg2vMb-xt%Na5JIpz_G2ZoA-%>Y2a!W5ji+e ziIyV4?s|)@?8-ggVLrA->3O zQn9jt!Nu*kPx`!Nl@l0^?DzV@q(68ZX#e<7EqsdlCM_8MLi$Umx_a`rhh~FjT1bO* zCdf0kP^cbLLzvI%t|%NF(Gv_19Bk6iS1yqKZ2{Z+Dh*(9u(;Z=P~LiV^~tdQnQ$B& zzHe&63+S&iFcNl!0gev(@krqSqCyVfUhD}(pt2m>NU6>fk13fT}jkf`f=HlD)s?RLzNNkL8gqT z23~9ls?aI`Ev7jj`n*1e-ig_u-M|R)b6q_K)ADkKV(0g@$ai`^e`QDeX5-oicD2XP z>nsvlTl2%+q(z1;MfEBOMTX({UE*O= zY;?M9fQZsk<)4_lZQukpJk$gQkLz+$m)&>fY}DHeqyGWV8r9zMQ=5wV1slny<$%D+ z%HIrjD)x2Iu()DCNfZwnsGW3Ti#7;Hjkt=2W}tblfbGHd)7!c9g}uE);NAZ1c4pt@ zAZ+IjH`R!1o^doNt56qLsDqI4(RVnwXgBcZg=c2FkdD`P?5uT>`ad+XTOxijJQ1MPslzg{~{iOZ4k^=yO^nBKYWjfaHQAKoV&aqs%g`d@RceV>;7GA(0EESCghTcDv}asAs- zz*Ogo+b6FnCM%FV49890oh_2g)6u#?7}&T=bKkQOc-Vgo2K>AP1T7YjUj2#d8^nJ$R zwgKeZwgXu9PeeNWKBsY9P;WXD1ZK#d@$jtS!6?STG|tDsG$on8Arx&sV z17%4YBmIykl?1&udYEd6+_;kI!1j837r_N{vGA<-1-B^xo#fU7LJ8ewqWiQwE!*4M ztH!(?qa+4=IWRAV9oTLjWkm{Rrla+9Z!adE_0ioqux^&Ix3{GJ?@-t_kan}LbC8MJ zKjR4uwDAVCz=n-yO@N{!{-$(o&KuhZgJeF)w_yGl1y(nf18(n?Vwt^kq_YLzOb$gY z3Z@hRR(Gqf=V*_n&)ilZ1gCLIGjdiAb4M^n$`7HofC$0=fhNoRpsc8p z7kY1Rix_hY3+E{=X4STVwoBvc$=g$&eI4^?c2!JouPNEnuaaYE*vzCOYaITBZG+wS z!`z9@^Q|APJVB?)9dY}Agj2W`8}XjK$m8BmJ~0O zna`u!EW+*t5>=Bv*fy|oXxcw#%Yh?r5?Skyk~tzVrJqSbL1|G2Dh{vdD|v^6!jYV~ zZD7Rg%m;KRCCb(Zc(N}%`U2c=Bnn-}^1BA(+Jy^)D7gYTQ@M=t`n3RWQ5l5=hlWu8 zNciEu#{d0|6EL&htY8e+xOzp)@2r09=_rA%`5`&Doq3!AA$g0iT>ghFjD?^yz&#F{I#F~Q|$j4 zuWz<4eSYmfyqdh>wf!D2&fX)lyy2Cl#S!B#UZc(t82{l_^dDZ0|Kb(s?EeeA*8X?A z;{3&{@qggeb`h|nP>DwXyc++-Yt;XVSE4t(VgPuJ`ycT7)>8nlIJg~m|L_|17q43b z|M1#>g8C+{e|U}izs4)e|BP3hzjy@<|2Mp{yy4aOFJ6g^gw+ABn*YEn%YWgu>Ob&` zqa9bDZ}ktaH~?PX1_2QL0Oa)#uPgvwi2%ID{l)9wQStu^UZegKuS5V|;{d#l{5QP5 z$?hLs{kz)Z=GPa12aPwplE(sl#gIf(hQj%aSDkvhqq=~o|H3QKi@$iq`47Ar|HW&V zWIz;vSAW&Ge|Rrzq;zXK2xQ&THyjN{79BD1awuICfMtM@>eq~;kY7=+TfC~eDJT~} z8C(B5_kb_mH2x|g0gX4FXuCQK9HZLNHOg#jP_ z6?cF@g8`;liU;=D6p8`_P`mn^0yspO9S(BV3*1$JxG3-y3?SSIKzC<4d57Z`2cKsl z5oDD5qkwT+WCRhV*x%kv!n>^jF)@BlyY=cAJwOMPo3*3BYp?@Q{J)K{0Wzbix}Ft)-Bou< zAfz=8AnsRhucXS2OTk8u$GL3Be{#XEb28zE3j)D>jT%)g#zR+0CqX-<2*K#peu2#@ zB?CHeQ0ph<|5=_0G!su2<9rripW0=+~}u{g!T)yK=Ir9m_)M4bWc#b;Aun#(yCk z9_n3v-~*HT+1X{68sHR<;n5)U3m+A~Jd~LR+Jt&?fq8_YtFP}TCk;Cf+=FKZBL@?>@)=Vpswiyep1vV@VY zzPSyv;U#n4camno;6U8u36T-a9C|_!s1VpOrgne~F;EK{N?>mWdv3riJ?_dQ(Bfi~ zy}&O@B+Fwuv#&1!ezq6r(_cGE04~QK#eD$u{ZB{v3R$lmB{1d{&{4iTa6+=OS(1g0 z-$*e!Y1r^M9P9yt2En7eOF4hlVc#484WK_(x;WZVga$Ccqi8z(wU7ZgtaI?sx}tW| zbkHjju~%NVv?~0ArH@GH>>q630{|HD#k=yYiF!a)NzvPR+0d!=mOuaSDGb;J;v>RN zdpZ{nQlm_!yB459P4&{NzNwoQzGZii4?1L+=#PiDUBSF>tRbmDdtJbtKy

iRqh zFn9~VC$tH2{RfF41k{Z>Q7V?p7`3~UP* z{AOX<&E(|f)!zMilX-lxQRJ zE#d(6huh(XQkF^FVINLqEoI*epicx8E}caJHZOD)m^V)zzAL~DfL1a%#8e+YzvG}% z?;ll@{vKe*wy`ym_XHr?6q~Pt31|Qh!>;}QQ;h*T-}%SSQgY(7@?Ue&kFM+aHKb$i zxsTfasDhrzB;G;c^nA-e+~GJt0LTMQ2+5DSZBKxi;9jG>WlqLWbXX=n={r$S5V{el zy@N3TOQRP=I)9lIleAmweN=nfnkI<@b-u25=#w=LaMU@;4=kWn#sRSrF7)H$E6*0J zuj`e5{2@jSh>rq6`F=c?AA*6PPkv^rT3P=Nr^AC2?9YJu*~g!5lC z4M0hLJOU6NfNmIw738lXraaY^L*$imU#B_`Q7CoeaVSoHsroTXhl&&bMNHo~GAICg z)$bV@xf+8iKYmvrg)4K!Ho8`Zb;sC#M+{wh01ussgx&KGcO7KD{H|90QN=e`Ys`Q^ z2OLbOH|Ss(1Vk;il6hD6v##O)w4I1u=?K){QI4Ca6xw^>iqt=OSC{q}>`+k`L)r%8enh3iF4uz?vd*!+w*8ho_4jjRH&c$;lJUM?%&75O>(a#R= zY7@+)03}2X>{wV$e;N@1F?9&oTlL3gBA`N2q8uITdcz71fF99$N40iYXj`>iK6zl! zKTbTpJDylrIS=3i(6f>l8U_MAD?q*fde(n1^V+ix{Oegs4Be09vjNLDs@k|`I8q85 z%Lp_U1dtwYC$+w2yd%*W+NMf7iD5Tzx7t5gUao6x0PI{pU@BAtFiH$?>axr5#92L? zOLnmnNeulUMgnSi7&?Ao;ebCnyq2l&6aJl|^Vcrs546G75IA*4lK)H98vjqVw%7bq zt-u1p<@PG@A4;$eysFj#$dJlE)yfR0)-V55>xY$psuke1SJjI9|C4G(VEtcJE8x@N zw88_}H=7XnzJ#Pv1Mup;`jZ%9{fMe2w7mi>(UhohaK-!`zCQq5A{Q!D12upTthcVk z$$PE8j>qZoLk0=&8F1EtR>{XT0-Y`@!FX1>0%khY{YAG2Rw2gk?ac=CQlVEb7#|Ms z4#x%i5}<%PGJIw$P<@PlqgG85U_zw^;6iDyZ~=BKbITjZ1RZwIJR0gIvTvf&Ak0@H zBM{-+OIJY85W!gkw&&yP!LxmX-t7zcxVQkI|GT#UXe!n>2kNjG40}}-MsG*mQQrR( zCKZ3IN#w79isGTX0w(b0kBE!k-=jX(4mi_uVt{e&C)l_1r_&Mcb-Ru%Ck1a_W5vMa zlQ8}Us`LmKs^7GD&NY1ODqUXdTa+Rk5ITmEdfEPj_~8uOkCw*Yr@q2>B*Q}QB%61G zTu-HqWSu43xA9-l81R-j=YR-8zZ-a@*Jm5}kD-8iyjts`SKKy?{4RI@X*+T2c-&6- z`<-u!_G;cy)n}aCnF+i=U6m`DnPlpMbLn5WBSQnGzD8Qg5T~*CR{tNJNp$h%YHRfDv7f!JELjmXpu1}D2M6-{2 z4zJ+bjG3uF;E-`Cp^= zHIDlK$mmrj|F6;epE&A&jo$y4j9x#v{~EpjkB#1v=>HnM|8pC?>=Qe4rrb8S*Z0QU zQ>&Nu+y<9t$%a6%v^`&@zQN%`!EbZ|Eh&-jk;nE`PwzBo$eMiyyff*CcC#-eT7m+{ z1Y2+NsPh9|@E+f7aBkFp6*oaD*(56ADkjR?84#Ep>EsUSKL2UeYH~L<*fD7;@E8~5 zyF7mZiwg52b6rRT`ZPNoir4)rNQppdN9u{Nhn6WbD5wAMPvMSPI&P*a)(_#g8RAP- z-Ik9?9p(-tm^=cXF3&GcYWeP@DHwRNvw}d_RjOJ^c((f4Gu&~EvdQ5LHcC4xg2C_J z4W&CxhPLlh{q4VK7>_Kp;T%;MGZq%CV(~gR)=9TxBQ6I2X{ee`Ij4UF{h~o|`Yubo zuDMhhgg&JoYo9!BX7`6mPs(iK(@Z=1(47o%Z77dGGH1GvKX5mamR#C4tk*Fi&YHm) z8ct@_ZBQ=@j@(g}{JWR+#PQil`C^Kzf)ON@tZw`(inq*xHF$B1yk({_c&AtTu3Ov3 zn?vo%6VxV<+1N^7Gti!%4q6h=_+^zL;%2Q~c-Qa5M5_a_+exd6`)JSknC%7MVR8Yx z&_;`2)?LHFBX!Q3^c7jKGGQswgj@xA6#UKiOm;a zgKiUsgonq*JHkHyg~BA1&w&+ppbGXyXv_%lcRj3+;W3n%^F`;INPva4LSmk1{)Dg? z($z_BhyCefK}B7F<%<9;>WykzO1(Vyn7GPNe^w+l1k#mtLjRFS$TRPOHcM+vewSKa ze~wX;N3P3U^cUpgw{PT!%huMf-UL(udEdUH^#sCT{vrM#?yu`cjv5zOzL?Fs z+A(ovt%CL9${@FWf}`D-7NyenVI!IWtZ7zLDc41;gd()nwFp-R7YzaRhCcbkL$+M5yL9~zC)l;@O; zd@g(4Rkhr4k>SUFtj+uauG61=r#b$XTz?i{c7d%yx|r1C;gAU_5H5fAYwyelXj3(KxTfo`6>65k z8Zs60VnsVnW1Om+(#>pM;EV%tvU4U6sRUwyecH>-n+KpA?ye;Er$TEqS5)OS(N6K< zx(2_|3NHz`MNSfM$r7{fQMt`e60k56LnY_J+zk_anyduxK8-xb^QG`7=k~1Ubxcxv z*XB`h8(R_>qPY8*vr;YZD)`ZGpGHAj;VVxr^F=MU@Rb=8l=ylAq!#V&s2hT=ah zVtC|+?0()A9bshXvFo~S*~d!eD2eVehWm2s$6DD>AP|#a&#_s(@#xe;&mY;5p9RS( zY(>hKR5GNlFS@E_(C}cDN2Ld=4vYyihItLT4}H6$E)@+Z!^563jaQybW>MT=6~d9e z&MJ9hnTGAjAD0!f>R`3SuYvhq(4UP#w>WZZlA8D@@i4@eZ9H=KVt06Y{xEUhNfuG0 zol@!DGcb-5_rBu1Y}5g^h%e?<{yyqL^e=c$uZ8X?iz|BbP9IEL8nrHZRREF zH~3b^m@fC3+K;X}wU3Qr3NI8YCv=&nUtUJCyc326ws$j8b6KJq>G<+rPXCBMrjVDI zT>bE0@!3Ko?DT8$#hahW;=@n1VEUZxYUoWkSow8Ds@}5M_yeUOdzW2QH@`Aw+S}{- zzp#U8S?C{4KC_LZo>NZgkmv93&f^&<#-#@bS715 z2;2l6siGyQA2ME)C~>kr@>~fVw)z4|go#apIR83KmXD&hP%cgf+8zCRzGz9>e*5!m z;&!Ba?fH9vozc;j_>B=f%@NP0!4xxYNPom7)o6I`A~eKp7=(-G_=va#LR>M_=}Kyd5q&-iIHKe9dh5mNl+LI4T|DTr9kxb`L}?zW6PDgBb&23&AW&y6M-G!)j0E0-XZHIGO2o67%8^d{ z!p-xc?3B;6zz)ct&l_s2Cn>JW98(GPI&6%XSHiHY`j8@=kI;B5>#-}Ab!Qos^U$!8 zlFhWXv-o{%=*yl2o|0Cl1fJpD%a%mSw+IYS=re_1|MeM43Kc^0BUqi zO=PM#{q`_&RI!wP zLEp>YAMqa5Cd5MYAIWz?&HYZ085vTL$?s?ni+*6F#HtHi6omY4`645?_pjuMB?$_+E*u>}DeXT5tzC>dnPgL?O_ z--%9-&>b2AR|91^8~OTqAdsK>|8rV_#MEqTL4~230ng3d+}B$Z-nTmMw+k`f_d5aS z2)@q<$OSka>6lJMJSCHwr6((6SCwQ8I z8>k>wzmtXf=0%4m$TSNY7vYELu#msZ4#NAD7%lw3rg`n%^0k69SJqf}tarYGmjr`>Jpar-`P) zG8}6$7BAe6JxqVWWXskfjSC?^7DK-F%elSVDStDq>TgT?y9!&sb|i4LnZpL0>smXq zJF3cT1CDXknjT%`vYBghoi>;@kxFIPI;=CFwgom?AX9kE%5KGNwdk-|xBqWt!DfL> zzg4RB+;o0N@|@uNAX_iDrWd~W5tB4qu{3?Lv~H)gtvim*<-bApwd7;wVrhiz(w-Y8 zX|HomvQML`X)~}ky&7)$&2WdkO-m7WEri=9JVWL@$Sobqq0Ba7$>7{7Im0$8(9fmN zn%siI>R#0}ZF(0uZXQjcbBR=2r@FB%VvfzDqTcC_sCbzJ+Ru7lCTDOgkCKPb{#`_I!36y1U5nX7>u zm;w`bbkN>ya%3?be(3~>L9h`a~15-I9X0T+{eN3IKVTG<_0th3Q7W*1_ihW5oYEv z&6JD!YISu4HI$3T?PeSgIfTw4Qqy~Ulh?@{G6grnOT|n4E%~02^}Y@{$F@D{q}%yLPFVYW$AW!xvP`N4|)t_%dtWo|D>yrggY4= zUl44zFLEB2nwU%2%GG(!($PEo4FT13Wo!BZo0~f zv;2-84FQ<1-mf-%avHZD&qz;$q%Q^48Xmii|NVX-*syW5kRF=OK{?c(-avoxcVS|+ z9<4gp;&>5fL8;z}^^f)vA5Y3@#&Mzck~&XHcLx2Kd0@KId>gK5V0s~;(`r3iQ}>uV zSW-#s->T_1W-REI{j$3WB@os3JFRI{#pfUq{pZo(nAT%p#g|s9kz*Hyq>J;Mqc1DP zgnhO-AXBQV5G{qI?&}in(yCgfysQ>NysPEklNlH@d}uY)XB8H%U;vn zS=lK6_>?$t?Z60g>|-OWM=a;_##NWV%2MYX{F%t50p@DnRGq+is%xV$HUg(#H&fqs zSpqS1QHBj;WaIoh2uIM9Z(~oJL?KZP5qXR4*|2fjL7!lI&m{e?3-UqH-U?m-VS*y)pBH`ZIXZ;aK+1B9&fh2o|zyt*?9`Y zHw{d7_1@{z_nHf@?H+r4Kx9PMExX(Z<2hnEt{SiF21WsSIPI0>7<(<0IL0E}20qxY zaPoi#K4kr;==o2v_pjhUUFNh_lVLJ@=Op&t88VU62-}F$OT1Ub`PV0=*A~&0E`mqT z!uA$9@{VcSw{>CAL)WXyePK_T-7#P@>M zu}3g}$j3;cdXG~aI-PuuE^9i(!u}md<5s#bh zc=CGs&Gd3i$*qxIonC%Y{IFIfcaK*bY7=L3Pxe}P-_rmEgwcw#jKi2LjbHps|30lZ zaQ~2)xL{nvBZ)ucT>qYGD3F6$lvw2Mi|708Zv1*0&%%XX4hxm%sXRZMnUu$TDa5rR zwmYd*^!CYv^F)6BjE?sQ>-g7$x-^cbXb<_3?k%^r{kt%iZEqvuKiqJ1w7NjB%@?uE zm$A$tSmv8pb!aY|4`AC!ZKeGy%w%p8AZ0uQ@!H*bmlT^#PGi!;_f>A&>gkN%5ETq( z&r=aC`=x59*D?UO@E(OYE@D~E7uwV*G?UNO(ayDV{H^iSl!P!GweUq--v~D^U$;Z7kROuZF+8 z?HCqq0uMG`Rmd6H+W3c8e})lO4xz#;#ZbE$Ze!g)2!(MVRg2qi6^D zcK>{SF5HlU`4o;ZMGyV)@&5EN<$L8R-mjhw}IZh6k7}< zm^&}$By{WI&++czW-Q8UDw|FUpR3W#N@CW!qR#H|N&y^qkB%1?=8)>ZEz6H2*oIKy zxM}m^#9tvBjVx;E*s+Hy)O;Q7eh>+dBI^Xo^IKuL#5 zZHeitUhA0KAh@Kg{(Ay=a{%92I2w!ZwiAzS(0bZ&QRebCEksV@^8*AVB1UVmyrVMH zI9gg%{gqV)kp%x|SOw>Yp(*3-Z_E^nu{0Xm8g|*vIli_OqfY68M&<8=%7$TLuJi&h zVS)U3pq?XK4yGHVeeI8c5-giUea)$7$xO`EG%zV*^5G1{$|2e>Gz-VswWpnQP$5*- zZp%I9`#sSCGEuOx!c5SSUnbTjp()>&sImkYJWugCl&L?>5TCfJ{4~+ks=uGUI*}k{ zDGZ%&Qe*jWC9#~7mL(RHz9~CIiZbj(Jou?d9FZ3aYY=b|BR0Kg&nBBnBS|zk5Vea3 zvis_v0jKjg1{e}=Zdbj#>$_uIA5j7a{3M)u*S|FKn8>{%wZcTK(a^r>8fwL`Z(~3rqxu`H)AMrM|;=!$Y0aM^+#t zDLC_U5;o#dj(}I_ZZO(iNM}aBa6R2LNWl1Aw+5l0fhm2jhHDoJ?#qzkz+hOwpY_$S z#QHprG6w{?^lV4d9$G{um>9p0&|T;qVdYFv{piL}$3_E$R4rd^;Er3j=0u*CN$Yg2 z+o2IY*p7zb9c1$QUL%0Ld&L*<%MZsa4B^m5ResHxonCCN;R@bN^^oh*1<-%SC?V7< zA>X^`ch~7Q{Zr@EfldRA?V4V>#whRs7V1xu|2DR6W=Fe%qDZ&R{Mz{{R6tMrx6sSS zKGmnpHOvlnd)81AjD`06iEb^;(7=hS2O+}EK!AjVCtK#;%->KlgM?$ zpU!n@B6~mbGR}5F2NJpL{ZvA*)Ms4ZK+1?^FTdHG0>^jem%nUH zf!_fo;?5NN5%tu;to#vpv@73=wJYD*k%Yv-G8c)|db$@>)Ne;v7QBF`5)Rq%RAJRr zz(=A`7$jE;_--P$jKKbF`Iq1~kD|J273pp)T7u{wUw^Z&7JnnJZUQ~YGv)4Q=CxkQ zDKcDr_m{Hr${klIJxz|d%pZL=2HydRc{Zu92xP-9EW=3`#Y3yVf_|ZO#$LWfZA

CmQ#*CXi?P7gI}N$my;z z$Yt*C>gJ&+uTQ}C6PbbRx#+a3s;n>zX2U~+xpRtO!??4G5I;J8Q=kkvm@SMfHQ#lJ z8RQQ2=tK%FuO(r!2%+8^RKSwyV!w&A+uBm9LW9k39kt4TEYOTm)7?9kBmImC&ozYc(Ua!367?_4WNHz zhr-qJD*P>5E|RBaMAk-Td90!Qo@7R@FcYLyH)P$V<^L;mY#5|sp>6cLMC5as=KoqC zi+rY2H=?&;EGF`LZhdZTEjSC;A}8o97qTw5KP=X&RjB_ui>e}~Y;~h5rl6O80J#tE z_d1?r`}8!!Rx)Fpn&o2O;fs%3;#Q=YT|((V&8%#taMi9scojh4X!}xwS687s zq{TtDZ8bpZX^oF5-t>XEAk%bLe)SiF;%c*PaUo@(o6fXFVDZln@)wL*qPkx#8?!FI zqDa8vlEaphq8X%=DeGkG0?)jMNbe~ceM=;p9^-!!KAE*5eS*7E-f0++=bbPy@(M+* z!!_R}gSGk@KPl2=Qpl~>q~_UrfKY1R;L0@1GmD_YsI09pE3ER7(^ws?-cnjXflXKi zNrg+9?L|IbXtqNs{#sQ?d0W1IRTphIi7$O$wzSEZTSBb$0>&ozbhvw)7{qj&Sef`h zY@Y6%v_Fj66jo+b7Xlhs?m!LX?~>bS*x&3%@Y>rH%JiW+gIu2RLn{~v>~9QjwS{6_ zYN#R>jyH4Pw*Itf^X`5&mn{$&`QwrqcofiHe4&3&HXgX|&QHvL{ig{-&J)PzAVmC` zlJQSnNeut7@VWAEG_U8-Qb){~tAd59ylfjnloBKCR>p7EMp(PW7&tpk6ev6H2+QrJ zL^!*C`TbN)3b{2+p{5UOgEmAXFio**m*LEDJa;l{6hcjjx`iIogjkwmhsMut#l(io z(uT#_T1?=zZ-#EW4o`f;+NF)taWpnJh69M0cW9L@ZI4@<(#E^!m`+?|1WFd z$8yLQ8<8Y18I)-;T|IV}Wq-J8{HR;Y60Hyq{b&^Gm$(%Gw?lL|P{_ z$9xDQ#g#%L``j6ha9lEWVyGh`&sdZhk;~9co7U4s7(;~48KUO$e;q!UDif8KZ^?MyeH9{C&UAz@H=JKYf^8C=XFDHbQx|}8|REwi{%ydhO z0}Cvs1S7Q_{^mU0I31_mBWgRKwH^L!;5#iq%y3(;MjYe&aq!a+gTO6o_a=R31;64@ z<7cxtG#`%N73SLD$;(EUo6X35kr;qi4g%iTQZ~C%P3J!{cz??b7=MPSG`)`>QeM_8@`6 zYkO+}jpo7xYe`aIEUBma3-A+&8@T0z>65Bgfm&SVe($H11jsT`s+NJhl)g3f2z>yT zanU14a*X<7+lv18%w>Ags5<-7Qo%Jic%R3siCREuCV#MUhhAWMcxe1m`7U{iMqt5k zzioS0M&?@H8|_NXeP7wTnqrB(@IDk9k+#_+J{#A|Oci(0P^fljqKuif6m^tFh~;cz zm7TTi??L6#_IxE{clUII|Bfzo?w#7uUVmjF*@FvSD3Db$pYpsCSdS)Ca92d_&6}?* zF4{q5d>^kQ+hON+t|sg1N%k^SJmAdww8$u#ZxQ9K;P%PJd3%1>QgS!`e8Fq-!D97- zQF7PO)BbtZ=yE4Ar6on@S!7?K_1BK+ye@Ia9PeZ$L1lq-#~#uZ?V(yG30jTUY&(Tz z$DS4OmDMG!z|uMC8Wa88ePdKT|DO51jNCM%TZ-4@w9&jOciau$$vht8i$%?4k5akV zomxnuw*uOeBg5hrWet0WD$ka#L_#JhPhH(%>II{lR5_`J=$VD~V29$rsfm_p-kCDo zZr@~hEq)F_#=ctXeKpz(llZcekR4s+5*ghX<5DA(GFPh(%=`0U*!mZx=lGc1i-FBKslWiM2z-K+3Eo;z7~8-R|;dN`e|@~k>OF@-|t@p-+y)EcoMhCA(lY8SJ=#R zo{qep&5wPw_MZew-9M{dnQBa6yA5Av!N9q;LNN&OWDFxC&Ao?@MYllLVjrPR$ zJKS}vfcN*Pg-W{dsVIURbrMKh8P_ zNWkYdCNPM`*OoDu&s*M6Q`R_mepsJ<89H%pS^YM3Dv!aj*Dg2ChRXVf44}>tEHWr) z(Jed^GR($t)F`~_DXI9TJjKNX8lA*){~A%SODVVbZ)IV%Py1@oH%|H*73qcsW3yUz z<-zZ~i&Z(0r@FfyHZo#eAeOlndw5?@cy`T0o^6@sPZ9oN_e<)=msQhO)2{5w&tk?{ z-CIE>ds(5JYDMwxiuQ>Hz2k96)$B}?k~$;nZ&o|`OO7eFq*Ydql-Oslc-bGGTJhVu zGqx%kzzG*eeih1EB)6rTf1&L?OPRn>J&*uBNn@xgRkOS^Rk>WErZJ{)0ec+0{HY*L zLg_yKsS`Nx6c6g&^n|6ivvot;3Xa*jcWo-7C!_rOqhhkjX@(VFGb;nf%N8~3?nD-t zj2sj3W?KL3_FqbP%3mZ7CvC*in6g=V$vAOd&BpP?OfoXdj7qYT4qCK+hpZb@mSS?< zf-a2TTuLy~u}i@I+M+ujo*Ezv|2XA9o(OYAduQs!3SUehAn*&5LWW;A@DlAxeo>HJ z8+m6S)F5^?URY7>pu}#6z%t^R^dQ#`rzD|iMU~Ia@A>f~N(woan_9~s@&~@!HC|cO zsPCc*Kd6q$5w)Zb{O!qylC9oGI>olL+Q8!dk_X#;qQ296hlq0)@zg%rGzu0MiBscc zsN1m3(b!7r)7$Tl`(;Yeq~*O_AuzRIe;~s zUG1Z4ow?-6O1?61?HP$gAF4sB6~>5!+S-l;JBTS>ITjBTyY^RB?F4nEde z%FGOdP=)L;L>OgBc-G+_Vi0+-Z|LfVCP%~TJA=X7tWBI`hhs)HnRh{^9ad^`oo9xU zVV7s5L-Y1NQM%?)YS2r@UG4HDNmub3O|pPvo6jmsJzb&*E+(Z&qW33E+Pj)0p^dr_ z`9ySxFHBQ$p`)n6u9`)u*5i3*=K2q;70hfu0-zfuoTAX z^XeSpWg72pxvc%6bZa?LT{530pP40s2Vr*hRj{|K$j@@ko)W0yTs3$_;%5OnnXHaC zoocAo^VQ#&4WE;dsa_;gEAHmC$I$uI4g?XV2+H%KrmxlBC`M`M$z(s}#QeE<#uhJ) zH=a=N&bOmw9Mc$a&GBt~I)6-%{aU5;_UxU$hcG1I0dZr4{P%5;{4k+VZ_^gp+OxXV z!$tcj>jwEZY;t}wINh4TH)rp%B{s%+`paU2BHx5A+cwyy-1T>OrQn92GM*7;yRo;E zfA6}Ds2bSh`9>aj<`#WZxO+yZ@9j#_PA>ezdjTlQrUa}W%P-!qz3Us`34Y0ozS$oh zzF6v>;$T?OX{k&;L z>eI*53rp^`nrHsJo5Ie!yTf@*C8=Ky1@7^@-k9lzSb1E%KV?0YQYszVNPE{|Lb|5k z`o{H@sMHX@$P`Xa*>Hy^co9sYqZ?nE)?DpQTjuc0=inTI3{@1IG(^HZSPVtYs*tua ziRUvhMEEM4*oEd!tyI`pL<@CaT-@BeCAS<)$;3Ux6LBbQX_B``iEl6%@2)-Q^K!=P z4=y(EuCzjOL(5ft6g7miLrby`M@=SwPVM6$(?aXfuZdG_uGleMohQ|tx3ab^DUDrT zPy(5vrRBfn?{7^+ww}!SHle8dmRI6i6YfKcpAeW2W<^yvenswT5nYslSA6g6uehi; z!f4rs^{JFCOGcg+4p-0Ijx@+s_#VTNaf;bGOryDRW_);4FaQy|AQzvGR|8&8Hv0*| z?C|Ht0i3lSOw}7iW}K*;MwT$1?nX$R*vA{V%?$qORVNGX!Q^<|aq}0>7rZIQhPz#H zo}oML%_Xwf3}kV0h@j#zbakt_y|~))wA?W?W~gq25H6R8P6t1ot6QtL!a!#f8-A-; zRZW8SWXDGhmDqNy@s5&7t~;a(t}F^sh||EhI%$wZdp|O@kRA7cbsDHYV90$Apy?40z(cW!CKr5c(^SXrXy9AkZ)TMI6&k zxO;c=@G9{kF*7*dVuWuL@8R@rE|~WdS+;-qY~-z0dmAr+WzIyY+lGi|NiI)StvZ&O z>zUJPZw@;FAy4>-D;wI!=LmY&+spy2# z=2Tx)+F??;lV<-amy+9mAzX?Rqzpy3vR}`?1tN!x_PEck!kxm{$rZbG-)vc?>& z&td8;u55&#{{Txc%606OoZ4!9QmJ;mVz*422_aV19S$fq-K@DdsSLf`+|O721Wkwc z?sI$z#2qH|M7t|+_ppEXqQ%QqRlFefax%vOq7_==oe0ix-g2wPBTH^~SQ#Hq`!uVK zBdwB%m>Q~!RR9+kHe^p2SBAsc@z_2$RIEC@TN&Dj&KQ^WJylxxK(n9rKu}Y6>%7ZV zAv)C|q_to=M(%j;Znkbzg#nwNA|Sr2+zNexz2sL$sZgmSm_3-Lc+5LKFD2vfH%;~q ziHJ?Grd(S%{{T+RK}?DNoP@uBd(sEIS?k=sYRuTk+WiDON11}5S@j`eRXLJgOIU-c zMW3WHx4?QVg}IN??FdSEeuMLR=WIzH<)iYumhp+n%u1tqNos#x;&$hCr$8XWguMzT znFejM)9lH>gMqfpVuBe|&(O6lO=J+j{*gCy~EMN7La1l_D$>Nj0q5sTdQDq(_{5Sh-(knRQ%` zhIwVqmb4(yp7dOmi*;84UanbHZ+B9(x6k#5I2Q&w^==pGHZP~JpEP=OYSdNzRjq{N zh>UrD?py@T;EjDpyvE^t1Bxw=r2-*0n?kv}jB>iDOq&y;ieif&O|eY7B>Lg`&QS2^ zx7;^qWXdRio*th1r?)Teuakp9V!i54VX__TB86F7BnE1!tImQC%Q-W&`e*!oDD7;JHoE3h31IB9OET;>uqWh6QF5G8)R!kzS zz7?TIM2Al?-Wxf2&b6t#TWQdOO=o8y^>l$#se*QKXQ<99yxiE3^T+q;CtaYWbrHJ|l&1UYaR?dtJHW>6XPO{HXC3m-m7-XTlFrL`lX3I|70Zm8n&|S(vIjoRa*)o{7~9A zbY$f_xGNo+x)O@R;Don_wNp(P$!!w+#g^K(=I|=?o~q`fBio7~B7-N=5zuTQxjFwLKRvB-5pTo z?_;UP2PDIo3O5_*zbwBA2^7~rpgL`isYa(@kd%k}_{a*L*4q5q(FVTOXTL#yw>t}M z`0K>UV$B`X34~sCF^lyDfp&)zLqtB57t{0?RU}Q``52FyeHj^vSb7KP`sk?n`go%r zDaaD-N}Xm2CjN+@`BQuCVBQVpoAr z+k^vCRnz)!CT(B_D8oBJMy`olLi*=3W3;x9B>5Q4zDBmRl_gfQCDhxM&n5Ye@$b{U zqar61zi6_osyE7E3+7v^MqM@UK$q{QIIhi9v|aJrF~Ml6y`Q524`p^P7SIW69>tJ> zX=*|`b!3-hzh87FS~!4eiPEoq)3#|^s_JptN%tatw5*64=Q4=p9u~pJ2R<_O9tv?-ee!r_UY* zi89DdZ+rYNEKa@_Q`L=koCT|UJmTV%*20~hWZLx(!{5Gjo|?~Od?A$W%!zG!*(R@O zo`~&Q@oTm$**GnTCRC+Ve3*U2bmW{tKHM85;Ho!JZAs8m zcH&@z{XAJ)_RJi}P4zpG^3q(6zeP?=nuiwtSwpOTi>yk(m5B^t%jdf((V*E#)a^Mt+xqo^Yta34L;p zrN(2_ws(Xy#SXcFQ<=dYr+1=jx{HWnG-TGTMD^4DSIuIC9p$2kVw|W&F1ECBI>f##gON7GUpJ_%Ya4Yd?cBrp$AW@S# z*IuEBVOx(R0ET3~UA~w-#=$PpkmMlU>(UcahvX5btyEP8xN*aEe&5jFO@G)Q&SJd6pUTx5Mo}8dwI&nYp z@m6sZp2uj$seMUzywXUJ(Qem0uDM1(ZoQ$ozmaPwS@h-mnriV8qcgiJ@cC3jRl_`5 ze+X$Fl(afHyBHYk=tW-w`?cLYOR3T-$KI~KIjCcc&Qe1_97TtYVvgPjlt#(t8Ojyedw7lwA)hhL)a`7M7ry2S~& z)!GWya9me9i3AanJi#Ig-z_=xPPMS%_iJ5rNrTgP|fh?n5+@shGCJsghACJhl9Exu}||)#Vve!7Gy@ zejZ7crsb^IT~~AM=V1pW9{mM+#Uf3%fNLvwqOwz)R_r3I-WIPP#xJ_d$gDQ^#C3;( zH*;kvv#e<4?EONXZGHuci5@_PsS=hmiGxvop4>uipwUr}D z!-q%MTyq{<^vSqx?rwZ#4K}yC$MBkiJ48gwcSxIf$)mR<7b+5F7 zQ5q#jbnrc7P3MnbK4;=Qc!=hJ$6DzL>Tl#}muU8m;QJ~vM%yfGOTX7Q&WRl@&1#8Q z*3RJbUvtNLyLyi+uX~%HjII3UPJ%RJXcN9U5h@kCKe67B=(h6YnXdk3s(WqI{8U(y z=mgunW+U_kU*zauoA(m@Y>;`fwzg{+YIR5R;ncdZDUiJ{hJp3H?RE2*+XcEcO2XXt zW*bds1)IwF>7s@6#G~bNS<*8a%>a>Pb>|y)jz5~&KRtzT@{X1DqP;zBqE-xJ<*`c` zudeSk-yUPlHB`YrIR-|XlMG886F&92d%LYkdpG5;RopzQ8{RrQ->3%}O8T{4P&Npu zi}$TSwx?VCUv?@oR}CMvj_;Ez*Bu^ThAJ}Opj_<9!Oi9y9N2SSopyLJqPoYH^(>}e zJ73q$HUC~1mBvlLmb9^>#X7`~e@fP~$#3bPaj5!dQF7KC2HlzBqh-&m1h4@YjgBq`H&&_K( zCsJ9YZUAydzJ}i`lFz)HAHSyZe0F)vVr9^o^c#t(DY0gLOC+&(D<{;@E~g#Jj;smZ zZqfHWS57z*(Z!=)nWyaXZ%vBDo#~}O)Tu&o%hQ+dCml~NJObXHUfhM{s0?y}Ju&|a zVP63q$F6K^w#N)HGc(7`%*@Qp%#4Yd8DnNf+D86!Z{CY{k9UTR&rDq-C3xb9XxXVcMo2m|tM!^4`ZJd{Kh z6&LhGX=T&x&6bv?`i$+2X8bI+^IX5*58w+qL!g3UTNP(DR_7I27`HAbWH7-*PkWcO zYtNY`^|?1fy%F)(P`RXFWSF_HbsS~mJ@$$xbjSt|Qk3>pi8zeTap!9ip$%Ki?2eVj z-dY$UZjs3$w~0Gj53mX3<0*8MbzEril0 znuY1IH60BKuhzl$Yo=-Err2MW_&?`cC}+-DKD&gmf+yR8@BlOzI$Y<&qGt4(JmzP_ zx-BX3y?41qOLm^QY`G%diZgMzv-gC%FLyZzqw*?~d5T_XtO8R5 zt1Iic8cDKK-UHD-px};-eIWKzxBDFdeq<;QELBl!LKfKwaOxHbO7?NIx;cV@{m zF^0aB(xd_48=h1sko6=p2&m;NN43GB?}%BuY8!i;;#>+tm9Y##(sj`vOznr&7n_Nr!Wa2VX(~1 zkR)KjvbJCx2EN|g^(t^+!A6i<#@4)J>9D=5?5tQA3G^+FOgaqeG*Fp4<8ZT1>jkZtlk9`G7+SE`D=B4v?NSTW(rBid@t1~||r`KX8?cm{7VX)p#*B4BrXY(?pFXt_$x|Gr%YihQp zQ@4(aqZq+mz}|NM@R%6Onz7JQ@}2s64BS|1n`@<$-u!AfH+8s*&N*;URW3})nPEEl zyGiTxwv~(<-m@+qe@Z?Sn~>@WQ6wxQgi^v6q89#{cvg?_%68VOX*2MSwiJi7jho-H z{=+419s1n3<@K(XBZebQnDRdK+3tE{{1RWnX|SQe5hAYtOBFgRA=+!y zR%U7=lwe+#QWX?nUNhG1O#^)0*p>3osqp3-Bd4oywFW-+7{D<@J~kA4Dw$_AH+#72 zt%~0GYI?%>_T0)r_VR(5HZN&sv$FP>4{vqDhlS_iCttVcpq9V4_s}*&oPh!V$0Ads z4xRb@bHoU7R={Ivmp0{DW@(qX{q@S4l>0`Irwx$B*S&vvh}nwI3hoi2g(jvWs+ z)2=$jaxP`R8=MG|UG(?l=;I!St4^|r?$6s9?QZmLAV^oOc=K=dCbrkr83=OlS-3OW>F<@wU9;>MQd6QBr~(2Or&SRm`yRyRNL!V zTeGC-8_<{}5Bd}jCHs_?*kRu-l;fDnE)$tl6tJ+{;7>ePW}n>sjGX+LIhw5bHP(|n z3=h9T`u*EzwQB5)5Vc$N85-WOa)|G|m?Ql12361R&~ebyy;HWEhrSq?VXxd2r8UIGN57i4#V29GYh4}So%d_8gdZSC z!b(d-b8^sDdc2KFm&BnKONu{FGrN< zK}^eC$|I!thVHBVa@SM!LW?F^PU+$s*xXw;I}ZD(ia z%GoX~cU$WO6EZbWHqNJRB!QO=di|X)hyKQJR%D_d9P?L~1NV}lJgoOAuLdJD{aa?) zx@?#a;(a7IxxX{x5cp+SXkRxnY8$3A*rTxOuD&GPW^~9RcIU!COcJ@JVX4Wip6gy= zPt*;PVvU~beqo8Zl;Q007{K_<$C+ea=5&LhBN6(wW#hRe3Qd;aBCEoVC&i2@sscDV7$_uGGo3`=koi6A24!F?%~hg$2yg; z@{u_?AXmERD3DhAsLybHL(lGJtxlP_P0=Lf7q*OgSX?*G>7V3NKfwycqixjmY^of@ zz2+_a?Z#~gIsWPNOTm%DSyN8dE8Ex>4-e(>0$qu*j34R@1-quB5u1S*TTxSyWVi0U-b2vu&um7*LR1e?+Bne4w$o0Gd< z4vPpIc-a+gI$QXCzFpTP&ibrFOgK2+mR6-Y*Lq!#w~Thktqui zP)dnVF!|Uc;P(Y7>6up%>=$=io}e-h{c{wx`&@|^Bc^ywF^C)CGt|baM9k4xbPNv5 zPNzzbq_`C-{RS;V;iARc`u>Jgx=JWa%^1g^Wf)9Lcxx+5I#+sQP89SG3}*Z|VWpS` z;Z>3qG^GL?l-HZjC;CV7WA^p+=7(LVxtf>0y$tJ*yB+!d1Wvxzi8KiCMNN}nhcv5>?cN(`jh z@qwgcAU2NZDORu~1F!9qqoS6|j-k)cX9$Tc1+J1i2zC(n69fNfdEWG4_NXQITJ5 zNyIQ?b9c3a=UrFgk#+M$+UZNc@PlDpVQA)v%fds05QQMQA<$2dRgseM0DpMJG?Lr7FNNe)@_!p^>ckcb2M%QV)Bg+h}qvLP2m1nfn^m zltzSN4|nM8GI4S(V!?oZmMDDtTxSsz#Q|6f%<3&eKMaHJiJmeYnsbncm6-g%u&NIQk0s4)ILd5rmy9Fl7f)pU=tju8S(5a@X^34L6#3j3X1NDTJAjO7Y0{NHcseV zkWy4fdLT?I6(lhhrk*Gq7k^i6nnP_-0x^-d9DJi8*!M(BWcbEKj};&fS3=z4uqpgJ zLZ9pq^)~=H0!6Bwwy@h6oia`AkJ~{C1X<91Mwh^wG_Jm(!zU=v5e^up9-+V+1hD8@ za9~PO&MyRcf&-?&7YM6^+~dcaO<(22sCHCCZ~q41^>RBKQ}26f0SXQ}Q+=$j4(R>{ zEY=P%OFQ2DE8K02Sj8D`lwwak%pnjG9wouVlO0fnpDBR717F~qgiOQo#WF>;OKI$h zq8`CzBOC!~#KDuPCkbSq2f*at83OpON7cI(cDwO^1)*m?XuFeEp7gdYKMfEH2Fiv= zs#p*-EzwUBfnVr;VCW3dw?yjLqO`7&EbQ*Wv;iPL{XW|Gs@PKvbNF8X3vutm_Sb3u z(~__M))MLX`b7u9@(Kq!;H4x<1_Il}?N)?hg4CvQQrj?vQ@89|*1?2A@`tN>Wqf7Lhk!!%&&jL259Om$ESVkUv zW3hbh?G8c+xk_isLpAMN=4Fm4s2mGy2 zU;{;+zC7V^bgD6ow*!)QZVlg3q4#}&?MnkeliB6yiu}pZr5H2ug20%(b1nS*FHo(D z3jgHaNh*D}q4%RT{zeZJt2ZL}3p~kJQlQyF8AbsbtjHfQkN!A6$X29?apna9KFkM)-Xr z)GAwBs`wFt{rqk!g8lL?j<(g8A0Fupgiz5`y@N1^9#{o$h@}6em{@=^LHxf|6zhvB z*WcRApePA^V0&w2jaW|CkTeE=VW=8cR|crzx9xF}ut232p$tk0{FC@cyH7+itBEBH z$(4`$q(kAVMBC<4$drT`n9%mXSb-)22+c5<>0cZRGDJt|Lt&>yjgf2XMogvJ@=paJ zDIjQ=h!ukXK|mFJ!d47|lo|$uLuIHV+S8tZrIT#y3l4&6EPk zpGF`nhV>zvy3v(@iGZME0Zk;bAY?euL_#PA@sI2)&)Wr&OXh$BO`{{`0HmL4Tb~{b zW~LNg+P~AjGzfDN`;p?2Qq1ge1^*T@!#9Afe*+NEW8QS7$g&NJZ^{!RZ|MVkOUMd+ zCov~l-)@3{8G5@Cq%*a-vA(0^!|N(CN{6s))~P@-aeyEep;P@RMD zqxs1WwE5YXLNCNgXn<7m4>f;7!}(WdC`L?Ch1AfyA<_x8^`VB^9Ae)q?SXj^>snG! z$HKJF$=V~ZwuhO68K9Z? zOL>0G+d)j}(2Y=8Je;km?9>%~9n@duLVN?4!ALv;F{RLXgqAfpAkp@5c2 zp|<~N(T3^c9%qWe6z2?3g{e9hB?#FKqiV} zP^N3{4yX)Ck|NbD@237FV7?{38OH9&mmiA!5$!O$yceUfW>WxgBoFHRTiV@WnjzkW zrZ`H1KR{@()C3`E5`t=pCFTePA>Tn&2R{WXiJjp^(+q@}qe2t@Pa2bCI{=A*NMq@! zC{zWYxemz3F^2ewKx65kDC8rP6Y>j#!O~$b_+L;{kd#U#QTiiEe;K6#$iLt@ z3;_ilM+yt*2F8#@OAG$7P~?9s)Hk3svES~q=b{dmLb?M_7kU6P7wAhR!K@~dGePWu zi6GY~J@g^pnkb^6V+s2s(-eY zJ`ur7Y%u3pw18;UxGPrK^}C#oveI~(G_HO23;RZy0=eRD}5+P zc??R4GcrW3*0cz!}8!kUpscHDL<6%Kexu69{>WU>>~tHHjBFL zBVPA}=zTW)dVdBnpmR&g19l7?4C0<9Dnc6C$&#nd0yw)2dJ_(7EBTqzbC_N?+yb&y)Y``j0Fp$ykT zF?ZdXcT-q(>Cm5hXkfT(`Hh|KWV-DaI6K~5O`%b)<8#Q3C*fqkbVHVj;XH1FMd)F&y{^o!R!RHOB2U%oCfr_)rhR|w@7w$SA>yir zlOK4uP=>CF(+no_O+7!$!U|{yVzh&XBh>OK-?~0qLt?O2SDY@FJz6jMuxYn>d9$5$ z<2>lcc-M+|Ykb?L?Y^^K@?tyd!g13FZple^vRtMeO0&Bl(|#*$;8k4PwV+|2tA9$X zkoR|xQONrfYQ_H!_8hw2Y}y^v-8l5X(DfgIUE7oGPjFp?|KWN@Xy872cQe1k%vZL! zt^fFnJCe_9LAATm&yLvQUY8OA+|_8$&;|M&zsjX<{nJCx?rV@{IIsZ*ee%{Bu?Fcn| zI9*%cz#aX^xJ9*tF;YFS$I@sTR$Mg;+ga%GF$gkJwCHGACKi_du`%T|x4#n*q@*&! zJXk)EN+JSU`d~(0?C6z!rxwBWu-X0;SpS(!@uygevB~mIah8eukqTcH(T1X0V-L~I z+k8hLv@C0KI^h+U@4QV~mi-7rbIcd~ht(kc*(EVoodDcwg%n>k7RdiUsG-)Zl%bgu zHI_oaWN>nE3=gY#MZJ^7 zh$I{PGE0|t4S{Q*|;v$!$2R5_m-G~m&Dw3lAMwtyBMe*SNCOMX&32< zTiQ%zLhfy>VY$*_4&UB-FzU&2B)z2IrEXuF3+Vm~WHb*Z(|<83l@@g@T^BvRe7S@o zrteOEhO>sbi-=Dj*L;vCz=u>P9ns98UkCT=eDHoT`l*ZNTn-jTbm}9uIz+6#@40vQ zb`ugDQ@1GGUwU`^49Eq~n20aEDMK`a6WqT`^K!3fo@z0^oHqNdxc?`fr}a=d5A5t9 z9j~^cxl`lXuA2JfbrYiL+4PtP%--`tlQbi`r{PkBCcz$g4P-7{FdUEmbbb*`G*b6! z=ZihA9gHlZCo1jm_u&&@xI*#kfgf?jrl@Ch$&(K+`8uv5o^t)}hV{wdv2`%-#RAY_ z0WdcTK0lvbJai+(Oh6kFJAh#A?fCYy{H)?54UND*fLGr{UHg}NK~L8DW}6%KUDqIc z5pCo3)@c0ETblt=gwVE=j=SXT$AcdHcR^Y>ditiixlQ5jFmAYM>qWZ4JR}3gxczg( z4uRO$(bnfu<%c}!Wj~r_B8?j`a&&(_``XdF{9hdc$)8>qPu(6l{RMa(lKRKKF49fE zzT9+P@Z@Vn`Os>0b>}8UpEj@$C7wL&J23;?Vw{~?LsVhLK9?4J>TKQBf7>Ly8b`3w za{1~YK=ehDnangNdB&0R3hDKA_KtzdDqX+ZV5W1?>`|w>nH#c=_AQxlya?e*@eugn zh_oG70qyPi`%7+s`)m?CVmVocuO|+1RNX{-fLES5eB8$K^q=XE4=N^9@TvN07Vj&Eu4BXHm?D!d&v5MCb)O`Ykd-ys6 zqHHM5w6a1dRiEqyp{$EN=-pqxykFgYlTR8A&HNSXP$4m@M57>emVSNl|Geh$#m4rE zKDR6&yJmG`Z}R4`b?K&ys!)J$w_DKO@fc&G8 zC=~+O#;nzq!2DvR{?}M#-bg7eO4{2@({`QHph9W3AvbEA%9o7?d`fww0Pn5$l9#Mq zXn4m`|C%?mVb@g8ZlsJLMLoOg$GQY3RlbLi^c|=>S{rUiS{ngi6a_{pv~V$t5Vda~ zp?_jZk|n?=fDd7Rm}gU^#$>>ucmLCM+Tx*v-{8ZGzMY86*7zL=&0-sXCaD{s9L^^? z@R&7a&4kIt$(8JN1d+0S0+GUX4q->9dg_s=C8mbmXxtaUa!}N;Eao|;m_ex!Urin? z8vYd@p~9y(VE9EpflxEkD2;6>7`>A&eTLC!m;p}xp516oj#X3R5#O-&J#OP8*lCt* zB(bqvmiZzWeUL#DU;%e@Sc;y&R2>=W_4VOX!K7SzDx*;c0l?)`__AXN*P$`}rlEX@ zyZoSsHY330EXWL)`A{Yt3)on>InD23hW$>ce-(mB_@iXBXa0OL_jIV6@b?!zSjR)$1fNYh6YIqZ}s|aW)>GHwTCx73i<;n%U9q6F*dKssP z(L*(by!4thQ1nM{f!+^w=mT^egdw5%eGoiVK7=ln4S^Es{aY`Qt6WMipWK6HeT(YE zrC&?(bv~)PKed8axq**S%kqc-FnZNm@@eX9h13k!RV(`%Ak~6$psg7b`+ky9X~T|| z4oTSSVvS1kdY%r#5$3)+UJd3Tr8%mO|I~oCFvY`ymg8NkB}dHitTT|o}e(B-CQvF99ReC+#7h5XcuC%zQ)Re z{VSr9Jb~z$tJz4!rTaXKN%cQbjm>>NCFVsRPm)l+Bw-abn4aRGH8Cs);oa~l)*BZZWDYDn>N%A4tvv_+hyMoMe(IYP0;^Hb)5$d%eE8KG}b2gUQQ)|m|_5joO%#9{G0jMg$+ zN(7ObUQ!o~%re_DW>6Wh3bJ>FO(If%2{2i~hN@fo?4adBz2@y5t#_GqIw^1P>&3Z- z5%a~loKfQ?aboL4rh97H8(b);qh#eol3$eM40r;%-)hFS zk=4t9KWwk8m*l&g@SBW~)>a1Fo_dhptgRT)j(Lui$s$l9WIZtm9XKSl z9kE(x(qn>c%!9yv?fC|p1PuoZz5oITi?#q#`ANJ8H1(7D9}->!YW>8W{ZMRgPbvzP2c0JIgn zaggnXLu|%_aTN6-Y_LAsCOXL6+C_bVhi$u(Z$;&%h)KsZHL?-}AHHN!q+(GVPq3rk#6C7cM1D`0U~OX*++p>_J1~}m zt2sKY+n3MKz)G9DH~0F}&l@#)f}@a3W{qEC^s5TN=3#v(RuBa!#KqpE##h?drdIJ` zLPt={r~}g|EG7^$iSf5HOXsKe-u#=0J4mgs=cn%t0&A}^_l|p^Sf@OseilWej>3^= zaDrb;X9cn0So-uUz8_`%8dUnlsew~(>`a~!mW_Lip{;3YRge<4g7IC`@_fni$dK-L z8b0FBbW=579wRtt9q#nf&E^n0ys2{WW)AJTnSO6*pdqwRZk4YoeF<|a==NCrbVF0K zd%m}MrC+-!t|nYt+dAq-eOUlZ2~Gs&1mu6(LDvS!+95dHYxDQn0yQ|YZ^V1 zTbu+r%mk)gYOZ!7tlpN&Ox$|lS>F~PldAZlCD@=R`^wLKv&}qsF5-~5JUpl^Hr#Nu z#FTKnLc~7@a|sY%Ol>n=mHMus_ZnR)z#tJir2j@6Kzu^50ST=G_aEd2AyzkcNyEO~ zo{E1o5AWh&J?PFLzM6*jaxfqBVHMfV`tPDA(ilTEp%`3Gy>C#Qu7i^}Y=+V!*@4I^ z;8h&R#<%^Mki;!e;^&&!1>PH4#(Xb4GB*l;lpOUjq3Jf~Jg~b6e>4W)YtAIW|HMAB zy9Ix=1nwVMe+FV*9+8CDoyYui&+CUx_w(Z>{JLwX^%R}dnI?ZV?qo-NPU?HRB|y=G z6EQ%1(}OpFK0-~V$EB1`vlCP)88XTrPV-);u5c$99hX+KemEFC2eT>@I>p-uGHs;1 z$h~fTi8ExPN5o(mB6MvJ0i)!SM< zx5*77?F_Og-PL9KcIi&`Nc%CRl$(yjVWnQ}$3iEo%Z@?~)Ghq2Yo@#;;=$qQ^G6%L z{>~y#%T-z&uD+EjqBEl2!^d5&wht~!R-~pxD309SLh@o-CADK%Y9j>_ojH zj6wP-Pu;$Nd)OZy@8olpsJ#MALHeXOJODJ{?dFb4I`FvVPP8OU1HS-1{N>j;;63A+ z9)iIv;T2wBwHPwe!6qb~vueHZ?eA>yw&l+QUQBTj z*y^=z9X$g4_Q#WHjF`MR<>Gs4*kNvRZ><$O6FSS)iR@L;L{UXY#Zk_(33KgHw_T(K zyw{Y;CPqT7_FKBIdoWspvBi+CYK@j0&sB0Bb{%;u0QcJpFj=Uk=eIH;SGx*gni7>@Y&AGTmbV@N}3q)q+HlnJ!zJ9-d zd3zhC75d9_aoDYSO=$2ZSKN&XEbinmi2Y_SK85~vmIoxFY{ zD%eCTou%fq{GtZ5V2e@o(o>zZA*T)BWs^!zcjDrlSf@)Etjqff`(Q6h8Ql5&bQi1O zYIV3aZy#f5ZhnpSOEOU!mwh%)aZ&PwOkIC*ywf9i(Rd~wlTwo{oT#RA+w`bCmhxGz z3NjLR&7E0;=O{KAV6QX=pli|r|MKSaxG`Il{6oinyjShqU~QVn1)i%wXv|gO9IpR{RS=D`t4evNy`zDF(_jHm9BZ{Y4eu! zds^Phl8J9Hag2$w!4pqm~CRm1T zvHoF9>NZaX$lA00JS6V5_x$}zB<`M<{~vJmRMbfE`_;V@LvQdk$EUyezJ|=S3OxDp zfLyY_{3qT0lat!?{eBum<~zF7W2=q7gl7$3kC|uaVfpz2%xTAG<&NBP1$qLRHYNk8W$5iX{`oxk^FKi^dK0z=( z0eoRzsW(R^mZlrqgGQ? zhZ82uoEn{_a2Of18K^6NY#$~gWk%;k0*+LC zq}iaW;od``=j_5Q<;{544&6b`gLGh({ky#&`0g{JCtnOL-!=I5GZQ&sd_k)(AHMP| zo;?qSL>Pe^R{)p)dt3KJ7KOwlv=Ss1l}Zh5+-Lr#OE>wi{0}1TNZwCRLP&%HCwu$Q ze7OgoOzZXKl;yX9EisU_ZErf*4e?mM6&1d?Q9|8Zb zLXq%)D~#YZEdvVhd-PsNE;I1JDmb(0uxD`J+*U_nyGNWb~igAO2HF z9EVwHlc*rz`#V444tP2rA_SKf&~joYkKnu~@1RKDJN+Ts0@wMEXh0Sc$-5WG1^`)7 zAPWGp10mZUKo)`bzz=+wcG3qr&Qg7Z`|yypq|0|CIc;`rpFJu96akTxV@mN!-K$fq3;TH9y0Uu#kORi}O)g3t8`aSEypBJE zXpwX~h8x{r&VupEi(dKSNmIO#%cD6ZX!_12$w%Qbvelp4!?Ihy6XXD6R6o4`bEuKXg&Pti&+#G zWlYBh$;k_~!{rO$u%5oa1@jPvvEX7DqX#o-$o2s2HlC6Q$?Gqey@AyAB#QIwZtc$= zMzSuJH&ejJ*L4bi4$t;l(ad?1{WhT-N7JDsOLo%=5@ zC_u+YVd>Abzh5DL$V;{p6c6L<%p_V8F`T#d86Uk7zp0Rd%uD>eCTS~?f=v1+E#TBV zzX5-+zR*kbJ&bc2O2EZoyY{Ms#OTh}`Equ@Z)Q=f(vShhfk_} zl8VKq9Q65F%J|hCJu-CcH6pK~T45B%>E34!NV3PY79J1jG8lRSmCV8VA?MI?;&ZG< z@A=~}-tP(mmMp=!0ALD>KV$6!5t;4@|}l8 zO4kd}{W239j0wO+MZ7Mu|$ zw?qU}9~6UlVII%Kc4o1(*y_kZ zLK2}kk>JH~(pPPo;|)3B&+8R+2biKqzAP7_Ii2BJ43)=ypp^34N@l<4lRD9JY1K!@ zvh{fn`HF(O04J{Lur+~;nfbhn4q@il!2Klx!^CZiK{0TcQ=l|Y$QirK*bl{U&EUJf z7D?*YE0fh~ma|MY)Qj9UDip19C9bDw6>sWuL05^Irdv}u;M@HT6-uTDMD@%TkwegCf@P5a8D4gPD#q37#~ULv4{o68QqeOWXvVNx#4)%7F!l6$yXOHV8@K$ zMAME&&2xz&0Y&_|a;pgTI0&A(8mun26tt(<8-yJE0;j^`064i~MdxP)q*be@pP&q- z>J2M_6DV-aIEGRiA}~>ke6vZQDhM@}qZKEb5c^j3nH8WlR8?BwbkyEqP?`&8pRK@J zT=kC39->r6J)pGgmXRoy^@Qm;e-M0xzZ)6IAC-`GPRjk90qe-s-?_%i(-GHTTq$0P z+kf4=1^pt^jCSY5|K`tkk*jL1=I}&#{r+nsmNn`5@%bV7Kuryc+E=aQ`xlu5&2wwD zTSdRnb>vPdg^tYQNg|A%x#;20Hik$ooQtY)cexgahC2!!{n^9%!v|I1%h3%sEV<{0 zp1s*(0WqB|^cX_2A$HaPqrYg7Y{=nLjeai^v!Yz)u0jG{B5fk6p;&`}8kiGNnIs|v z`gl-22^X>|7#rIXnGO!iBDYw}XVVfl>+$kpV%hVht~QFOE4j@F=YYum2#+Zo-AXe3 zZAB7xPW|mNE?{go*T}QO^|<90o^ICem)-xg-k`!7qhh)!-`=>gtXnTcomi|!CQ~Vy zs-8esO_H_XT&qm>NF(N4TQN?~k68R;vDLuk*6e^10Ve zs>yEIY-3@drMTCQRXnp9$8G~Y*xarKn`HX?cL%7%#$P85_6_uMcG}gA_H2C}DL*^R zUb0d@IOu6No4C(P%nCg#g|JP{jEbp^CmA^Cn{BnN*PA9bTb6=b$xNT)t_XW)1GfC_ z;2Uy|N=cQgx#bkKYBGtkyd2`2h18+6aEi+OM2}##-nfYo;8fK|D8{6ko8~5jZQ$(a zn%In|;R}}`L@NQ3>(QxIty~Ujn_qB^0Fk&s-sVTEk=FrBCyz3MK{}G&$L_Dh0xUT_37p?29;Pdo|p~b0AMfM$T3!Vto3x4oi zZBph=Gryv7+pNqQm1%7c@>R)9oS5@D$cF;xT`szUb5q8I(d zVj0L#2NA6XiEJ<+M^KyY2_kSKxz|htMIHxLod#8QR6}6u--% ze^$lN(4y%3kOnpFpi4@SgPqdEel?TSa8jie=~($zi2XhD#a1@Q4LLC@7`d{%SjZJQ zQ70T(hx>fh(EudoaZcaKO$-Us#zs`bpSz z`8HBGvL+MXc!W6z)yf7HrI`&-R4qkhCkJK)hb*a+B=|iOVqt|08P1_fib$OciS8Sz z*_hO!=4T&f(hxy)D0}x7OQOvS+j&9P#&55Vjg4a9Mp5Rqu%S9z(YRnvm03Wp+iA^6OC{F_$caJR2(#sqm z>Pj4*&skbCug>}udFdnQx&v;^R+^4vHgo30QW@^JOM_rB=*;!C;9<=sltIZ42 zn9_a!2t6_@Z4{v%)J-SC4qR`rM&Y}OWE4?6hERTW<_kcSmLKrX9Tzi_C6;hOG)Bu1|!;nO-xfEX%3F8&?&g8az`uCAvRjlPRz3s zQW2+oRHlUyuO`B34mK=7$1`CAYM3jSWG4#I$e0`{M0peCI1J_O_dZR}dH#+mpH~SWginEEKP==K zk)yqX3_BoDjG;58JVAvj) z?)a?QkqaXhWb(aSKWPb;+h?(rr}|8w+);92k*DC%OIXRL%3jrR)oMVciHh!%P>4-D zHcpP34r2x@?8ALhmrUxe&!HS1dV_}yV9*jfm zLrIFwflSCJSd<9fZw{;UG)wFM1TCBd0|w(sZS2sWITrnbYun&CjbaojtV2+FpJw8} zIZ*nrKP9m}p&@P5*{eTKmt~ih2x=bkvOx;BATr8=3#DZS5wipcntlY1y@5KZz=$6) zVrBFintlW)Jj02jG4#up<>3q&QYP zeft(^txX&Q0>xS#KLQehO=)_E+Zos|xo<*QR+-=fDpGW_iG;;szhk+13VT^*{26hq z&F`BNikrHGVEeZW(UD^vH@KtntZ02E7Vmypw9RaawhW5Ed7hqrtZr;CQ0#A*zYQ>{ z;VQ_!w{hDr(SZ7hAc_`(E^z0?HA041=}|0!1jm7dNI5cjz_Y^(`1rQYw83}xb`9NKu9nuZUr ztRWL6$D*{nPA19@S0i(cwQ~wy440i14??@FMZXSH1qx2~J$NJ(=%%IZ+L}MV<}|X^ zC_#1ZaeP)Ir5s415j1l9g%eMop{Zgp*LV|pWE1a%BsUUiB@PFqtp9;p4#N1%I^>uR z?CX5vD5%@QRsqZS(xj_IhJbBK~-q6ZjuAt(}s@D`T?t1}Yu zm^;*Iry6dlX%|DLvQ=KnMh8_)}WGJfZLKv$@L@S>RM|k19w|u&&p!U%EDd* zQF0B7)!_Y}K7k#uj^}A8r?-~Li;LiJ2EK=LS0gf^V#fitr;}sI`>o)s`LsgzTa-B?{6whQfDGeu|Dn+4i{qSH|ZyyFtQx$^OUUNfK2ct z%Sj${YIHc$H;*Sboa)C^>)@Pv% zJOAgR~8Q+h?l9k#&FTf zQtFAQ+i#yjZullm?|75e7iDu-&7VIH|FHV{y!h#T@zX3ii%&)TvrEoHo@9exrs)Sj zYa+sNfb6h4*(mXrIGbtwhgag^9a-hERBo2rZ{G2@c6ZF#=1$L=tznm+47oNQH@cls z$KUmMS1*6GpS>Kjo#n2U`RISU^or^DhuOyMJpQ#H(3GUpoH6Zvu(6mq#I7bj>?&F@>2hc_*5uL=jvKEcqr2 z5ipD9C!PT#4y>T~3apU)1?$SIaQ1(3^^Q@JbWOBy+qP}nwrx(^(^j?ZY1_7K+n(-e z+cv*`-tYdocda;aqAF`uX0FUSt1=??-f8xX_gzfL6gTubB>~Lzs&i?+DlJn=qBu67 z@Hn!l^niaw^-K!|X66KohwLc?n=`mJF!UYzY#Mkt;;ESK09#MtuF;g%Uq3-m$xmSa z6F8Ut1ik=U-DNwo-Q^Q_oZ1MxdAt45N@LxJ#D;$>F|nx4CvM%h$hiX)<04w71vQh2cfwxz0F$3jg7KeP`7<;pgrPI#%)>>n&qgq3?^}PAkFFX$7dvIX z*8PHP!@|u)4~b32MZ%hf%cVS+?jY#LBT z#pnuJA!r@t#$@nN8|=!-Fe0?X2H`b~^WyBRQ4q@eIUb|K9k3hZRkqLx;_qs1!js%X zB#XLc1V|1;PLZi=;bWyd?L5RLYz9eH+_>>!Q~MtSH%mAeK@Ecx|7FF1u`qFXG#Rl5 zS+K|X%t0`|=fN913FCHM1aZ7rz#s{(?jSpJpb~XiQ~ni@_P?pg7V@^E4R~4C0J&|h z24f*=LXpZ_l_65rq3vfi5hq)h^FI}41unt71e;}xIF;w^XO%YwEGyi|C8ljPqw=Yu zjr5uaqFJ<{@Ku6CB)bJ#H1|3DRk*3LFk<>m(WkYga5F`~G{BDf>(_5ekCJh=5NmSF ztCnK*zGLb2_b_T{=Uh5+tE(32gr^++iDFN4p#-*B2C2xS*D$j2MYQQeXz4~pRW?Pb zpSP%CrRVw7NvKUFR^;Y4Z<|_i3xm~ z`tK(SGIx5e_s13Ixs^3OMbzY;H64m@%vdEOgY6X1BX?q?>2>0!jdJPJ;HHoA z3bG`1;p2DIl(HIzNUl=p%@U@9K5z>O)q2PQKUC>bQ=0=Mt^c95SN=ob{lM_%caGp| ziWgFhkrF~oA0q_9Y}1|oH>IpKXvj$$H>fMhg^4u0-L;9f0H7$GnAaANDB}O;>wf%P z*H5~kTeQL63TpA|=h?o@-l{BBpDIRkaz-oVaCxI4Bk4)y`%)e@r&YijJLw z;z^JEcja|FoBGZ1Rh+~0S(mxpx^kv%Q&6C^2B%5MMMVrX{Y;F; z@fHHf2As$$_g0JuhnqjO&B52lOBXK1Z6Cth=Sg3o<76Fz(yvEfpI*O>+OT`y{~AAH zH(Lj@L&*$;@(!{ZHXkW>P&-m)Q7O_I=O7pToeOSuTIMF~Fu0vFi^L168xX+6AAcu7b( zK_~;xN+KQzGElR^(7W7J#C(wC!N+;#^pNb62oq%pUqu@F%i9;v!IKr8vzoX7-$0}2 zDm>Vpa&JLKPJ)wSJ^o39<0ij%7x!AJJ$XAqF zV_75N$_ByA=*@TFvW(Dh)PhfOwPY{#VM@Li#7Tr+MY9Y;YE%-RBU$AYnOv?~-en;V z640pwxYZ!^MVjcLYD5wM>|au+eJ7tUzVDwDyRit-G$N1^==q?9k#hmc;&l3=x@~0y zLJ}@dLn&dN3QA9lyt%J;U)q8h@1JFUPPi#A8PIi9Yd@=Bc>AB<65|69-Zb-#yTv>} zXk=8iB*KAgCn=ioXj7bjO4kHkBM-spimUF{69bbqE77XD_KJTgl+|ZLWOrbiBks}o zVte>@LD0IO%-brL%}EFM&~!UZrt0ab_a!msrVE&M#6^%H@q@{k&P=|fNcoTHPgc5q zq##Y$M|`YT#NFwV^fuDukpv;pppmjb<%=+j*b%KnW$`~H>XEq3XNLcU0CO|-Cc&BB zq46PUAb8=)om>2f{BML?|G{U=rJ^(!CKJ?ch_@39QL@9dC^0+?u6cvsbT?&e(EY+KxtOR6tkryCJg9NHZ6x!v;QS#qK@P`== z_trMa8j%k`JB>O0`7|(%QfQYA=YLzxiVzz^e(q6AoZEpqu4tj?ra7hB9=_klqd6Lh zVCUH`KAywB`yVP)2f98cDm`FJG~-b;3`Fz}XloG|(hS69(hTfm(o|#He@qjRQLvPt z2`A;J5c-#zNy};nnm4;{?KyIB9ysLiZ+Il@MN~F+g;r^PBr|peT^qK{wI7uFSz#3m zq^SV9TREPEq}p7uQxf*iy2qUpQzjIR$1VBu8~HQu6ZxzoR{dol`$iVY-gd^n0e-*0 zJ)$Vv1?|j(N-s`1u%;T|4K@MQe=mf4CtNr}%2WNK5o8KjatlZ<3WOog5XhD^9UHr~v zjXL2zED~ZY_S^91Jh(#9X^=?W=fYuz!bR@|5Kcm1hM}5~6}f+yNu*(nM(64H8;tXp zk{_N4i;S0{*EUmYuMM{e-(drlWw<$^{NzCZ7N$qXg326sg(>am^Rdlg6T?F-f5TeyVPOP>e(vwU&V zZ?h~^G1u$vgwH+E<=|U|!Z!~;+VmB|wh6h)T6@3wu3uECQM8!FLNj@q0;tsQ1i*Gj zw3zU&X9PP_#hb}R#{~#dTUYPDMjgLjLP1TBQ`-e>waJfyJAf;KdGX$H*lG~7RWl~v z-w)}i+ZhYL>e04+g)HMu{JJ=0`eup2XNDT3)yjW&wn`AR4(>kz_&{%C+F*I012qmA zc6P9gT0vLGp*r#1T&!wTNUh3Kk+Yq@R!E4mSSPapprkyJnG0kUy)2_o78bXD znz;@3XLD+|^%Z~WNk&kEJ-s<^vlMjK z)^*Ua?~`#Dg(9@$mp7DrgJL{s_ig%{E`SY(FpU;$u|{mGv7!n2@i=L-(8~)!8>&u` zP&t}J%{!Z@szANn)xk@*_MPzOSEnx)xh93d*?+r$|KW~`8rT#~#c=)MGwxFhp?3); zOOlT!qp=og?yebvHsXBTf1o`VhDRM{vI8oUSqUK6jArwaXVC`&T!QZf)C#o;#&hVZJYpQs_SE=Qrb*A1Kj2GtyZ9!ciJV6SPTk8Q+*|T;GG8 z+o+Le9LvPGAj?p8@!DmAouz1z^V{yjPe==3p{~7ikkahm#MJs3Dj*4ZZX%@gx_J92 zA8cEZ4#^lD_$;4aK0hCy9>YibA91eiV#8+Oj*13J9o1Jma-QrBdkNh-PHPtzO)&8f z5r6c_;RC?XsAh3Q2Q54#g+4z1BlsPu$F{ zO99EW<^e?=m72KYIgNW;`QfH^_ejak9>Vo`SIpO!zRV3R*3A(xNyNF&=G$};(Z z8e%dC9MZUgjBW#J(LqYH0)b~FHoWf78eOJ^E303-9a|cEk2IgfcsWlQ8++Uz%e%y;A1*mXcrnhBdvllx+8sE0JgeJ^2Il;{*7GkGXB1)Ci3CQ@6rJ^f2 zCwc^wmyBp^%x$Cn5G9i>@WoCgq1`M7X2D8tr_y+a?M0FQr7GLjI_3u4ie%%bB z6g?IMEar$##vO6#w=zoP@B7EgRba}?8j3G%fwNa^uvJ6PiZ2#11%(*X_y5F)+7W6V zU7s@>GkG2Mbya6-bZ4&Rx$mRwe$DwF=X6?L7u0Zu_%(!_KHr);6n0B&0UM2_bIf#a zXb`kL^o2lncs>!7S!iG{{jQqrv~S+=Y;Oy_I|36uxVYm83yt1y>b!V;=?IW}swtyj z1U*D|43#sGSn2|rMT)SV7ACSdJ)-FT<1{6yX-)O+29JH(U|}(KU{=%!>LuXzJ1?PI z3yJS_p`_qqk((ee`r*l6OrXu4D6uONovH`5h>%I@Tt+$i zbPX*dcpaDM?NypQ%JvJDBbLzqQ#n~WvKe{8?jOi&V;3m7BGIspF9E>cFN(V|dvxM8 z*t%i)L@!{~`vCO99eK2WcHo#|@mVkeF>r;i#%LmXv0FAJR-T^=#6KR&9kP0@O$^^& z?#pU^reI-UzVD|pa+8yaj@(0Ye+|3=!1a8`1p*X^`=nvGzj2HM-P?;HmgvV!l3?rs z)qb-OpX2saD!$?5(&BWbCgJvQ5x-siyt~Y9t?LtU z5`t5e)uxhAKL71H@Nduv^Ld2E6ENuRph@F`^oXfM~k-+rK5wXIQrj*S%H=%+*c zn@()OYgDOTv`wl2$Fm!jxue;##WYEkwLIl=;h%a|KHaaKyn4V@)7L+&NvzotUP;B@ zQ_xG)DP_mfGSz?@3+NRi@Km1`Qx|p;0>24pNvX zru3tPkvdWCX%2AL{_fZ$WE>2qIN8zk+jRT?n>K8qTV1t(%j499?^;6m^OBeKMR63hh_9lTLe5L!c>a z&bt8i>5?M#y1Gl`2cp=aj!`pxI=?-%D_gvJ6qD0rHeo+Q?l&&(u=ZyDOLk>$_?`HLZH^ugEEEhoc2a4oR*?TkZzUdUC z!q|gV5w_~AuRczMnJUhbS-6yMp_6MlIWtf!en)f*Q$TXI+{|4=*e4CF1#Tg1 zuc2MFBBz7tMsJzR1sQyByshI5jcJ&?=Nh8tNzw{>1!O`yLzx6ppT zIGx0+HbeJv?I_a+RD*#sPJDi&wzhDx9+pEcyhR5^QHtaJNVyp#l(O^`gxTfwhNh70 zZlrwpWi}9#<(bw(b4)t&EF`3z7vK!zuw|2beGb~(Ozl~rThy}JPIAyLr1EYF@)jq$ zJcp(w6pAL$8>)+GMdvmEnh`>q%q3Oc)AHtTMTwfJqIcKzeJX}OU(1(4BE1uAJy(im zrq%C>1aUOcooQCBcxDBgXTnw*5CSNKgP5Y^1Y;a7DBCj2Ieela((*3-`<7)Ar`RzI z)*iJ|yJu;?4oodqNu+`DNn(QM`*Jp2^o7~5pIv*A9i6R*IyBveJ>~iWst4Q&$Z0rc z3QNX3m(aLlGf0k=RZ&b=lG>o{YR$610X$v4uOZ2Q#(5~j3XF+ZY(AZ^-!2b~VAw(w zz%y)Xg%TIjoN_~hpws^w9Us9{BZuA&+fvFKxyd^7Bgj z*3>EMre*~_S$BT#p2?3jXB9`LK9wCATvFniEa%u!X_Ll*9ZxonQ_WHpEX{E4+33Zn>FdWn;FD`}5kyp7tyx!@ zJjH$3G*xMC*PzWP@$u`zT)sJLknW-Rs2u%h3C7tvr2Peb`21ms#@RZ#-Q}o?Lth%N zq#7mR?Rdj**!pK*<$L_;tDX-wgS<5iC!_t zz5~x%HB3sAf7tY4TNF1hW!;|_<*6I)wgNk6Pp)>tU(8Jk zFFGQI7UQ(+A_TWtVOvK=?$$H)A4gKki5>R?5Vl#3}eO%Fh zEl=WfVdc$pa>Rk9K4lbT7tx3eSN1kFDQJ(sLi$9}fqU7EC}Lb0K_zu+3m>^or?-~a ztoY2=7Cs6%GDqTQqDi3Q-UccM@GjrrmD7}j`A$YvS+PVNt!THY1K5q z>Y6MjCd11~iKvjVqLQ2_#}9 zW|5RUMw>p9>@KC38ds42u^KMcyQ_%obyL;wmC<2cOQ+7iBvUnHWpt#JPpP=D91l1$ z;(I(Q7gX%#_Q{zl+9j`kP+LUL*}nToI48*6 zm{s3%aiKQKze16qTjxw+{q-=--~HOkdptq@Y5}N}HiGA&-t=^J$x~xrukGDOt^c~U zXw?oP_wW5ks_fO0e?y7hj&-UX|2XL;U!38&@cK_eho!gp2=#pFUm^8>Uj+2%BHJQ3}rrJB0j`IE>D7!tnslKt->WMlU>O zyDGFH3w*0_aYw(KU$*DJ7}WJ0_lRZDJ%_F8S{!lWhhJ4?<6YdpTeWMABPMo(k@MLL zcV6g7n|>!Fz@Zvzc3wm-Pw1-biQVB9-YK{tPx2Gdj9S__SN|WKq%+hgW4Gz*Oq~_| zm%yJ|a$3icS?0@sI!VX5Ij)*7U5!;O;~aoM{+zaUmW!OYe(66mB8y}-6V4BT%T6KM zz;WUj*C$qYQ92?u&?yM6eOWMvNlpH0Gv%+?Y$@#OK2Bi|7@(W#qMdJAwT8u@uD;{(VjHLv!kSmaizsCb z4Lp4uE8`41x6I>?W>K-ye>|FM&TYI(%qD0JI>776YO4QoM_XW!+UYL{3;Kv@>&rZ_ z=?_@=?71{!Y->~4Ww$L>Fqo_c|9wI_McpRrakQ3K!aX?vG%F1_O1 zTl$0E9ppk8??}Fo(DvZQ|cue>riTzGRsxpv$BEvKVAVJ3p_@Qj4zLif5^`?96f^4 zd5mXu^gOJ75C3YcfDagVoA{m;m^?G%&XIH-+OZ3N0P<_Tm@0*+@7T3d6|#la3XBQy z;X$jASy*)enz<;HdrYA%cGkX|v)Rpwc40%4u5UVy(3`0TRHgYhs@`1;*=7S0Jb1u# z4HcF%XR=pPn~f{>b)D2x3lygo0u;9hJ>C2t5b=hH1eoV{R;I3{p_dh`g=3=dmAz}+*&G}YiA77hAUjQwsb!C>K#U}POnVX zUS&%?4=ArO-`Xrq?w-M|1%gF18Ho$C)gjh40?`YMhx! z9IQFEj`MF8ZST+5zZw~5)0`cj(782y_ULKZo1PwY^lY|oYFjZa<4e6fu4V`?5lZ-( zEi|uVuXi24V4Vv+jhfONbTFEJ5(s}?-_|B6k=;b$yb(7odj``bYTF#n4R1#7pHa}7Ft#xx~ zG1BYlLQWJm#%HZ}@lue5(G7HSdpq=(O62;otgEHn=g+RT4SQxa>0635+quj-GrhJ( zuuS8X$o`(M-ydan(x-Vj6ZDvEhREbbFNSNMLGq_|OeWLFXc%?NM0a{Aa_-6$a=(Wz z7u%Nd;Jn^HLsUNh^)Ie0pR=s3)dRc-t5Poqezgs1N$35GdiwJ<;^o(6Kce{C@wDq% z(>1i$#l5zpf;)le^5gU}?o6iCr(trmGuUsphVYvCOaDhDsdR_yvv<5Ew?pG>R}$>B z*!Y19Z}a207qf&$8kf0q@o9!Yb{fKhll8HggKF!50{#9+B#FZ-K=e2x<hYqfRo{EJBr z=$*YT_3-Yn_jB?4F@cj4O>=*JdV)2id zwo7z3zB*5X0bE9|(LkCn0?pc(qITzn(wx(#s2S_dOLuViU1d1gHiJRUG2?xYl*?P` z_ZwsH*S*}q>6>y+Kg)>QSuz)_DX$?B4BihSKuADUajK%2rX=UCephc+jeAM8XNzYA zm3jogPy+bVTzi&|;;TSH$0fCx;bxc@O{d(zD79qf@yOyA0PnOWU6+61srFQ2{owk` zY3|gVLOyN^PQO`~Xxe zYXGMPwL#l!G?aRVL~r(xpG&2yvq8_xYnGJV8K_m>WPU|_ zTFSsHeW82mRJTxHP^PGl!;p|{ylLO0a*ArH{x{bWuf~FYpPb>BfpJgJ>?8s1kb}u( zkNDT2OjcAHwXca#oZFxmV%WwHTzjaZ_?E+1O=a6OE!$h3_tPMpoJ^~yqvoPnwA{E( z6hS*_orU-Gm!CJ+<)TB!?PpYU=47*$Jd0>ioPCNcxLG?Wsf=a>Sw#loawM;8YlZi} z1^3gve@<)VUQx?ZKE*e7XE@`^Wsc6^we#H{vH2~jMhf?cQGR#~#hJ%b6~-!))x!)b z%t0n`$OOI2PAvS^J`)je2W&kgbs2kw+X+s4dl#j?G}|LB9|dsC$n{AO<)A0Ijm z`%e$IHvz0zC<5f$)jQ{L7#GI0hT;SR{tvhsf#!y;1sq(4ulj00w9-n&f#hmT0P=?@ z8SwJaD$_awKZLb{u_f7&?X{9a&gdO8_(X$(*rV-6a2lfCR9Wv)fo}}NY+pqE@{g;8 zv=@FuVwS1i_XLYx2G1ub`Bp~b=W~NS3hgP(7`udo8(huvD)5^`iO7TnI~{3SDyzui zo6-hUEMY-KWce>>^8PO`ONWn`9;Pn^1me$;fZkh9J%NIj-D8gQsT;3$X_#YX5G4Q-BO`(QH}xy=Kl2Q)iPN@_$2X*oNEYyzELFT zaFMH~6a#Ba*dEJp7CFRZ3H_nDvq3c&rkCE+IA-bgJzJa5HUC-s!tm@+VEJ6CD<8h&O)ex2%6N;leSo!+o7L+;70pMfTP9M+m`0n3ZxV zK-}61s#-QK$YLKNJnH5k!mRa)VzoP)z!~Ca-{(E%A^2M&9oaRowW2vidb7E zI$!J@S&sTzOMaBwLOo8CGB|wTsc-e>y;mbO!Tr`B;E6^Qua(wxa9}A-4AwG{65MTa zK=fr)C6$V#aZr#hJ{i9hUN>bN6V@~sD8d7d7R02!hzBAH}B4hLch!p(wRG#}o5*=asXO94v~9<^I(z#h^5hZ|4+n=LPMo(A#MT-5Po$e3#@D zR^IEPu4i$I7 zBt1IQlWx!+XVPKRys2e9R>~IWDOTR!NmJ&}g?Q+L%oVvUR1dbyq+l!c*jyq(I;sDo zdeE2?J4StTHqq=kplNn``nr+JfTUxKnsr#jy>7=y+x*BAU9jB6(b6 z9@7x-B=tu6c@+@@#;+a6``Nu>9x8WoS&o00E}xHZIhfgYWn)b4!p{ldMUOC~!$N&! zD+`c@E3&W9KHavhQi*s82Rsik2tQ9Tz;@lq+@)!}zOtDzF)EIpB)li|>IC^%WD0xg zXOihCjdm)Ac&S#XaI!zkzoQYIeDE!9y?BKc_K>RdbXl1Ju}n!&SCc+@V>-r2f_^bN ziPq?zxQ3NwlN_hrmzGQ7Gcv_JaljA5&=PdWKIMo#S~_V)*I&cT8cPikoK_20sESmR z06q+gUPyE@`*4lyXgPEFz2{JbWSvqsXlUts!G1FE@1pFf>VkI-QHjC4_) ziHOSp4Fw))2;P}=Y`=XZ+QFX#Gt~t=qR1dwSV+Locz^NSu-`}27!TVC7oAdAva}Qo z{7AhY+l}X46bfWC88IOYqx=Yj3Z z^CP&ZBnlR7S#lo=IRg{AZ@l{98jGjNM@}t-JIBZBU1GCj$ca<3C(BCj;0GP+RX;bey00vrul_Lt$K-pQ0R zpuX{%^$FK)Fri+R*w0D1k(%VaY~qTq)EalP^R^9?jgMiEk>l&|`mQBq>&M&6Ka z&Lg}_zaux|HysUXbrh)0E-TvJ&~S)laNbv8HF6smwJK_wLwb{!VNO~9%8Ok|rTR`5 zq}Z}36b#(Luzh3~o{6TW=wqFlCywE7bh_x4tIiALK?^8!>R>MP>CKh|F6pDbhP;9IEA=jgfAFf-5gZxt=(07Rtv|2S4Q$ z#XaONlSDdq18TD51Zx40D+EEC{)&iAwB|(h3#(koJ2Dh0(;+o*%F~^8nPGUp4Z7D^ zjI5akSvl|si>5^C;QRW5C-;J;fmbuHFByzps0=zV42iq@!+b0eEJ%ViQYvtHhJi?k z2n;Ho=^&I{Q;u_%WpmVHbruiB)d5Fl6D!BHw^I&NES~+{|uCV>@hdDELtz2ZI z2VXIFqjc71QTT!6MZk3)7IrwSWUgvzLg-jT5|jVKss$<*WY&9L^icu*_bD zkTaN(OOo818A=^_2GFP77Eoov(TS@@5(9HhzY}e?7fr^TRd36sIxFWWu^K65W8mKv}_!$Oj3;NraYrE&a?&v7e; z`M!?B1>!ln90;!9PlDFJP4oPh7>~dj3Q3{5lBzhaX(@soouZ_n?2&>Os-~=Qy#kP! zWX!H9!v^1IiTzS^6vuu!hLQ?b>2Dgyqe6}*^mN!Guk^0&(w-W*ebjiD>5jxdD3>?o<8K#aCn^qDvhZ{&kp zA37+%#yPxVr8V8j&N?*QUlC?48iM{Qm11Ptr~vi_LJ~bNdlilYj_9+BQy4(#B3Qgx zX+&@fzU-Vy!4#Jry{P?W6FPMQJaU7^qnw=v!yJczE*u-fZwuHQP1SW8cw~q(rTNBV zv>5f|Jj)Wu_L?y(U1@zibS1(P-8m14AEba(tAD>v^Ek#sGmu2!mj8!2zuK18uYkNk zRVK?2`Ww8yv_RkH;Z7Xg9cI7aSjLMN!@l|YK50aN?S?ux_}%$HnxFwTBL)ZD@R%~i zA(C9;X@3&mvpg%*nDK6ZObM|l6FeGhc}dF!aKGK9;_5FkO!@ccrSdvFo@t)}9esFc zE6ch;+d?w7vNegbN1ZFhKv*rN-$e!}oen|(VboQr0&FmL5O76EX|mD`GKw&=WHEMP zz?jqp==|ubH5J)Jz7$hMiAz}xowu}#R9*2QLotK}jQj=5g0gx&XzayE2_3Gq8O;6Z z5DsJ}DOWL>m9TY%D3eU=DZR)!@n7ON*&AbEgXJX{5pO)Wq;vEK^RH4B zx)KtfL})Rw7Bu_zv8BzVa@j_LDu9q&{0n-fB)P_#w0Waf5`x1Fa=Ebj+@w+oHP4+L zlPZ>(xpfwP9;0?t0 zqY^Ea1FHiiZZ4~`F1X2OE*4U{p=x_*Z;-ohi+T?*WG%s2=V~P}69)OrX@dVcBP_H{ z4YZQdV8?CMG6^eD+;#XDO&(*FHo9WMB4{kPmMP!y1~kXFUNAYe<(!q8$&kmn*B?8yyL zaQVN5AJ4QWnHrk2RVE+uEAwicbJO025`Jvq)*p8HOm4No17j>ogV)u+P1nnz%k|;- zQ@w^e$}))hZz(DG_d3)o1?Wa3*6Oe_H4tGRl_ToGL6&g`5H7k(ike2?@^#?&>2&Lx z?%nPpW+%-2?nQax@Gl6&Z(OlVxS`7%Yq_D*@&tT9^3GgcD$g_*NR`QBKPB@00+O_( zuOP$G8lhd@ETJ!MYBY!)$+!$TVd{xR%f>nfYDl#Fzx*L+ACcvu-@$EM>QI^R42o7Q z!8|SR)i`mOApKzi9)EFA9U^B#mykE;fk1DLSLiI$dC;=F6Q?j&RrHl*B**3%z!-*E zfj%J|D1j_u?0<=p4XuQ?$){$kl z?hqgT0ip9HVVg+13SPg554g!Lt)9XHjFgJ37qsc)V?7~7Zz39^eLwR9^UYjQo2m%G zZ(Fn&>9ydm=wv?y7(gGSi1iS8p&kd*nnT-*qx<=+t%f=(IYJqmSXQ9T{Db=Miq5B{ z+UgkLCDrh`qeAF$$y;5HG!CXqDiR`VbSo?KXZlFV>eX>Gid%|ay{_{qf02 zwoHM7qEv-$6tVKt5_~ydfbfB!9GBFsEi;JPK}LQ{zUfp4KD*N18fIbT5!nyUC z{aVOdl-CckQ;QKT#+47k2~*Wk-xqG=VSl}#tlSHuK{DUi71=5Si|7E z6RJk^;3obdU9`anhLv1%6NfS3)W--XCPix$!JzbWR=$#ys07GqsR_-|-d?tsv@BJw z`THYa$jgNkVuTb@?x;KmDj3E~pq-cgPdp*~A0Hha5}dv+cm{pbwoY$F7hzGU4R*qlFqKrF>?jQ58q zA}!K_V>r@71|wwYL3@rI`g{!@MG2K5lS!Y7m41>TC+`^6Iy9T3%)pf7DG)Q0BtjuI z`&`KodI`fz^Vy)a@```rXp7QKquUF18$*iTr*v)|h?eg+IHWB*11{hJw12@H(|~CX z^&&KTsGLYh=WhuD0Y*VQ#F$hNv{g0}!BlXiusNCkU(bw5(^L82Iw*qFfy$!M9M`f2 zs5)`t)iz-qmWIjb2*{Z|Dp-ap#k0IE_5&*JBTLnAwF46w_~io3ay7~S+!z_m92-s-EWhBdTOLIlfO})+ zSU#EQpF`zrmsp0CR|>v43Lun-Ef9*jn6miIECVgfa@9aAmKABVH!XSwhVmf&)T(vG zu-a}Dvi*%3!}|R2@>&@4EHU$={dIZYEzi_0O0Cb-Tn-XHZ^rs}OX>&dN*1_e_ne(D z-m-*xRC)`wR33Hy$Y#k;RvV{)oLDmH5+RIqp$C5Z=lhQ&tfLU3!OlGr9qW1(=`mHU zL0o0+ zlPdH&!I@P<_@pW})GW$piWe%HkC7&gf`EaJrgKbU#`d9jqK^K$Xq-8KeHQY_(zl(_ z;rK3Vm$R47ST`JjSlm{ks9)yu9>`Sm!Ma`POkjE#MZS%CNPiUy3XdY}&9Ho~?eU_! zs2V`TpFV|up6)Lx@J4%!G4Tc&i0%M>F~ZfrD4ZboPmqGhcnvsr_(sK3kU1fWz7cfb z#|VS%z#CC3E^-$*^Y5;V87F7w0gPyLO~yd2lVfF8Ago-$>ySC3tB0o|_!GHFH&NS| z)qv@>g0#r2UsbZjyFu`3nk_~{PdP7(5B3Rb=M(0a{78dRb;$ZM@u1gMKL81WAZV=u zU9YF4xlnT%P#m3{G?J7k{qt<^A+CQU8zVJ8j3|bt2jrwr5?HnQR63oq zc5z=uVka!+X?5g7v>I^}wow|9(7Oyd9TxfjNP)qPD>Ck5UibqAFAx?il|)WLwPor{Kv-cLRL=jF$rmY?B*6A?i}qC;TF;H-YO~RRi^MWh z9V(YBiA-bA9(?8L&>8=q;t6IVSTohQH}t z$ocidZ2aX2;i3mLm12fbDKulf>>U3V<;QGAUOy4h*U2r|m?yMbVDUH6IA!yPBAGQo zE|bdzWjN^@;O)XtzWiYhFu_9UD@}3`_M#a?N7k!u+1@Z(lXKsrqT;QkHwI2t^}`;< z&j<^B6aj=;O@fh0c6#J7Z8&C8zbQuZk46$S z+o&-8jR-GSEj44>#Lkk&0;8POc*vv2BA92k2J@BTDW!qs5aoVf%!=wWYf*?3pvXx5 zayhY2qmCM4w66S#VSvePd%6?A13c0ghr6sv>QXc!R2G6GI^@a}B1Ht07y$t7l8Uvu z!EUJbpXU&>51u4#!%{)4sVhDKix$bj-7P|qvgepjtod{J0cI9h45c+D9QX!TX7mF7 zucB2^Uu+TZ_$18;!?snuo{wbgv0Z^TR)j$s3#OxP0rkt+(2duY+Y+ErKlzrH6iuP7 zc*XQ}NhFNEZ~y%E7i0(i7I>3mtdOyg;|1Ey2FR*u3Em|xqA%T)^MfhMSD8xu2F^ZF ziH;a7L3`O>`N034#Tl=##1yuJ&bxLOi+x z9qv|MLL94mgh!VIDkWU-_vzVgkT(a58mVMlsoe#5>153?kiTV}K!AyjtTUSjD7bnF z{e%gIS=h^*^pZWL&Y20xbA9YT>_p@uH=DHwO(cBuq_fA2k=kfxwzvNFA31{MNp+|2o}-Rmbm^8#y&CnBDt-4=i{X`(C^xhU*24m7 zhfhaeRK0_h;-RV86ZCu{2bd7N+r#vEHIj=+?Jf=@5`sr#0mL|4SH-Al!eZR!>WdDJI@l7HjyD>V z9>z|{ikNc$+MK09r8Um!d|3{R#6p)?1@$A!t9YSj1lKe;@&ndR&UKd2)+VU!H=soDko=Yb%Pz?mOKN|r^UQlt~@c)15)RCr?XVztc)C? zF}o|0U=d6g7?8h5?T`Y8d8Diu_=32bNihNF(x)-b)+EH6|gsja+CD_C9RL0!f z%>C2(gNT$cs{AcYEWC|Hevz0zse~cpQyG2OAeVs}t2+OrGKD^7LXB4xSn_!TiWcG& z7L{!pm!&hVy@;68W-Nbv-O%lVHOojT7M&o*4g_(!D%Cz+qM$7x%!+^Z-gQv#F=qq5 zL9QS~5w8Cq0C_-$zv9NAj%~l8qgF>9xnDgawsgPgGTg6?=6;jWA=rd@_6IE~V zXj5;xPM8}2DUM@ZV)>%#9kipFJWD)~9}9qbCGhM%=BWIm;DR2S%rsz6_qQ~KikX7sg==FP@=i0Z=h zO8Qoo0ey9}(l;5c^tE-u+=0HFD5WnKs_qxFMi)c{edF6Lqp#j@D1DP_4g0pQkl)Zz ztE=*>S0^o{Z@LWWYoqP25RA#Mu4AF@Qhqs6N?$Hi-LLi?m*3b&G5Ur8yDn9gUsztF zuXR)+#vLt}CX(OEGN7-;*ht@Gbhtq;ztwW@QkxLf@z^&^N?3u=gak zK|;c48ht}Yt**+iUX`LSwv7GGd?~-lXr-^NW4}64$}cBM>C1(>HT0z+kM;}1qt4K% zPG0z}z&KMwAvSRgT`OD>MhA}}MQwb3Q&VI@}ym%R#X?LW#@EKE4jlX zS#UNtYK4wkU6n??5d=JA%h;Ffr8Fj^m0G$EsTI~K;7zH;h3fVjr%i_aMs;DomMh8q zCfB~)7_N0~zoDa6SGiO3J6gKmbQ$i~M(cqh9pJPvq~r5$b8J`O za5*km{&>vo;g4AK#>NHAVca+tdAxKd3F2jFS@H-4@2>hG2{OBM5@|W8m$~z~{ zoIP{yoz~n3}mYwp$8-aUWjg%>Y~5X*63=Iq%PU*F)jEa%@k{oK7fH(YelMZ4wS zMVq$#%eVjLm)kGi^5d+V-}Nh;``pNXXTvCOPaHB{*LKhP?{0WFA59!rd&J~#e7Xnx z_trO@KY;Eo_21v{NIvze57$3c<~I8uuX8+4sqQKNgLRJQ-*#~){SVhUo)~|8#xB8B%rk`=76GIKva}YX7qh*XKLOPufqnPq|(GFSFb8 zo5mY4Mf8EX+vNXZEggq$qyMwDbR4)#{GVj?aCJ_(mjCmH#_lP1qyN+Fj`v=o?S3os zf1TZ#?`h~6{Ye7%mCXOsgs#yq%e${-{_iJsEyFYJtC|0Y30F z$Cr@qrc!%I3eD`8z_R2feKGToT$5j!HZ#lB?e#Oa z<~LSbh}?*KKau(Qx(Q^9JwIF5A~4_V=bB&=ynP|_k2f_7T)&q2#inLi_4Ap3;@bSG zv1LKLbAG95q(Jq#%rg73__SZSG557w1N@)P{ISM%!OUkef3&e(7XDP`pKL;%tp3T&AFqX3(7NBB zsBIEXUi42HBMWwZkokM;O`3j~`Frh6!240=@3S`n<|mo&)S*F|y1rM}BGcdF`%UZ| z>Fv*EeyVN)f#_~OsB01E?()OB7D4-+epJ^YOn$3>v~CcL!5f;$AvpcGKh@YQ z!$0QV-`FfW`KVvr-LSTx@In89EAnk;i`zPx?|^^aTCYYmHGBy zVED_=?iPPK=_YG0`4^HZLEr2C#iUALc-6m@RLPc?{c}6=twohE-3R^4ag8AL4u3YT z5p6K==i(aKOUJ(!*N8&7-M-~r8mxEzn@E>WO zCHeyk?ppuRhFOGykNFQZGzwYf{m1GX1xWX}fAfwttUq)|{3o;BwbOKktjGPQvbD@J zax4DR*;;lyaEJZJCrnvpow$SkvrV80=g#?0G_?w^t@>YUY84(o=0DTaD!6-}|Kg-g zM^61w|M{kQL`t6YpIg&BLwCr3VNE?pZm0kCNj=LyY3p|Q-&j-6fph*h8+OqV9z5m0 z+|(+3e!_pHp;cCJ@AqF?6AVLlrT=QfJRM>6GyZE6=81mK%boY%X_`m4;yM4_rdHvK z7yP#-ty1PiyEpvrHq9eKbJ~An(mdr~E_84EZ?36l-(BH=ez!AYl2|pF84ofm_|tRi2uC_p@c|* zZu381OUI$x>VJPN9s6#(|7inUA{ICJKU&KyBX^nq!-iRewQll%JYkkd>*xL#4YP=7 zJ?#G=+qC8H{_n4UxqZWyH}B7T6OX>NVWN)PBX;G1yuXg29k*NT%DR>v2p&JT&Skse z4S#-p%l5^`{K7hy?YWI&L)JI8Ew23+*9US>jKP=JH+1MO5&O74i2HI(`O^B9opPJR zgsyAow7XF(=(>iE+-5PFzk2w`oYF0EFAJtmo={;Y2tWY@A zsEWV(;)G7B-NjLUp}CV8PB|u?nb67Le%!w_p_2hV=U;B_WQPI(N|_SF2z1A4$3c38+8o--)|VTlFjc-MAWfNA)@Xp}2Zv z)vx*w$JLM#RKM;&8drzipZ6b&tHVa$@E_k5Rfm0Ekb_PFd6@kL|3=(D?Eg(U(Z%&) zqi@Ms?wb6H0_pPaF>QHX&Thl}zKM!pTm`wk;%VNLUsv>Aib6)lrvrb}p8WdS9zk;2 zeotc;>Y9$b+uz)@jtR~EzBLxm36t~@i@3G1xre~SZH>)cL_}_HZ0;bWc1L4#8&Tdn z$AU?W#S!qU{N9>Y4xUDQlrq%&29`0*u6?{G5+fA)K1i(5w z^UKc{(Jp18xBdC1F&#K=y{1-ieW(1rjVK%-GL009sfv7AWXA7{MhNnpe>kcT;J5ilqY8oaaz7VU$cDH3ndU_hzMA)sMHFrRW>QTSY+yMcu1;03!d$f>+rAx?|`0eZXY3B zb*j02fa})P=JqLs%HG%9K15LR{mt!t+{b>Pxm^(OtpDKr_8mKZ@xND0Svt`C>9@{2 zd%7+wsMD{_3fhgVpso4lg|nw$IeqSeTi%jiGBen>&ux)P6b>9a;cmY)-#9Z^I(F1K zl!ho>j*fFD+pdF-^NSsHd}MQe@r(@idA?~z$4;Yp<=9b_r!e~1k%f78i;SMdGMl9t z1vK9#&9hwQGL*Q?c67utZFIC{9+qWhW$-RpW>&|J&}_>LF$UKuk763Gw^yp>u-+!= zhXVTDDa~_SZyQQnZ>vl>H*@&#$-dihdA?PPt25}nJ9d zXumOfNBec<`#joP(L36&2h7;|eSq1v{?PXP(zylf32%25Z%@w6xr+rYbF#;)u?OAa z0L7*Ki_1^CdoYV(sJ|`Wf--S)H#X1G&6w`w;_~9r$Cl?^R=i>IO9YyEU~r?%g97Hc zLx#>1gE>mX;HBsYu7EeW9G@An`~b^SEZ;9Z zjxS*JgR;H}?!hzX+@Xzm)^?Z5|2uBmrhLOp$7OhQ-3B~*?qWRp?h-r(ZX<+saLBdr zI&_=xSaf&eal&nfh)LrOkR{dP@56tyC?p{=!a94xrq~rBqDdpa_+aZA2 z3*hwSD+NbJ&Mml`@K|)WVS>eFw-vAZ-5$Iy?RQszE0^}K&XVqPT=Fiot+d??pB>U+ zZl&wy`0TlPOmV{P#N#BjgVExC!HKXp7*asJR@&zm-R*c?Y`X{el!FJKUDx5W=N^c3 zamd{wjYrzg2W?dE}TC4?rW#1L#UW9hJ3Be_ImCTA<~hh#W@kW zEEEs84KCjrMlGMd;69VdHfG##6PbD=9$jVFp4*H^pZgcEE)|@c*;)l29)l&4_tiu0 zpnyUvwkqf%n-5(soJo7ON^HM~E_@YKcJU&LjPrn&X65+)5(bvbfHERHAB0f^$B*!S z$Q{Dtgxf06&$=mjnN`W0?YixF^xS25^xfrn44jiy%o=jq$6??U`ojVZjU%9^>|Y@mkaJ1tQOn?Haq~B zf@`q)_{`z?j=KaKDd{tZv5W56&8mQ}#Zxrzb?8N(fxRAt8v?F)2nEzYf6N_n$M6uh z1q%hIfeW==R@9Y?UrZf5BZK4xx_`dw_TbTTH{;QFd+`{!TV#voU=V5EoX~P|t-!2YfAfvoCa|2gA2z+KP{CqOEwXVk_RdCf`|caSXR_ z?8>jKI69o}qvBfyXNMKGiAelinun(xGlvwWxj#P?S=(Mr84Tc9h&%5&0b>C7{8 zr_Y|Hx!M-YRbCzn;N9+7i zg@MboFmxNFfUvCFDuttG&YpG`N#XdJ^ICuvbQk6S4^T@31QY-O00;oh171@q8L>rO zEdT&mEdT%p0001VZ*VSnZUI;=r_xw#cvdkuMM5ATARuBfW;q}rARsbiG%)KleHy#^ z)2!}PnVJReu4#%JetiNlozxL3_T;FB?3%warDD%u$gv7B8C3>@x6@$~=A7VBxo%1r z%P*W@DE-!k|0iZqnydMeh@|q4EPMyGZYB(25+d#K#|k2g_W7{%{pF>59iz8EsCW_J zfY3f4>f)&`3$h@fMx&5aI!lhj@NIS6tB2S9dg!8E+h7`D0penlZMeT>!r?=sWT4U> z-b(bm7;^>m=N(1>hmv!csOFQJ0?FbkAbF-qC`KgiwMDh9EtKO@J?Sm)1zO23$2hmv>62bUO{na*IveP3S+1MpyDm!iB(NGUGHjQ9dCPuH5SGQKvoOPC5j zrbL`Zg@5c=R$Y)&G#oW1WG%)^v3^M)nd+W!IB3^zN5h{*TK$FA#+QP~-1CZRW(lIvNfW~I*GzLClmr7RY} z@xYq;h1pSkM5t#i-?cCw-EtCoR0WGUoY7m!$iZrx=;iIqreK{dfu>)J;I(#4wd-S% zcJD4A52&z)x5r(Vh(LGq?2PRQD69Ihgw8Q#Z5Axs!7YP6JdEJezgx*tfXr3mMwxMZ z=PM-PpplYl&;f1+WRJG}JBGq_{tLCB3H}v2<4S*y@a#LFL+bnfdV?@w|B4TFwuS7f zH@I>^c5LP{PM<2hOp z8QLk=zN-PX$q9*|H`e#^H@l`T;2CiWD36>M1=#M|Qwct^f6A6!Spi>?gZY&E`oba_ zYqSu+Noyxh+SSnlNc*;K^VQNdQ?wqm^nBQ@%h*hpH+%k;ZcLCL?bt!o?8e z8g~Gl+9nx}pUdoNrB~UrBwUH3fzCasV)o=gBSK)SE}lE@_yx!$7_a*~QRlO@8%wIu3?P-jC_tJSm`kC%rhhLtk<3bc zB5v@n3oTMHWXwSH78qT<^wx(C>vTV$w!$@ohVG*=t_(VO{cSi75+xfiaJnm+P(-tI z`15TTY5VkDR1S+5@*S3E!G?1)$4|I3qJMd_a>i|isJuk_ZKm`s@cO_OdfabOFMf;4 zx$h8x6EC7#TL#y`@IX=}fu|TuO(%3Ie{%(*RTwVCGBJgCg0(i2hT&1UlX(%?;?o8mtE+TL3c&>1Hok71)i*ZrAlP-QObE~B+6Hz zd@Ajnsufhhr1PFub^;GJu{;TtM{VRE{Zq*F<@7wdSS|%iD6_PvQbK3TD<9eTB?z_yV zko@QCV{DIDS+LB!;<%;lFp~plYv@^=#CF3ygV8vINr*43J%7l9IKv%PK{hJOnv%Mu zc93qbc@(-|ypXa1@Z(!5Z%phA2t57v9F-CXI9jo+8IO6aQ_qMtWP1I~SPjB*-N?7y z^?cP6ey!kO13l(HLf1ghA`AzFj!mx8w2lrfk249a;bb6qq=I0A%OKx_no%9Y+P8QDb-I#K2$n; zf)4 z9nU7l?Lam?WT?h|_}9tPKnh*!gK*%fzdicLSj?|hbE1f@kric_Q=4W;_$#f?V=H^+ zAh2uD9U}^;iu-w5SB~ zhOy5Ub%18db3zl$^5fZHeK8t|r>XxxeQlI`v@%?a)?;mwnJy7v+r3H^hJ6jm&_tH$YH!KaRgM-4ZSg;y?kxeX8AuL_mIj2 zzyysTDCNJ^_x7!vau$zl9@nrP-RT_?)$jjpfia+if3kHI5c%$eVODtX4qL*5=WF6L z>kb^VwJhT1zy5|{Y=QhBH7|8Uw9l+X~8q5S2DgK(|PrH=K_%X5B6&uAa~R_q^RM} zG4XJ--ArT9Cai@aox1z!kVKL+ao~3D z)GlRMK0~NbkiJXPNM)sfZ!ms4nsP94*bP%@1h^Wsf(o=i@xkvll*Gy*08 z962lm%^gp$3yI7O`YA@q*#{#72K2&00xZyb^zY24*mL)zGOAT}s=Lp{vV6L!sR#Wh zKK9mE;-%%|=vc@|)fD)f>8O#Doc6PUSD;pm+3}8a@SK_NU13{I6&+}Du44yFk}WHO z7#GDlaUXWr7!`#Kf<#<^d=>z}q?=}(HqoQQ8YTQX6A6R&A_T3&7W=)tXMZ$)JXI?cj zHT^*Lbgn3w2>zWc(dR~pn`a|m9__KZ<0rp>>_4gD=G4V%5b0SduT z@k2C?-A@z}B5R3OB_PAm?!C-3D4?69M@`JXC=tR^0s_mVBW@XBijY%F-|LMrk2Qw3JoADZ6aLxQZR?*G z4&em3_wYfY3D++e=Ju_N|)@rMT805U4rETFV>^8dDyLmf{>VkpE=w| z&U-bJ#v}dePX9dl!49Hh@V)=ZR~5K#G7z`@j&>P>6gn>Zh;r1jK@uY?qFw~T0dsxf zDZc|wFwDmUir#A`s0Yj@L-l`x2_&aiH2nb!YaQPD-(pb%QMacKVj=u1aN-?teu19T z6U1waxcX@dCQ=}OYiyZbp0PWfs~C6J!H>O@ALd9A=+f=@K0ik80QN>7`GI=o{P;X7 z>pkSwAhf%|1)&toUpt>#>-otg#B=4CFB2%cmi2qNRv@I4*@1a5)iO**8qg7b z591LIx=T0v9pLt*hotj?X7+p=<6SD=UOkp>f0qRgh_c?Z(OVDGT1rfG$zM_|e#b;p zIkYklsFA%%a zA-mU_TxjA%pgy9%4B)R{qEKK+eHP1v69@$v%eJRu(aH?{)#IG!q>q7|Bv5*^5R^dt z8koE;-X%nYtYy(Z(WFC+0*TIoLlelykI7Xl+Z@R+`@b#~b4zOBqU;2L~V z^)}LPe*m>JlYSas$yeoV4VI+M2L+uW+D#>@s1qQD(IrZbdQOtCbPLPEi^66*?*GN& zzKo|Tt3zvwcN&IhWfxC7o7 znAY~54bPJsGX%9f!p3-y$P6H!a!v4Bm&g_O%o61QaJXOWd3YMAYr=qQ)n9a0K`-PS z|Lre{r8pJ-`%SR*T*I^%iz-sVhGAU7^AeWrAmWa7rIJ3WPJQWA_ z)GH|f-fh`uj2GP7o&Q_}HY%7TI(XM6QDBJv$6w1?`16W|&Vm!9n#&G>^-0P$S|xLzaKvMyii?=38o21)8}_xURsHC}g!AzXq-iK&3}E}#6&Bk2AOO2JA7B60n_!!w)dy2_*TioujOF^D{~~*b zjsMWldC&S99KLeif}p=Y*nQ$cpdbNM+HZBKSHI(CncCV<4S>osfy|Flq;9fOQ$Owv z7U4NDo35j&(oR!ZUG2tN5XVZ$wFd}*xdtPi7L-X=lL;oAO;InlEtT>*{NsdP0jBjh zP1B1@5(xD4UHTS|^FMR{*Z7OrysJE%B5^EC3` zto{|P-wHclwwqESVS&V%=+ZfA`T$vtbZe}f?xsChD@{{x?ZOh1N?Rx*#-Yztf$sg% znu@mGp@I+NK$}>^?D+7<2Ak5vkh)!o|A5J1Ky7s3aS9@K)IDbS>{8<)6iA}w?0)8! z(AA17S)Z13+;=~zT1;Tsq8>fH!{bAdTogSA&?g-hBWdt$Ze~+x;J=rWYZIZKXfoaU z%=7581)(EmrcAwd0%$kzGau=8Cbe|wi5QuwJ^(170cgH?(et*{@u^4ds4mP;u1aYA zJ+j4(O%l204l{7|_9nSEopz4K)X7wWjI+WNJzU!|EfE~ik#6w);3>QIJ0S-g%`X3a zY6^98pH-7E+x};=0%0>>3Hp&5@=5|xQs+t%-b3ZFo(0rd&o#z@mib2VgxUJB?T zOn}@o?H`Rw#)_ew8dLRI?;ul!Z5KFoV_ zQtH~;rvV#>b)IjTDmx&3FKo|1feuKurdF`~5?fP*r6HG7tIvjFj zOP;Zt?P5MLvf+_|flrbDcQBv+)mZwjK6wpQSx?$=SoQUM6~=iX|9ONaoK~bC&eBVN zk7o&WU>NtJex2jiD!og|AmRr-n*;-ko1E}gXLV>#gw=kfDgT+oUAWEM3%VkDTtd&T z##^^xwn5cz+#Q^a_B3Q(R0;Y*kwiHJGNZSp!y0@b(Ro{=$L7nHK$UD*f7=Ct*tQ-Yf+ZtFpe1kBF`l3uTrhv3PtSzlZXlA@UhI2T;$ z6!BNuPyL-@K%kZ&=BSIgZA06Y>MB+5b%med5HbQK9p~c53jsvEo91my7a~>KK!q@A+<}()z+S?((?>jHyZdCSKgJf^V#@(eF|`; zUd0oI_U$u0@*0bnaBM)L9Hg`$TQ-aq+nFI;f6Dc$xvo<;W1hE~$%HZROM-YVVGosd z8;v!YIm{^Wx0Wsw(NqQ#xrT8#Nlo>Iz2aROAnJ)wCdh6W%6LIEB4)GMoBJ4G5#5yL(ltH)}zM=qtZDFjXymh{#jjOXTrbm zALk4ZOE!ANL7YHkS9cx<@MJC^?Mh5u(1GTEwjzls=adphy>9tZA%^m!3wos?I|Q5+ zRzwuK{xH@>9~aX#0fr^(Nyw6Nh`SPfRn}kjX7cNb1_W;a8%{9za-b1D@g%GkIo#xl6SQXaa>G6rbAxf>7-Sv;UQ?D79ePeD(}WuOAWlAf8CgqM^-!jJ;I{$ zQXn9Zdz0P<*)FlAUPcUB*$w>{ffnIPb-h+Y1v79$385Djgp(C5X8(d7yMQ9_sD#S?WoeqL1+VR9K zZD@>{eVLezL3Yy2fLM67QcLSy4{i>ihd2b~S1Jmr&FNY_jrhiEIeZ{C0JM+X*|-i_|dvd z98vVAxg_-55C>3r7a=jYl&54)ru<(3ubd0uInU@ln?(V(F1l20!aIB*R|VU6Ut%Hq zXi)W@5!IQZX{xbv_BBOH>wWJ$;@;X3m+Cl`yTU=@z3HD$*>9iLnuM8+f4%D$hL~^WqjYpxTM$vddU8So8&_n%S&JYz5klpC)oOTmTb7 ztlAOjGC2jxf*GDqALkU6cxI+cC^?1#ypyENH_Oo&3}&znJ33%&z+g*X;oz(qzp=z; z1UfEpCY6jujqwW6xXuyrDpCC4+W5A;YbICoURKqW3eF+odJoqlj%L&4-4U)P{iM4j z2Fo5PH~O20w*xDW8EpBb01UdHu1G8dHPt*D9amZ3S#NeJ;Wc37Xy7$Ka4vJJwM}Fx z`URzoH^12b^$`|y6DJASkd`ZxP@1RLB$gCh0pm=(agCMTNThmnssx(G@pEA4BdX(` zw-7t#nmtO2d!n|9f?1F%^aT$MxAgE}jcXdkP#sI*nu- zt^e#pY%Ffu1;at_d=Kdw&ZgHq*HNsJEE0WHjU1lywOL8NNTXgQ9S(IP2UJg0eei_an4`OvGQmk`fBa<2$}n+L1UZSTxU|4Hmbbk% zt96L5WH%LS4@bcn1bwiFOd6P=EqVR+#U{1W`sE0_68rQu69VppPpXFTEWn$5&v*{P z#5eO3via}uZja^&lb_8VF+#j~0M^Ax@6i!OvGq5Sk?mC`-AZg&9o*IT1cvW1960I#7QMr|kJkCGIO$Wyb8<8j4_^tMs+5le{THX+6>{=ZHU`y?|iE!!T z<{mAGkCCk&Y~!!s+^kQVI51W5w-4p@Wx;J{*KpKUJN{^9(3SA^H|@M$o~W(?GT83Y z1^D%q9pxcbj(ZjzKW#_ZP3o82w&Rmr4?vYBNoA7!QdYRt>Bj z>5_3>y4WhxDNfvTom^li*@ePWM_RR;)5jUE$$**))WqlxM7&8|=1LUUrX z5#_DpL)*BNj%wyasSb1Qt(+RZ4Ittv;5lMKyhO}OcJ1D_SSjPGvHIsWiBcl0zDaX2}%2&$;SK3ax`&Hj{IUV^G(+6)`ONMt%;nU*xov_rFyqO_aLb8a8wKd!n zZ-tJ4BQ4f8tFPP{&xZMcZILPH(40n-=ry;kg7efMrTrFdA>A@rh({O{EqAWpSpb3f zoY|NB>uvR$bc27$0o~P10Z4o)Wx4x)@N=M{lYd}g7H1!8(SPAqO7-UOaC-^QwAwmfymI>@+XZHv0ihc$tDxg)-Aknr^4 zos)P6Cfo~bL4SJDn=}&XEU9ej>$|H>8?j24pBCb_ry4?D6a?x@pKvhYbn0zu26Dekqvf#_nyliXO*iIQI}l77i{%xSl!CLKR}Hvm^4SOBYUl{1`d$_f&^=nJs|e>n(urhRSu50&E-T zwu*CGy<;i&wR^*VBY5DDP6=3yhAT3n*hfu#)dZ6YVln{iE1b|4?8I-`tSwfSJ!Tya z``5yQTVRNfIR->S;0QM(3>F}hP!SD7>1R8S{KSch?RODEYbJPzD{98r-#Hoih?a4MHOO=4-PV9I?AtAM(&)vZ+m5=c!4j;y!_v?Qc!(j<${W#4p) zfQu{E{}?+(eJsnbFE>yC!`}InpT{gpc1Q^yuDYUFu3^r?{%I(@#@4&*L>*w9wI~pz zQng4q)LCqIL}CX1e}33n9WuiL1;d?)K7l<5%gzZ(O)4w$iFDU3;0ftRt4POr53JSe zk}FaQfia#16^I>9Hg=^tgakDz zh<4AXE6Jn$chIaPA>r`HNE7hpEF4?ZJ zDjEV*v47k})?#HJBQ8B2O=RZY zh!w(Gn$e61&UW3^wgLXD+oIUr3`m!^G7^Q zdq3he80qkN+_jrH&rIiPQFYwPU0IMec-Viw=*c40=$ge%p5tK9XDnI8!BK_`c~N6i+w9?p@taQ69}4%Z6FxgNEq*H*0Ck@m_KFJ7Ruj-fmyCaUXipI|EX2AS1R_!;a^2ad= zz7B0{XD|-eMp~=`S~a~ZfzbJTyv_j+`9o25d7HvK-d9Fz;H>;?cGS<9)IZnBE>*R_E7}`z%-LHQRh`G`07gm>Ip*7`A^0!${4jlj=pmrzvVRwqtZKYKki6a|DbEc z@fixL-VYsvuf06XSW&c+m!=TS`@RyYZDv37I7J4i!7iP@0%-ePoR@$|eR4~xI)nZC zz7FdlkUX}Iw&T-ZTQoCqRWN09fX8H0Ux~$Q6ZcT@z3)?C#QOEYCqMS09XzM0W14>7JpV4oO{K-f+oU z;54P-(J}hON<2m-|LT-yd3DiVv*$m#X2LF8p0*;$A^B(Pu-7mVWs&3t+m(X_uD^`j)wH=wLd#KGw>I|ltKJJS?xgr?#)n*pdyTS zZZq~kBzd7$e-4Dj*q6|&P+EmdM&eq37vFFo3uSiec|+{y8`BMr2SfUP*9{O973=Rf zPJ)`!5qu;MR~V*3GrO8W-6;l9n!QNAu<71als&Huxf5rr)0sNf{I>RZ0!5pgzze}u zz1qL%SUz)ez<`i$wlJ$@V9Wag%AnbxKXWlV5e?0jfa}6c#+ly5jRD>b=Vwx_vK~I? zGI0}=a)O}OfDSe7UOqVh=dIKs+t)k>yl4Z|)L+j+xdq`OXklKzR*^->1~MJnd#RH9 z;w74`fg*e+*29>NbloAk#T}6PIS~+xweQSD7*OQqh^$3%Jv~_y!V36KqTV16R-<1l z7|)42mt>>G7Q>eHnX~X@uzR2Hs@@lcIBNIHd*`S9TATs0fg#R850SAjNyp;a=DG#( zHW}JN*;Vl6AJCe*6|TAs(XFniLdk6Z%tWJ!*Af=>$t`7Qsbvh-cmt_$K{kRPpYeeD zE^CAwYQEW2WJo;3f_l}a!EktPx-j~+wK+(J1NP2BN=zfIoTwBj5ghHClI~3z$XjXE4h^YD1YTorJ!T^NlR)|VEs$7 zua2LXBx7VEhRev2VKqnf$q&USzEf3a+1TT-M74Kq%um||tqmFCY8gUvK~*&Hm)!wM zD+MC3Y_j*`XX*o!{gn13n3+dfX4JS?J_9R&*V-3bqRFKnVD3EL`Go_AEC~c0OR-en zEhr-nP$C{ZL8!1uRIhH;A4;^|`P!QOB(VFWF$egH zJjt&xZ%jO4bWq4J3|CsnQ;bc5;SKn7&P7Y3z(aGyi_x11SzfJdmyHZP%MLWHXBAZ( zrU!)p*=?9#(d3jhCIxmHgPvPcBf6=$uV#x93noSb=;%-Hd6R5Ep*2NBShw>J5r@_g z(&_EHTiqxcqX4p$B`jf{qCttXaoue)$@A$;nx^Hf(p9~=cE6n$tOY>i=*IV4Ix|Yt ztJ0R8^9U-|S`(v1GRH_`FGe4wzjpub$9^q3knsk zyHaen4fZ-%$!F<~{e%5{Yp7>jUoxg+*k!t#Y!ts$v+-VYBB;LQu#P~0bepC7jtwlWu_P<-*RRZ zOo*7!IF=ApLs>M{VXyF8F9df0(T+Wh-9#s zL4AkvYS9{UD zfULARVnIG{+TDHzh8AGLMYL5HSmYT+rpo8?0`nFORcGGFk0J%l5E(VYQ*Hyg*MXoP z#@Lg!mi;V1&+4p2rvx~e>d9_>Cj>d;mIlvaddT(aUwTxG*E(^1(yKqM5^>7J;R{QK zYQM^#%UsnNf$K6z!0&+#>!q&pQvV5z=lEdOI{v@SQDojvh7+lDxu+#;^=q-6;txtJ zY7wT=`;Vf6mpW9w4{KLe3Ok}Kg#2&o3sx~vW;RhY+ zy_z6lOnXutsbZmHd`;WAsbAsOmB=?ViDT4cIuS34y{=(DmjE%^(iMrrd;vlG2RnwZ zZtZB%`enDYMs0g_9DW9g${JfaDDQ0Q_{pMTL$E7nP6Q>Tg=eR>uCt5R#1HOwe9E(W zQ_}dzJ#Ia{Jdl_DU$%+a`0yYd8y=*+;DO#tls@v{#bjak8EbAief}ql0RPN2w=3I4 zO!sFlz(!icGIG0|S^GLrA?+@g>y&B4D*+gILLGVVt_iA<`90Q-?|0*539+F)J$c9m zCEnUO8ld_FF?uNdTeTE)6>L9*?B&t9fVgTjqGCxU4 z1V`3m-9vc>wr>$L$;lmrdP1Jm!sq-f?wCiA#Rd+xPiWKg=WjZRJ zWdbj@_)I+w+(%Y+O;@I9;@vRNumVkTfvXI5uTF=eLIfQFy5c zlV#EB2uN7e^ptXt!6QWI#@95x?zoAX88kW>&z?!OjH85KJ zWB>pF2mt^96951JbZ>B9X;5f+b5C$|E^=jXY+-rh3gg?vaazaQ=VZ8sv5|q%1>+!( zRUs=pw9cOK3_Ni@n8V*U@WW~SV1q3I1{<~*nQSsL4FAB(7s#+ubP|W3PT&WBy+8xA z00V;!Mw_-688V-g&@w0pycy#yH6uGGAvJ-=RGb0TQ5sKI3A_S2CKBiOG?=8|M!;Yc1&+H|Ge+} zq;-e2k6Z5is}W&iYj;QYcWOWX^H>Yh2=>d5j#lTaR!sJudCB}{*vfT>Z@(~lA(47) z;@c;j_I_?IeoWrSUN1RXc;LaQlP@%b-R3p-OySlzv(ImbK|s^7bJ@iQ`urs<68C0i zA3rwvT+52h0$e}!Z?raL?+GrN_x*&8s`K<+lNPMC6;5AkT(Uwt-1F`FXJN7NdNTPv z_9h-ryDuG>yf;>JN|y!asi*#PWJ5oxgx2f5oBw+~(;5-h>XX6yQA6bZ1?%m9fgv#s z7$UqVA(D}jliTN*-RvOJ^8UB>vl|Ygytx@)Mb?NodTdPFXZSN<#gNBR7>-KWZr^=G{8SM27{mXFu{QI=L6y8GDz4>J?1&PF%J z-(SsFs}*_mJn21Iu<-S>6RozDQ#OR1zO_Vr;^wozcRk%JKl6v(a-Z^DQW1MgqU*<{Q@dl22{cUQVj$Un=Z&g zG-c)`7oqDi6<+xGBo70Fiv_w>ALJmqic%8MHElD@_$DsIz;M-wfq@fZ6PWzpcuyOm zsW>CCAQfHPEf(>|Gl6dL@kF;v#ty2j1jDZFt(O0014HghG(r=^TepBBps*;%3=0$P zhrK%l85nL?A;JRW!vBr4k|CNZl2Ve<-FG$N>CvCSZ6EiY(5XMM-$7 osbNH7s$Y?#dzE)ZL9tt=OO-{4kG_7OQL%|fwx@r3l}WxW0N}Jg2LJ#7 literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt new file mode 100644 index 0000000..4ee4ad0 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt @@ -0,0 +1,146 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:30 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_clock_utilization -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X0Y0 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 72 | 0 | 0 | 0 | +| BUFIO | 0 | 20 | 0 | 0 | 0 | +| BUFMR | 0 | 10 | 0 | 0 | 0 | +| BUFR | 0 | 20 | 0 | 0 | 0 | +| MMCM | 0 | 5 | 0 | 0 | 0 | +| PLL | 0 | 5 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 50 | 0 | 10.000 | clk | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | IOB_X0Y24 | IOB_X0Y24 | X0Y0 | 1 | 1 | 10.000 | clk | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 49 | 1200 | 9 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1800 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 950 | 0 | 300 | 0 | 10 | 0 | 5 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 1 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | clk | 10.000 | {0.000 5.000} | 50 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+-----+----+-----------------------+ +| Y2 | 0 | 0 | 0 | +| Y1 | 0 | 0 | 0 | +| Y0 | 50 | 0 | 0 | ++----+-----+----+-----------------------+ + + +7. Clock Region Cell Placement per Global Clock: Region X0Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 50 | 0 | 49 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X0Y24 [get_ports clk] + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0} +#endgroup diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt new file mode 100644 index 0000000..7a559b5 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt @@ -0,0 +1,68 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:41 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_drc -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt +| Design : top +| Device : xc7a35tftg256-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: top + Floorplan: design_1 + Design limits: + Ruledeck: default + Max violations: + Violations found: 3 ++-------------+----------+-------------------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-------------+----------+-------------------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| PDRC-153 | Warning | Gated clock check | 1 | +| PLHOLDVIO-2 | Warning | Non-Optimal connections which could lead to hold violations | 1 | ++-------------+----------+-------------------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + +PDRC-153#1 Warning +Gated clock check +Net gen_clkF_LED_PIPE_refresh_a1/CLK is a gated clock net sourced by a combinational pin gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O, cell gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PLHOLDVIO-2#1 Warning +Non-Optimal connections which could lead to hold violations +A LUT gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2 is driving clock pin of 16 cells. This could lead to large hold time violations. Involved cells are: +LED_PIPE_Leds_a0_reg[0], LED_PIPE_Leds_a0_reg[10], +LED_PIPE_Leds_a0_reg[11], LED_PIPE_Leds_a0_reg[12], +LED_PIPE_Leds_a0_reg[13], LED_PIPE_Leds_a0_reg[14], +LED_PIPE_Leds_a0_reg[15], LED_PIPE_Leds_a0_reg[1], LED_PIPE_Leds_a0_reg[2], +LED_PIPE_Leds_a0_reg[3], LED_PIPE_Leds_a0_reg[4], LED_PIPE_Leds_a0_reg[5], +LED_PIPE_Leds_a0_reg[6], LED_PIPE_Leds_a0_reg[7], LED_PIPE_Leds_a0_reg[8] + (the first 15 of 16 listed) +Related violations: + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt new file mode 100644 index 0000000..e935e4b --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt @@ -0,0 +1,146 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:31 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_power -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt +| Design : top +| Device : xc7a35tftg256-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +--------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.096 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.024 | +| Device Static (W) | 0.072 | +| Effective TJA (C/W) | 4.9 | +| Max Ambient (C) | 84.5 | +| Junction Temperature (C) | 25.5 | +| Confidence Level | Medium | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | <0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 124 | --- | --- | +| I/O | 0.023 | 18 | 170 | 10.59 | +| Static Power | 0.072 | | | | +| Total | 0.096 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.011 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.013 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.007 | 0.006 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.9 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.024 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt new file mode 100644 index 0000000..8d86980 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt @@ -0,0 +1,119 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:30 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Timing Report + +Startpoint Endpoint Slack(ns) +---------------------------------------------------------------------------- +LED_PIPE_count1_a1_reg[2]/C gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + 0.419 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[1]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[2]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[3]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[4]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[5]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[6]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[7]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[8]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/R 5.426 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[10]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[11]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[12]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[9]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[25]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[26]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[27]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[28]/R 5.612 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[14]/D 5.711 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[17]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[18]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[19]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[20]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[29]/R 5.759 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[30]/R 5.759 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[31]/R 5.759 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[15]/D 5.795 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[13]/D 5.815 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[10]/D 5.864 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[12]/D 5.872 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[21]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[22]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[23]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[24]/R 5.912 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[11]/D 5.948 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[9]/D 5.968 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[13]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[14]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[15]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[16]/R 6.051 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[6]/D 6.093 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[8]/D 6.101 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[7]/D 6.177 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[5]/D 6.197 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[4]/D 6.612 +reset LED_PIPE_Leds_a0_reg[10]/R 7.328 +reset LED_PIPE_Leds_a0_reg[11]/R 7.328 +reset LED_PIPE_Leds_a0_reg[12]/R 7.328 +reset LED_PIPE_Leds_a0_reg[9]/R 7.328 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[30]/D 7.332 +reset LED_PIPE_Leds_a0_reg[13]/R 7.406 +reset LED_PIPE_Leds_a0_reg[14]/R 7.406 +reset LED_PIPE_Leds_a0_reg[15]/R 7.406 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[31]/D 7.424 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[29]/D 7.445 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[26]/D 7.447 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[28]/D 7.466 +reset LED_PIPE_Leds_a0_reg[1]/R 7.538 +reset LED_PIPE_Leds_a0_reg[2]/R 7.538 +reset LED_PIPE_Leds_a0_reg[3]/R 7.538 +reset LED_PIPE_Leds_a0_reg[4]/R 7.538 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[27]/D 7.539 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[25]/D 7.560 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[22]/D 7.561 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[24]/D 7.580 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[23]/D 7.653 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[21]/D 7.674 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[18]/D 7.676 +reset LED_PIPE_Leds_a0_reg[5]/R 7.685 +reset LED_PIPE_Leds_a0_reg[6]/R 7.685 +reset LED_PIPE_Leds_a0_reg[7]/R 7.685 +reset LED_PIPE_Leds_a0_reg[8]/R 7.685 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[20]/D 7.695 +LED_PIPE_Leds_a0_reg[3]/C led_reg[3]/D 7.702 +LED_PIPE_Leds_a0_reg[14]/C led_reg[14]/D 7.717 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[19]/D 7.768 +reset LED_PIPE_Leds_a0_reg[0]/S 7.780 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[17]/D 7.789 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[14]/D 7.791 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/D 7.804 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[16]/D 7.810 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[15]/D 7.883 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[13]/D 7.904 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[10]/D 7.906 +LED_PIPE_Leds_a0_reg[2]/C led_reg[2]/D 7.910 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[12]/D 7.925 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[11]/D 7.998 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[9]/D 8.019 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[6]/D 8.020 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[8]/D 8.039 +reset LED_PIPE_rst1_a1_reg/D 8.040 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[7]/D 8.112 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[5]/D 8.133 +LED_PIPE_Leds_a0_reg[2]/C LED_PIPE_Leds_a0_reg[3]/D 8.299 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[4]/D 8.304 +LED_PIPE_Leds_a0_reg[13]/C led_reg[13]/D 8.307 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[3]/D 8.364 +LED_PIPE_Leds_a0_reg[1]/C LED_PIPE_Leds_a0_reg[2]/D 8.471 +LED_PIPE_Leds_a0_reg[7]/C led_reg[7]/D 8.478 +LED_PIPE_Leds_a0_reg[5]/C led_reg[5]/D 8.481 + + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt new file mode 100644 index 0000000..da6328a --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt @@ -0,0 +1,336 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:29 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.419 0.000 0 114 0.111 0.000 0 114 4.500 0.000 0 67 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.419 0.000 0 114 0.111 0.000 0 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.419ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.111ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.419ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.518ns (logic 2.355ns (52.130%) route 2.163ns (47.870%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: -0.028ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.922ns = ( 9.922 - 5.000 ) + Source Clock Delay (SCD): 5.224ns + Clock Pessimism Removal (CPR): 0.273ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, routed) 1.972 3.490 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.586 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.637 5.224 clk_IBUF_BUFG + SLICE_X1Y8 FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X1Y8 FDRE (Prop_fdre_C_Q) 0.456 5.680 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, routed) 0.492 6.171 LED_PIPE_count1_a1[2] + SLICE_X1Y8 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 6.845 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, routed) 0.000 6.845 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X1Y9 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 6.959 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, routed) 0.000 6.959 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X1Y10 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.073 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 7.073 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X1Y11 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.187 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 7.187 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X1Y12 CARRY4 (Prop_carry4_CI_O[3]) + 0.329 7.516 r LED_PIPE_count1_a1_reg[20]_i_1/O[3] + net (fo=2, routed) 0.807 8.323 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[3] + SLICE_X3Y11 LUT6 (Prop_lut6_I5_O) 0.306 8.629 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, routed) 0.573 9.202 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + SLICE_X3Y12 LUT4 (Prop_lut4_I2_O) 0.124 9.326 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, routed) 0.291 9.617 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X0Y12 LUT4 (Prop_lut4_I0_O) 0.124 9.741 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 9.741 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + N11 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.448 6.448 f clk_IBUF_inst/O + net (fo=2, routed) 1.868 8.316 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.407 f clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.515 9.922 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.273 10.196 + clock uncertainty -0.035 10.160 + ------------------------------------------------------------------- + required time 10.160 + arrival time -9.741 + ------------------------------------------------------------------- + slack 0.419 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.111ns (arrival time - required time) + Source: LED_PIPE_Leds_a0_reg[9]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: led_reg[9]/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.403ns (logic 0.418ns (17.395%) route 1.985ns (82.605%)) + Logic Levels: 0 + Clock Path Skew: 2.112ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.220ns + Source Clock Delay (SCD): 3.036ns + Clock Pessimism Removal (CPR): 0.071ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.448 1.448 r clk_IBUF_inst/O + net (fo=2, routed) 1.050 2.498 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF + SLICE_X0Y15 LUT2 (Prop_lut2_I1_O) 0.100 2.598 r gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O + net (fo=16, routed) 0.439 3.036 clkF_LED_PIPE_refresh_a1 + SLICE_X2Y13 FDRE r LED_PIPE_Leds_a0_reg[9]/C + ------------------------------------------------------------------- ------------------- + SLICE_X2Y13 FDRE (Prop_fdre_C_Q) 0.418 3.454 r LED_PIPE_Leds_a0_reg[9]/Q + net (fo=2, routed) 1.985 5.439 LED_PIPE_Leds_a0[9] + SLICE_X0Y13 FDRE r led_reg[9]/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, routed) 1.972 3.490 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.586 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.633 5.220 clk_IBUF_BUFG + SLICE_X0Y13 FDRE r led_reg[9]/C + clock pessimism -0.071 5.149 + SLICE_X0Y13 FDRE (Hold_fdre_C_D) 0.180 5.329 led_reg[9] + ------------------------------------------------------------------- + required time -5.329 + arrival time 5.439 + ------------------------------------------------------------------- + slack 0.111 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X2Y13 LED_PIPE_Leds_a0_reg[10]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X0Y9 LED_PIPE_count1_a1_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt new file mode 100644 index 0000000..932c5d9 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt @@ -0,0 +1,207 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:30:31 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_utilization -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt +| Design : top +| Device : 7a35tftg256-1 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 19 | 0 | 20800 | 0.09 | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register as Flip Flop | 65 | 0 | 41600 | 0.16 | +| Register as Latch | 1 | 0 | 41600 | <0.01 | +| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F8 Muxes | 0 | 0 | 8150 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 1 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 64 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------------------------+------+-------+-----------+-------+ +| Slice | 28 | 0 | 8150 | 0.34 | +| SLICEL | 21 | 0 | | | +| SLICEM | 7 | 0 | | | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| using O5 output only | 0 | | | | +| using O6 output only | 18 | | | | +| using O5 and O6 | 1 | | | | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register driven from within the Slice | 49 | | | | +| Register driven from outside the Slice | 17 | | | | +| LUT in front of the register is unused | 12 | | | | +| LUT in front of the register is used | 5 | | | | +| Unique Control Sets | 4 | | 8150 | 0.05 | ++--------------------------------------------+------+-------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 50 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | +| RAMB18 | 0 | 0 | 100 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 90 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 18 | 18 | 170 | 10.59 | +| IOB Master Pads | 9 | | | | +| IOB Slave Pads | 7 | | | | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 5 | 0.00 | +| PHASER_REF | 0 | 0 | 5 | 0.00 | +| OUT_FIFO | 0 | 0 | 20 | 0.00 | +| IN_FIFO | 0 | 0 | 20 | 0.00 | +| IDELAYCTRL | 0 | 0 | 5 | 0.00 | +| IBUFDS | 0 | 0 | 163 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | +| ILOGIC | 0 | 0 | 170 | 0.00 | +| OLOGIC | 0 | 0 | 170 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 20 | 0.00 | +| MMCME2_ADV | 0 | 0 | 5 | 0.00 | +| PLLE2_ADV | 0 | 0 | 5 | 0.00 | +| BUFMRCE | 0 | 0 | 10 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 20 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 64 | Flop & Latch | +| OBUF | 16 | IO | +| CARRY4 | 12 | CarryLogic | +| LUT6 | 8 | LUT | +| LUT4 | 6 | LUT | +| LUT5 | 3 | LUT | +| LUT1 | 2 | LUT | +| IBUF | 2 | IO | +| LUT2 | 1 | LUT | +| LDCE | 1 | Flop & Latch | +| FDSE | 1 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp new file mode 100644 index 0000000000000000000000000000000000000000..abb425cfa12369f191cc4e523f96407036ca2458 GIT binary patch literal 142372 zcmZ6yV{~Rg(=HrmV%tt8wr$(CZQHh!iETT%W81bhvCll`{nq=e^ZnR+clEWayH?j) z)!lVH6H<-^4eG@_x3^U&+?@V1$vA+3|bDHC>A2uM|G${}}$y@NI zpw!v;$Z!E$O}o>LnsNlo<7?RoW=2|etdLZqcxq%Y5(lHM$HROL_8DfGq;r0gYsdp8!i2q2_>;?4=qW18T*VCS7X}s5$KZ ze!zod-|hP;U-X1j_+LMj|ML@l?;f2SE)dY}zvn-Ga!hacf7nD%#H2kzX5i7c7ELLA&z}60ac%Oe0l;Y zCZk=^wFc7!yAE0bdp+97x;so>&gl2pJinjGS|YQqO$pb2D_YhJ>=~BMVqpxLCJ23g zm6^!Fr;0s(oel)10kZ6%gn`^Z%`DZlqFwE=K}n(52bpHjJc^Iq37a_Lac4chm% zYr?rwMT;SJrGB^x>X^avbo*EiVnNH_;B^3^YirFgK(llOUex^bOK0KceA3_CHtR}q z*6eHpLzA)oXS_PNzGWJ_tS%M@Fw}v{ArSU=%a2U&K;x@9ump{W15% zePgt`XLNgi>mo}PI+Z-d-=jSYP{Uc=LMBJ+fklF@`?}2xS>)4X#b7x?DMd>ESj=*; zL=>hfk{91wQgO-?W~NuKSE51g%D`bIG!OUCGB5fCt?9BMwUMY_u-s8~TD#u;b-@s= zq0uwJ{vG83RjI$)ti>Q&;r&od2T#W-&-<|K)b-#^IKLw?((7JEuTK`Ax^eZV(QhM){iNYjP>#Gvk#z#7|MWa~e z6_;Y=pm3>?!ASp+6UiAqY$>4z|ROwSg=k)h)bx{+?eqP;nFCFN=&mPA%suw)| z=*xa;*c6%APmQp+$_P>G^|_8U>b=v@c}Z9txgHCi`mniP_)O>{DlrEH0*tm<7!ewX^hZ81L+=lhff`@%~{qU-fYS`_LRJ9F^W-;IIF(kVe!bSdEy%ApM zm(kkr`Hq37$>2pTDzxR89^a-ZhqHQCx*UG6{X;iX!=w;TR;iqzN9{{rGZa=%ROFF*VU0lkd+G-1xB99d2T-w<`p2f^>cCq1 zzA<$)E8M7#I%VKxK}@*l(+(s@H1$Lpi;O;N ze%ms@>X-BVR%?Rbn1)D-NwE^k;B>Zwf=it%UH|^xLOl6hHTtQHpxYTp*0aq=mW_jT zmazy=w&OYrU$jaRSt=Aq@)VzO6RoGcu}RIlD0`lhQ_FJ(85K24-*V8a&c=O47Zj|z zF{@KKjaI-lgD#G@4;tlEz*`!f0*0jpNTpK;g&-)wPpN+7%z=w|Lvp#E{U5I}FrQ45 zh|Ne~p3ZTGj)=#ttEiToJo_%q7XL8|gy%14gbiL%f_XS&$FgeGSt(p}mV>D(*{EwAP2!bxK^4 z7Md5q+|JGDv%HKn+RAmoW_0*HD>M{W<%OK8rx(qK-TmyuRle;!^fU@dg^TCAvi5ff zu-Dq5o2#bPH3CL2QT7?k&ymW~t9o$Xqx&@MMvSUfqIg?LNTt`BB~eIrNtvNLJOMJV_)Yc*WS?1bq=CNyl!9PdXbErri_-p z#G4HLa)+{{GJ_d81sTmVU2}hB6`RGxGs;yL9LrNZ@gkgVyf3jK`N%A$bP^sCXf+f0 zPE0lMXm*hjlrZ8mdcGlkxn{SXF>1Qg$PlS5*qm*d&{FERy!M+xE=ho8?FamRCT@l;dMZ(yim)lkymli z<#KgF3L(%6!)A0?<`LZP7@Q_rCdEw5$spJFK{ZG)a|e%M?M zPD^hOMr-|f!-6n{Z7?Qd=SMrzR+AfwwQCy14RMIbwe!M~#ws04pg3iztoc&=#_!C0 zMCsW8H$xcT53ot(*}%0}P#UyFxRPkWp8*f_{6s2ia-{9}(qBS8DN*O)3uNz#Mx&mn zrjI;3-=L|QYJ+2*`O0S~Ny{jNNMEQqogiZvm*ih!zc>o2h&NX`#L@i`j3pp~-$0qh zLLDwm4wuQ?*P-)z-fG3IB4IFz)Ra^FwMK0Kam4ar2p{1f&?( ztaAM1Nyo?B>WaVC^qcwiBYkMU8TBTPW*m=mNWQ026_Kn>H(j--je2%Y5wJ@QFX2gw5X>U_iD%XXp!OnU4<}SPqE1iZ6QprLb~#;5A|7(WzoVNdb-sfRJp*tp_%xeeSO9$e zqhes6Kx=b&P;*a>z5ad%L|Z#euP;GUwSyOKE&*157s|QVRMU3yG>>nMC}$3YdVRUj z`xdK5j1r>tEBkeKDvx5^R$3w2?pW^uGPLRG~6$jq##ucajHhQ!@ z?)8UtzOl!p0iZuCDiy`E9j-_K)AnPcWkw8Uo_IFKkkD7hu2 zQekDU5jv1GHYvTg$|8-2T(olrtIOJ3w{CE+Udi6z^XuN$G9&-Gj;)-*Kk8O$$B@t^}TwHhB6E%J_nf;XalyN z#79q9gL`-_e%ZMJh+qQRtaqT_Lv0sHPtf!CpLJaxE;;$3kfIFLaN>!Jm&p@o+uT~d z*pJq}R8X8zd${ccFw`e^SP_Vr5(&lrsfn&&`0o^>>)<#L#qvRIQ1o;-*dcp~MQ4h8 zLo!obOiZW`T$*z-Ljg5j$ovctV)k7k=KD1+L`SLxvfzF({b#m~XEZDdi@q{B9&x1{ zHYM5NC(Oskq63n!6&dvdB@xC3G|qwKdtK2Z70CpnRi{MdiX!=CsE4*@%Y}7g;}?U6 z_ARdT)G@mU>4CwIc>*5cZ1;adpPvpUl6`Hw{f(Cwr$5?L-7vHW8(!j z6+S8Zq$=1sEbW4O!@{L_;M_9g69;Y6kw*F!zWVvQ_#v7;$}X!xi|rGhmOaI;sZR^u zl9%L{p+|_>CH%d$eShEQ_u1ypPku)`t-B?6I9XW{Xe&ev@uNx|!Lq&>)q@U_0l&nl7fu z`df1d4P5pc-ZBmTwAgDWd6F|PoOZenwvB#R@tT%pJ5wP2{QfZ<_12ri3XlK5s4PFL zA#kMI6pDtB@qWLEzh^Cgv(4|$*1=Wxm zT;{?@1pgn?gCB-x1V56Wzc2da-w4J(1~5o2!2d{Syz#vMOhOg$?H8OF@mY=OKDA5Q$xA-zD!RZc&Yy!z4wv-pL8p92pTj)tb0Kd?rhQqd zebK13oyy?EdR`$MULo{4VNU6MNaG8MpkFwb1B%=?~*{ThHyBbYu!E1L?SRu2OQO zAA8jGgHMhYpCJ#qyW=Vrek9AvNicAl_`2@742p+*dCc9*wT?y~ol>~6IR8F6kTF-= z80}ssS^a1nAq~y~V8;=G`rXf#*THy=xnvash_5T%XCIs|Y}%fm(>CsXhic>c=o}bM z!#dt`)T4JS5LkYXj<7XFi|#JXd^Gt-7<}(pngtF?FDLW0AM(#@rIfxQy3AATe@F!Z zx)TOC-E0VSJ`xRDTneRVx9yp-1bD?#~QTE=C1*~j5up(x~R zc-TPtTML%QTgJaLQL&*t44qTE8EfM->AJAXRkc-<@sZIV56Z^TRtDe8s@X`$NA$m0 z{bmD+?rqSzd?61#@6J4-`@DfFs|{)YHuP?m?TR+|(Z14@SIe+?6t|LhW`?QDoG88$ zG_JU&-gv=5j2cne-!3?!HF4D;oN2Y1Lm@Ai+!KtNnuy`asTX{la`Bmg=?}(B>6+Ny z{0u(tbfroIDqzHZ*XS^Xlt%0TV;=$B~vs@R%7s2m8$a#0B@iWaxVY`K#Lc2$W&1GLhtJ{GH|5jAc4?&5A)&9y1H=5hP7YbZ08_Y|t}CfD z_`7e9(W$*v88QI`^`2m@`))Js=$bVktfcohth*5|shzKV{j&_%z8YG(fbd?3Bq&&m0s6(-? zfWG132d3}-XcNx!AwjQ%|4n3Owqcz1!1W?c*!_|^F9*hnCvTJII@#No|c639| zPQY2@<*ILXsqau`RTQNeq_51$Ukk%Q_4%8a{5?zJ@Np!q2TEo0mht>GP^Y#ZzyZ3( zAj?f5ngBdjFFO4Se=-VY#h+v@#r(@O<>W@AQ`zuHuF&7qy*;PmPXz9h(2=kFye&MMJl zd(n!$-&x;9@rv<@!apdUnDLuEp(=D+l3u0t-#@-m<*_2#>4e~veDde|n>h(M8u5)%$~DUWHDm@NfB_XyDkX6LBESho4a$Nykx4nG z6yZR6-%CC+7h{a;3DZD>q{lRiypw6a=H9qA^skg*5fxC9$Rs<%AE zVMb5g2VU^0oq8B7umE|Sk!~87Cz6)JsCwEU*rK8gB|D&+!l<8~neQB(j^~YNn_Q+z zlZT}Ux=Jqa>L;9TqIr_OdsUHcJLFSLJm@!#PhCf?tG1ot{Q9SMnVNTEIMJ=41I|c& zy-6(&VK{%6ulS6LhK-j7;c+o*mRm3Nd>U?JwXZjDHz&UYA5kAqnD@9`w{cKkbIBbX zW@93jj6v*k>UaFZL*~Ix`)9!qEYDG0!LySg&tik(C@UaFH(j(&f6%lM#6z{`6sa(HYa*8ZTialz&z6;Uv1U-dfB@aa zidXkX7?LXc%V_W4DhH>cC@g182YzXPSQ!RpqXm1%e*1n<43*A*MTYFmGXt-Ft8>rw z?#THL_21jE{Yk6S;=kFbNV>*jMoy{sN z*DMHBacuuc8+KOoz#W|kJP|W(d>F2EFSaXE@(#Y=s04|THEQ7Dk6&Z*$i~Ld2xRX= zHM1j9IN3fGTbp@pVFTnD#sPFzJYEGlcExI7aMwKE!lUG(AIU_xLiq(o9>QX;O~lYb zM7gr>k*Oc>h_G1c;}C=@4i8JnKE-x4OiCnfZ(N7ED*kJU)0 zpLZWEzIx(T_o{ZsRXK{h;GRi-CEfXWIrC^U+3FiGt#^M;hF0doJ1&Envhu-BWc12S za6yFQnkq)f&%eJd$D9z|1_zOBOA(EEvaP-gTU>Dk1F*VM81;BNKedK#$AmYceoidI zl7wM(dp>mHxy11TE`JX8w>Ap}Ge zv4`mOZ{PurT4%Q;~f1o(jyWb~i@K4bx67oNoA$=*IZMeqXMelDG5r=ZihC>fYhQ5j z&sCvasU;m`I;&l&qAQ4$y>-N%kkDC>sKQz|8_~EdZjIO`#P8a*EuD(-fKKQ7nJS?m zn`y{k?Ee~0&|m;E?rvOW_>Pmt*Cl$Y@En^dAyO}p2go<${!%;sc$iD<4c|Qj07VIh zL)|pD@98M>iSEQCk^0j_O0hz1IkLm@7l3>Y$~%i-S*>G&=ig*NJs{$azM3y(aB*US zi|u#@p3zz1!ieFKBO6*F&qn{^n%5n9#pw4XM{c#j3i`2PbyT*2T8?PS=zq`$!AfKw z&{!K z7fY?6Ks8uWq?{x<1z=L9GDx;&MQ~HlT8J=79;6*pN)CFXUMTWMfi?we>{l5v1^zVD zu-^1!bi`UfbcI-q1k4kV(>Wspq_!vp?;Qk##Dl=03QH|J zZ5U(|&bFGwp$xB~4Es<3f1nJ-p}wx6zWPw!3!uKlp+c{rLi;aRQ9Q)P~!x)13PZ^~}d+LuPW{`(Z2Cbk%X zZJIK^@X2+!((rD z(y*uWxH$u>hqqJuzLhjIRJ86|Ox*5WywlH;!?b$V68dPR=Y{z+@cnWS(YtU^vbA3a zxBRX9sz?`H0zPTlO7asL8T{j`mj#!%w_0?7c8_!lpr+a&wAv@zoh12QR!e*E){5zppNO;> z5I5(wWiHxv%HikSp9veiJ4bpPc{@k3-3=+Lwtp57#9ND`4bKZkb*-TE^{>_1Bk1+v?jADC!A-+<@IrgSYE) zh3NFqJ#Dj>HnelC*v}t;Xpi8w!ihkAO@c;jPmZ z>0#EFTIc?O`E1wU!`Gt3^tfD@8-E(aq-_;?X@8+dRX2j0be?l)Wfq+SXC`wY1}1Ya zq01;V8dISes^(#!cEo2>92vUA5J^kh3k0EICCW5N&ZXSJyk_)J80o?Y$-W+VoBkL2 zLz`m{m-!wK=GYa<<8d%T@=Qg{oqan+kEyBJ$}{;$yD0F3!NF;g#=3er=5TVKexLvP z@ir6Gxeo9wJ`D#%MTA0EBuASaWx2?k8(%=cCwCJ3-Zo@w_?%OgIWw-3fgx+D3wv8| znvsbEet?)jb@&QOGQ%`^vty_j|D52lVp{+6Jr%$z`l)>q>oLeX31yps2}I|KrOVJ0 z!V+Os^}5kAOrjOfHDnY=BDhPR*o*vqKRuDW)C7tzg2e#%H#FEDEa|@r0i?h!@MnlO zQ>m#!-K|Q%L}+e0VpWvNfZguWz^Y&|0-Fyg9qo~D=g2qJTC$O`1ke6&P!6+$;EwuP zhbb6c3C?HM@af^NCmI<<#~ltWgJxDIzY;VuZM=(i8AMTjJa67A!%^(mG zo?omj7|{j(2;UckW6Z~DAqrhE=P=%FAD-ip|Jz`lDkAKPvD?!1OZtu7X**zcO&^Du zL!B_mD^hqN8g%yifj!`BUB!bHwJr&b+rZYD#?P~IKuGY64FnR>vB*y1v5H5S=6lNo z(_?d8e1D1O)kVN&48L$T5moouv_7jN=guZTCnsnH|x$Z5hejJFso<8JlpHg30-@K&k5E?$^kT1&eQ(U1pCL zN+z%wyR0^Q91xIUmHIKdw$zHogB?s&D?!}MS!3g zHB=hY>aYtAn?K)&qF)=_=Vkvm=j1t%uMrT@>~ld^PLe9i`j6Fk94S8*;0~z#K$S<2 zR6hDHq!*2|F045<~t<*aX>p&$JQ{$-H#1M=TV;y>qC+;P(>`jCKtq?v$#p#Hz} zE6x^%4yMamz79IeuDfjuwKKij%R4>Pn(E&={+k9kHKdzN27^}aWVC6`M(Rv#A~qqk z^+87cZ2Ck*&}>UAmLP!%LPCdJmX?3bvn9EbhfKTVu7#jNa5pSW z+jS&_mB{hXNw6&3KbXFxCC1(8Q-J4LbnucB#Il%KKqW)kjl)yJVq~x-NU92iYkwbE zhx#3aAgb4%)N<2sO<|-{9QA0uqxNU$;0K$3ZR3Efs-#RBHf$y0tW`(W-=ru zVV6Uy*CLOZj$x@DP|BD$jz5+{UYWq8#qze)2zO)#69cOr<>T##$h0tP7FOGcJx3@t zAh`x+j-D{!wgEUKcdcJx+7TJT(5@PMog_|JBx*vpPQc^QBEYeNGu2OTQ)PHnYf+XM zbnQ5fq}LZUkiez{=9Y#HCbOq|QHPFyT0qn$P^}(sknT%Wf+0~@eQ+D3quy{t_oNOO zqv+OaoAGc<9#$E|r{MpcLj-I)q+UHPaxQ@LG9AuT-|XckBwNzYY`+-20#F#a+wm|=E*ZFKn2GRu4TvsQYaJ9$ghY^h9(6R%5cEj zPQX)2&I8FbxnTlgwek4@!5K1x_3p$TW8&Q?g2gg}d@DSOINiVGju>Q*G#*4c4OQIO zjNw`X7&9@cI!CMUMoM(K)o`j}lqcQFCA^E%=#3J#p`4;UM|!?4z$HYxfEK0T7*MOB98(UkNF9^h(vadou1fOy|ePn@;Q~R52dk4H8gkTJElM-NIw>z&x3;X~FS@kS9 zdOkcxHF_?7N;irnaBAoF?$r_OjScVSu;Ex9%p50-m*bifm<9JTLCI|l)jqqo<_>aW zZm!So{wXjx5J%vWqi6F?WY=5gPXmuI&Sncwx<6PAP*kz}%r=$no1NAs+N79SB z5G#C?25T8o0AR0q1BF{qWx}dce>cN=S`szdu9A;CkUvNQjo)^6;obvb z{kG9?FVw*I$Yf>QsT3w=@h$#Vp@mg3E6;5jQnz)WaW*NzQ<3)2ZcSA>E^* zN!7dG>NRrxgOb-;JeDr~6gmH{!Rz0wGYIVtFxP25`2&(SFtVn+T^B4T z%^n+`iWW9Q$>VvRZ9h7Qcpf^;KAUTgw0+P`)@wBX^3yuBnC2njvy4oyVU+t?N(LWU z>@k6?-!#7TFNJWEF$<| zHSIN(OzFU%ra|wsA9+^OsLNv*S-)|JQ@YzEvW}f%r}iPV$qWq1yb;Nq5(ztsEyI}8 zWRfU(z=SD72ErKt&v}(FZoq^kLmtdY3*RyPzlau|QChUm_uG7%}B8Bjr z7yqkc3*RyQe-Qxp_4WJ1r2Qu`d2P*8c3(~P?921hPG2{8VV3(krtj=k^sMVeh~Z^hU%E&`tDB|h z?yJrx8amYd6i~MFR_B14_k~iO0|%r3x;*KEqG_Q=ya=Fn3lFv3u%T5&yg>AsUJ$ye z_o@zDxf~?X_zb1U^49V>FPW5+=OGrku&V8G8xX?~^A_H`)id({q=2#K_PMAAnnp zlxS!Ws-z#_W_F_9c5hLFqqL*KKH8Xm34q#LG( zI##0j4*I7L$TpD@`w5+bEs|Gkf@jkwX-XjseTT$} z8e5%_Izh)U zD1~AGg9mCWxqB}!_!*5HF%Jxbm(0oBVLn&TDimrV53KK2@(%I_b}N`%EknQyS?6!c zSdT1yXLOF9VNlFJWnL&hsRI#$<{uj#4yEd=fpZ4}+@T_ebJ1@G-z+uU{AmWl5#q$6 zYG_|fY2MgGuqB=aAHSHPd<0{(v(rS}N8@6cFI!2%ch6$fFHA`>f9-`hzZj9?KH3UN z{`ltN{5DiEp~d*bgU1)~g~eGCxQo+7%qQbwT2`?vWn)sNFU$&Af|165e|$f-krDkv z_a2Q&Wxp^jRs8*>Tga9#MkRXRHZ&hHC>wq;sm=Jrqi5sdo-a?ykPk+s zL%$f4jz0c{Q@@yyP6CqQo7;G>_7RB;>zI^wNa4|0qJcujg-z@toXz0Sfmy?jYR07b zmm8QZFpy)XMDyF&mX42NYonVtB(m~hUio|gFn4lT4_Lyal3!FW${jd!&(nj45syv$vvj@HiAe*?ND%(?XGDU+ zYD$;?U$@VW8Yh-9NBMaK=e!8$VeRpQ7qZ617nsIarvre5J4cNa%b208o5|e#f8wK~ zhP7o3ONQNP?LTqu=Cu7yLhJmk;|&%)EG2$$Lc+K>gXN^QYXI`%hHSJe0Q4jsLd%Ng+{D_AM z(hfbUJaBl4QWq(#0)BPQ?3SeWiv-%y)7vR6A$wS?+0K7!?m?EhNg#PuP)N)@ zZV^yk*gNuhfxeqyHDOS1R|2|v{g-_ZPOydd!US7bMB+!axfEZSeeMAT3kyr%4LG49 z`AhE!LNAAIKxa1aHXLY{(4g$4uni)%z0f4|vQ*zM8H;VfzGIW{kjSV)6gdm^^pQ~x#3vh*+i)f__J*VNfe^Q%8hwTNekCXrL$)8$EOl7E+i=_ zIqY1@`LRTDfSee&4{H@XiecHJh=p^N>c5)w$T>&F2@aPNm9~P0rVWv^6$NXVklYq> zp_gG%sRq>JwS?TMV;&MG# zQdFgf@X?p&SPB#CJz-;4=q;NQKOzY|;e@*cZdhB8GA!|-5TO-3lnSV&{r09R@DqTW#=tV;1P;aknP z6x3}*HUzc15;L3+x*Y0o&K%s8g!9#r5t?4FpE{k7#_y@-NRZq7o1vb;`Lp=e9@yJE z?Nhsd#(@%5OZs?#2cdYOJd36kX*T6kKE$S)quSkX8XRWtpDH+TJAFpD%Z+M zxkK%Ke@0<>5#O7o%qF4oCM@pAI`W_K*pY<`e1x`Hhmy|KVC9})v*jN=93`aP!rOfl z=x{kJ0ef;!h6uT(0sq-hxZF0c)zm^q z8HqlZ=l!Almy<}nY*wz8%$`w>=fYcpm`qUi6`c27EL6XSqCtjlmA8enih-GnuluXi z7KBf?;z7@+83@+>XNb?IBM7P;{^LFuhIH?k1mZ3dmh|z!3b+4-AtQjS9ea1o00ey> z+lM_Es@-DsKpR`8Ul4ytoh2h6l_$jBjsaNxo6Dyl7)s;f^8Vje;ix{z)2HsAST3ll z9TpI+s<3SOizB*_s}mMjT30D~60v?s*vbkEM9x`byBijG)kp+SGb|vLrYbv)XwPW- zPaN$VIukIAr^Yri?kHDW<@p}RjHAqQ@S(TP;1dAdz>*qYW@DLV-vdR z;bBW0Rru5X=YGkO z{l_4+w0vPO`|XszLO6fM*P(++vEyBA;7yONa1ZELXUBA7L+ZH;<~jVLA28c+%E(yl z_YHdaXqU(CjGcYGyF=Nk`k1^o=OOLj(+F=9IQDNv`$~o|c(|+t^506y^>m};2eeK$ z9oKiz2WD8M7c3sC_yZ$go5viH?c#CD89%I^-zsa|waxA_ZQx?XOF~@aC2R|YDdgL1 z9vGI%ArQRGRZ^hEck}UMj@b8%oHK-cM5FL-TU3D5NoT;{nQ;;mT|7Rr?v4;~3Iv&{ z480lHGE*fEWcccxItFQ2m|N@<3!htGYuoWv@Y

H*YmDAIk0A2GhDbXIVN~4@p8$+ zYynGe=Iw}Sf$`31xQ(L?YNv;yZ1AR?Q(QDIKEqrVKy^)Vq-x&LHEe6R8+#ON@Nf@x z)g-ZTyPA-2d!Q@(1}*+g%Mjk8I8$Qn94u4fV?;P+7aPVpzp_`(p;ioy8p_6qsM*$- zXz*qnOV*lO9K(t~n0&;-&?^GpMZ&FhQcz1Zk6fA?n@DX;qy6+>;Grc^dOoSs7UXiO4 z)_Bx1?hqe6W&s#GdB0_DM`0ab3!!-%jEHXG41|B=VOnMTO&6@CJ2gQbOan%?AYn`c zT1^OaXhENUzjngTwZHFqVf{&l2;$g6t%7qNHB?+0Tykuuy)ZoC@FBS{JmB!5z9{M5 zjpVxC?d_$x$W;A;R4nt{yX24ZVwAS2&cHZmZ`CyB%|A z5N-8W{>O{!@Yd9sUv&sNCLINhL@>SYQa$>7mVIayU!3}g81>d3M{D1-V-;HOY5O*` zJ7?a~9!9B;cCa_>$O-~x&cYs2@FMo-;9d+wc%jpk1;gl`4eIxxwJ~f;MwWuBYn4%X zTw~XTXg`Xk&^#I{s<`iv{ z)c_?N%Gcey2Y%#ArO<}2`1PL-|&Fu;nQ+OtaL z=q%clks_lc2pD~BftY^Q(^R`8=)2;MUU$S_Ub}F~noFbC>7$w$uGp92I9wTqcRsE`I_fyS}e)5NaOT`W@n~I;&z0XXTA7E=1#v`juW=1U)wO!D-Uxl zzM^g}IAL~oUD7Chbj@S?C*9D$H{Au_URd%%K%g7n%X%jRM5Ix<0t_J@Pi|b)zVtlRjk4+s# z+aaT}WJRXUYy}>U+>XSg_$zu~Dk_-*x`awKp5#hIs;Op$c@@Q-)LQD6d90LVi)pb) zZb{ceW*OZopxsOl{&#~Kx;Y+v;WOyD!PhpBt!LNVO>GCyOO3ScLK{5r!0&X*=aie- z+0CsP2SyqvdA00s(Y%}>;*86Fd{f!NxC?Y?l7bw-1i7?{Ar{_frdXuwbn}IjX@&#w8XX;*>H1n@cw-wXbY@twzCQ8{N1LXd=^)x*Dw3@%hE`f zs^Y?|)|y@5AMJrNf%O_S1zN+U8WHdcj?PXyB4$SgeD$N47E{|YX5+yMkq)DjTds!9 zAbjQ7m;TBHT-E*jumNr2U+L8D#(<8m7y2^#Jxd~;HUFROrGx}j3U5*>v1(A_kOxz8 zDAp#Og-2RYzWN*0c@szY4MiT0U({J~BP(6)wUj54blRv1i6qr++HvDBy#1I`D%K{(?UO!ylcKgmfY% z7}AWHr+Ge*2G-AWq8Ih?+~|P~EpZ>#lf1%!6jJCPqz@^csUpOQA8f&^F+-pq@<(fr zbusykWodhZYYPGS3u}BdjlS1 z*G1jLmk8t+uyFy2geBd^?tb9Ewc8a83p@ZQ52EEMjJrfAmeyFR8iL;CAmdZR>x4Zk z5rjsrr1KnH85}|ae;g=4UxHB_GbmhV&J(y?J#+KUh@y?CQn3;LEJ7Kle>CW7Y0T2o z)lK{jU>I|b(gB|r2mI-Igaich4(oi2*|~M93S`h=Re*K z5-=%(t>@8$Tzd<(lj~rdA@3kn9{BE)DSGH$bxqH0I|e1aYMz_z=>Z)$xav1eaF1av zQ)I*d>0k&&8`}wCGRsAbN&h-T^~8VdK-yqEIZH9%`-w5p8XJ?87qjUd%*i=EJ(7e- z6EMf6lflUG;aN_ISD;~`?|L(sVyei>@nMilLBYZTnj01%+y&pW17!UA5}1RgI^6zg<;L5iK|rmQO#grT?0OW}+1w2oFQbEyZIvl44v( zwNGJ`!45N=M%iJ%ZpeN}8OcU*J_Pe`;rPM7h3Qn>mkhYBXuR_|-}Hj9R0$1}MyoRO zW9@e-GR&&z9VcMOwSqCQQ6C2cMWzvcF^up32>PdVf>l|K+W-YsTz^sd*($JaQ_akH zU3ny>wofNZaOj5ve1=7i6wB71ipV#qiKg#2()q%D?j<*^fB`TSvrOTUSeB8u%#5D7702{yv< zPfM@u9SG=}Dc(uoA55(gjFP}HcIv=EcGlj+0UC!f5rn<5kgEK;U?m6YMr&+m?cnsM zobaXuk3np8#+>L9h0-`f(z(Wsu96et1kfA9HG}P=UL)>IEY0)r&SQY%How(2V}l2Ty-@=r&2R3 zI9JV%m$aWdeRb>E?mdZ^T2++=bc*Vr{m+prueI;=F81Yz6(TRl%LgmLI9rK6*+iZmMgoW$fKgOSp!U!gDXdN|V;9kxni9XJ91 zv^65SqQvp$%l3{8B5%;9rrM;Ei&S`7+GFarvgA`Jkkbn^Z8{y^$_S^EFPvbqbxid6 z54<)$@SO3+(rBwI+%`VQT+5$$E2bPyzR>(^3uJgJx9m>7@chY^jwbOa=%JUkz{f?a z1;oR62pe0VvxTd9#HNS{8+;(Mg==}lkiY;_y)DKs>d>i`_2fL%A@oWY_!-8UmirBO zWvAZt;o`Qj74d(QliHE7FNGm9ZQko>A7rsFFV=6`o~PVrUyi(IH+R%s2#aZ<3TB;`7y0p@6d`nA+i5o5&X_t?&1zNfHJcgb zGn}eeiKvKcYrHGo!;oKjh@Yec@8en-9eZdFJDT?22(M`UX$|Ln%2AvQD${a7%yn0U zp3QC9$TDJJKqgk`A~Hz{ux_)ye?A{JLf>%ZE!#=|fN-Y~kMxT*f^^$lOMi3Dd86N# zqzK_7FvN3K8zf}-@mlk^R`K{&fWL}4DK(Owd@C{&btOlNrkvoJ({BU9d93WFI!|yw zMK(a6>Gr$8NNB){otUtDIeH9=ujyx$Hn?a1$fZ;PXMg3H5<&-OD7JG5P9SX6os*&J zSLf6(Rr1u&FW~=fZ2yitSucK;UIF$;4?-n2r-%_c%P86*~7B~Cg$QEb=K z=G_`^*?!eP%_J@C)@@2hb)UUT;3K#&R#m2)6)ccZ1=cZgM8o%T>M5UODS%x33hBEV zE}bP^R6i{>vI4sGm1d8gIWb)2%il|{=Z+!r zgrN_x&N!qf!_Sn|*2mEiyl7Bn;J__~vPa)c9Q=Z?DqJo0NDUX?`h=oZI`{o~HZ55j z9K?4G=CpDeb*AWuXXfonV4;-xTELl0f*T(MoOS8)7M=$NNz$tdQ%Qk9+A*PZ)yIPa zSwD(elm!0!Y;Z2~^>RIQ9OvrPWA>sf{?py?8`)C}96d>H9!azWG6XfgA=ak77Dlc4 zMJeT@s;u#`GR6@yhFAI`qdj)RkXg^cvRFvgVp5r)?`9?3M?~F{ZRQnU+-xz+1m&h2 zi#rXqZSy?TmAkXb4|i*3I%_9|K~II>%@K!%QS4=Ibe2t__-V3(CKda6CSH(cI%(-jw~uEx2(ul`re(XC%9_rjo{@*vLtBS|9v$eb+ijDW z>m4S3G!6X^Y4$C~ljv5tG*wHHgOg_<`8)t)n5I%z#-L#wP}+K6FQeBm`C!HxI-jHd z8@QgRJ5YhJP+TFvTSI8rd}g(X!7rnFoHUtYsu|jIsB}&Xs&Ho}1FKk|JQO&;U|SK& zJv1I?Mv+A}aPe3vF`R(UEo45g5Gwhem@#*Q^hA5Jv2k8Q+S;X@$M`u`A-%Fj|S?4rvP)|MkJ{uH- znA(oOAc-s~w{3O&2-E?KW-k9TCX?#1vzfhnGM%w=bB^|ox z)N*SFEZ_@fgs`hNzh2FvzW$j#I~s)IZNDQ`j7FC%fTF>{ye8$1vR6c)p(7G83mXRF zi35qTb{nz_?|(XO7fQ3oaw&FFe^5_KDyn7E^v5yQ5L#_#N@xN9z$w7I!J}lrDZ*Eb zOyX!z!)RmEF2H68rf9^^kg*44PG?6J2>-T)zMj#lw_=C0$GT~KhIgAMgG>5@;7%F6 z7axnz#s$!(J=1o1dAP>Fi3YszuzA7I?r$f}5#5kt>Auzl@R$Ux^CwJ$l>uct&~>74 z@QnIvd~$IuLy_S#GFe)~RC8H{F=lCxh-}}S?Wvoe(}8y}T7TGtNXkr+ zp;{3Wcj=t;HzwEcByEqFVDc~}7~L$EVJ-WoU_8dS7|Q_fF;L8M(`bq7Q_UrBdQp$h ztzuWkrSmPu*mg`+o5a%{83=YOq4sqIOg9Urr;qKRf|pS$i5#rvI!e79Fu`hm?HbIM z^fG$U|7vF&`cP8qxjf{l<{Atze3(L|F9u+FI;Yhy1&SGNhLAs(&nI-ZsksI8b`9^= zTreL5WjSA4t>i+9NHdPX&6|%w0U{1SaJrHv z>C)X5oO}+3;*`cDfXmejnpwnc7iq-=21|k;Th<>P!PN^K23Z|T#9Um45UcC!cQ+D< zN|0H!ZmY!y3ybXbbMa^i64AYf;+XkJD13$*=7-=NGT^8?Ar>)PY0di8iIPj2C%=)v zP0`JYNJEMm0aYQ}K7Ybr{*LdIQi2mx){r>DdR^DPu=Le!QUA~_+kRAdz+Cz5tdifE zRKG0dVnizTb_oxA_4-W%P>H@im+!q@IO@#L|?0;9szl?o4 zF7Xyp4KNV29pZnqkCCy-d8bsYvxEbg(t@Jum-dHEqwu^q5fYQ2-h7=W{u8N6s{7p+ zmGaB;n~8}s24>PCF^l3F^J%RLF$BF~F9?pIKNdB8;2^b{0c$yf9)sq@ve~#pgHf*_ zmsOHb%Wzoo+Y!F1a^*0;r1FA$7ajgTAA6$9m(LiV_k6xr$mvjm&zqRf?U=0U&)%z# z9mLOLM4#vPS8~3O7@zBUd+xVAg3o&~zGr|_J;D3A7~f0&)=MVA`~B1BwV3a1f4#nU z6KDEV$cYtKruTh5-%Ib+*Z#TO&_c`W#m#v$>z(V@WsJ|GZTHvp&B~zfdp^O}=Ssus z#M%)%rd3o$=C3LNqzd$+QX@pr;E?qwU#yAGv|M$w92qx~!+O4enqFTd1$? z+i+|&$<;_E(ropb;-#f0;4RhB)|55kVuC)t^oMWTA1yH;c+f>5SAv!0ImaCPE!@6u z&rbWedn>L_d)zjzYvw{cE?B~CUB0~C9UUHSIbicE>@xE{%Tw(+vHb@u{*EK;7Xk4S zLwx@h5g(Z(7b%tVSC)L;9P+ ztbe@D^Yl=*;P)*$*D9a>{Oa#tb9ZI=)>y2;w%3v+RR3hETAhtwm6eTOa$zWc$;)h$ z=F#&o@MW@}1#1X1jRm3Hod7O2bU1w&v1;gO z9C7OEvTB5TNXBf>r+dRHbR+B4M8eJ&hdTP%dWNXfwth3J*00$b*odKhX8VJTf-|Y- zhXZu(vVMD3)0^`QcJlQ{QBW*Zib||)C$^!_;m^-7X>+EEr}>r*MedV*5)Cv-MeP#%r>QFRia*psbPwb&Pe%3bK z%11-`BbMC#v8lstydifKYF80nza7oKKwg*r26bCk!ZX2{lJq0`zQF3$>Oe28DPfRF zdpB#9J8?rlCTW!9259a2H83$|8gLmD4B>nWCh$TzLriBTh72DJnDnM{?HM;=4i@+V zbC^#zHCrrSPamk~v~;fD+K}^zD&8M<&fGx^a@bm-z1)?>GiF7+9k2T@w}+=05Cran z8)TT{K8?jTot>Q>@H~z_&%Z`yo*!H~H-h3MrY;_Dc3G0yekNB1NFFjg|K3>fKDlK1 zp@(7;Xx@y_$%G2vH|WXX_R=bhSL1uvlRtHA2}M>5f$`SIJyt6qZZDps_RL4l4{2C3 zvE6hVy@iSz*Md{Y5^!KVJ*3g=pf%L3LoO`3jq5!;-Mt^Ud4GGZ%*<>=_uk0kpj&tp zg;@%5VjX>$)NjR;d%pF$mt4H0h3H(bZ*4@~dDQRZa-caVm|dr-1T+)GYOwC_1R969 z>#->KQbPwU4?s+Ud8%0l+G33iVz4Un!!RmRvw&$^2kHlRrI)rO?7E3`*-L>sb*A=p zi2R=XwhWlG?iw@fiG8$2_`JX1gU78(zcBNho^D0GHj~4_UgbQ}1;2N3L6e`0){7G| z8jE`>P4OT}zX7w!@cw>{#NvP>CLc>Ajjj*!{gSA~H7|e)`p)Fqx?K+%$6&VvA^NhN zkn?+Im3a}=C|weVk(6T(>#?C4U_kLN4YD|zsKQu%9Hp+UI4aV*G-DTLUBokn$PE8e z&lYGGagSwiI>9MBzKei0b*T7QuTKk|-;m3*17Fu}K3-l#kZrKxQ2E^Km=0&@^}}c7 zZ%YLZw|(Ug%;5Dpa0n zFTp4EL|ZTCl<)f<69A$<`;$H>9B{?h`nu44)1o*%&!qFYN!L0CpsuPGodBMouzhZi z-`sFj2TWymcfT6lHE$hAZoxlvJ)Qb~g?^2H-6c+Uz6yGL@_ij$zi*a*oylP#jPhcn zfcre0Vsw9AHgEBGlHYartZaS|A#J%oy;2wXk|V=<$Q{#d_xU3AXAfU{Vt#^zl!je( zz8?8bP3w9+oGvW+-mG=oJx-OY>Pq`|WPd&*f8AUHetf)7x1_{0%x9Sz5xOT9lEf7+scmH#+cdcHY( z-?F{-?H>L5ApNv0;rVsT_l|;ouu}Z>Szhd_tIA5|H8D~O1Rc@4*-J&fC2vp<^uq$v)=vyfEikXevLKz7b+8E0Ww6$h%$ErdR|)@q)JaDlSv!@_b0B#st z=&Uub0Jkn<&?_Mvz579kx`a`PuFcgRHY=RxSKSGSuGddbBha@&Fdv?@9^aSDL9nh7 zGJ3DNPiod{QUEyEf8ZvTpxs7mJ#2i5@aGyh&(%K4t39Tvuw7OwJ;}N7^sfe>>SIQr zTY%0cTjM-07T5V(13L6jErs(;&9I|Njq?oC04%C&^<65}YFib6pbCf~QO+1NeG%d_ zoyipJ#f8|AqBfb_C0ssEwD+O?L*S&TIAR_#SxUdM}18~&+_+LZ<-=F_F!UG(E z|8sl*MCH5&;q&oxg@2Vy%W9k8BdNjv!nDTUR)h6g#tbyFYneZZaivEc5MzinsA={X z#2o960qEC!H?g(e6JS>-1Nuz*pQCL9>$UAF>ov~*c@A%xTj@QFZdbrdnfjicWm|H- z-8mEc+$^+jxre65eHJu%#WB)^e3S9ZUI7#5$w~hlQX6V-GOiJb#j6QpPP(yKV%+6p zj(pCp@}}1KSTFBRJm0fbeMGW9wfsCPkMyPC$uc3;b^p3^+TS;gihJMcrinCiLPr=B z_j#Ge9HoOL-Z{b`eqk29O&=BitXvfLxvR$>P2T(p-g>*)iXgk)Ecbl`+(HX>zervA z{>Xyf|0!;I^|{zy?uu=NS8Ln(br7U*9M*$3!jl^$#X{2}vJKX}D(i)XG|b$ID0S#_GtDJ4!;8a5@k z-Pgm*tqZFOuPfR2>W(;j+2uL6KZcj@{<*bZ&S`)Buvqpn`WZCatofx=?#j#KY#t|D z=gmpZ{tTh}=A}0OdA1lb`_S;6W^!-uEScYJwyKJ{v}L^8(_SH%AK}f;EnNX=-HFR1FM>lS+?uYpC|Peqiv9`NDHzui zIz$JgD`%f{`2qR7z3q@o)+YS32e)?Vbd7Xl{v@IEvgkOu_M&Ln`KJ>Lhs)oDMTB{P z4Ok;=a>EN{!nLmia&LS0@bgw^T6_(xXJQRZFQ)<8Z#O9A1C_tAu5<(SCmZ-NR2)c3 zxj68IaskjGr6Q0c+K<=G3{fBQ(dF#Ep-)uS$6|VHtWFYdd-KT0`1@k|Tl7wn4

z z6FLc9Iw9S5a$ylvpMI7thN>47gtv;($4}+N3 zQ0C5M#T~QKq(-DKE)6g^%YXY6G$^{>}0RWJ#9rTXy*5{k#`G`>S4Q zpO<8`PB${(&o0v5VfeBL5QZaSV($6m&htsI*SQ4kH6B%Af*UvNFiYhTh|$^tkFySm zgXg9_3Eg_=%KMGB=-`p=-gD&#=QTs4svz@k_OlT1xFFYe$slXphj zDlZ57q7i$yOCj8r`J0UjSF0ti)_=Hg9;QS)>lLn~9}ut!R7D3hQHJ4{vC(Yd1)4?s zT|_&%@;5UTuBJ*{P5-pBoo?WS<2;IjNAAJ36xcIMVoQ64fW!Y*?jMuiJTAgxg7H$xF!$X9qUYP4y9dNt+=q(9A~&Xfz8f=pYAYgo7WWeBcO z*>oO*18--;G+^JkZtx2SzKPRGuR0XE;!p3bC#W3BuNo^o`oTsky_yP>?W~?4LR!EH zq9){4BYLVAb>ZI$MrIf4i1uw5qLC4<*FjE;4*8d_ z0y$IXP&QjfTcIH2*`hbwBiRI#W#7)U-o({!9fe}$A~=3pAi4%Y)}SmZxE4JrhzZZE zH(TpwW~8=^jE{|luDpx%TR(Sx?qE+zPiL&qxnV@%1gE!>84I09s}#3a@Msx?$%>+f zVnE(coM)R7g<2z3Z*~kUf)d3_E$P@~{rLxn_Ut4ydQ!0Veb5_YzhG?(9TRogfOje> zk};0Ax;qKL*Irlle{=N}4j}s7hDK{jkf+(PSjW&SrQ?4AV9TUyW+n5jfUE8Q>9Ly9 zKh5AA&Z}_fNkCZDV%dNLHVarxCS0?FshU#QByYc{qi~{{^4~7lj8viqZ_`fI#Azsn zMbY%nnx8IJ|jy%DU#z5%lK#>T%=mjUcnhUHRYH77V13oP^| zzmMZznHnJ?iK4{z)uwJm(>x5g+2zS5P9i85{pZ;X47XD_M9+AjWY;>=BX9Y7_0eZK z*9Yz7(}=2Us>w0Nj@5&e_r`ZMgFL|%xKqntyKKTHWHEPO>RjtXJ5319j_kbWHg-2D z9U@5-;Ia8)=o_)Ui<&_9Fl(#$Ic%Ni2m5K(7S=y;(pOjiOcg!KrbpbE+t{(Ijt-7E ziE=YTS|%Vame==saImTQ=-0gW_1MOJ)9<{-e%{~)ghfvl&KWUANnFRy9)uhkBEP%+ zZHxq4FjcrSeRPy~d>15kq?ZK#J6%fD(2i(&VDygzp=f&htc(#PvZ?av6A|p3q&c^s z)q?p5w@8z@6A}D^aOqH;9Des>;)TMDk_g9AgwQs2d$Vm41ZVqQZVm+u^1p^W2Dy!G zyXl`$bsE|*PWIn`36o(;zeV9siw1ISBys;$8RW14Bk`wp!JI`zfnd1^8vokHFAE9q z8P<$ybR{5b%kre30NEb_`Ho(;DhNVhgF~BJS-Hv!Vy3CtI00(5)?^WaG@Yk=&8C$5S%Z^^(J$(?XXM z#fjZnOZX#Du*b1ruT~L`RzIkPb&As6VVN(m@`h2;!fE|^CiNN#~x-{RhUZa;{TV2Y$~9m`n64EJNq>)y34vMY?E3kybj#6Srquvy9Kd2o_sWhO4xhUFq*B(LYT-|F>e=RvxC+FIj8 zs5R)rmq+)dqMGJRey2*CFsBk;p&q_&Wrg~hgGWPCLAp~*=ip*U;mNC-Kt%XCGwG*8 z9LIjA*_e;FqY#h4{;A4m@@LnAo|>KBddT0Li5Ihl(7SbNsfP>BmPOi{21)9-N7POo zwdHnQp2c?Er^Q;JeuXoF)A#7cDPXh#|3!6Reo5@aW!6{&D2Q-EOo|Y_e90Wl0Dl8D zyBy3Re*;XmpkCT6Rq}6;i{k7xq5=La$X05^DxBKCMCfh4RdQ4IhH4*}qjSGY(TSsH z7haQk&l*NxfKnXaT8fq| zqT3E*D5^#z-zIxtIK!)J!*-Rx{?ciZw{V&$(}vMo2v7ao+grGyAdifRQSiNBmp)Kw zPO@y#0;-yIP;U`!ZXFV7_+x0Sh!V8$1?mw{!&BNY<$D3{bl9Z1!jbLKGS z;~quns5Z7N?#PZ`a+KpYW|SPa?lBh7Tt&TWKVYE6u^Sq3CYB`1dYpkBB(EoQG!r|$ z5(}*Hh-s={H>w5a(U8~WeSGgSB%W#`gMa^!Z0$f$# zPeA#1N|uQpWlxMo;NRV`Lz$3*Tpy+_jW2M`tl9e8XHXDXae7vFJuBJ$VLKT`eoEI2 zGM=?G5`?fBXz4Jcqbuq}4bMr~G)ZdtlrAH9k%}1EKl4SfSTrEyMb~u9_0X7&L4!u6 zY+3A1Dj^_1hBo8FO2Z5{J+-9cA9<2cj-nb77T!%vIFc==?$X!ZLWeOA8dHhtg*n9Q z436O8l!WPzd(9##YSUU5o~`V2%qSr!Ui0wLSpe69sHDmiEkZe*%FSFhs+P2`zY<10 zm#thg#$HOZGMTmo2K46(C7g7Sa=%U$&Arul4zRAY+etg* z7u$%2GZeQQWWP<_y}sMQzq9w3zsJ*!I*wEmCm?=2pYHCJa~z3J(sC>!s7|Gt%Z5@> zXJkQQF3vOc|NiMeoET`8_H$^0GDjxspdocCuk(0^v*N7E9M8;@F--%tttZOLPg5r| zA<#2zjh_R*x|+Ae=gvRNPy*rN0&0ObS>Bi>GD#>K7VxJN&Np-TD=vypr?a)=<+=~W z$3(SmWbv*)e>@x- zJ0U|YcnSms+=LIaz5%aU= zWe48v^|{Yc$xXHaCAsQ&K433!nFci>=?eCi!-s5yiCtaNWC8DU(CJ6XRDEw%L%Amk zQ=)AlTL=+CAg7O>Yi5#D-CEK8GVoCGJrI5iGI1@lePmVi(#C@pkCbrr|qq=rjJ6da3vY>Isvn9k4iU;!1?XoCvqZ#74mQh(eFVO zq%S1hIoWrOJ>oWnltGxkd8e;-WlCS6BTGYL(J9lbd8K66$%bM7P-otIB z3kzn2!vS08%n?A%ixfLJ30$YW0PAoTcR|D-oAkI;8Mh2`K~ycukC zD9A9%ZyPH`BP*7+2aYcR78oZ?kNJ!mlqg(DsU zD6P&tZM*fw)1?<2Of#MoLU!vBdWs;u{BJYPV1ZS{m`-@TIUIkjWxSY>6gQGO@&NW@ z{viQKMg-1S`x*a8A=`$?%u`}y;oE`mJjdFyQiYN{CGPvKFzOwI@41$;BuDCXEGehRyf%S-c{s7(IOBxoG zPZDR7X~#{1+!iU^*BdX+u7(T^DMhqnHzIkh&aTD?3n^vNNk4>0%hAG!BMe!`(u!&B z>?`wIwDm{1CT&BKu%M*`$-knB&MQb(k)o(7J_dD(b@J-zFVmB8ty(y z>X@2ad?Xbr%8&;Kq9PT0k08|?1`h@#DSUa20{9GMWo7TPN{W|P1TvA}(=)e-*8ZC@ zuv1&I88=dpDAm3N2U-hgz#09Md7{KF-?29M_=U7@41*f~K%z`Dyjt-&5l^f%+C9%9q?!2qyvd$zA)HBl`VGRHpi;VO!fkI zsIebDL^%+>I}f$qimU_}&)!%bNu>(V03~t7`H#M_ETW1?#y*Sh!fJyQldBTWPjebl zuogb*b}R%mE!b+XU91xjY3wMJIu@(?4@IYqzsiX zluwP-8z{YLVD*d<#|>8dgg7esCn?`Tz`t#%koy^c-8eZb zbdW1)=;SmLcSg@~)G1-g^R`q%531@tM4qD5vWsKo5}td*_w;6irJsc6h0< z$kOl=7+C_ndL#e^$-k2pqs#vg#=2Kv1#Sp9J!;A=1A3iH16swscdvL&HMYoFyDw9| zH!KACHd#Pd%L4R4WC4GDl@^W)V>W2;z&33i6308b08}UbseT9%8*o<)gTp^IN`Q^( zH`2$m2$Bc)x}E|g4D-sKVU{5-kS&7ZCoaGTwgz;M#SPrRGr6(IE!AcHCcKq`!cPU} z>re@-1hb;_Ns$exvm*7r(hCtwc@(qB;-}>P4yOq_A#-LteJ6Jf!>>g27Q~mb1a#i4 z!Cw$t71M2|K28b=b5`w+-YJ@`Wd&p&^IK_(=$(x+JisR!r(T{$w3!n7rIXlPZ=c4RR}B~F?w(F{j}AjdGJHqz z(u@kX_BUt=V%XjdZ+t>_7Y##p`A$-gMh{mk>?q|A>E?yKl30ttu-DL^PH|TEckPw= z91Kr~bylJ%))QW5PgfJ#&wwkt=*sThSDNP@6Ce}8)rPd;MU#^kOTdnmYcA2gSBj7x zhqa&`Zp^>P7Bs)lj%HG1R_!aJD1#tb&lERV`tg})gXTvrC?SAf^OsU7+|To3I&)=+Z z$ef)lBzfyFA*EA^Exa|tlH_C49u8}k6)b8rEL}%n(rTC08kr}|k!JGap4!tPDRHXK z($rj`cP^MNj!THxcD})HL~u*bLUDxje4t&&8U}bKbTysYfzKRd4a)*jxbj>WWprFqgKR}EUjuvGJ3--bzQ9BA zah}>=z$SI^#=y%4@RA;RW1rG=i78`;dE+D>r=)g>`i2wrQ_rNc2Zd9MLtyQ&-uzm3 z8~0YkUKZx*N*$w@_3m*9*Vlqop2S-Au1LHpKFVrC3niQK(OG(NO@f0i2w!tqAfjMO zA)AJ%y1)Z7Orsb?NqOTl%7})2ftAwpTLI2}0gX|>T$S(IGv71+{FRW5z7A4AM3M2G zJzEdRJ$6kgLpN4xw&VJ%oL1CLin;fB1Uc*%V6#|x@*$@`Sy(ix&iPHFDmooc+kA=_}9qD8X8xFnpDzfR9>J585_prD3rPgZOh+-n#QIp%@VVBR9>(E6zg9V z0*^YBA+JVw1xAReLSaqZFS?=@dy9j>0^>{>$(K6=F#se@p@P%^yxOiF;CMs2fX3{w zX&UIpp*g#IU?mbU-D0q5-6zaJM)GTvD3Y^6PWHCJ_S*r4n$Qf)>i5VzGkj*Kd^}Wl zVmw7BKfAm9Bvc&!03x73`tUrc)$cWP?*2C4L=R9)FoP5z2RX%wRYLD@gaOoP(K8xy z0~`YsG2{gsW>7BDrM+Agh`CAPFxJ%GZX^zWEHMC69_s0c8jw9M!H=T zeAm&JZ7XdTh7Fx{P5%AoF7lDX?x|r0g){WevpoA?c58JIz+fl&+KRa1%q8A8O z4T`dgE}L*YA%YOUJVWGl$@m?WO8`SxPG`1wecYe-4;A{Cy)+}eYyjj_Ir0MtK+UtD z?kmn6+Zq$Kdv2Qpqe!rKmSyTN!W;@5OZtAn%Tvb^mdJ-ped0!iCWT+$zCFp}!{=F9 zS*Zu+eS8104($uW)}MENnm+*rU^l0?WuKW^{_>pD+a_vC>fR6-<}=s4)`_69JbLqg zSy9CJzpQ9WqGwO%ZveA&nF$EQ{tB+el@UOK{!bP#;^jKL=+!UEn}j%h1=g(SzhytQ z0X*UYv&LlW0txsGa2~PnnmfVt6fuGd1o!yD7OTyb-Ym}TK{<%vP#K8dZiBU_-FDE? zbFUd z?8#fQa}-_AfP)q4odj^sb7lySZhyJgm}J0q0=&&c06^`!ag4Dl0{GftoZ8`Wz<&J4 zWB1s6VAcWv#jY_4h*zw91>+Z`R72XO`S8(cu=<0LfY{W4k^AUH?7@)_kh;$$WbA>d z7LacO8In^?2(rS{rPHt|4xc8*JqA7(^KkRA5Sc`CQ>M0vlV!zd2F` zFetWY7I9V@KzoW-3iejHBI!pjTbHD9@??|?q4fkC208bdc8p)Aq@((7t9+S ztdZddG#Xje&kN0KZE}m02OF+jheX2 zJ4JH3C!GgSbF$d%CB?|09+dX~qq3+0hAP5WoNPIbA55j7onO=VHYE_`@p_K(GyF^w zYll^}iv-Z>qRK@aPy>KqB?PYQA#G?>UL*cX!m^41tu|GzGL+6(^n?9nT3w*|*H*6& z|3tDC-~{&) z)ta%|pU9^RMr!Pci#m;E(1yl@GCtr{4apOkH7Ojb*nz$ox;PtI%m^~%kn^yhh#TR) zK{q1(kt}iBDn`M_iN08#`l|T%imW8Nfh=*%TJpKyC9gTUHU>_q_LKV^ZB?z5$s|bvz<=w%|rj|;IhloOk(ASlD zsPb%{s2YV|uh4e~^Sj3Q7WT*}D3Z3Yxjv^Hz8$!Gr;tgNF*9^6r^(=|A9dTmOHCJ; zO3fj^|Gtp9LWa~r2ZbsDwf2{^OHiBu69QbCePI*0 zs)got-H@f7-V_+9BN=82Q}3y&7jcD^5t7J9o9zI6aN>c9CQQhJn_fzw(J#Zs{Amjj z+;Tkcridu`(o9@_^oxiQ@PiV^*8Zwu;Jmv937n$4JFWyKI17>%_9DYuJgEudq1(NF!(XF`LceMpppaA$2>X&a zN;z8}8gN<$>??mM`;q@r6p*6$O67eA8l>j!qA3jWrqSWnh)Cur7_7V<$DP|%QAHE7 zzDb0;j)wdk5h;8FDidw=o&zijWlP=@P;FdjPm3Jq7OypU*=7lzOLn^6h`BWy5Pzn~ zRChXvt{Ug}KT>3t5!7}mOJMeht@#Nt#@Qph@ z)pjhz?52W6qr)x=eM>ceuzkraRm!4af|Nz$Fdlnj98rF@sNVq=n5vq+9)h;2+CL)S zYI`>W2eX0k)!Pq5hRsne>aR46YJ%Vea$UlfS#h_uuf0=53WSSm5Tab5)C}G$*uH3? zY;n-DTSs4447`P1cf3s>AoXrHm$xfy`a@gTBuvprL+f0-Wq982aZMgGD?er!#F`@w zAIz8-=RmvGa?h-DtMaBCp=S|oZqFic$N{lu0W!6#*WsiPkxXlGQx*ZH&_;nWM3 zCfKHss~DuLho+AfB7#}53k6Xw5dbd{IR)B_)k|7XhNE7YUN4we1lM6DPvcfE(5M%Y z*#y3SfIpNtS$bd;QGOrc*E2~6%oX7OM7~S`HbD($_@s+2zyd?o3Y+$A{zo2oWz(%Q z$9<_4PwTg`^DN%pdj?-nb(ABqSB*{!WN%-o^ugQ2N@aJXVz5fN?`95v$8|J%l$LsQ zl7UUzA>-~^Bx!W{hR&hzvhyg*E}KuC?KtGgIeN@WPg;fgC_<}oGFD)u!R_TeWC7)R z9LSXD6rz+Z4F&D4b`{2flr+0Tq z+_faaQI=KXN&@dL!5siu@r} zrDe{j&KW-WClL3@w;3qIHhCq;Hg#T3AT@e3+>h>bc+Ci+BXFGp25cf$ZF%`^TnP4M z9O+C6?`A}R^iU9ygB1rSKrS`KQvG=iUgyHSpM!<$yzdiGZM3rtO~3A6mSJ#?{P-n$>Z@_a%bf3|YB!f~wEMGG4!vWt4t~s8=*mvInXh)K%beZ|75= zs<{Ld|1hC__-(2BpvxueR~8V8X&$*67rPBs`?n~>_%Skw2zg~{Fzm6QavNPygb@1} z|4E~Nh1Z`s*gUnD`c3W!XW(&$d@&?R|1cCAA_Z4x8o%# zc1WKk&)pAduGY(EH!@r`z<1XH%ZjxC7j&phV$P|J43ss}YVDwi0xqYGteGuH%yU``?^5rQ~!2-%ZlIle~8 zp9Y%UN@DZbXKYJNpbk_~8yKV@%haN2BMRB5wG4X11?k6# zOTTFBSn^`Q+RUi6Svz}Tg;-UFgZs{I&1t9lD#9(JJAA1x8dPmy|6(^-uv+!zEBQ}K z7xhY&ZZL51k`3XqxDihe&gF<27<;0M4BsH_xbbom{x*)>FfwHDUIKz2(WptWo6o;C zh--gnTQx13xkn``ctjP}-1e2}9Rw@){*hg)lhL#!Vx#OV2c_Yy-n?R|@gh$V zzrnBdpPp|YR$)e1pxqA}rHs6Lm9xGL%RItXUJHu!=t4wH;P&9D+o(n(VLlWVG?e|T zJhIweKfw8$#xrxR+_>ByqrXahlLVeLyhU(o$3_SIL}~n!YRdwKz>78Lb5*FrFc+{+ z${iU&#MF-am|#6QYwh}EjaoUC!Wh4QgQ+x_JvJndO=^l`K5q6<={4r|aPwZu;-+qE z^gyh9hi>L(TFv^to>Y>7t&?IV>(&U30Ue207SNzuh^`$WZlMZT$GeUwH9P_! znp?|SD>*e>Z*t@*h_IkLaiUxT{%GMVY_VMX|3}q3MpxE6Z=i89u_wmFwr$(CHL)|9 z*tV^SZA|Q(*gmoCx##`;KiqrQ>guZQU8}n{PVarHtDnNSZBD0kA1{1Wyru8Mo+kDO z8I@+GX7BC+O&>3}^g?5T3+3%$7V2yyc|h2q({QCTtG64ycluWmzsfHEG~$qBr52Ex z)aPr==YF&7cvg|?5)Chb_$ke(Fb_DoZ}sZ%q7!i9Z%L{vY_4KjVGA6svwE{21dpJ< zvs-2Rh16x6E#JSBS^Yav&}q43muap|m~KRzn8I!%!6+u5oOxnIvC-kfP6PsVc{WTV zom3*fgr>qNcrv~`{sZ?7uV9DLcA{iQ(^j>@X={?HP)XH<%%4p~oi0n%C?6vht4DYT z-@b9|I!CFXr3m}0K#w7uUGM9s4dmSMVHSOrGS9XJ#20jFnfzObiCV}a$}bpAu*M`# z@Lwry;{!07z}jcja>Ig)%!=5jbA`2Q^4F2stAe7C!#m=5*5yZF}*a20-k18lLsSuEz zwNkBrWH&j$44#L*B0&|{!-)W#j^wPH`j}O|O&rgZ&9C_!@&RHt$h>Q26 z3x*Ld@b1=YFrVCEzP!*_r}NO0(C|#{PBlL%{Vkmm#~o zt<#0JKaKZVdQ#hnWa(L{$oKqiV7`Z2vK%o(wpj0w67wT^g-xfPmD1?47lYx(G0-bj zwqc|g_>Y|FUHh&vo=$9n6_8d^^ncuz&?>dmG)iPNFft$M-i><$PKgJZ;zTSK`q#VRvg0GNBhIgGRxJ;#CF~C|%G;~r9iyR)h z07wO`8eUB`3}Pj#6RciBwJ<63K*wQv$XuD)6{@v%9mYIZ4Fo#xwOK`>5I-i@@m_oj z3COm(+e*>v#-3ojqehMowsiZbCxdwY0wAHYt+-^-KUkG{;ZiO?0QjI0yUnB`)l z8sx-OIUN@NBew-f1FwY>TnF%9evq0=i51FIMAv4Umz%QA|wvL^l}vXX^lh`3%&DZ8IAh9d%HUkc3rg*l3K!k(JK2% zo9-%rM}wA46vFfU@{VNEuMf-NmVx2OJC*sS8u8`I0|z#LAH1T-`SVO$KiAl!6#WBy zxCQe;eoX@W{KPZFvto5hVpP+$`G+B@WdTXI_Un`64_^NHs{Rj0)42$4}D&ON#6hTFb zDLYP=rrN`1#nPt3YGI&^zXf_-&8GnLUD|o2Flxv}=(|r=4fQ?axwDw~&(&HcjiY*oQNcd{$4nv8h- zI!-%4325p0?s#`;`M(s_XLAI|Gq@SYOTRjuzFg_x`|WiQi?Z6@ywaSAbuh7<+>^f3 zGTvd9>^izOXBn#y-wTK#OwRUg*77Pah%R{+VZ7w9FPOGS_h4`V+NH*)bu_Ou3j6we zYc5|B?h^Ep5cDzwG?$(<(1mX$uh!0Ns&o=$HQ21oMP37+VeoDp%Wp_&;ysXp4C^|Y zt*US3lFLq-mv$p(%0Knj|L_N}EEM;I-8-|VUrArZh6wwTk$a*N>gwrz_Vq8}a%8-G+!)IjYoXhD`%ThE;%u{0cA^k2*Trz~{6%z$B{<(@ zl24j8if?R z^@o2h@8tIr9+9=D0ozMnh*#Z8#IsMX*DQ79vs-c;j_$(@Q=XR+|9;OA+Vzssepgz9 zD<9RI;R?(;Ta0ksR)Q68Hr}{X9g68JC;x5h;n87=UPHbt%~C)W!{S}#&*WUfv}3bm z3xiDhP+WrnPb#|sj1bc#M-8e97019}au<9;@=PVih0~gy=Rc_%S0v+JF8>Z|*`=;oC;A3Has%D*rux~de z{JjbIcDIBi+r-;)c`&~1#@`Vyxia=yk%Thc(aa~#UG-q?84>e^dfRq)a7mn9miwiK z)h@_Wia$Aw!c3D~orlK*?jNc*CGuV;4|c__~4VR{;P86L}E3 z5eeTd2^!pbz2A60Aj`kEHHx=G(x@BFRXq6lE^eVzUhZavjy#}5Z^O?civw&FdsBOz z*SmA6q4n-4r1Jjq50B~tc@}s1lrc?rt{tAFe}qIEn5;@O7=%=p6!YO(GVa(Mu2vfH zV2^vIuzS2YUOX7!*b446t^tLNn|Sj_2f%FPouygNo2a1FO+n=UAjLXw<5 zoRs?+gNx`Cro!NU_3#p1$D^!xIyu%(1;~w0L8XtT^xsW^mkLihgv1CzGl+@vIC;^Y ztd}43VteGW&+R)~0fOZYCNP=^s`pZPi;OHJmp|I(~);k+#OtGtF>vncN6 z{FNaJQF{aDnxqam%g;7>V7ND(=AiE3#?L2%U`DwitLw zx+xn!LFHEx&4@MQFC-wOfBSuefP^MDN;kA2Wk5TKKj<{ zJurf0qC7I;;HLvBd5)us^%?9+9dX0vX!(tJ-)-%9WkQ9rnnH4AS!IPxIyWVY`@+a7 zd(ApP<vu9zJ(yzA}F{flGGLgeU(0}0;Yzq^X0pH zxg-)#nlgo!tl}i zgM$UVeJ36Qr!18Qd0K{r%`iXeJr@;NXkG>lI4nh(7CxFKO>GI=!==wbnb#Gn(kvxe zj@%SmewwA9sD6djQuo)r@#uOL&L`1r6J2 zwgm|pKQR}7bhMPI;k4GwC`9BOqky|5>+cu{8S3ZHo6&ar`@RDnKZoyoZqUA!5!=^S z>L`!D>=4!UdQLb3WF#fKs6UI8t!2}=bCbM%Ws8!Z`j*Sdvy^1ql6I+LfpdFQm@Vjf zI6o3k8%*5f+MAm#rgRA{+R@vONIuA)?L+&^d7d%OSMySAT~(`+%OHIyJVj~$n9b2f z+$@l@=VY{4;{uB;oQchyriXABq^x3O_h&=6eCftF_yq`1Ku8DiC?o3jnO7}n_WJm~ zFe^XffB$Tz5_ws^i$BIp5i!}}CqN37G5Ma;yIyF<9Tjk0Lo2POoJ?%!@8a$1t|%~f zfdj9wgTDr;5D*mA)`-Cb?2NHPd^0K-U1IZ}BRUv6f|DxF`^gSM(yUV8MpoTFF6QWN zT8F-jpBM!o`%mBvNZK}gV;cXzB#v&16N^GC-n(8Z6b(xneId#6+-}XS{;f@1L@f0u zyZSdN#l#yVJcDLqPN>iT5O^Ks-h)g#U>9J`oI~}E3s2tHq|>B1P8^BsIhvNzPZ%0d z7Ndb`%d#i!8d3~U;$8=m5x7(AS*JS{0AiByxi7%}s)VCCvVX^e+6%*56wms3hz)~x za=Dqjqs~OkjLD-3P^hHw2Fj1;6ra9B|7t&qzoFj8<58dI2m=ZNf0XO+x_Co+BtUwU zLwfW=@;z%pKHMAmnHZ7Bx2NjkLhjvq(vsAEuzoJ%8Zj@8A8b)Z6q{0ZVnLde*2B>~ zqEGdORGNIDaYGe1N(uJVK_9=9@xF>5Kay03ArIUJQ4E=!y8XoeTjBO*!K==@S6}x! z6U~_St5l1sd}k(j_*=&DP=RN z*9=`KPfsXCul;ZtO5Dh}Er=0n{e!>-L$Rlv;pJ>_F!D3&mgI<-Ww~^27NEvezwf(vf*2WnbnP^Pw!J<(o;cgWz8N%^4A7?Lb#$NEog zy8J5G;RUBhVI25DEj0DL2eQ9owQ%8NGZ~fp42ltC_c215)h3;2-0Z!#w_lfQ!YqCZ zrH^QrF9+IVr=L4yZPiKnBApxEKH*{iqW@dWmlRKQxq}=n zw6+g$?E0ij_$1DD-kA2}D=n`nR%ZIMVf}Ar<7CJCo$lq8H~Z_Nk80`a%AhB}r+ptI z;X~^s*iio=X$)bWB(hr=F=cknGlwl)IA(YqjdF(RvTlJ=wS;^g+COL*3-=?)DoC{8DbfQ zp_aE8|5i|MV19TWk``9u zqBh&-**c5zeQD?T!6KZJ%pX9}WK!My4Tlk6+|AQVxmV;PJ^F`h*+W(P5z+p0@;+8JGZ+NDsS-4$|~>h*5y*lK02*Jr_wYMfTsX(c~_w=M2JZ$3$)PSJ0r((hfC&& zE$oJyeUiV0z}FD#ai}#snq{{!WZ2jH*y4#U>j`imk($-yWrZbFY8G*EBot~YDIl4b zEvczNu37tZz8qdwX(LN~W7jL8oOdln@u_|giQjl~UJ<;K05n#K@W=0W;Gq)q!}&1e zaqWB4<$3PwL`boz-qQ~i>rkJ@7HvyL*C^8YhnH6|ov4jYt7%wz*-mb9KFXZZ0&mJI zHb$#TR*pSW-S%YMbW@X;<^?qcqln5iqhuZi=-mXP1v4#t0Od{8zpyD;K!s3EN7l`*l=$SkYEx_T(-hTU5V)-a2|?X1*jh4*si ze)s6OTpWGkBN`G8+v#KN{2aGSa^@fCxS*=rR|-}`B8!rDkjm^Yo5Wj&OWbP@n#L~w zUaZ6|hM0Ujx4;u9071=?b>sz}8!Ui;h0{8Y5uaVE2#Hq=bAqoQJ9$v%t#kZs0)G0G z66y-AUCh`pWN{bfw;j|B903|P3F@XZ4`~_x1C{g2OkF z$j*ud18hq2KdOXPec4HJCsRuFW+pTzjP^0?f3Vprow6Gp&2%KP2tr&BvQktr8aH*L zHmD_R6l{Psa}rM@In~~@Dp*3mj-dQI{Q(EZ2bF!!qsH*#^0Fy(gc~(IOFdaSD>b7? zxtGey!XdVg#YN?P5+7PRuw_Q*u*hx)JhZ&p?D8^v+t6*!s&ddqrRrZ+jC+%{I%9n8YpVq?R~CGA=` zb~w`lHe!M{EJHRqi_7EEoV*3gyeVlCkN-V6I}*Fn6B2V>njuf_AJqC!{T@ z=iNWTt9m;d%j79Ui1MXvts>*sa9?z06VfOh@#^+LKbvKFEXvb_h|0p~fjWOIK3PXs zW11~;l9lh_kM3n)dAy^4P%%9N>MR=lmp}MNR;F8#8vQR<`Y*3!ApIZz|K-FI{nPgu zW>*JtQ`6Kbo`DRMqYvn=eM6h>6X_XUKDI#nGvCM#I+Jx%-AOxfkoX65@6t2gDUOcH zWuKW?p5>-Kxmb^mDsBglqsv#E@|doO#V!QuG+ADB=O$6|Po)eGTJE8U@{NqT3tytc z(iOWyG8wqZP%U?ETH>J6w3*tQtf)89(_43E=tFxu#tO}|P5Mv30BA*Q;wxq357UCY z26Ycn(eZ7U8vCT%+AHm;g4;7IUfsfVw^-ON@q2_}Z8+P;Ua@<)gLAtDm56Wz)5z;{ z&qs)kWqN5aZDmS$SvdxjMlu}jEt3k`Y#ti;QsQqESkVVc4S1U)Xo*gS_?yd#7Uzqj z&vObhX%;RMC3MotsjU%yzUznfXs=BxFr!Ai>^xn)Zp3)beoU)}7k++io4c0fNNg>I zchnEWQIH-Fbi-gfI4|4%Z7_m(FCd@`$b3XUk{k&9NLT2HT|CqQ4U(XZK)9y6R8oe6 zC3}~tsjt_!>8;PiUP!G+<7uOLC4<54a|$QB($JleZnu2}=Y#vfiGpQD3ys!qsXDPF zGqyuz)cwGkFnfC&uGZ!fJULy}4vOhkGKYNzo3_?wJ-zi@G6v@~M^8c<3@4ecrqZp3 zW;c2b6%*kGY2{63@rxKS`*H>3kFNEEDTYUk3>TzL&15zUB7w|Eb_*h3)^ez_DQDE| z92z$F1PhFD(o9n&fd(}QwF|81ShhN!CD8F1EtO+Vn@qQ6`RK+6jc*rsnoAQxxm;8e_|lE$xeD{?`cWO_cxQ9#<9^mRB--FgCg_2TQ;4bA9^R8@#mTMI za_hqq**O#rz=8&!YRi&-`_Nd%BX*oYQW&$t$b&`W7=GsxyqMBWTfE)7> z0BV~>&eRG^28>QJ_zuHG^foJT%|n?_4dz2-S~U|NP-PB`?p!Vg{~^ZhXA0_!2#Kzk zSM#iEH-mP^TE)Aar^r^1_YAhY4>Gj5x4(pHP63dsGFiTKS&!_uhIS@7*jn*K`=5*#y}=D=%T9_9vq&1aKvnVqih6HnZ4)1@hY*OC;3EPxjz&6Nkiw z%OKffl$_gLet^^CD^fDKDK__44&ZQIi-lpOciEa}V;T5mKDl;8-;`p-FZZ(u z98x$#HJvB%1`Ns~sU2+5BJN?M1H|T;0A#2sGJW(2!pcX;sU2b#^M-t2Vwu_4hkJiO z_E=t~zFiC)6qis1cr$PfUIV5!MH7(EbvhOu^A&jL>0P@LR#_@h&y3q5?wgKZ5u>czwF&+N)` z((KBsK-3PRk+l0Tyy;=iQ``c$Waw|a89S64JnKId5**rT$7-am_~#d8<6;noA|t! zp8!*k_gNr*k*Xn@n+a6O$TQW(1D*XU2CaPhXn?XwCx6@xR@vkMNAO=aSVU?V{JDKo z;mHK>w8$7I;phTzhV+;3w^$Kr#*k4JuyOnBa1-JxK(GTP?uFtE4`IM{;Qk@F#Nx*m z{F>94hc`8y{4)>181)6N%Ictyv{C*TpJC`f9{iD)=v^c{e@tRST|iyF4^3D`(Hfeq znj6Mc4^(z7s##rxL0bfMXnGNoWLp{w{8{PA1d9g{r^`itOYzj!?PQr{&hNMs6cbO8 z;@yzKta^yX^b8Aae>*NmjDE1vd?&f+PjunNBB|znsdj!sk=Eo>2xhMCh@;*}?ccZD zd5zOmhY6hQ{RqI)2@bS-^oa}rWZ;6`SjBQXLvF2QeS6b~nedZTGQsl^+`Oe+zrTHp zo8_T&yzhqI4CB#l-Qe+@_3dZiv6=jOJ*_b}oB6y){wb&Uwv9v0b+jqyG?jx%TAY(u zDxjrTgz5v~IF1W}rH4Se3%&V61y1TBZC*3CMr7U1bsaB=f6+;$?A05oox%!^$Es@+ zm5t9kILlrD024kvp9OdN%S24s{G1!g&QdW7(t%Lz(B?eD)Ie_((_n+wP-sK9M0>|% zP#5~t%TzN~HyXqx*-)U7* zs-hOns(w6{s3iIrR{V#~pc>y6wWvs!Ff)aBQNq?2{>HoTyec=xKnlM{zUp3j0pD2!%{Xi$tQ+^8{=SE<_+i)h&_{lk@h-i5ZUfqTsu_A9)2D?#Y7 zHqujSxNpOBMQS4*`*^47?rzN`;Ehh)X0L47Es{hqOr4Db@Tt|55* z9{0iuwBD6up+k(v$z!(H&t_-T!P8x>)a4$ClAsp8kP>yXhRJ5MHX+&*f6|F z92gxy9qf)V+pTqMcq)cl-SvApSKN_}{{i>fPJ3|A6|8QV?rA zLs49+*(YOp1>k|=6Bo$Jp}F3Dh>8n0(lY0)i~;PbT1VFeJaLv=10zb zu`Ogax|ndTO+`)8?4l^GzTr7Z=%!FuyCW?C8En$Cn<%Yh&NsOY;`$)_zB4_7{p~PO z-O37WVc>F9n6VY^rZ!heV_!=2Om8cZfZev6N#e}AYy-Wk&RD@cln>FJ_#>?Faixcdr#P}?8=w^2gVGa5J*1~hloF*#eN{P=ekXB zd!CKJgtXlyzVjp^Yc$?%TLUyGiz2n|m!KcK!*&Kf|10=0&8RLLt@J2|H^VMX-24Ay zH3(NX5T`0V*Sy~lpK$BiEJ)yiVgLINz7FgJW5JI>*yL@9J;_;Ei}(hM;V|^19t=Vx zQ~CXb7dqEYxWJM7dnNPMPex@EVaY?$6Lm-k5s$-un8ULZW+;%}4K^O@cfT{D*S>$( zTc#l7X=U|?@9o2ZFlResdWd+S5wX0cdpgKACso%!P1GbiSp813`~T3rIS5iM&F=Uv zZ2|jc#an11&9!{KiA_0!@%iD;UT3#jT}iPW@K>(ZSZ;s8XQaafbHQlAFi(8j>*&8WQQcT#zI^yFR2^K=EwlYQVJDJHRr^for%d+jPs$UJGBWE{?@%P>05&2g={!kz+fR zO)3+(9!^$wo7VKG*$73kj zGNvZ5XUeE?8mRfcL;t|GIH%62ka4gF0hlx?C~$JDr_><9QzAwcK}R=c%O?g&tSOXH zgRplf)k2I_CJO#ae+K5o(yND* z9!*K}xKy-EGYjz}ZQR5Oa7;)|$71DH+r^l~%-r#cN*cCow{1>VtxY!4f_zjWOQ7GJ z;VvKKPI)pSL^+J9sW1g}q$Z4GDKQ!mZM`l(KIs2JjY~lo1TqKa&k9o8?~ABtW#>IA zU%YIsB3l_S?q+z4u{O$Fv@R1dQLU_IGYDL^j3x`p)% z#r`$Q73Y8eX|cT)sdw4pgze&`56@gJqvzYdJ(-@cYm0=w@sC~eIQ)_B!8B*mO9d8{ zHXPIz?qvLDX5sE+a%U#t?pQK}C-vGImC>8s<~)JZ#Q+OuD)S~6o$BKuQvoXMbDjn- zax^g+#N$+(3oW)-f8nwQ*m3qJp-9e6wV7A}=`y~{5hC)1)nIc#J?yxfl-(*6MyvvJ zgO@QmHcHiyshA8sXXB75qyjzXRW(Zba4>BHp@Nc2c??-`|IlN^fb++yq$x$d7!qfx z>5?evv#)tn7Wi0p)B~OnA5t^^>>n1n<+ZX!5Bb7HO2xv(Wu?N!FqOi^8C@G4EAzK6 z`-j|-6Fwxei?L>=EHn@Ebxub32Zo7pi|i7^2+WCAFx@-t6bJC;_Qnc>CdxziX{;J5 zX*c=i=)x?VYWide>Y8mq|9l{X9&V`aI8eLn$z|-8+vo>^T*TUsW$cEAh#H9uTgR1& z7EUcm>^s*CX4i_Jof)5xxvX&|3iU&?QJM&^Qx&0pmW!f-Y__ENAdmP(7$-}~WE+Jx zOL;M<4TwzErD9KERx?_~>p=4n^_*?+@t8_(ycifW+PIN!EE4^lSjC^t{#F;PRLkG= zAkIVhf4l!J?dL7jG|pvas8@{mu1&>{xc*agvcEHn{b^IpP)losm!+Y$R#Hogor16W z51koDTm1+vYeVe@Yfk}iW_;54ugme_B(q6}YeTwW*Y2{;V$8-=s+2AUBaakcy4(y= z$J1CW=yMuu`AB{ayI~*fdLn*SyOO>(jzR4J!zf&mUI#-Bt4->>AiN0tGn&2-il1Vi z`wrFv8{aQ+cg))TBlAs)YhJ0wb-Diq>L48F^I=4d-bC#A1!S1;7VG8G&*E%}EUmh6 zE-h5?@_-;@xW+s4&5LP|CpqBx3R@k7#%uG<>u8C8hn;}=)KwUpZ-D>}$5$0Z7mChK zd;gALWc?JS`BX#>sLC$c@iyzVNYvBWvahJeFyHn}mVXrwVC?AR(Kh*9hY(s!G{VVD z`Qsu&olexV)Ds<}!BtKxzi}Q{PfNY4G9zXboQ#=X`-@h()U+I6qmKXG*qR%XvnGLw@6hl063sRtkTP8{s`WRugq_9zk#7k>srDmY*ENMTA0ath&jw9V z_Wz+M%J)ER84~+^TStRJSH_%^0cctB!Hd9Wt@F`zTCzN$q zQr2adQpPLNWhvry#~e%kew9(<>u+ zz;VLa(EBrj9YLcEeZWeh_Qx`HL#_IVUh&XA7ir|MlPG%hP6#=6-!~b0!7Smr746iC zfBJJCU$G4xV=)0N8Mqu?ti>?;mMP)mF+}U3jX=qbjKqffc1?RLwPHTD2{O=cIWHhI z7gx>uVIS5GF6WVEahIcQi?n;ns@t=cR3(#0;O*gXp-HLE-v_kBn}5~Z#n!9IsE~U& zS6tTuSiu_>B)YVV6=jKkYOy59m}su!$U5T3d%qFy`pQ>7tFH~`+IFW}e!MAB40jjk zzOChJcrkeXn?y^9ZO57#vu5AT82y;jHD}R~hXE3_|KADw5V*2%3ybHUEaHQVGFJym534Fsd?yA(VPh!Iv(NhpL_r zidMS2Q2fZ@PF4eSk@6e^mdS^wu`Qxqn(>95n>S-Vq-H70|5`n5 z4kZ?W>*T+Bc8+GmV#Hbv=d4-W+UIEZ84`$)W6zF64mUZQ{#U_|PlYX&&>_JcG}SDm z7_%f>=De9}L*QwJH@ek9>^~km#XZV^0Ztruqdkgpy9N{Q?k+KMTHnj5 z&hM$0o9T2MKIHe-MFLao;mlgRKQ~peRWwyWST>d6P)f6AtbU=JWy?xyI>y_=F?yT2 zrQ|azXvN-A&PB*(W_w+C2NOI{0Tz4^VgW>IPgbP#MHA_FaE#t%+lL=iiUvgRIM7ig&DFoqDoFbTUCei#lwrlWT`h^m!_iN+Z#g& ze$jZ8jX|^5mv%n><@g!k;5+Py2DDoU@s3cS)QeId?Z65zSk%G~o%kDDu=#&z6H&VE z;+H}{N{Lj;wLK-2wFphJ7rQ-Fa^Jad_~NsK*ou!fhv0;?*(?PP9gs%2fuF9tB8Jyq z78!3~6i!?-vNXZJ>zEY_F^4vg27;WBy0@t5y}DGiZ`S&eIASDyrHXrs0fxFa!kgCD z#?9$z=BQlj@85e#O`4hi1wV(I>UcvDQ7GR>$E?4S!cG1tL7Go> zhLf&jz1mg##cM1t+` zxL1{~tG|WHedG{&a`568N$bUmaairN4iJoXUAR0LK2P)zOv5_b*oe<_y4ukU$6hriqS2UZ2`8 z31Rnb3O$1{Nh+rQ_H6I$);^*pa&E~W`}ikA;Efh-h%WA@*;D4Xtg86;L-VC_P4p2X z+?X;{lBkFRm{&+D<ErTe!?*|M24Ckukp~wR`KPBB zI=yw5luFh#ojxdXv8x;dDJr5kTt;XuC$zEHDD0~&!}KAC<(;R$7bH5uiF{@*+oK?T zp{i`wmlP$>K&#TZlD8bo7Cmajm%O|=TN9^pNc=g+E4PW5l-`{u7WDB0DAZ)ruReZ4 z+rZNX>JyT`RHOZk@(gl3f6k*=J~*V3>L<40+^%+~(1~wnrI` zKP`!P>RK6bIKDYCb}&on&~r;gLCAWQk7vHw%rVx!WtZT=gz`b-5KJI-CX?OV<#L(P zzdqgO-2S4A?fkH$UM}~dw~yUHMOQEVmDR4$8Rgy?BJ|~u9EV#n`wPFs%;)reEP|lN zBaZ*Ye%|r;geN00JYRv=W1MJ&8TzgIc*4Sg@HSjfA?X-9+bycJ4uiKuue|sTp!RuE z8Q{jBZMnnMn?t z4oZfV5@6Oow6E(>W(O4Vo z-KB`{b3r#DwNrVGH{_HpY}7{3eyead1F93kgxKSJPBpXfjB(M{X`vi^YJ#IsrJCqE z+eP!gRU4+JgNR&rHABgsQ;GNl&)G5`|kr zL;@cEFc%ZQ7NptV`fIAHJ2Rlh zQ*m$z;&7k9Igt2*k_8DY;T?gSvD=)c&m7v4$S4>r;XRR?xci*SoQRYNEFm+X47g0c=zvR@kAK_isNETAo1MFR&lKAtP{* zNgBBW;SRxmq(VkmBa_^joG0Q8FM+NbIPpMQ4Kv6fkd53Nq>N*I4R%<(t8Gg1cED1~ zOhOD&#w4Xf9Ft;c{2S;;lEfPraW0&g`7?Pkkt!2?h!z%gfk61e6^vybe2N{`#R?vh zf%2HTR8W7ufsO@*0LAT4#BygC@{&U`;N|QJV*exddxVuIX= z?>1tkCUIKF;e(HZ66;uYWAPyHO|2>M~gTMEALVq(t z-R^-8CBmXQ5ee)2fZd*pz>565P!R`>nP}Jw9dhsS_r6x>Zyyo$Uj;a7Cc?c2+lulE zcJ^YNdQ8~u#pLX_65;T&Wq(v5tV7w3Q#Bc^*`0Kt7*=$pSKYd<8#3I~6~NOmg53vv zx{wr2spkq%OKUuCX^Z#?Wt(JVrS(dX+r0^-rH8#Q)G46otHei3!G$H*B_;TZXIK1X z1=0HbG|&fh*{l}>ZTFLnl;Lq1z;XD(PtCU=Q~&QWC(<1rPE8fBzSx< z6Sk{?+Df9G^idDwCD{tjhB+)RuVE-RfXTp8DLS`x{?R{WthdNTw=ikqr=i0Bc+9Et zEJU(-R!i`4Oq%X@k%j@nIAuo*dR0G@pef7SsPK+F^HI0KxG^33D-ys)^}HDP?-NOL z{f;2#;qq9zN0^g-mT9@8#&Mlt64YVQ;&=${NdrU7aMJ!-mAc&(NUSRJrb3&n{^0$D zHs-AX-+f5-5l!NtH!tEtM-2komHkX*-FD@<)VVe0l*9kMlRd(-zD#@Gq8o(BmsA$P+{bzVv z^xt5yTo#7MC`RtCvd!sc6JuI1u0{}A#88HC>cDq_|33TN72RsZWu}f3T9AeY=}@^4 z(OW|0zYQu2_bNf_1_QkQ^hrpi>3tWt6IpAA?CWPAwkWg;4Z1Z`P-vF)H+I0;@;~??K(qx4yfipML=vzncjQq5`@%fl)ZtxBu4> zI^^9c30zzcsG)CJ^W(Wt6bl+O;LU$C?`8jBKm6CATgihXEjI{ zI;;}ZqX~}8i%a^Xtx#h-s18CRD*&WWEba8tFPM)S#H@N8g0l4gc>@0%(FW)WA%G&h zGkJEr@w4cYm|8Xso9AdyOU$^%-11|Cc(UvKioxv?<|V z&_{;Y(L!UyRJjFOrqUECD>2Usc|M?N?Cc8V$Gx^p4RPygl=s{r-K{6NT(oX(MeUCF^f{tVXr5yw=Ui4`mCr;fWtFKQVs1aAW>> zNrAKuUTPJQ>EKl5gnR|Lqp_eUKlLbF+S}TJaKCjo7VXtusawm&aN&Cp$MQbVkb7PX zQ*(~jC^os{Zw@{mKiz5j3M(=~W0yDUO%!#cRcPI_RBX>?Lt|B&(7Hc&lR@#{rtb%- zJk!nLl$=zGIc<(RE9^=OMZ~<#x`mU&?nb5DrNXqvt^Ftqx@VY$c9W~ zvdg5e8R1`EK${gVi`z$nr*bu$IhD>3@|Cl492kugCc7Z%_1F; zW`ih>utVY?V;0RLy&7dkMBwxG^GEn24Em0Zi?$Q!9XKBQNHk|OH z=wN?q^5OxFX+~iAv^eqC#ehk!;C?Q2w)=NjNZ1Zay-#WwbHYs6?Ic(2+1eLaLHRTf zJARL!I!=i5KL*TlxtDa}k)0DQ(k8RuO}j4KOAUlkq%~x6uOM0@ zwf@P%!z669=6^sh+Zb+JdEQ0y@gDKfmuYrL0K+5BdUklE-BiaEWI1x)HN~lu{;1iC zI%b(2W>18YfOHwzrJSlxaI%nDOMFek_CfgaLwi;3bi0VRUA z;z>Ha(WVv79wd@QP2o5!YV@+EKtb?8_r!-_y$vA}h zm+P-rQg@J3&Z^q{DjTq&eE7d)FB<_B{x7}9bJEd#v_+x|1V|i_@bS`2VZoT|vd*~H z(RlY|{?FGLk|cP@45H9L$K7^?`*x2-UOq`Ahy+fR{3cD$=aOt=+bMLt5r+aeP$E7Wic$3k(@_f4=fMwC_z0ZS( zC!ZOrF9T#^7q5IaTU`LJ-950L^WfQzEx4l8JbSsB{`oZ7&pB7%1j-}nK~Mc$&W&as6`uD>_uwqvTSN< z`soj>oS{&842#Ki?|agDb!yN4kLY`ZX1oFVY_l&DV;8R6>{AE?S&z`YvwI%AaiEp8}7ElQC9VU7bk zpcW`^7-~E zI9R*g&Mf%(yN&;sAO4$P_~06QE-Qa^x^cH(K`|o6sS?1M7r=QF=;NG&6szS$iro2Y zjlQF@T=I56NCY5^wT?ih;f1it5YF!3+*?sBBR2xYL>V27G|K1BI@lXhDo2cE(!#}K zTL$Dz$sd|6xetB^W?EVE(}s=A$|J_sJ>cW@J4YiXFSZ2xO=AY>@O6nY#PaSo( zLL2?x+~3|u@1Ns6OsgA|{;tcg^{c$?Vj2xOx9FCc5Am; zXRTjLve<3PriZj!8Ts)^##w{Kl4Yc#-G!I9)a^l0oa-&zoNEil-iIZ1q#o0Fd=53O zJKWoc!S3XmO@cZtN!o{yX*8kT^)vO+^Rh^5*{GK{u|Vh73S+Rjzywp_p_bzbg+^c&qGtMF@7qIv?~i=u+^m=Vy9 z)G;hcuWrtJLS}!AWWj3vKdjwTaAncgDEipy*tR;h-Ramy$F^c*miFI z-*-;kbIyIaRrfxOT64|URkikBWB$e%xx}>mb!;Tnwqt!_D$l7#2-{``^N`D}%<0Y{ z$c`N_3P-hdU9(ycjN*^fAy{Te?T@tf^qpV6=kJ+lOoVA~S3UDt#xjXdC5Y?o z0+gQV<*LQunH6>DIFncO1SsL09)?W{(A4!kDMMlTNoD7Tm^3{A?XGBiRv7yF^0O^l zKE7`Uy4zi6RE9lWzNSe6-K#yXFvN4Pq>l;EYqrt-McrL95klLxL&TNP2$6kKFfxnU zw8cP32_3e*z;GU*@DB?3fN@Us?Mq4{%J1LWy5^R3h2fI3pC~CdqtJZkdzdRq54pGw6bdLXJ`tiFKj_E$Hw}+Nz^m)MhQ2FjTS$NgY{haockh zMH&Fz_}9XYCFEJ2)jsZpjrmlc6z4Ir@PJO7gCR$8ZkjE0Ua`BM8xib&FZbSPtA})8 z8#0mx3(0Wj)AE}V=PJc_@3;3!?)lOH1X~Vt3AMOa19&OOqc8a*@}vBvWctDmm#NI_Hu;jS z(wN^IQ(^vubV!>!r^XDnaUk}<(d-V+Nz{iAl<|!1;84glVUOqsJr2iF;Srg+p1uixV84;ZiEI%_T>vyvAEP`Q6B7U=k|eP%}W`m_sl`!?UT0iRj? zK8+7GK`(Zzj@EYK%{oZry)Y9GEM}mR_*`Gpel5n3gNz)iLI)Tw3s<6HnB%EPwtmFg zmA;qP&Io0|H03l2EmpY41iDD(x!%kkPs4XB=T94CJ4pr2IUG0-Q4nkXS%d@h@Pn!#yh97cQ)t_0%|S{{6%6)=#?~k9;3I5Flb=qZYRyR zGNpHaXL^UF1-)h?r8!VTFlWLUuF_gKZjle2xSK*)mZB=y<$HwE9lX`DNf3_r?$KF- zfPcMX6n|ScBkdD)@#hZF*kCEYH%|=@Z;Hq}9!(ZPUW@!)Ew-Ee(p8`%7AMc(WUA{S*$SF;e z;A{E}(P_@Q7Sq}&t2s+Ti?~*}&7rvx)d^*aRtA>n*rf%XySu;gPUO;BoM1EkR$4R* zPhN94*BUen-Q}?E$8)<}0Nrai3#Nm?eKuA`O;6yFVi2g2W<}6-l3EQ-ne3YPMmJm6c zOhRlNpJ(tA@jr*E=ouaufu?%Hc^Q&bQj=X^wf6QP($C~aoco5}6SKm6g3_d1CS2vL zq_Z36$pO2^$@zcgXa*w=8DcElCuSY{zyzqowjjTQ$npGDs2yrYioE`{v2}#5nZ-Zc3#PyuZ_%k`Q_X9%zpW$J=lWQQs?$mhoRRLlBIBFmE`uegDnf`Cu4j!Qb0xUSsy1 zv75x7^23s8=7&~fWpg$EXa0%z9y&MYEy!iwO30x`pL(FvVjsjXZh^tZ$7kchaqKee z!(v~*FLj)hYh|!5{3P1D7g!Dv$MMp~w(Vp_Fx3il+vFta@n<(iUM4!2kJv)dz=a-l zVf%|LMcOHL&8D8J54Y|uq)mKfH1->(_jl6^S7t5X=nP!TUti(XJ3RE%X~%?=TC)ULvI4rD2k0ATjVt$U^w{M+sg+xPRC zg(`yZ7r~w5^e4sdr^goFkv4acbm}7NV>AMBJtm}X15JMe*Kmeb!v-n@m`gsQTZANs z^d)ZM??zbvvc0WS&`7MzO^K#xKh@+fAGvd8NuE=*PSY&Ln+Wlvp7BI0A;C_4~Vvx7SBkPxm{|O5@w( zn?cw)?G=1uh&`&Hl?FAVOZ0Doe@ezNVhTEu=eaPb>Zg$8UW|+hklZ6n`0>3X+u+>M zIFLMwp>}4zmT#Y*R(cP;x^YMPlCMiNP;MiaWc}rOK{1+=luC#y>_@bE{!8-i$$MjS zTeyritcgSVrF|=+qojTjkdUf`Nwn~os+tN1cXn5%O{Fri1&?SZHop(a@cO?G%odtN zz-XOipY7meZFwAo)+ojBe<-d!z&o_Q=lL!fb@9c1sPcm?`6L6xFSHuaUsN??yGc*h z7J&Jd(iA3_%?M4+Of^vxd1LsanWD=m(|Tp%DzReCcaBK-M>N}vLw$b6oq&Ipr8(|k zlu&Z8LIfBj{*A_|ac3))K=8^Sximod5`#w~RWQ;T*kr zc>(sbiBN1g+06xxmJ7oNOpc)uh<`R7{^eybn_%7IG~`$L$~KDWw==KjP07X(FUNDn z>QQnQ)rIE=iSy23TIRSI)1b|>^g}zYudv}>VlqRiNXH^T=cv-DPxc_BlUT#hB!WSy z(qNoScF(B@vj#70WxK52D-brq5aY0HFVUQ-yx@dZE*RO_;Hh^q)lf?7!yTEksT*MW zMNXA|k6uc$G&Wyd)Q1%A$;uu{Skj*1-|V@t(x&6&YWwqO4yYuT$egng1C~AI*4i^9 zc{0Xm8my6Mcq7_^a#%6J9~1@yp*#0Qxa@8RH*&K@mH+$}u^Ky)$67qLEU}L@)Wi-{ znuMBmRhsG)^0#)X%2V8=+m=mcloi{{OSZd|t8&xqi2knJwLZ=KeQo3y1x;C*LvwZR zh>1-Z;As%7nd^ zYUvYlZg|&xPY`Z6qI87ljE9~vUgs*25nxWD0-@InOF8)Q1b}?xybAgqV0}D5>p?tm zo6meI{a{?IC90#3KT}Z;G|IbeF9}r8mUuo5L;KzBuvhYezN-6IoMC-9o{PV6Ru@5Y ziZss$A!2mfr}k?|((G!R!0trHXV(kEEF!vRE+Xt^=t!(46`5s`R-<$4Kxk!alIohw zK2iJTa(R;DQY9CLlJFu#Jsk&@o8S+n8jEMSY1g@%l1(I2;a$`FHUR z96ln(!M4<GCHuu;4r*W@0n;x2~_h??$kItZP5nLp#6fG%w>Tb%CxTDGQ#W;PV0fDTv6=I zkd+447Rl>F`axZ12*E=#ytek5K8Vci{ddsKH^yY@ zvt^~;mV*&2%P^Puyp9F>dbn)#G{>VomYbO<&*K-c}BEEnY5D<#=^CI7R~R{ zA5QzE!oGey4gzm8GbI`%w?x63w3&u>?ehVRXVkcBdN$AzJyvuh9!&0lb6=jS4CyjQ zBNK+<_3dFq%w4Mf;Se>Dd4>MS+5ue*OIM(B2ST-1OOrPrqkwXsVKQ|FZ7jy$^j&w^ zyhrcmf+d2eW;MBK0*u|Fd&WO++IzDY%S&$-E1s5^@psG|s&LtjXDH6x&K4cdD_|~g zoQwv6sSvmGO7`o;n1060;i{sLqD!+kpzoPO^Oq-0!9TW;WOVThnX8%bl?R5>wU7u? z$nZDf3U3OHGQ=(rxmShz`jP%1(dul{Vm?psQFmG6LSEA^R$~NpH2;xmRimD*4le0P zVeua6XdbB2PP$NcONPQFo{2D^U>WSZD**}w{jIJkw%oQ4tKGQ8&w>3kY%7Xf3%sFHTmNMCSm3>2OtP{~8(u z$Td3I!Rid?^o6YsX;JVGTW$Ll@Dg61QK0q zDM+N!lPN`|Ton{fvNYe`8Q1axp_tPNYOvqJT#qAXQIw}VELqiPqJr6EDZRl`tSa%4 z1Z<-|isdH+Oc0A2J=}y;-JJoVlejQWNI;C%R%$kOlUfN_wNFK#f6T=-T!0N^q%iYO z{_v_CC{nA^fU)%x8KGr4$%N(wMdJ%+bWL6Y#3GwDE8%~$Hp45dkftRY(Y0nGy;Pnb9HlpV1)$Bh?5;0#lC2TQ-@))pDTay)RAP8y#S+)DI7B-n$Gg;X#{7s?@5}V+GRLn*tCLlDF3rG zM?B3KU_VBOuC8E;HEZ>^g`JlHZeg%B;=v(4hlZ+40c;)921S@jxROJ_!qhS0yJq&l z`xAI2mVe?*5=0rkZ7jNZl1qQh(fmflNK`XGE>MB|je=h6WD<*@ZKsu482YPTz%hVm z8pu<~ys8PV;mC?VurOSI}elcEa5<+m}631UGP3>-4T+^al;wiX$cMm>?Tl!TY@e@SdfHh&~XWNN7jihdi zEjMyJ(%x8-PV@CtcEYZ~Yai{JfvYF+Z#7vfal{*BJ_D{`2e$$%0kzJf+E)SuFNSWD zrjpksapw8&y{Mp2^uR^z(Jjy+L}5cKg(^7ok9_wNPBxSblIzziT-gh!7{+=pwx@n; zsQh1j-rxz9()h$#Qx8`AOMRwJfpWUne5SrydrxT4Puqy{D6r#ckZ4{Ir)eJ>>4yQ& zMqYWv79Va}nSr*?BmOC9;=R<04Aw^Y$P#s%d3l&#b>Ub2f8qvqV*0q~^Dkxm)4et+vUIuVE#%sl!8$uv@9ZWLlbqauNYlS%|^S;iMy)iCMhFJTSa0w`|w4 ze`6522JKwo4p}>V^ZRU=G7;)_1CQBy?1a)4P})&xBpK)qe$ObK&ks(_D0uT*#(VT@ zVKpV$kbI914RpK+@IfBjOh8ivK%%Hx7?X`1W1L~RlJFQ3BOavtSOs@$4}#~T@KE2A z!ZC)Bk`)-JQskBb!pv)WP}}C_HX*<}dr?)D%vixxrEEP+gqz4m6H&+KP4BA34Qu_k z;8kv~g1wB7f^!dp3!a-?*;WoBvS+{Z4Ttr9l4(;*BaGzL0XHDdJQ|Bsc}G+RgC8@b z`I+ru=r>~Z@-h-ywK@`ym~bqwG}8j47iRuH(8UaMtV2|meL?~^gbVDrYR7t{IqA)v zhS+#LZ9^5DalTYn_7XfU%;m5H^Zaag6;Xt-M^eq~kNQv-kMH6>7#)hnN`;sw2r1Cm zDqjS#CW^L1{mpqJ!_0g4K@40Q2{3&%=)BX=d;SgJY}%TFJU{)3H2x>1#VgwAuzyVE zgNegd>AnPX(BrUJyy9h#9SP6It?j#zBn7!@bDpgBj$cQX0`Zvy-u5ojI0l{$@2>I% zxsm1gl*z>wTu>Dt_!nV8Q(ow(1{Ip$wYNyuPNsZ&JtmAxMUHNq8nJZc+JO|Vfq3F{ z9wFNE61`Enxos-TSRFr_s}_}^U*0TB$1*%g&&^{N8M(Q)6<K`*? zUow6k1V_Cy57wgN%Xr}pJ|2fUN(x#vo+Lt*)=`!>&1GgN@WlvC!sNmO=E{QGWpl=jM2O`6cH+k;|m1>OD~G&FKY5|0C2SOUjDlX*^x% z$(wmF6YZ4#OkwI`mKU-a$XWtYRY_KuHg6q5drTrZwK;E&R^ZOPiSnK zTO$pBB)b~+%GQlDL!5JSXv!ex{5@9@%fhz)>(cqB&vgntpc7bQ3u?BXc9a2cH0m=c^+C=U{Mz7r`=Rj^wR=l(UCsSRsvJ`0V{G_p#b{v7_nFl5)N%;abiLd z%e(x_qXwvm&w#qKlNOb^sPRf8Tr=v-8ZXhJ`!dPGznxgV#Wcmz+*zSn@_0)MSlqTZ z&R8edq*uPXjyLJZFWAH6m`dG3{NCFjUvO70$r4!cmL|`z#YrybmJiM7!Qfmv+wtro zk?%B&zQtcl^K}9QG%Q-$8t&`f0RmVUq#e8YFFcth96hxIHpt>YwySrofTI}RTK!LS zTsuOs4$XXC&zLuOizS<;Jl*9}^+Vu(rq=JA6wbpFH>dSv?YM4Ar_vK6bG2PEL#QfrEl+|r&v(PI}Jz|b~sZfC39U7 zF0J2G5@0UZPS~l066^SvXm9D|rJ};tE_a{)?Sa0v&dv|s?9%qfp0?@I9_AX?CTU@q zcF~8ua+a;(!X73K@8$qtkU`0{&BPPm7AHlUr?uBa<*_w-;xkooZKpcwl0jyDXR+0L z>BC{V#L9&xsVTKbB<{OhpDVF9GtMchBk=axt5K|4$Gkpf!{a|JVM^OI-Kaa(fnCyz z7UOWW_R!omXaL`hhBNjN?#d9&QrWo^l#=uO-^AJBxkbbF1wB9^4ftI!5+-8fF_Gq3!Mzq=0~Y|8~^* z*>3y#@CrIcMv0u>W#lM`cBt*YJG?7%HvPBH@Zv;u%O?-u36z%8w2=NG@aa=ndIcArQ2-mUIXfj&@aV0n~ql_*2S+3CfJh_AVa>S>NtBZSB8vEg$ z3!inyEViHJ*h1g-1BWl&3xsV2^Rs-`my5K6t^(~#&!B>yEy)3yg%sCwRPEW|1=#8K zmc*{IeD~K+o^|LocMAnTVJPp6W$`;Db0m#{0TIE;W4uH#u{)Df>|QP4C%kWB86wYLXEWeg=^u!%HzMoKbVVkTAzT}P!&2S zwYs<0GvLMO`}mRIW7zV&#KD8D?OYm7XrfXzIAI`G`nU=Id^M?iQwpfsudjg`KM^KJ?aO;9=e8o?^GR(W;H1jsK zpH2r@!nc`8@UWF>>bFrpPoF@;Oi`tf<58J#JbZsbY5bKT^K3}qbZaUhG| zj3+lxO01jq7fARow6Rq+MY=mu+^95J?3G8*7`jx)GDC*W?K` z>1G6(>u011I5^D`Bx4>p3IF~=U$3p*olfg4Y|(S_>6A&g+lk%rU6Y-AavSCL1E1Sb zy641M68}?D1{jf1yy{F3WTb&Q!)N~@lpEBEF|0o6Y|=)6_|kk836Fv0AlE=ckK-Si z1wWS^Xz0Y|8B)l~AcUhw4@@+Lz=RW)_0w3(-5rv%t-1wzTkNZS9*-ucJilGB@+n?f z(K64)S^pa~Xh22MVG}kiObT&(SQBk1N;|@wYmm9ZZD^TCkC|(hB*lqy=a-okbGR<# zCh_cII`I*J|99|xure&Y*&~{B7W0sPY{A(g%N@?((^MTo|!``S2)i?RUp2SPp zJSRAbCl^Jl?tRr@!zoyjtQbd$IWd~Ob>_f@I8|IW+^!Tm?&EL^ui?j#!=0kCz{zxu zh`nwwO{g6}kd;XPU@|pdrV!|D;xJuKZVo$(;Hnl#pOG-V$oJ)7@n%AcmEq2Sg}rYA+SCG2k2r5f`lMu}5z9|Nx+oiFCz1Ib{?zDmZ=baukS_DG0epSFRm~aI-mF-?i z{U9zRoEr^|VXJ7vOxRF1KsUU?wRm|7@%#bzSr;f+xUu6tkZ&?)m6=DN_p5Nl|sIXz{Rc15K?@T0$%$)3C znPxz@U~WAZPOnG!Gk8^?ABjW)D@I+cfMJPa@NM+uqIsCM&iq8Kvm#{Abq;CHmIuBx}{w+Q%u?^5MC!&Gh98+lHZRBf&Bo-bZ$-nTE*&E)}v>cG0Y% zMd;vvE%~Y(Zf&a(-K?^^wErD!p^rCtxSJYs6bNAQg7^j9O}g+=-Bomf!P9Dt{E10g z$XxwyDav0BJK03(xPV((+T5SH9O|Wmmj0ndHp-q)u)@V%tF064A;?cqa1}p;BanBaCCvF@sM-?4`k3iA8e56sutGRQu<;nfb<*s52G~t{Ry0 z3;E)Y2NJNF?3+5n=n)PQU9Y&jA{Faj^Ro9akqo~&0tkicDSmOzkWsggpAZOBYv6E@ zucjL?OCAr4YS42q#W_Qx!Nbck^!&@+e|gNYdp~sV@HqJ0!JTVM_~L3CnPii=pFgL6 zqv7k9tsYrZ7n>S}u5U=dpg_|zz@tUVn!UXGeXon0V0H6^I*J51e}f+9sd@hRck9WQ z6PEy2Iny5a;~%GnMtv$~m}jdib=W9=Y_(+QcatXDQ8;|tL%8p}9x`_qDOC}yvbU^U zCZy6xoj$3bp6`MF2%ecnMcOgY1?mjhAPQS#Q%{$^7X%mAK0sh0TX4(KOdWsjHb=va z*AZlU0q~%stwQw7^6Mkh^Psb_$A*gw*|PT2A+^@M1Afb!ePF7%HDjo??1^}w&n`q0G=U~iL+2B(Z;vyQNUb1{tLOREKd)e8 zVECk)P~efQ`WOE2?8;x5~ZFdQ213TE<7zqIvTGV6OqcIVX7GQjrdi4q=R8Q;)~MnQG@kcxP*u z0!)2NF^F})hSvkipQ)1abT3S`jJY(^%q-{ndwEj|iBa?%INF*5dTxQQwyUGx#rf!v zclVF~nkg;vmRb1D!ZRtsGrN<}wDgpg6P}5WMXZO$>B9>c-x0Ckg#U z*?Q&cT&GAXU!d$xVb_tcKr;1B$((2 zW~;nvo!42ho*iFqLu)`kM}LB)sjfA>yl1k#_-d}IkTlXbYv>jNSS}T2W2u&qX0!(& z?R>I^2CmkN&Y@OHES*;2OENaAw3T75=f)=|Db8<|2s`nuyJa``23OJXMR9LR_v{CJG16<M*8!b zo67qc_HQ_4q!qB^X1#Jk%&?~CP{w)xlx}{ADgP;xCKH>I?n-Q>#>K2!tJ8YBC4a{5 zZx5hCdZDq!KzO;2w{pURuPk;x0fHmic!TdIxCAuBwpSq9pJ>k>^?Ej`|?Bd@v z4Gf?Op%gv=A=A^2>OhRc%5y8-x4dpCijh?#h_2c~K=k_Fme`{u(^}xd#wa7`VNUEz zHtULqRjB0#_$iB81{G9m#htAr>z*q(1uvo+IMSwKuhQi61B*dl6_G(l+g)u)TDT}S z!Os1oF%mez>SS_Vc-_SU7aXO?WMfi@(CSh@eOhT@(f$O^DP?=8O7^ofF8ti_C$wFB zHqCg6o)yvdvGs9;ADkCj+8nQ)X*?Q07Fgu0e2y~JYfZKM4qUjCHOQ)kv1TO*kX5FQ z7u!2|0U~hxDW%OhN-O<41h1-PYTua8bo=l5{#C;5coQ=oZu;x*G|@X<{T~&Ew-=YT z++MvWy~{Xpf_LWBH^2Xh)Eu}Y)p&~3o`JWXzHevVAGf!UM(vJePWU^yHAMVzM6T@a zv4DrO7=UZb^t1Ri+%@+i3dZ2OIhk3zAg9}&FDp9ndX>=X%Aup0-{bS+$3xO|_+L3c z{%*Ff7R{1F7cRhJ_KImn=E~mpR~ApUNk_Tc)R}#1xn{2ACMF@LC{lqMq{U(daf}v& zGTw?W=daLZj*0^3DrJ?3N_PqEVHoQqw55&3eZ);`IawP@eo0+C>Nr=ikiJ7wr66g^ zW1MXdLTVU(>Z$W!^9>E*MLEfs79)_Ujpd)CTFcf}^XDx29(yeLIN#aH_EQ#ucQ}Eg zy`gt}OCJ=1$aYg6-0`PG1 zP?6rh}M#GTiPQ4ZbCZiIuE8;xz7%_Oc1a>ELzC>^Ik55C&*!%B5hUA+=_YLz zaj%PG$vCG${~tk>uFiJG=={YB6?8+Nmvao)L@V+=UK>8(!WbsNO)vEDa++@U<^*^l z-2l--P}(7*H=}=zX*g{!;6q^=KqJ0;rt=Y!9Zz9?4UFvhdRdt01sKe5T0+fZ?IqVd z10S%_dvd>e?zOAmF}_?sQ|pWEw`!^|hF(upN|ZGy0*+Q550--N5g^%9>g?=F@9t2m zSNe{yma70ztj~QjD@JY&mi3N4|6k1-y~_U!v*zT48t2&6Rb7kzeP*Jx$af;GcvnOx zK9yASy#Wt_288weeD^tAe|vdw_W0mwB&4;-fNtp3!sdsu7Eht|9O7yFu44+VDP#XW zJwW7-(IQhUT<%+>W|9+k>+eqzTF7CvIYMRosEt@3VRN(T(`Mu=l{=eA=nHLi8sbr#oC!h~M z8qcaz#_W%LKrj-XE~T^e3CkM0Qrr;|vKqGvrPYsII4pN&Y(>1P5Rl3_%D|jIPN61| z!csjSDhgRMB%wCLB|;)qAx+9%Yy-%P@|=c7C{1a7W4<}{L%tW)k>~1Lw$}fPRs(rk z0)jqP0>HofGvs&W3%O{lIWJd^|C6l#o~)FG4zpZ<1ZH?lj1meJ_)XRR7rC}aL^>~f zZK23CHc&~7nBSU7+os`eV0^!aoQ@fhp{{6rs0x$mfe~a%SWn7)2iG;w4@$k4l)V!k zc0(fyee+Hov75YCG#xHv*Qg8?Sg0HtDPD>|mD$QxvPUBd0Oc)~!$Frk{j)$Bcj^Gy z87QYfcMRWAW)9K9P63s`Z{LuK^dGI(;HpDue9iV_^CRfEO8vM75BIy* zbLJ!*{%qWNjjz?mDJ|GbnYrGw))uaKYTq`K@HALPDfh7FP21L32nE2;Uw6Z{gSjgR+c5}w zBNg?AD|xrG%NZSe_$HhHHCb2xr@m+|Y12K(yx-j2ef`vW_HX2y@h;zR!Ymd4N?%jZ zbg1v(-aN$OD51Y1IrJ!2)(YmS@86UQ{HI;NeAi^w@jgeY&{cRW(AM$+C4_ST?#j70kM5A>klUvz6l|W?~J0>n+J43hEw?F)?DUU>tkcEP6SKo-wO3(9uc(fBLsJ$n+x9SJ+Q0W*R_Bj2U;Ywer zLIg>q=9b-8Nis0Giy!;7vkxRd5=A6kqFk_6M1m+CB24tAGk4KWYWejVqxqUZ@e5k& zThKN))=gzfNyWFQhGmMoq?mn{K;m70_qcGk@Uj-M%?R)b4wC)w@QG>ta9Kml zYLys2RAa}S1r<`Uy=Zkjlrnae*ax1x<+`^#1I)j9%hU=DD%Ye)n?M?*Z;WPT+}Zz) z(LUV3C{1M}s_-#@Ws}V5Tmi;b-x#eh$EFzDdL8S(F&f{0W3;6IiP0kdgVE^DXhZ%B zqa8M1=sb*Y4Lx7F`QMoG^{FI-+Xif>qbEKA$~h=yUd;Giw4_-Ay?4zUDvOJomK9wc z_sly)8K`35j{LRcF!${`Um=G0Nh$yQ9(Bxj>=^Df{mK*$bNsobdE<%;XXy{Dkz{zI zkq~B#xkZQqqEJ*O!$G@O`It=JTX!n+`K24d(}1;?q(5#-vUqB{tINr!znkoTca zb!@xSYZD#>H3=1{wW}6G^(v3${NEO>##FD!O7p2BknZWqB6jL)p|;^8MfZfLny6`p zvCR3!oW^?1&G>QccTF`n)xl;K~%gV`eXDP&#Z^#rs?_X2WQ|wdDyW2*%lpaTPo6&o*ZSp4t>l;kszm-HL9* zk;u3{%1@J3XoDDV+#jbXZg-oomMRb~&^NA%55)_auPbi4X->y2<|J2w?D0J@kP_s3 z5U~q)R~*v|@w(wJ?$;2Kp+rY#N6bHu>`wtgh z_3b-T`>pP_@ECsj_6LY!@;#GCcu!!Mn^Y7nr-3~ z*;s?}d-=RYbt_%%6H}sS$J1>!mFeCFg>5u zuZ*NU?omiIJRvHYx?Dv+n=A>WfU?p_J4*HRANj5n(1uTgZX31tK=NpJlgCW-vhyZr#D)OG zF7*n!k~%0;-al@bOmsuJ{L-5V-Y)EvX_qg`gnhxY&xk()ODoSo%am#5Y7H~EQ^pT! z-&{+Pi1}D|Zk)!lGVJ~bID9ig67;{=tseou+31fP+UTbM-$X)vo@))#Y&<6{#k}eq zu3|!^G}~PNc^nZPniajG5E*HLC#48Jd};V{tVr)tWLc78Umo=wO=vBKD(#EG5g`U!#Kx0q*s?J+7wiw{_hv zf~6Oz`O4Z|&dpo8^>Ce7C~hJtv!~_tG7luuIH}}XSa)LD5FkP{)8U6Bfl0WcAO{bC z7Q#!~yM=&(W?O#xfJHylVE`jZ1Y0pGJa;OTL{exW5mPI$nG$vzKp==K4LJqlEO&M{ zrBd*3lOZnGO+wv{uB3fRJS#<-!|{i?w5F1B)!C>_&OGMtA`fM3_>mPVW8Um@c%{{Q zrIzb@yCMzcz2JtDq{|b~iSm-wLt;WTt-MAXlp8tGIBjBU+oFc6PFkf_ z<$P(gtFAa_JD1Ayxcy54|NYozOmSToax3FY2V+G39})MEQF=?yGa?t1bh~5mCVi&I zhWMJ<7L?fGp4O<3zJQ8N2nLDSBh-H>lxJW@GOMEr@+O#=h{ zpVVKqnQpKj#vK+xBtqaSRo4m8`Lz10ZC1UZ`@L)b!~Pqd6@SAs-xK0l83Kq++i!Tr zPp+}-)z=(KATn)S+_%bsFeY~8Y4&@E35fUI&}8xpr;~)df4=>*{l#y4@>xF`Msa1r zTl;q^(TB~i4|D$XvTkcm_8*ynZaC&9ssa6T>PZzv?H*=TP)lV?dAQBEDur*+gUcZ0 zB|%yR52^lQPg2NfeKs{uL@Nt%E5N`W5O-NP(>_MAP!t?dW4Htj-iV!H$gpp6c3joC z%xV`bh|8%$uM2|l8%t<-9#Wy+8B_(|14IR;T#|~U2qeUAjCS4kAr26Cjb+SYzNnZ` zYd~1Bz=B^E7{~gKm}kvWu2fcF&6g63UQgW{OIeoQ2omB3g$pNMnqPiA#y(d_L!GLH zToNgAtc(ojb1IxK&rf|{4y~-1@`|3f%%;G@Z1xjB^Sh>YL6g6z(NoIoA%6OlUl7E(Gg4XA9pK6J}m5Ot7rRE&u%LI~!y0RIRP55JbzV>2aV6!ut z9hZOJ2Wf>Mw?nkv6sQWfnXA%kHNoiVH&LrMnKNV3Yf;s+#|bx?v!gI*A&N;~8qbgo zZ_TFuvdWRlf7gdOb8Ud0sKHGlt2)%jmx16AV|5L4WiT|2Hcx&h z#bnJHzQ4k?zOz)#Rc3H24M{u*Gwxk8h7L|({d-d(V94AEQ@2o}Nj>@Yyt4{zDdyCh zp=`-N5hP==HFJ!a+_*z82!^ii_me&g7t(Rb#jK<<26NTQ=h+{}wPKrMDIk8Wg5}mb zJT+nE+wH8SwYXx7iTSqLMDS9k23UygvT@p9e88BORXM@%`NtE#^QTSso_W(ayFI#Esfb}@{5_&{z)jst^_>W)wy`C@ajtbVG9d4UuR zFw*c%c?d!M;FDIsCX0=GN7P<+Nh?gzNHijdR^4|;`je8J&dQN>W}cyt2?bsQf)n5% z*M~3KZ!F>HX=!1Ap6_J4n}^TCB58ZJM$(DH>{@CFpF{;M?}PzGxaJd9ALh2hea^h? zVha*!x{G5emP@b!Qc(LtY7QjWmv{y@_wWq7XF1=`DUUEg@F^f$bv3q*7ftBC8gzpF zS|slIlpB1YFb@WVx(2j<+>1Uq0THi+G7-etsd&8DmaIgJn2zua;UQgN_H2CMm)$iuZgRy$hAR~WH_A(&U2!JxPu5dTpOCw2+tSwOi@ zIU4C7n+m3y(bv%@1C7X5+M@tlLZP8^H>F z5u3vgu)z#Qe6WFX!XCMT;4uW#vI4_p3zt5Th$S`dNFXa9;%+&PfqVpUeE9^^b_Q!P zN6A>=M9vvSnQO(tFVEx3r%pFONuTja2SX4ku$szsLySGt1}~6?G=wJ54`K@Kq3Zh0 z5+gC#b7bhowqlN+$R56NjE5LZ5{`8e?1?;W33u1L{AXJ`aUp3^@wcNV>d1t%C+fK@ zRU^VJenCrx#W4SW>a8AQ9qrM9RBo_l_b;QqPj&c~Xa?^R4FOn#jcRtS;KbQ&4)~v~ zP}~-rxWwzeBp}DS(@0uhM$QalzebQ;mk2n{;yKTr{=^thk)H92Zt&yyoAQ%V^^bF* zY}Pahah+WIEi*W-785*i9jXZ+Tu3te3zT#fiN?vee`uI^ zGKv*xG)aZjAydiyimpr*BQWLQMHL}|XI+w8?;qEAxowhSV+TKeG(RXtT#TxaX8xEA ziu;foD`@t&h)^rI+p?xip}}AN*r-1$KwhX#dI@87Ev{d8tTvvB)x2(Q9wN74U>*lF z{LK-V573g&j1WDXd4uP-i0a>RWGMG%y#d^9#@yV}Zj2Dk@l%t3<6|X$gTzx%WpCt5 zf?{1P@hP>)kVZO}A@t(d^!?v7|Fx*tAS{f0{S+?0}0$jsOXg`95*t ztoeiRQsXZ8sh4ZFEn#W|PWFXr_0)vvA%zwPK?fUG9eV9n>s>!bXv@wI!})e#Lj zZIBho{~nbzW@4Kmpqs*6!*|k$;D6!DI^#lq zWr`w_Za-clg|-9C^LCLT*&+2$0e`JLKqSv5pPqoUl+8;u#Lmq2wlAyrA>29e(x;uvykQhLaPGJBE6{SnM zZM^&D2rZsE3(7?8~B89ijm{W_K z7G57i7ctyr?fA2nsNFuk!0vYu!;5!kNHoav$f9<7o6TE^AO57$14^y`(1Kvurp|5E zjBYbv&RVox(1r`Mn&GJSld+7KHQAp2{)r`XS4KT~$gI#ezQ@WJ&-3oFIoW#GK{v!H+te946b|mLLX>>+VnDI0 z`Yf#e)r4`|CAyc!$!LtAxxuzIH2TuS(%nD0a@Uz6{#w1qKRRx1x%=Rn^U-omm8U)5 z8=V~2p*2OEgP@g7Z)>ZKmQQh;EZx6}#y%6iYgIFPsG(Uh)z~hL?bh%>$sF8~*6%HT zQX!h2(ZbXJLae<1Z|j2}*H+Xa+~+PYUB&nKiXGcFzr`(c-z zpwYN|%AE$tUZWpSaQ&|-{u z5ITu3Ddks?dNhRP<|7pAB6;DAG0W0lw~F=txe}KYK7tuOOK?Bu(1*Ger-*-Kkt<9X z0=Jr$c9P`dJ`~_H6>C#FcF7^-#T#qTA09YBaTVFBEX^mMOzW=iGN1K?%Bt5y<)tNajSS zUTyMuVpp#(Ja3Nw^z$r^aXu6|_AC6Ev=Q$`|Ljj%qBz>F`_i?{<5Mxwjn&emV2w=z z^?0%K+@w=6Tkl*G!A5-vEmr}-Eq(P?y(Fg;R`E^!*jBv)r>~_Zf_A2{=?4FHH}yw6 zl&N@kSEv@B{Pnr9MHIa9-5l2VdENco!y;D2wM&xsaa(!9 z_dmpKtqrlicapD&#W}PY|HHHXnqvL~Sp%Mha(cPiZ)R$R$$6d>OM5-#COWPGW+W!t zkS4)8M)!)=6>yYvYy}wy)z(dZ&8P8wTu~5rxU87HOg>Fc^=wXm34xvZ`&901L$S^S z#Z{~%MKx+3uM%r@h|Bl6_InFvB;%`suO5C$bm)1WsE(;pCm59ENbj~OQ)C=1;$EVS zkuqPAtiSd%k!{n_R*lHFsBTxf5@$NP!+Pbd_8#se2-_Vt<`#$zYmn@&q%jt{H@r zd4oSwTO)AYA9iX!wJa4rw`zQR=%yeamb3c!eFUx)g%SFd82#?BSKZ_LYT*UF zOV4;D%4wR{B+s~7db-|Rz38yT+&F7naYicYO&~IxM zSN2(HO<1#4eeEE0rm^I5Y@hrSkB#OIr>?_5(~Pr}T+yNB-zG#&_#OcZXOR!%w9KK7 zz}>P=6^_7VH&+z9-G3|%Oc-zqdCE*+MZWjfvvlATE{&12lyPJ^*<@$(a_Z4xbGD?^ z*zD}u)cN7NtLMh^>nY!ioAz!ru9we!rvCVoj)(26%a>m*)6+^;3k5{J(0 z+c9l6tRpPU%Nxwp8g<-_c|2gRRTVuNI|c~XJ>~A7Kv$v!b~!@e#2zR0@z8xUtW)Sp zx|4}YoAI@gL2pyC$4I)Fi5LPpS^fOJgQ~540ajB{L;FO?Mm&A0TkBg$LZBjl1OfBN z+P9*kQ={Ap%uO-lPO_k#^A*cav4^rQc=p%Em{n^yc?Z^Xh$fTY6R)F~4V@-oxwq?y zTxP`UlAki_wLdi!L(jxbF<>Xj@CP$yWPvH%H?cv#D(wr4R+Cw>N@$c;B&Ckes*H|&WF3B{lW55wDVi4)|e4U zR;YNLok~a6IQ!9!Hup96A;(VcP3p-m4oD7G@$MRBEoa$OLCR;K|k2ew8u8%F_ z{=T$K087B%mwj=6A3!EoWA4_8U`;<#snBzR9u*&-$R)XyEO8BPnmK?s1&rV17dXQ6 zZay~`dQDqb(koR|683IB$83sd7{r#T91UHOWZ#aECG?P|!xYaa458A(Y$}?JV&inA z>?BSXE8i%_wrj285nR;&knY5;rru0iT)cBSk6qs|UYJUBx#h{2XIg6|IQ4_UP#=>k z+{}m$6G|HNZzDUkl0s|PXA9@kz=6J=r;Ip4OOe@+nF_MWBzwZn$!E*%>w2n!g6UTk{0pYbvt!=@G_9(oNBVD zHym5$T`A$W@DNNASt$we`ti=B3gIZByi4 z{}4N?Vj6RsM$tlHwJoe_wV^B?ik3pQpsx|_$XW8aDRpXd+*+dorL3jB*tdbYw8569 z%7ITk>G^l?k)-spKxr-#K`H z_?vo+C5ayt|KrK3NW_7CwBYsf5n;3T3}Xj}(10S$OEOCRSj(X)e&im&Tk7Yh*DON& zimYirNPZ|)Jk<)g4zQ%2LfiX|dA&T8fH!rsx3fb=c)h%!N~UxF5u}bI#2SeM6~_8} zm{D$Bjn_!7(DPk5l{pw^NMl`)50NcJFgfVz=*QFH5+rde2^y zim~>^Ct6&w%*`| zBwn?dh_j(ASFmHrAvEPGPLlOxWerJ9{I88|2Rrzjg)^p&_^2!^BEtDJXiC;olrtoC zs!5XWQzn_}yPKWw2&*0N$C7NA+GxZ6(E8#F?0Z9+=i+#)7mqKA?rntr?R0!0WuKq0aii)j<+0A7c5@0zSo^j1 zDX`aaKxW9np2S!EC_XXYKbhqEXYocMEbHPmA?N(HKg_&H`}#wS{^;LMj-|FmJ)Y}j zuok*@MlED7nso0PohtSUwx!?*n(%i|Zt`u}QRnb-f5D!|#*HMk?^~eC!MgbK{OFrdmLm!LYwiyA)!e<5^&8*L zpc0Rd*QFCwm?^QPN{#AlCG*tHGat8KOA!5bFo8ZLsUppsi(ZOcKDtapx#s@Jwu^qm zk9_emLiUAIs?p-M_u$OUPI+ThAqr<>Ds5Ei*TF@q7U zUL+padz_(EJ+|gXujhY1@W3kxebHlk*zWhuWNK5G!wYP>|NLyQeJQnDbv$=f?0fyO zx%e}0^pjmq*0T$77Kd;d1c}AcsU!3Cvg1lqJJm(; z+jW!gdCu?G{H?y`>;2h^CAf;pp<#{?kYZ+`*EcCXS~W>H^$I(0g+Z{6_e@OYzv zB6=d`>lbckUEikmbfYH!D{7VRLY>s&zpGWY{*wQNJ}dd*bH$x9CpR(LSKH$u<$1&!tmm+7~xYysnJafYOFj(St(lu^sRp~CX0Ij*E~ zwxKsOv~?5ZTx3LP0%>~ny>k7Dx_Z3LR@!=2UL)T&HwI#^EoaUKDi2n>M+zJ1`R%WI znL~l>VM@t4h54r04;)EpCabL@h3>xAPU`xnA6gXtPN^Bs+C0D)Z;mPdX7a-P&A`%n zdi#WePeH}W)6|sl&uoqh1zYt((629^9`B7z)(WfkFL7}CKvMQ~QKG)*GkiZbcf2Vr_9 zH$EGAm8N+ma(0rmkL6dCyZxZ_|s6`f=wsDiC=u9y^kfhG0T}L*P|6|K;b91*&mY6km zz|J#`gmsSGluTH;?U$PTm|ubD9Q-?s;#=h~+$oa`68; zGMv~eIs69r7FzgYL9NK$_fz4}_ph}^6LW`Q8e3x&I3W^#>th=iF=*ZRFWwm2-5+`a z@vnVIk~PyvaT&Z=^Q8eMg~*BqbN7BqGkN@bBXe?Ici32Cz|~@Al$Fes@w*Nd6s5zSGE-n(N$c^X zWU5sXf<|iN>WhuZpUe0->yf+b_`nPIWUp(8TXI=at6oNdnnJ%{9DS#1f_P<@7veXI z4s+~y(^F`n)7RfD_3v*lB(#+ISsQ~Z2}YOT*kOo$k)t&>>zgKeCzub&s%O+FNGMY4(!Pi(d`T#z67dCQvQhR0#=UvdVKqg%`*Y zK4JTBo7$aqI~QBS41AnC_3)wyrY{p5s0-Sn>7iyOYE+s*sc zRPm_dsC!fJx+Z(=AnMX>4~RI}QB&n=D+y7Dux0*j@@)522swT>m{F9V4T(77$zKEw z(t3iDieCdKJB2K*A*EwPtC%iAp@s0d>I?jZNvE&eKwIOlm7PObj|CN$l+_pCYMYP< zDW-vhff>v{vhoNz8@~$=>*S`iN=Ih3?UbZ3zV3X3vs*H2Vu6N+Sj()k&etrBrLEOq z4a(x7Sb>reT6}B5s__%{hfIzY{1BG0Y7s|EH5zZbRK`r9kt8m45l5@x;Xn{G?Y5(yd|4JDKSnVw@k7O361Cx+LEv4 z3D4c^jU9wUtMCg}(@iFQ0c)I+%p(FFyB|KhB@iSRAh%0G_g&{_9s|ynQ84^#8Dvu6 zDZaxeM-rH=;ZvoeuLN$cAz&KphLGtuQXIhK^1^i*VfDwcJJa#OU@q@fP7Wc!X+|EZ z9lp}!7DaI6CkkAV`XPgOff@hQ5bn2PZ#BFhZ;fisCnN42vIWeriIc2b73?IFbgL@E zh4L=JM9F0TNew_0p6mj9%NxGkcb#vjs`()3IL{pG1kU1)aeNyujgIepUY2D2N{}7M z@pka_lkfmB$)Y}5bA&h=8#rfuHF^iI-O(vJs9Sft(gP#ajc1!h2d9sX9u93*-#NDa z-}mm^BdfvWN-MYIIh{HvkPc2GKqGLcGU)zX8<>%O;XkPLo9FuA*v<6p=AE~%M5oJQ z_%+Q>rRl>`-^3?>TX6_a97t$&B8Hd0*O*vZf9K+OLqktM_lKNz`}#7w3U{;dxDYBG7O>Be0#uCYg2<1**dt?evff;QzFtl zK)%P9M&teEN4aekT&xYQi&2rM`63L+svG1yuVh}s!U<=Nh=`L}@d=6Qa8i2XjAH}M z?(NaPW;fL#>Mpq;;)_4-pdV^IJddZydYdz?75xG&EaL$OV{g`n*K`kla47`wC=9wj z7&!hiPfVXjPErF!CU(gfA&Q9Mz`$hfa3j*bGDTM!4@yY=fE4-r5jP)Znx0ozGu^i4 zK`{lbmOrAvIso+^Ux=7x#IFE}*XT;mnF)nW1&O*^iBaHoquvit0zY@nQ=tUv5!cXd zcN3}G8rKHH)u6m}C&6T>#sLO~xTx=1qR)uA_qSg^dJsu*AB%?jDWRx5C!`(|Wr7hB zUV|AKJK4X^?(p4$)=bh_nuqXBf}<-gsw{=c-|cC#2Uw_kgwd8CCGkH=qAL}?EPnPf z485C>%Lb(;*5xDxjr1U(S%f^oh;aIIIsr1Ty==;tjC-gdiBCeJ-e&v4AF&%_`G%D+ zq2Jq}DIg2?#YIbc@Id7Kr6FGrX{6>Jk8D2=5%IvwkKKP*UxoB?Vls=xQ{3}phz#_+ z3XbpHnOCfP#BWWbe#rN#v~C<}QBk`ozA(ms9JN=B`3jSrSeH>~7{iHN-qQkAX&lL# z2|Xxb^}twi@-1yi$ykZ9z#Q%2vn83;nv&EZ+thqnTmiAM=d};SUPvyD&DtZNTD9M3 zWtShy^UTo7lHd{lv2{9no~K~CEXiGyqdCUCR%s#^1fIj5Jzs~V=FrR@_Md|X2)&<% zf~KFpj$C(D>LC8vIT|(=Zm4*?0`%x45#f*ESyF9}s9nijH_p}$7q&LkhaDs=PfNM? zX4m4=8kIcP7S9fLyx%cN^=Tk%S|@*ZWxW5YA|ylnwO4c{_HJmT^k8IEprqUbD{kPWl< z&tBltVT3*7#LHuQC`%nfM_}zV+N#Ac6bQJ~+SacKa#NeSD)79Ryb~?FpY0yGDN)yc z6jGQ0D$gf+3@KH~n}e(Cq5ie0wNnC~*JxsA8>hr2ZbYo=j~TkB!!>m24d@ zNLAO9T`BJ+ar}+a9q%7A_|p<(rhWZy$;}4hfIKP^|>JdbvkWpskp^7H!x&C0J)7Xe8;aJ(Y@bfMp734xw z3(>|VXFefhy=vn)g&D{IF_R8k#hap^hd&^7k?TYb*m$Osnq%3v$_s&22 z=yI~nQt}6DAdjxtiW;pNT*jg3zOyAh+srw%P8Wk1nbHaQnj2tcH7l*U61X%uxcJ&r z-tU@FrXr}79{%!c=z+KTy|A++0)jbG<(R-Zqgrm~*`H~e)Kw=R4w_s1muqO8XNR_4 zzQ^M1kqi%XO4$Fv+uGRY#y4JmHy^M3ZE6(-Z*$9x-Od%Mt!EYK&94Zp$>+cy0iSP??s@Iw0goj(Pdcj{1JSH23| z<$0UCJ$kXu?&XY=S4e_T_~M1-V1PyAO3uYa6&9@;{{6?~cX&;VyTP$n`@3uJKA-X_ zg>P@3z43CCMv+5}Awml2K)S>fIXlP>^mH&D+gCzRVisxY+!8-h4gGt1x{o7@WfgeXSM>N2DXU@xb8<*`lnOawO z!b&eH)CwyMxY&YBt#|WRVuwIVAtvy)g|4T0<}OlA0!Lh|nA7qU__?33k)~fD2LxR` z_Zxy$%;G*DvskcB`Pg;JiXF#_id~f$CRUxbMe}xW*O%m~(O-gPg!W-A(^Eq@q(}VUe}bRXsi--qzqk1;Fh8rOd{pj2pLOeIS9iG9R@*KOgoMxL~jrmMGp ztl}qcc1Qo&G)nud2o)MO4`;N@RtRJ@^paGiCA@G|Aj;tra#%Ac2%>s9M2!TE9UB{r z_pc=4n5hx-tV}^kuQSh$$3rQ;_o4$+v+3c_fYmpIF%S$HowW&XpSU(9v~xs%eBG1Q zlkWM^PmcDO#v1bl+_JvQ*cXn!Q#2paQn0jCh~y(HoQ3BXh3>M=r&Q<9QJU1}fuBd7 z4S>)3XLe-X9NgdRr4X0$zq$B({R_pkPBe>4clhnf#?g_+rJ43e0(%PTYgol7&z|p$ zYe0cX63U~S^haj-`(q6$ul>5m)Ux26;eUjGDoA*pXXIHW{u*vkWFO>9KV*FB$kn+? zH)qN-zY$7KmKy}0Gl60KiGZaZz6_is5osWSRxC_sG#rutJRCkN{K?s+)F)+{{Wr*< zWAj+MHTw60{^M^cqlFWXLgg;iM;y9_Qcs-~vI81MKh*uY5?*`6{gQs?#drF|+U*># zIVpRi#~agTY*@MePo5C8t+|UXS6Lw~PhC~f6tAsb=R?vhqS6?a6bK|S$uNq*X zr{*EqJ-9jQ>iRP!x0HKr9M)qTCN8yjR^EJe(2u?EAkjGmV=6>Z4cX`owK?L1R!o}Y z8j?s>u1LGHy!+$&;x#2Yg37SSjKCqWOL6Q*v*Ww8K`lzcSBik~E!>J6q>E2BXIqhB zh{4~F(MWUoF}g^W8e}efr7`+b)i64hk_o~&De28(0vbod1%q@>?gfA)_a{Gk7po6) z*Rc___0?o(>-{Du(KHMCvjTD?#hYV>Hp0k<=$g9Z$TlxX=M>dZIwhv*dGBd_Wxtn= zrN?LqC$BTZHR6#qsi5)yULA%)$!8N4u>Vl$gaS#N+3&lY^ZGO4p@p_w!VUvnX7d&k zGK&B=Jd_#7o)uYH!>l8F736o#&!@RNRSk<9djxR<0&Nu)$dOQ{n(8pkrqGbzNjfV2 znvb{IdB#P^Me=Bn*#3u{QJ_U*A4UkcXQ`~BIW95j>uG8#mGCk?4f5>agFfjzIyM3M z(CUT^>Dkl4ArcN2G?X4wB%W3X}?)k0K=4SutZhacnY`DHURwwWFKAHGAqzuZkis&bs*D7U_G1rt8 zyYDr|fBdaQGgfE_ZUrhyf^_K)Hg$YCTB+2q6(38 zKM5bd*P&BjS3QBv6tnKY7|;?198krvgH&zWlpS;dfxoO9D9Tauk9RAGg!-EYaPr>PsJx6yA( zcFs=IU-eZ#jJmd;^WJqt@g=J$`f@+3=u8>HqCmH)IcJ)XAvJ}e6XvT{K>(9-yJe1@B(N`7As7VGhDSv>HY zcu~vSsKyXoEh_eOH7nQpcYD_R*=zZE2+&NL0u#$R!gJwzqZsVB{8p|HVIGC`C_f8ZLdvIe_^cyo9&isOCH)#;XK6yyQ|Jm> zC8rLvp!mXEn1}F#4ZLE3hL6r?c-~l3I-;gR3SR~3u!j{rp%R40)GSD~I3jw5Li5gk zFK~I+e@U}sBFxCfd&>WeLr|P!R3!(&GJA~BgjG4SGzB`?Y}%c)ihhpY7}qE?{rh`c z=H%FxwHVy2HleL{iLfawBHw`A^g=B}44-&xsyU6;Y8t5WPGz=#F&8Z_n(P$S{ z->l+Eq41hvc`J#o3jpOWkG=Z;S>l50tZ2yD`7 z8LU-dnbkzO*r9O~+o@Oj8TGG%<|IC5(Lc<_3GC#{MfsAN>MFD;`HJ~Ny_RTHtoT~S z*3X`&i=9469sC=?%JxQ9Z?Dhfyu&Vqym{X~#Pjg72f638aa34T-pkZ8wj{kL#x}O$O;jE2(Mxm1 z&-b?X?lu?Y_`G)D$UAH!d=46 zwQ_tjG{3IaGr{?$z+_z|(=kuyijf7LrA`MCtEDT(>YILTP2T^fmSo|vDTceC$3?>)nTYB7N0eBH5D*wu~5U&k@y*Z zf%rs2z+mgu+oQEOJ(ki)QuIdf=&}U{o>nF8W>HK9Y;r}{Khn7RVqIU)I)#~hu~66h zysoAfvqlV;W80KVS%9?d@_5Jf;@I`?pB6Moh0=5$Cwa!ls{-WL=ZYvqH$Pu51;HHQ z2sUE8Q?i&?dz?WBI0E~vMPKOih&B=|S#lf({O(3H$U+b-WuCqxlsB-+%%S#KT?`nr zVgvRGF}+1Xcx$>Hd&y!Par(%V{mG^6aQd3iAU$8mVmRf4(7}X^^hfP7s1Q7Q`3YLO zoZnA_F?vmSnmEZ#WSY$!i2pZbb*=%F6zeOox0O-CdyMrID+Tl;*F7MrcmhoDF&Ru> z&dxR5f$aoGNapn@1!}NjKU&O42(ezd zq4(xM_6jHY0&?^XaGCI`5BHYi4Lb1aLJ*k&OGG{BgNCw5|D88H(C+;{mnGAOvz&kC z*0|yHp)Y3hf%;*JECw&&;BT$xj~E%xcUMq(cf?&FXkGSy`pyTzh>#5RkzD5WkX+OZ zkzQxWp{(?G-wP<(Z9s$6f02~$B1qSh{?UZYUk!d`HboR^9SA^0wO<0NlA+pJfI#da zfcC^e)(9Y|1s6nF5Vw?JbDb<^BYtN$0`1BTNwzxa608HBl zsnVOwxz-6X$0Q2)m*XQEB-$lc49pBVH5{79t|Yf3-7f2AF~}~1rbsTn&?2t%H+j1u zRaU5iQOJN_+6Q7I-s=Qt|BMwS%Ih$oDLT#hVe zd{614%rN+Pah7V46$qQR6K|GOM!F&f>prj6$$?+OHO;O!XKxP`4HiE zu|fpgo-}}34BW_R^r$8?+V=szJxIick>;7GmMKfnEaNr(16bfja_J>}3~WfZX!~_9 z={7$yT18m3&3m;zHobBHy}<80 zHS@5Hlf~kZsX$?zln<|l!TvoNf^eR7y^pboe3;_|f_{U5K?!WZ5c0WvSg6u?04HUJ zd`O3IgjuCb2n!|S>eRPa`$3-3eN74w_I0vY^?L`XIGtR{S?Jwm+>KQ-vd8=p3J6*Y z7=Hv06)tf(&e3okeV(!G7zaD?A0&zT$}a)gyX`=PK&t`-Cp8i1d;B?c&H&Cyx$yXi zoOPU4A+W@UpU)+BAs?o|)9@XrLhOmVPJnzZX}^~oXsa1`tvm0FIsf{>c)`_WfF#-x zZ!Q5c70a1*YJBe6XM$H7UbysOLN0qL17xuy!30R(@?ni>;gr+TE5^>zedv4+69|$| z3J`I#gXMhKLD;)JtM;8`THsfc@gF#7uRWssip_FO7@z#+d4@;46k3UT|Hkdk+%0#f z2}JI)4mzaDYd#-_%(Fy8W{}B?86Ur#Sc`ubh=-{7exEc=1cdG(4Phf`v|%H~Vd2m^ zxxGTVGBiZ0oz4Q*kj;f-p2^f>D}56(>(fJ}DdEFF@{R6;2AGWilfMQ@s{;*xP%Qx8 z%8uiLLv%TCFnJiVyFArmKFo;liy_8aI`WVgthDo|`mgR(lk@v1j+yR#+w5?601PAQ zP=F-eRWBfOR3|_j(ZCK7zHUERtRs-;Az4Y3S@!_xcA?7QMbenVL8>0Bn^s8Tn$D_i zjLCh<8$kIPN#vr2W-e6e8;@sxH>Su|`4>q$%Cnt3vPdGAa#NyxwNiRIoWA#HkS{?* z^Tt3=NZTFJ2R=XocCdX^fY__WlI^ibot>@S3D##N2*c|N?l@H1%sh6UKv+^QXwpbn zME6?I6WA8oru>CI#Nf{=bo&pIvG_x2My- zWt!(yLoJF$eG(dzugPMo)?k^Z3!eBa=B!YfQ9g6UVW@>@y8@&QI1?+1)VvuT#9vJl z%u64h(gS9#>C@;0n*ob(;7@(5r^44m`7lyh9as-pa235)coVt9kI{Ja-YgnC`K$PT zuV{GFXR`_=fo~?bLe-oshn-K*O#(vjkWT$-qE&l9NJYkbR8WO3i+(rptsIjDJ4VCx zjR}uzqTysn$nocx#2R-0{<8q%M8Ab^@IUxY-@@0T){*xXzCWLWEf7pTXHk0xU-bVw ze4RY+Gy(7p{@=s*;~jkC+3(<64d6??-3~+uw0<_bg)h3van~Ju(E)t#-@(`aAABup z@8C=Re+1u4oBxDwWCR?2T9;eJA~hF73I&*iOGbgD&Q#Kpbyz(*LwN*W8p8dLYp&Nf z+wF7S45Fi`7i`Cvg9@fxFQDavHlJcEH?t*${cf5??tM{9lt$H=8dAG)bNN0th{>FU zD&r!@jMQ@SL^n4Dm1a7c+<{i6*UWxB0^ZcWNyCeHBO~ea;^nOK`zUe;oQ#fuAjXHh z2uex>G@bfVfCo>oy&xYIyy^#d9o=Mr-=Y>?lf^br^_$M37CEtoULJDTB`iF?Yi~^=Eb94HxXKy3O9iVBfDEI>PLHl)qw$H8i zJ<3&(R)~VD4`or7UW{+B!)14o5`5t%=h-Nr-|S zm48_N>ZshyHv?7_IdnAWk7O|c^GIEn%OSmHZV|qpw!DF-6dW3#KS_*$>oZ6?=>f}> zhfz5!YV`t0OSxe<4Me;MTnnppYabv}Z{D~j0zO0g^z!JkmyDNK?d7(^{T9iB?NoFfPZ@0aHhQhHB`FYuf6#nZcyeGNJ2@1x8dh+^>giDF{;3Xy;~Yc?O}4=S}` zWx5kQx*Dmn!4D!B$W2fost%}-3almg`V>24mbhVSc4mfW`U>|et-}YqT7ES_cOG29 z2kV?e!_VqNmgvfK4mo15sZa1pTIG&Q(&Kqgwko^e-%xmI9%{HzQ#J!~eC^s#juWwKx3y|Y@Gly2% zoc8LPEn$#tO`+;JvNDOWW)66G4yx+$zLX!r(wDTfg5vz+ZrxF}N^x7KXr`>{9}>b5 zNCUIs8>*R^Aq)M^S*7?OGC?pIi@k+Q&=!J3uZoJ;Q9(s8tI7WwnIK-N!4oa++c;`bESV?x@;?3HclXYLL^Qx}&HeZXa<9o5#GX^-wnwqWcc zRJLSdvn?qpOGOG(o{t6jxQMO6;o&HB@Q@s5CUVW$-NzTioVI1iw7i4 z1rbdbaKId+^*vw{TNn0pDnj8~gqZ_6jPFf7=m}+WtTI4_*b^4`Uiex>lVcTgdo)Ep z&zW#;HST@mb{$oz@EfyFf6{Q^(&`g>a&1IPct+ZK_y2^bM-Na_JG0~!i~ zOwz-y2nR`E32A!?@?P1Y9_WTkzHqdL2GKDSgt3w@Y;0V{yU9a_a4Vk4Ln=P`8|VM| zYm3F~zcfk|%b5?e3KM)Q51IP*MdI$GGS0!7t$??YJVYmKAicjZPlyq4uAnc5!VKmm zP~;2&Ya80vQ( zr8%3P0B*`u(fA79kBC092?X)O34~qHTb_%fK|~|!&P8LRAg-X4oFL}IV#;k?9d#;P zxe+w)5kCHDj=Wh8emScE)B54z0MkVuAe<8nUVlbBf%wz>vG$CQVgfO`ADuud?ryYS zi;=+nP{irUF==2-JdCw_Z|WH?8=<+SjTFsjXlO^_xk4VEO~Bl0tM zQ^}z8lD&Z9bT?wGH%vCpYV?#DkN1$kyffM1*bRv*Q{KQ@#)wxAcvKUJ6T@o;$_%bA zHZ@yY7kJu4v5w|b&ClLjGEkbxp)6=mL2}TG^NQc|Rd=gw7Uqm|DI* zkrxn>{&Q@8LGt+V_mcyY$CYyO5TNaQ#N~-3Xrl}GLX7OuMvUBqbzjTNtpQbpLk*RD z6Q3v+xWcL{&|06+REQ^b2zNn&9`$Vy^H3;B;b-1meJVb68 zH|~`KJH~K=t^lCV#OsE1Z<86#4TvLW+S8%{VUES~4R3p&TUrv)XWeoa6JYf|QEb)k z^p;G2Yk7!?Q|v1TXbf;AMlk~@S_GP$e%Z#ErAiRpF0U@tuxf|0F;^rjjQho?8nbE} z26JUu0|7`87h?o-T44`xcS~7NPFnf+>+=Ka!{6c9bX9v>ciGFP<=w%PEr@8ek)Cql z=cdV1QCgq^dPGDYbReRy2|EREi9^EkO!Q7_%r^?&0PgiR?!?ykV?}``vDm7TcxocR zS?TYm(dWZBl`|{937@=pQVE78kEG6&x71q#J7jlF+=2G^u=uwxxIwWLQ3Z`w14(1o zt5^#hAQYJv0Bpjpy?4w6Xf-firV@A20rV_VG1(%WKv4F+%JIuV&_4~@h|Y4PpS3y4 zs3zlGeBMcKp8zyV5zznRM^S^!#9{I4yEXF#oprRKGEgrAWF4J;=ps2e&`=%3Bc*%O z93;UUV)wxWLYsI2xSkWGPw3hfprAG#!D~@#6{g1mPUw4&kWvB0Hy%w)s!ElFdHDTxI)A7xI%;P?}5{Pdf9fnIUAg^ zSX-gzndl2_yrqY6WG44@tMFZ{((5r+(|^iJGhq%c>6dWB_t3_|Rx@Xsy0I*0EW-26wl=$Cd18q-cCv!2N#wTB# zno3QoSMg|P{~1oQfX{~j>I9j{VpcC3y{z&xdcfaGy-EdHxh5Nspp-AQXl z^)fB6o=nIW8%KZ$$+xvA@9ifCDAkphALkB=izZM&wW-D2y*@ zin(9A54%HwCE+I5r#=VhYLw;d}f@VAx+tGQ(~;@4yORjILdeloc4r zGnfM7NL>|`iQSnJUM*&n-GzVL<|7VPls6!zP~a)xO>iw1uui+`w4rmGr#wx+W&oWY z0Iu{j6T73$Tl(3*F+Jw)BZDQdX)O7+ghkq|FL$q;(ptb$t-uA8xb&GyK-JCFdnzkW z_)1(9pIHq%KR-LAh|ia17xe9N8}v`i$rNls33tT!`*Zdnm_&7lRWeE8&)XEf!le0Q}&uOGATH{qq{>@mhJ2ggTFK+p1qMpMvFCgx=0G?LST@k0kdmULC&`cS^ zw3m87`K+5>pW4YfpT?poA_WvpZGZ@qTIeBS1A&IqAd~c1E2)7u6JvM$fRCAABS1Gk zGl!|12Qlh_Uwezq97;;|q;3iDZQUAM1sHMU;N^eRtpZJehuqe!v3GT=KvTa4N5enX z+OsJK`3!g(9|VxW7+TKCg}PNTF7o1cfKJ_)XT76)1zxZc(4`wJ`qdGAVNQ{+973z6 zbFH`~W~JXY6R9UH_s#_qfx{v=f$CRQC zn){-&sVOY)w@oR!d5>;zmU($uuz6{Vbd8a9SUS^Dx|MY)?zlZPjbgmQ#~D~+#X$Lx zN(Io4zhn={UNSRKE>QUK)>)Kr;dH!lHb#$o4QS$o3qGJCc0aOL-lBHyq`cisSWFK( z*I_%&PW~CT!f~y(AGyK+#|T0}=^PzWe0F$5HLmNXK_6JXx*e-54>??=q6PELA3h7z zCw^xP7*ilBkemmOQCIkbS_18S+uip?rwkh)XAT!+*ohAE5c^kVCU)N2Q(XenM{!Za zZ>~T|0*Eiu7~p-KKIM$f>EU2csY6mN=f^7JH%Y&(5O?5X;4NaG;BHDHMc zq=1Q6u!`UTq3aoNz}kNWh$cn>X0CdVihVUMk#Spvexg-a=dPB?+jDt9Oma*zf#3k1 zx+`k#OMy7+vzRXlT86n!PdwlP9am-=8g)8zm8@(;V zl7goDP<;w;dFfeRGLp&7Ii2|6eh{3B)T+zWz}i}0z~$t<^LJc?-WaZ@It#xpD#P7H zrtTJfd5F(*-ys$ZMxX)`;Jdelj(%PpJfjGMKnmCgY)cSlK;K96Jyp;?h5h}%XgkZO zHiAD~SExXdmKIvPMT@3Ii&Na)-643<;uMGC?oJ@Mr?|Tl+$j>=HQ3#@|10NQJNLsq zhs|uVGqb;$9X9X#>|_@Zo_XbGr=w(mmK8H3RR98`2#Suq?rQoO0O;AcimNeo7NR?zC7BpZAjLl5m z19B>Y%^QJyKJWJ62d_0cL_fKg19KaYAs+AvQb_WSiXlBC5Lr~0_#$YPCBs*!h--d8 zkhjC)7424Fm*Z=IoOcwI5j+MA6ciXqh4QN0KyOkI%-sT&it8u;@uMx%5MBU3KT>|p z2`H6;GBn_|50OVflb#-@dyLrohbE0x?L^R72Sevi?hD^pki%S?eZSBzeI@s=An_U8 zsPPz%lK24zXc?d`so5ym@X-K;-I~nNt_q0b@St}V5${EJmUafv@{P#q-5avAP%v&@8?D6b8Si2N-~80hG-&APinN_>AVMbd^w;PL&oH z>*ZhJ6?~okG@)yfV79IM&WvHCKQ=Mb7`0I|5s&8asjZRm)6=#^Kth_&1hxtX05mVI zxy7$D9uP^6{!2kc)6jnJgSq6m9|oELw`5Lf2O6F9i|Uf7)!KDE)x{77(Y=f5YouEL z_2G*I?`t>GOVPt0%PlRXC&#bGx!iA=CQ_nBGicde4dgp@A44GXG-gwJ7fsIqiBA!Y z>6O(dV)t`RE6p4{@>qi2)llW9EKIYPfUkrb3&)hA_1PKFXwjE|QUW;OUO5uzS*?g+ z?Ww6Vf*jJPb8Qe%#`|7?Z~8y2$%Sr+~kL^nLloo;$FO|3M_hqg_XeM;5OsvyL}RQEgNco_`uA0yLYE zai7=Y!J_vK7P|QHcnzrq*blyU=aB|MFPC@~i;TevrkE{eA#YnDh9b zr|IxMPrt*G6!|+)7W&|XtpIiUL!L&?6S4pJ+!lA`>M#cmGfq8l>{mbeM*t}cdvN0O!^O&2A!5ZIHYd^7~26~LxzM2ki&_BzSlnP60tRtwEAT%fulUPJmo?mDDbzObAlzqn`~P2`gOG)LX)IG zWVGO-wOdB*$Kt9TeeHvirvd}Ge-T>!=TAU{Nq~8z@tbG|{vj&L7XY50 zOI|Yr#P&4A5(x+1WsgpDNT-8j0)`Q*Fgrjq0T2rK{!alQ6o88VL8w0(=nsTK`3Ir? zyagcCA(;8Q8p>Y?74Qc_0n-Hl2z5cK7ZCp+2&MZ6LWTT=P@&F$Ak?3d0EBu7&_94T zwo3vS7l2T}?*1nT_2l0HOZKVk0jA77utW&btD_i5@5nKq!EY z=KY0GtpJ4b`3Ipg|3N6-zYyyA{|kf~{vRRKyT1^s^}j->eixv%VMW1puPWrP;&o)P=8^*yZ>M73TVUHzsCgN z#fN|n{0E@`L~aFu?>Io8{((?`h5i2z2=(s2T35hB1wIEL6rke&8-)71*T2>kYqK6J zs?Pchz}SBv6c#Zc{{icoKnl#q_yeJ+&b7W20Y(3tbq)DvU3LFsU4d&00EBuW2@D#u zj%Q_nbpC5y0hs*Py1rrq8voC_djEq^t^a51>ixfgP@ig&fl>DlLIDv<0mtKybp-~& zAM4us&$@>EwXV*s({EIzEYP|fU66OHgn-CsrvX$C%|UuxK7fk7d(&b6gj%BBAizGE z*=%M0>b5a{E)V=rZGhy)=v8cd2Ipe{D%bZt|^omgnW#|lE7b!l08$g16P@pS( zKH8&3f`3a&UV~Aff7SFSKn{EqKzj*DQAovwKNQ70K^zA|VBMaY8Myge)*s^7A>_YX8o4(!_K<>UkE ztwv-%Dki_I(iSv$j0)^o0MJ0(%J+d?kQc`@JIFc@A4PztPM^}hA)f)X;z&!)_~~@s z4{-h#5e~)XCE{;)h^GN!*W*G_%!&mISc`CjM)Gv_iv4oGnMB}5q5|( zAZ(YO4*&;Yl@^eW$_h64z|k9F^8sXg-$OfcoIkV|g+sZAh(c^SRxr!K8UnQB4@h2N zApf{c4ajVur+{MuNO3nny44ypAkqOv8m9q>ISRmatp3>gE7*%QoRi3=jbu>%EVDcc zeX%R~*x?)Dat^%a^Va?r%fMd+c6CX~RKCi}-fq^2^<=qcUZFpscX?TZ8 zvc~z(h5wpXU%TSKuJd2WI5|vFAcTj2nm1z1Dlg_Y@?n#}BK80{H_$dRCt>vE7s&me zIr|2;fE|%G*xAY!0ZdqQk01mHOahyV_9E2%Efy`{N{mi&tIhW5fU6vZ5!cE@KnnzR zSGA@9Z$PhKxK0`k3>y5SS4Du3meQxx1!{X##OEHQ)e{=nW&Dy>fNc)Q2_2hs0lMSq z=h?g^s+zz^U8KHAa?cswEu>ILl5MKAN8J#Jj3P9)L8r@{5A~<2^RL7pkH8sH<*`?x zdz63*4^+})dkPFv1z;p8=a#tu#8{lQ<{GFprh^se`hKr+DNl9gny*+ep3bGiy|ezR zsmd*?Z=aEulGFji@dvi~=afrr%=0B1amrwx@IiyJ+q5!8{LM#<_qV2UT$n^yv$@|k zpSP!xNr-oqVc(>+e8Zo5^qE?GgyTSN@D+E&zG`z;VxSRjz)>d0cd1LG2v7KwhZ*RV zz-adrCOXE~gZ#W;3^|!SeDB`Ew_p538QsUzKNWR;e;=%^iIqk`?GSuf6_{b1TE z@|ImqA#ppCe_o&5$9B+JH)3)|RypcSBJs6+#zJx!d4#RBnx8lcm!^9Z+R@(5dK>~4 zZg)21JNS9DD&>qJaNQrXn^|{%9=>``RH7ZLfywG|T`uJ+u~(<{)*ZMfVbmdcYd<{* zI(S?5Vc#C={3~f(ht$FFR`0RMzU$gNSvRqoYcqR=M>>XtUVtD2$u~l)-H(UlAQH7* zTG2~NOa1b8I4E0!(@XMM%+>=&B3nqtV+QTWGOI>y+k+gnvka~9(>>Y9rYE#x$+^L& z!E%wVhBUSd(a=LjORMp zhei7f!msb0dz^AFaH&(d4MT03)0^Sz3uEdTS8og8U8(4;E}!Mpc_ruvv7Xh_F*GL) zG{QV~azAXxJK?vLCNRnszg2IO>1J9n8A*H~pig@E@dM~E=``SsRypA?e8?AMLFsnJ zxbh?l71So#vh{?3a&6Ek1>;p$;0kK{_h6(iRh7(lT&?G**ZGVcgYoy-o735H{Z#l_hfVfs2!)mDLmx_;C1%%%sH4bec^A$GA0^ z-;MHe(VQt2tQpAS!+*2NC! z@H#ej{Zx;4<*~V31+nG2Wx(ySjbAm&p@v~33#PhD6ma}t$d&=tt zEQW@v=~L4QfTG%#57H*2kDKA$ixZDH80J#6=)EMWqHhjPpQ?Bk)U`uDskhko(sCP_ zw=^oAb8;svXb|^WkG*F#S@YaNGi7p|a13Le{!tZKvLmqkJ>DZLmPm8CV!q)SCVG3+@SiCvI*@ubLLra9{Fr;-7sxx>=L{N~ z^4j5{4+tJWnm*D)!sO}g5g#0C!I=bDKky}q@wu75i%tm{%XM+{;+IB14Jqs(o^E}H z2cJn+3<{Fu*bp`@{3guEMve z3qF)rU_N1PB~~Jq2JPZo+$|8yd)CXU+mdBD>(i+;X)9K$-oXUJGIQps)}8t!dAu#!aEmQ=_rVV`DB z{@e!fxiz9}ez~Joumm5>`x115XDov0sW4>2Kd<8|y0XVGP_ zoS7b@$bDn^tHuUWn6%R}5az7f@`#K+TUBcaZblaz@+q@Tzt2CjiLQ5Xx493xMTB>4o?xbQwc z^N$Ey>VUw6rbGu9b#P=+beS(V{#8PU^-3b?HrIs8R9oc4`^=G@%wBTix8cve8h6z$VB03FojmM z;2{)&%8P5*MsO~v_@YOWt^kYs^iJjr)pV1MXyj)xBof{OoPF_YjS3tJ^cvz7jD^+j zBPTs`&Pc$(-7f_~@!p-_qJ=oWc+%D2mo(pVw#ae+y%_}|JStJ~iy;9qb@wLZn7^@W zqRp|pYa**3Q}oRghw3{{it)JaS8m#S5h#pGY?L}7okyrfswyq;P+H}1|J>q*mVsV) z|FGx61N2cNnxq1s>UfuBhqbU-NH=c0^Lyh+wGWg54t8Qcs_YV()zOg^H#-2i76hSz- zjC}!Xu8XZUW~X5F9h~NT^I&S3>h??PRlS?A(0dbSpA2JI5>cvDh2a zw`DRCMeyx9DsVYG$_Wxd1oB5Cu~nM*q@r1**>>2z%)SxED$|gDQDuZf^-lf0PN=zN z66L`rTvNm2yh$YV)1E`JwgcbPfyCDibHwTCt%&2?HxJg=Ey7+HJqMj%-%o62_6k%6 zoD)9^<7!!W_T@<}d$`LxIfKbedloB4v=aMswOJ^;-VL`0s}?NPY|A*o%t)T8*S!1f z2kK9?)boy?62>?ftF(jazsMcAI6MzIK~s_v^(nlPq;UV|}^XKUEOrwj{u0qX}vkHDE-Ai=lJU z@n=tvJ1Gtgh!M}r`j1`Ge}Z@*u=Uid_HpTp4a_cv1WrCzVBct;x{Ft8;PXbdm@p!r z1UFy@PbFpQ#w-y@rNG@WfIWY;;9048ZN<4$y^i4Btzp{qMVxG%(Rp^mVFBI!q=tDC zO;3w3s|?$g^a2%awedO7zkX>BU%}9gr@l|5s?W?C`g=Hv~*jqzUzq6P$sI6EPLXxR#`afIe{xaPZ z4wg8W7MCL;Sbk&R5GW*%o=nZU{@JqM{)9T~wtwtZ-mfKb8(8eJBW>%T@*@Y{Es6vf zwxkAXS20SvviBe>39Ezk;;zVvaq@6-qv7H%#fhUBTeaQdc%!p|KHOL)nbmctiW>e+ zffx>v%-iE)HR7=Bv!9LG`uibd6(ZSfnP|1WWz>)uC-z44+ZP_*8%p}hMB@h1K^vi@ z1xNhyn`H2QiKv~cP*O7OnC1royw_}SNtK*h{vpOii`>Xp-)RSp6xCt6YDw37{??KMHcX+-PEIqXQ1eE#U;9KGV~ zLPin80G@`kjf=b!+B>ycI+qGtwNpcwUOnmf-~(YtBW4E&%W*BjzVm~<^YHRH-Y%=* zd!hiql(Er~9fo-&Wp%4?`r+9a}Qmmq3hk(veoo=#y$x-)=XY{JDF;Z}2j6lp#yeZbOeyoCTTD)T6 z0b}W`cttUKHU}x}d!qm~m0-N^HbsO&pa<@@$h<^Zq7Rbs0z0409`pmLaDi=s#_wL| ziaJi)5YH!N4*JSCyzs^>w2pdw!$Bc`VHYAhHz$>OUZ4Eik*RaRI9MtucM7wPnw26> zn{yNL0I8DdBOY9gl7Ur)dRpwO?cJA7VsFe1Sri>10l~pX%E5O6r{C-1`D9wyuoXcv zUMO3dghb|PW95`--{YUIUo$D;*KOvHjR=W|wO^PNFx!vHcmos)6Ek+*wTucGv3{DdN2~H|tD`P%W56rD46{8@C%Zb8}kOvb>$XByU$Zw(l zTi*gn$m5a6339-VllrDdm{p$ts(3{wW+`Ht)JHtZtYT_vX#!3%XFf&yJhl2v%FZug z=O_dM-K7IoQ+6&$RFhmPqjK>|BZlq$+#s}TOj;k(flnZ{{5)qH)IcWU$>dt*K^(>+ zwhV9*t)Z%QARIVpmMv!F0mDqsU6&6&lBuq+Nx6$7wpo=)p85J$l&_3E&@=pMxtY-o zG#II$Vwuk{u_Oc#pD9pNyJN`hi4|~+Ie}HhiA8B!Kd(}birTDKCG4p;I^5?;B|Qik z&hxsgeH9|G)+p{2Vfm4gj99x$h7ylTOk(MH0rOPM6&m|Vtc6l3EfP~lO~v-lY5w#o zDI3weLXf(8>^%Hhl;VKY!TF5(;BZ@Eab?0J^&}hFVdo*Aeu`Pjb4#S{j%079NS6bW zbhiz5(7}6$tI(Tu?i^x}HL1fD##PUpsFp{z`+8^&L-Xj}v9f&pQbao87do9j!G@-Y zHn4lD9~^m(m3QWVE4?Ejmogcj^`%knvpaP#94Y@MkF8345x#p&pdP!(ymd&R9=&M) zFR22OMux_%_N!BfY`{{4jEe%a-MU9cdw=ivnpXSm$c3LxK~-(@)kf!3A-2>MIX<8y zG^0&dajGy}R&Q&L@N30)&KMIp^z%TX2;dP7wFb2ifj51l*JGoo>sBS;kiyf$g11el zrdFI2N+7o_xfL9!RjD{|`Yb5ac8B|n(aKAyS0saeVebHu02VKyp6spv!}syTPqi ziVW;F&LSNUfsI6U7lZ^EG(%-HYL$I zKNv3RNiE$74~HYJb47SJGM>gfAuX6L@81r1gMY5i%F~=0b{_-cyLL6N@hk-?4epcM z_w+UCL=2Z&^Xia<$Gm&@nNi;?aJ@IZl!T*NHK>%gxlwcNXmw?E6HR$xoCiWW>#gKF zgx6!BPLMI*X_KewK&%Wmw{>xGe+GTBSZrJ~w04y5BrA?_FRlgAd#lWV$MX{SRfOPS z;_|g{(S)6bvkD6B)?f54afr=Hx{6Qimd{bG?{v(*_lTQE>;%v77&b^e>zd!es*{O5 z=jJE=CQyFtp)Og=>1%|P-kHHV2JmFQXdE@k#DtM+;Z`$Y<@z;K+E~vLpY|!#t|rm6pLZb&j-G|pQ7b)&xYvnD49)1X!H7<53?}M zVD6;5-rm&hh25{P+g^v5L+b6l=aa3lh%g6S>h0DR$K&MQSIRU#ZnIo~|00WLeEaQj zlxEgLN$dBQ>0g}vFELtYV%}m*+d2=U9OYT)M=ZQTiEeyTapKL!c$ZonLBRIbKiZW| zr$*FTU5rvD73yHpH7Bi)Uy$0t{D$!GWFG&u&3**cNDjY!mSoT(dJ@-K7^CO@nl2n9oEPI({&%O!4R%Vm*8y!`1nBB1l*-TrAp*UvdW> zd3cm|epqNeQ?ElAyA;;le$~B&5PI-{@X0{<<@xEJRAnEh@<(%*kB3R5K~nNq1Ui zm;3<~ls<=|;4I3@$MHc@kK@6zj}Iox%4v(>ud%|O6TVd4;H2GrsngGhY4o}72-?|y zIsV&{9keWzymaa?j^idD&%?gkT*SKM$>T|AwDz7Fv(!8o5+3vBZGpPp<6}tmOB`2@ zn@Q7fMLsLAgW1ptjD{6cpjM<_V9h=azO` zTj#AJR<`0NQSfd5cqaP__B{px8#0ZDMoz11QDGmq9zsrM*J zlU!6Ke@2DwYLNM9JJ`0@W?X2!qKI3A5YM@m4&H(bGM~e3)}nw4H8Wc!={uKAm!i=T zWj*TWQ)odbY~``2Bf+6@9_raeR-NH|$*RKsRm$Era}Bhb#*Jv9|@3@C2DUY2d}@AjXc3qeXN(!Z-QyA*K@XnwXoKrchp<@CaM zP*}56U7ibLzA`H61sUY>vIRflbA{a$VG612VshnDujCHIPncl8`Yu8UB@pNTn`6%ABOV$ShV54`aw+k8ZY3- z;``_XZ=-d~zW8zo9iDk#)SslXOWPw0G28T>_eWaI+2A|zXGlqnrH~&y@IH2f43MIw zJtHJB|8Z9$@{@zl_WYi<(kQ2y&-e={@co$6*78w+r3xbFLCPXn;H!uU-8hhE&$%N~ zs#C^@?E6sNq>XWsqUBa+#Ks1qn&{-TJlsHOcH_Ryo<+Y~pW9jyI(H6GTN*HhMPfke zdl(X~n zcm6jdhQ>HM|8a$&Eslxe+K|RdI zITm&=#&P_9OZnxL+~Z}Az6U8ds#H!7rpUy+cC;U~Ob|`2f@4s=yoza1_-W%jh5wt5 z8)}_2@F-B zy?WOtv2*Dy^LHT|!0q=CgKv@aba%SA2hh@l*utRF818a26x|jiej@=O>CvIi@ns!GCiqLtz>)a%lqI|J{1*e(X z{`2&?*vb&Bnk_WfC9(sTHOugZt;lO)_KSbYWixVMKYD*5xnxf1$5q6mI(o_-c5djw z{h?ta>^u(Y62CO95x6{b+Zb8s&DriaN2B67Y)I?q`scOk?`x5p=P=3>vQn__9e(X8 zc(SmEYu%dZs>Z>rIds)ZT;X6*iZ5gjW+R){Asm(w2DJCNIQ@qqF33XgeKmZa+1&Y# zabdrC-o3W!6w!Wib$+a{IgAjyDPvNe9fZAvhb|17s zbmT`*C1{XGJ5pUdVFq2=XYuoq9;nG4ILd-2~tb&@LY#M%2xV|1=**BgNC zxtg;kF~_kwN&V{HrxGXq4)w#$JUSHVD#a#Oxx*SeX&zvn(*9_8srObi@8oRpRjy7_ zI)v7u>sxXh)suHg>F-eFxOoej~oFaWI0Nb6k9^RCF_z=UyZO*V$T>4?o9)3#pS( z#ZCq+7B`<+-R3$0*)`VH)kSuiAlD93@g6vm`(H|2Vm!p^P9<1Qr`Y2u7b3+^c4z`l zCCco>`!d%WdDw5q@`~`IK1sp0#gPmC|g3 zC`GK39voyjA+OyTr5J@0R~M(##&dtHbbT*{q?m#79wz#ylQ;)jW!RC#0|BO z^du(fP;hA`ZI8(O8VxGtS=xM;KRiitOn&>pZ-m4g-?`>4!ej>vNPbzSRh?ihx!<`o z@YUwKm}=ul)(?>ovz{R(yfvKqEt_(23qjlS+KV;uY_`;l z>glRFn0xEf+R}TuJo%dYa}w^d&Lw(A4cF^OM<-Kx@T23C>zxm`icI!y-1%bc49(ba zgNAo!>Z-T77IzMjveh|}9~@TX^DNRM2A88sE7nnGxpDfRn$@Zte7rDL7{8%$GWAeD z|EkHmJ+`OyjVj=h2I|PtGi2;${e$zxygDDsnK^EGrtu3&TpQT0f^Dy?nRuNN((J;! z%>rIzeboW8OtVv<$J2tZhIjEaDFJU4v-)#|&I>*Ag;V|4#@BUqY`-~ZyW>>L9T{Zgj8rAe6Vxf#PQa>~(h zKGgCkcGC3k2+^Vxe4#8RRW^=TQ`w$)5oe~j%U4T=5foJ!r|3z+Syr|cuTRon+TSvJ zLz64MR~{$inTse%;9;Bb%_XKbVF?ra!K34p4mFj4L!MEzM+Cc$X6R$^@Ye1aPr z2B>+I*j36Q-Q+%%JnDsc33u|NMM`3%iWXAw_yk zeo9p93HcgF0L8J_6yt>#+d}s)uRgCy(Dx#C-XF+f)sm2p_8N)1QBSY+7szTT6BWp> z2QrIzMu*8k(afn8nzi~PIZhGX2{SROGuIC97FC)N+fJ#JII>d049gMMB6W1s4lkus zKPuJ8I#g&l!y6<`X@m=7W5}nn#lI+`9uzJ)L2JZt#{|LMt2vy+Y<0neMwpbml!ZOJ^`r5%PAn-=6>WO@j zGZaBrDRWz{{QWB=w+)UgqCkM1C#%-DigAdAia-^^F?WRtI)>e#+tQlPg72>o>E*+b z$LYuS?Dtcl#cyXYCGL&(LHBQKF(f(za(~xtjF3p=`sXIK%OB~z>MVP1qT0bygbz#) zDoP)a79{qMV^OMZFDv??@5B$+s^!$XAV1cHE!N*4cO0qWi2VKNDJBrw)iOsSPE>L3 zK8}_;d(Vrm=**8@MEJU`0p-lbZdCoVtYov_N?<1TBPZDejVHZjBdomWrr#rQflREH z4twVSCnoj9FOl+n$&A}1qf&#`-LQd*_7ATguoon+H>+0L`&RCLi6IKK|IO4t>1)Bu z)u$&{`Qih+=0NBA@3%zc$<;dvU4^A~+Gz3S%`YdvRcVUPFsKOBf~^_V9Lf8thsWvi zE-21S_ZJcM@jJbm;qNcBQs)n_B%x>=u^%_xzJl6VXkF<|Ya7IZ1RG}GBV`0_uc&4` zqAO`{VKP{~DwN5*4$XY&OtCZwDLlX2jCfCWxrt+ECK0_EAypV=0mc&+g>ECE@{-a4 zo*()Y3mj^o9q0Nk&;_KVyv%4gV;3vNp?Tp&52TJdmdC&--aY7NLtg=t#%#)WwOo+W z1p4uDe(m5|mXsnxbx>a}t6c54RI)7;UztIPr7u_degAqRvzijR(%!cEwVnfalKI5X zZ5P(5*^>furO0jMq~=nhxdP7&uW5Mv;zXeZk820 z6{#!(>p?QszaPpuf9;U)J;K(D-{Q-?J>SDWtpab_4Vu!9?vA+@ z$#$*7J6wGO_pOtGgcg}M*ld~lo4YN+`Na*@V1soN7jL6`V@PPco>WRO=xsX4x>N=M zgCpt%v^yPSyx2zL^lCY-X}O6b3NHc#NO$_RqQk#8_jJOi(w91#jjkG_5&fMjTXV3K zF;bsPdr%KN&)<&DtBBfh`>fcxX&ZsTB7|WY%n|-Hf-6ow=w^FqJCw3{f+BY5gTSSMV)9I&QAEf7jBFZ6c$aGN=;mbg=ER&qTWgoxU$G6An@_D@b%X3a zy7Y)yc98h`vLQMg_XQojo%3;b`xN5z%h4U>ZKT*;iREEeXWR!c>EkaeP=`xu4U>uB-XHUnh?B zR2?RD?+E>z`8FEq>@uIY)2dmxBkaI^^{6F@{|ZBP(g#wFH{XV?`x=vm&MT{mNs_a@ zO0~*0;ruD2@hYa$a*IPBc$@_lJFj%})2n$)B>Q%dEi&aut4m$tiEOIlM>G2OT@ql& z;~>{tFuj-Hkp#Gkw0`&mRvOR2&BH}toS%@3e-^l0F27*x_H9~S(p`q@R^3ng8EZKsnAEc+j*&Q#zNj$Jy-!HdZ52`O4KNX-KMhr6dvKv=`P6yg&J!buco`zOWG z-=p~W+vvCRjx?Hhc~eO|?X8mM<{Sqt2Ui37S1q@q50d#w;s+`~+O_9rQj2!xXllS? z=PD>`;HrNzfzvU1a{jb$-()?(!fU6)plku<$FQoy+$F6dxuhZ7Q~R6Fg0^n( z*GccepVew(qM*CF=~?`k$l@-{=0@$2@YRz7ag1-&k+znZ%KKV97OroPci>m6S6d4_ zTsZlIB7FuQzMa>Mm@R3jZ81e@(|NxfMPy!YRT#foC}t3H*y-2*9UsE-*az&&5FI7- zC;+j9Tb!a??S^#xF}3B_I#E@fSY@PzpxqE&&?!3l!S!*KAw8t@DrPsAguAh>sdm}& zhm*W6nGGmeD=Kt!q}^;pIaRB^Bec1cJxuzzi;v3)H!CLX%x3J2EGHMmuUN#hqg|b z+nnKRHpW?*?o=;3=W5~%t|vI|ZXFwJnH z_OzIFy#T@>lZRT92l)&wF5Q7L-hE$S=5`oKQ=hxfSqn`bqiaBy9@2N(fy6?jp%x=#e z$EJsH)$^pEs5_MM6^^Rf=>+)TsQp>$Z-RH@_68{^9UWL$RRrv+S=+9|1HxCgPHxVr ze+1{c)g3*88o?C9B@G?4bV{!JT|N1gRao+_H6|wd#GnJQB`$Fyl68qAnyczu>+0lk zwd$q_`k9bAnGsE_?QDU4`ZI0Gm)P+!nY|N=!WY&v3jUt%iwCF6Nfq+EHZZOl>g=>s z+@StF($1tJp_2Lv^VLmU69*-`if@7BDH5{0q|Nu0idt;BalIvJ@)Ih1Oi3=&=Tnbj z-3o(q`-PXmDpj>s&J!IQb?Zt$lXv2#Qgb)zENv9h#QZdJr~FMzl4q&4bT%GGoaNeT{!=x58FtHn0fv3jS6A_s+E zR=ygyNMj>t9fr77Qr}x_vzgyUv-Y}fl$;6_HzE&_6?$i*XGZPH!XLC)i^@?qYG+N& zKNLo8+A4*r{k|Agwl+JcQjeEXHZ(Bp=_ZQp<=})_T?l_B&S4 zUJDzxzB_kBL~k0!bY%eYrT9?G@#FZ0KFqc#K}Xh#1+B4|C-ka$ZD(|kVW=od{T%J#=wL#eVK8zmP^Je(;njHhuX`88^(CNL8!m;S=As7on@Cg%wjmH z31`DK0y9DDSa+_g0_02Jj+h#1${72TmU|qPT0Zze$&A2*=J>CPO3r8Zb!T>~G{GL9 zDN^sgaC; z{8x!FX0BAW`&}rve)%<%g>uD{!|q1SBgco1zvGUN&yJT`ALBZ2+{J5YT4w)jfoAVV znXfi%l`ZHCPJUzI?)VbmVw1|v92sh2?j1((%zHMpuAULY%u zEiqR=%3I5*S`WK8fEXaDsgL$|Olvgp_nkPp&I#?LCJ3bI4WU^J-|9@~DnfcXuS{ej zHujH7XG$w5XogT<=%0rKUKa@sG%4A>V+~x|JLXhoGnMG2oK0SU?!sVu?+dJ|s_Q08 zDi(1j4n(xdsW&d>{i}^uy5cv37M`1z?A2g6<)2x3PP^jnAAZnLUNYPw>cUauvRb4^ z=Qiw!HaHxq3oJa=EYhvkT5)_}AN`~@jk10^Ze&uh26HaYF~zhf+@cZj%VsEu(sjky zN8P|s0i8V!b1{+`$6`XEk`{^yR>Me9$(I7NMg*th4`oyq&x}(!iB{n~cfz>cH)!;X zCEPxYRtvI_`50DG6Hug)u}4PxtR`35a z!-!!ChK1$oojnE11Mzzv=6g~X8aD9TzMhswq4En-zZr z$DOK1g>MnXRvM>_ueACR*s-r*-UG6Av$QA6zD*Z0PhF^pZqTt(1{3Qrr#yeh_Ra5ErJrEjftCY8aFx)lND*pkC`4n*xq?jA3S zU$-DnTQ-``gTfHoW~6u6I+G2a?+LW)ASIxqyJi{-+-fqz^3>ydn7f)>+)rMbkN({L zqM$>SxuHpOSx2^!&wg(-sOZ*oS0YmQlc}nwx*iUtW*41J777DjOQZXK*^Ky8vSKtE zuK7Kk;W*_5kCt-``weQO8{@t^co&1Fwu?RT&Wz|2`rIW-xAUrqE4xl`#Khqu+2 zB+Y+nl*$x_Ou}b~Kblb&BFwbSFUGX4G4!98-adm2bYo82O1N(P9$KcKPKiZob^oPPv(tD16E10VVWjaBo zR$UPOo{MT3BCNxQ%f0FBM3N@@%ZdjV=_@lgCQjMc5t8i8Od9^OHLW_Y`{}0y&IuUYbsxjAIpD+J*>?z zemq9JY2*Wo(5F8Q>GN(rSu2eNU#)p_@)MMBZ3s~LZVJ+Ke^)YrN!*zbKC8i!cAqd* z4|`O~`MYu+&kE$+30%0sE6X z*wFswtI46<8iP%JzU|NU)uQ(c0u9PG8V5u6IV0@xx5>o!cxM&Hx|i2P&nYvR^IV{q zjoHm#5Z9{+w+UknWXy~2tX%T~&kW>oQ-dQaOMJK+e7e1B*$^ul7g_^wm38}0y0h2p z@pU^&Cm$F5gkn{tFIcfw#It|cwQtLZEBp|=yRB^9=45S4Dm=^FEh^Q_vTHk|p76i3 zZBvcSumssTp1w`Lov~xO-iULrig}-UQ{DYxLMhpCHD)*s`>s`i z*R|^s#&Kq6-8yr6AFI&3QTHm`U1-Ejai9Uy#Om&%`Go>ER7u}l`kKnMW?XsYM$g!F zfhLA~jg_=UM-gd0^azw{zA$;8WviJr?&i+vnRrnbU(3g#HJ%Daoj-%RwnilLY`Mpe z<~!+x!)1KQ_M`Il3$aU7aEank^V*4iS2@{+Z8)!(sxc52`<#1p4U)#A+Fp=K3(J8E zEPoS%dYcsL-SZr;r3(+7*+IC!xSl<4WG)cOw=`R~7EL-gyUrDhs5Lr1( zNU&=NLMkB~-qjJ(BPfQonMSzIm_zLv_8X<=wsw8+Q73n+5(I|hLK}1zztPl>d@vg) z{G7+c)&<3TKTo6*_(FuhdQ(iOFrc=d)cbE>WAZ<38|yBKr2H_NJ&Whdj;;62F{7v;nz3G!C zlU;N}1M3Ily|;sXS{rFt0qx*N307?k(^r?@Jic%>ZMA;!2BsyIZD#j7m*mw1uwgvg zvYt?(=9ZM-`B3aE4Sy>>Mu5I!UP<{(t1%`j3cZ^(wzjuS!4+(nnfGe_7}D5a2@3MH z&y~XwQNf?6UKg<|)~260vnV->F5anjYr)0M20Q=2!sffQb^5t=2Y#_-1%9#cU9>rD ziQi>tivJ?xO}^pd7JJ^B&LrUBFM|*4lrBXNT&hNNGf!3q`AM=!acymHM3+JfGKw~KBT97kK*Kb?PtFAAafSu7SAy~Dkbre54 zx_nQi6Kyf6aYMR9MlsTILjIckxy(CZpQN;%sDORZqhub`jZh_d6Cs}OL?Xh>b@c-n zx=Na${!UX4!M@CLQdBdv`TszTQc{?J&toUPp8O|YBKpCv+kp{E>}G)5w`G~~dh z31?+m2q|d7Fp6~I@E{y6QA=ycbU*e#?}g=E?x>qSdx3cRk^8|@RO|P30h{Qfs99u! z&jPb%q-|Zw9CQnKmkIYRG1=v%Fo<+Ieg6w%Zvho&@9m8iEv|#R5AGCq9o(fraVt=~ zSaBKL-QC@bySrP9YjG)1Xz$SXJ^yphS@(YHTPxZ7mppkgNp|*52uYs&b3Y z(mxNEKEFNGJ`kywFNpbJ=sywVfW-8Ejz56y%ogLyP{je~F zkh4axJ#XOU@#)$Ia<8NX?E`gZcbh!~ntVL{kyR$gaKLOlG#e!5z}1VfQMNz*v%gX{Fej0R9Y=78~Ef;RV67ViriEIg=8dnSsf1p%CGtR$ze3uZ^ zUqBq7ZAW*G@2Y;jHlEjMo5E%SIF%QKjZXG)(+~NyUK)yo_w+AL*!2gJy3x zO()%B>nxtK>azOHuvbkvd!C)mQ#MS0w|UO3m?YTxXenyg@rt!(A!=kq;oE87l7F%A@iYzjxplzOxag5eg4D0)*?xik@EEf^&JM@^Iw~gu! zE-stuu&{K?q3H-6jJ+H6FCSdE=`l9+$z`USNlvh>^qLU*oES(6xhRCe>O!+!UHaQz z&VEDy`v<{W1S3zn)rB+Oij#xu(z!>pYBFj)WUSO= zIb+CawX8eMH)dN3*{3XqD0X4h>}Qj|3SADiSY{s2TV0{IcGZT*E)=woFQ=9DFw8ic zLy~cF*2^MJCO%k)zda?m`)FpdkFs8@p28N|cBte;d&r)cvn<8ubz|=M7T^}#c-mb1Jk?xmgUlzu8k8kXMLZcHV|8%5iPZw05 z?l%P{v`oo)O*b9+_Wz1Fc=QtL|C&uAgdP-q*!O*mq~fsQ@q^DT?Yz+k z-Em3KOxYJieRs7#;l**yDtm%_{bAZphGT`$y<5U^g14qRL1!AX@-TMQG4_-{tc(hu zNyJxcIPVmlFTl~hHk5oPX}87t``l+C+qv&&Rh(W{^}+;``=Q-=tJ$(MGq&k4bc|m8 z)r!4>s_7(cNHPLz0S(?WeDPZfdr?{C4lX^kt=M5-Nt1B;#!px+6Z{3}(7E5^uMUq; zt4-4;jI^DVCL}UyH5iTQG+_Mo_$pJsn(Y+Ti8Kk;$E67tJ!%Bm_l3E}nr z7RXH%CCqJ?oyQ*41)pk3R}aw)-qE}d@4Zx{UvMq;Jh^afv2lWpR-1HHC)){!{Le=U zcK{WKBoQ)+V+fcPTg~vyr)*8r2BZRNXNz`z?6W0S&$jKvhmg)IL?PLFYI@nwlXgd0 zxc4Urk9CRipSN#|3$ZipRbe}vVt(L2KE{hB1h(O?Zg}g){fc4LJq0CNa71nDA4J1j z^F-n}(q*2t!?$6^%S6bnxHlAXaNX&awBy>GB;=0nquI2!un?nrHY3jtrH>$}85}QD zZIH;)CDh;r$e;))CiHK04_!!N(zS@(=(KC{U+J?eUgtRUvDs`7z-}@;gQHfJZk?EF>$UFcQlg^p-^0!l(*OBGbv zK9__M>H4|nDXUzrhmtE^aW{1V@D_nF#HN zl%Y5~Du+20mS&4CwYKm)L*@5L0c=;5HWmb$2lzw3;%^t<>jMr(Ln8Y0&-CTXzlRLQ zGGaUAQC4eJ2ZK+OKRIrZO6!+CcZza?FT#D{k8}r!hDBg*DUZa1pP_rwgsx;Rr=<=b zR?z!I@LHW|KG3F2iQu&-KYGPg5V~34Ouu1q8pSJ2rxZu0-BWn56nLT-ZrR&42OaLY zRH2WOUG0mWr;S8$KHjEc#h_hr{Je895AJg6k%@Tt*0u!d@;0yTH9&YVb>#n}!{#p5 zBA$zJqIJN2vc^4vcZtF7BTQ!gc2o!pr$D!x`L z8_sjo(W-&ovN(d?N=^RjZNTh^`&0zlYjcuCcbwCe zw0a1!kkj7%5%SBk%yYKzNA^1mzJ%sMwhUpDGgD68@=9vHj|tyrLu3;du#<&aH_dD% z(aCagDsbmNUCa?CvCowI=-ZDtwV%dbILG|heB@u2@S|ZJH=Qc4ft_|=rdZchq5*KI z$XQ@m9$(bXObQ4r29Cl=x&f(#8cLO1zI}6!IT`yZ140C@;#o9!ttFG}6jrMVf-fMu zRSbQFMSNEc%76ZTa8dXWxpB7GBIQ5CZuTVjC7eY$a(7{*EKHrVWZ@A}Jqzob(@C`Y zPZGyc0hDb|6Aj2|z_JL8?FH^o2a>egaF6xvbN-Fa0nwX{!v?`9HW+j1F@?l5`i7DT zRC9ZJXPGoFCa1DvG<9_blK7JqRl~73yejB_5lxdXaqh!aFmoZsr zEZt!XPsi0HksK}HpUy9qY6?|_ME)k1vre+kQ8X_kp2gY+CqrJ5*CwhD8S zluzu}t2aaBFHl(tLI_j@v;8;g9}j_qv&whS@&Hsjf9elR;H6O5qQwBK*MB)Psbd|!dWb89CzzkWDXNG1aS;950ZAJ)eGWWnJ zaJbM2KbA~4WqYN@f9Z$6)Zh(Ym^DSgakaYmHW=lOM+uVvNy-ceh|5#S!ovCwHdD&i zJeP}`kV~X;#@Zjrl;q=}TiyR1(^jbSpP0G%kV5@PN(J} zZWX2?DIY{R7n|HmLtOux4G9@U&jc*0%}qQ3!2AdI1*nx%0U;X%H#7U@RKi_o7W=3A zYk3pnmv#|SMTHx|LtO=W$ zkHk~0(idK-q@XG3pnR;n2F$$D_?cC(iiX_Lvz7wmalXwNI2tJ90_g!%W0=l)`ZY|F zkoExO6RTqF^P5nB@&hqT!0`xDiITud>aXNyX0i~JPc4o~gBh-aRmE}KHKxiew_ifM z7|(T<`%16=(z{&ZrTBFP|D<>ocTGl=Z%~-<7k&tV%Z+_o+*NE@CZ_vZNQ^CNI(SKa zV^shx`=VMnjlU6XNjrT#>*$}YjVMvR9bmwJaz^OS3B(b{uf*sx3dVqE3}VxPhoG5s zlVBAj#vnBvio`yrR>ggocbg8Hsz?>#YC4*UedYNYCHR%Vi-HNgIJkwZIU@VWv0#yM!)O&h7DU9I)? z5ewj)Z!V;DGkE(fTG5~FnNNXgMNk~S7}J)N0%abIz5L&fi`NhO+bneXm;S%qzo@nv z80-ou8l#%%Mn!P%e@fmj74#pKfJYV^R@(5>)BL9bNQ@C`S(e5(c>wh=Z0-MY>WJ)Q zIy4IhD)1GMhQo4ZA!(HZR?74l31PvX#B@>E&k)C=b2FMs9lL%v_L6~>P_9*{R zZ2C$DkZZUta$B@{4&%sy?+x*7X@YvS#PJQGH!k&TH!eZ03=u?z z^boKeqK)*fL#=f?2~v$j=$7`0IfwSs=O~U9079TwDVqYtUx{G6N<@19c27P1&Ii{h<~sLiNbssiQ=^lTB-kEt?=42C51=dL~cOZ z>ZshWI`Ag~eGl~6?gHV_PiT%05FSO6|+x%+BIB=g+DFk3RkUrx>Y_94n`&Tnx z_0jRKj^ub5MXN zU%|h5P@>y4EAfGot+K-Y84_$jYN|k)1&C#!9mC{SjRs4-B2{kVb6k)r_beZRr;cS^ zA+a@o9vBHxN<$WyFD~vHF7_i*MKZ9_L^3duim*^$S?3=>JWIaMFMf;@42*S>^uN|i zR+aRBsLoJ>*r7-%U^%tR5G6npi{BJ8oME)AfSQgcgXH=b*AWl5yCixdm1iz-9i&q7 z`LE^)vk*xV)8%udx+G>0WjMqN!sdY~5u^ZOK+2dwgYZphF0oSuE-^k6mPD#A8n3Lt zrtgpjQu6H^to_pTOOLP>tbAG<3-YFp&NsLskDFM1TX42M4MA+~GF08#eMq4djUAeO zz{`0o)pW=~#_SA(Pt@z*M#jWa<2dv&IL+NxQ#*~` z@8~jGaT=fLHwLJ-CNS~$0ypHE!~F%q)LLDW`uOtzOVmZJaF+az`TV^+V!;#=dpq%H z;U&b$@oG9CkTE@da8V(oCeejxjw}dn%&1iOLBqb=`ppf8og!*?}0zyV+!pBJ>>VbVJ;20)}u3>OK zah>A$xC&%stM5RAbY$u=*8%ZF$<9o^|9UU3RNQS$?7xTi^mq-lzx}=xGAB|@q~cJG z{ukLm21o3DaQdD}z@Ree20~zpnuiq?ilHHLzr0_{a^Kc|6PvpS^8|@G{|?0(Bj8v{ zJkF3Bpqe(-9R5w(wo0WC4^hf9?v({=FME8E#4pCObDB@RgB<>&W`5-0cI7(Z%CbrGS)JBoF-i9B-h^Vvw@%t0K^my(&UNOf+4FQ(@{rwf@t$UNqMXfSO4*%CeF zEJU4Yt+LA6wi*!jOaD@E`d>Rli34f$zo?wng|+BL%5T6iyfjutGB}8mf%>)QL*{3; zkOBn;Q4$tvo`;27L5$5mc1VW0Gamy^E&8wdNu)wb6j|wc?eAKD%?=~P>>y?(A-v9$ zK#(cjNX3y5>VbD%Z{m&Q5~Sy-YLPnYhd6&;G-MmHP1m_^smmpFwM1 z=@yoR@|w@X*S<1ZomS^owFfkxBlYR&(rC{xv;}C5svjFCRVosdCC5mP<89bHiZ*qy zElHycFq9bD;0Wbu&0^aS3FI}`VcXCosI0Gqe@iKx-aY(2XO;OfcK z`*-WauY1^TiU;Q23u=(FkImdKqR<~C90F!eBS*2l6iMXS!!bEz7sJc_>ms@2;r5L< zrE9WkDOz3hM^{&8DZ9t-5NY*{hf9#%1+&W4qNbH={yaQ(=|PLRmF$Yh+pigx><(Yq z>fPTyj31L9b&0XkyhHpzs`NdFdW=D|>MQj^`S`ZGx5po2u0PEu*mzCq2M(Sh9h<3w z^Fhw_OXow-Ex4@>JueJxC}0z!0f6*wcCvhb^x6J`;nZ1?K`8(#HFTpn<@4Eo5f)#D zMt)Ws>BOB?HJ!6i#_5-r*7@ys`9lhwG6Bj%9h;TK^>$}ORt|usf`|Y&7uA_)7NoUV zDKUYsKr0zMxU-}DToMDdV@#{iAR_)&rue!qjQe(PV}(fckW539g$VTK0tV5T5t}6B z#m{EqRX#Fe*_=WecP@M_S!<@bF`&5!r;+(f###+dA2VhEt(&-PDUP^!6$X|~aI6Yr zP>>!4&?Y!H7bhS<3js--LdR8mro4R&qqy-T8x$j2ao(b_`Ds+&rq@pCoyIn@DbDYZ zM%NRy<|=HPb^bgE)7!hgzIA$9u3@N{djppVD$p{rUO-}s((`AVp3}h5A#8$a$|sB}mFAqlUbK?rCRB}*)+oS)KxHzOD0qzms6{JLJ8J#|F^vKn z>~cS^#A|q=mw(+sShi2#p2uI;CUd<(<#DbWbzds&r9riV`V+!au@l2(F-N#&T2HTFg{PT+vyi| zW!N(W37dA{)v|v>2HPwUUcd!$2YBQYHYJR~NpjoJCB4QfG2me=mS$QT$>bVH{y1_nlS!sR{>H+rR3CBUO)+JjL%sbD_B%G@Ccb~Z zIrNzkjXGjs2cBL<;80(*Q1mR*87dCC$$1J+5@}(I4-ey?2axQiAlW;sikWUq4Q_eO zB+nAPpzuhGm`2S`>zLkhF5$mKa{rHD(af8MJJ~N4Wo(VVP66YAwDKYs6RDU{IiD>i zz(dmloEDP1hgk%i`Byhnkrdpvc+Ld2@8EGUTvOae+x^&-E5ecVG&L}ivHyuEZAU4U zK8ybhB_G9b8j19Y8|YsutrZAp%#vqYZ-Z_4UyMCMgx1qa_5AMRGU6HyNu3vLvyEg6|1YdZCiK9ynTQ10kZ%+AJ zly7%`-5g)8Gt#RQT^cSHP_CpXpNmT}7a@u1^Et4Y)A_&T|VYX<@V85+b;4*$Kl ziA3@8_vQM{dcVI2CEZrc2%=l-*NAiOMZ2dDO773gkIy~2cB}Il$L(p8QSU`e$LMTR zT+DHPKitG0+&y3U2pW#H6OS9lcX<1%2D{BfL2mm>7vl1;x}Dj|GpI^0I)WVL`*c_m z8gcUb$%Xe%YBh-4Fv+HsVX;Vm5GhgP`qbOg-Ln(id5%sqxc&IJef4}h?%1x#0@8}K zu>KaB^JmWat@V=0HxTXzGDCHOdGjhT&20blO@{o+5>VvaWdRAXzS>$Le7I)M@X^El zN!Fs2`J>X+t~PyG@=pBBSfNYE?&-Gs!^7EKoZxYNho^_v7jGZ0XBp-u{$Pg-lM)9x zEo(5UU8QDHDdu}6gox+MtKadyXzOw;@MYAxcJ71}^2S8Fzt7AK*fW)DzLS1uJu&g~ zLQcH1g|}x`Nv0rJd2iI*W9IL;`CA`J%gvsn{$oN%*Pm9`ktm6Kv@{Y9z5&2t07|u= zmWzmO*nT!HKC{t2dGJRlM)@)mWUjNTOk%tG;O;jiHRvT|%7+}km~?c>0F@Ka$XXT= za2X$85`A1|FF0zhI$Lz|ub!WO`|$gCJuNRUT+J|iPrvS|cdLJk9R;}}q*|_#^M)Zu_KbiqXE=1+`}p`u?J8$D#+hR;%Vqci zGG5BWJ1nZXex09hX_>DO*X(@lf<=wU4ZjR zjfjXLshWYB56$56{QUMW_7r252lHSDPcLll3S6Se%%W0Qxr(<$Z!<9tbg2EXSXPso zfn_t9Ty`NKLqOr|^?gO~B==5d#UG7%ngbJ|+OCn4MLPVE>t7|@#NTCZl9!t}YqEw@z7 z;K0?IN9(TTC*BpW&~M0j2Qo!p^=BZ=#tkp`eZ2|1vY@v<2X_9k4W1V(e|=>6^lnkA z2+N7~y$h1oy${5LAwFJ9fhUVZE9!~yuNR!fy1%~kCSWxj5ZvddeZFPAg8qH~eE;!F z|F2*2t|)e=_DnIN<^(O`=5;ONQ6?WO`pD=E7Dx2<5S)Og6Mt$tKOM*KOHUCwh1AgU z*-lBQ*E6->-Ag1hHhe>7Jz4xRURlkcW&VBK%iH})hfq%4grRQG!QH{LhTi>#Q= znI-u@(5%m_E*-AYZ)C~45XdtMEhHbU9H-BoDX|b>p`pm3!%lKriOzCcEzfe>La<)k zI3hHYh8ywsBrrKzU7W2wz$zPbpJVN5n6WU!-4@Eve>=%sbpl0MNr1`>gwtaq$a8B~*A;c4Pi=lA#2%&OPyc24b zMF_ez{e|9W31<$ed2Hb!j1#3PCfi7y{EZy^UGbidsk3NEfs7MYGWB~$wNhV&?H2L0 z#4^sV=RB|6HWzG>3WN_71lC@bhH2xEMO5|}!hfT0qlTwi0Iv|})YjG#?j^U$(Cqh_ zQK&Fj*F$JQ_WeGeyFbny(0G^p(%N!4$7-~5)*m~=j-O}C&1Gf{-G6%=Aecvs?O1%m z24$IkPW!F;BEx>1_xR$-Rb;$8$2@IF7dv?&dkp1NI7E}IpTmHe2F1W)r1wXQ2@(U8 z>8n>`{>vGW6AcIaBa~E5==p^Qg`MpCTq*|w=y(L1x8qQkdGuEu^rMm5&JJb>2w;i> zX>n~^;?Xj6YBxdr4ko>BjK?!!aO+it&Z4-s@3N=xbZZT0vfkGlwez`>>Kbo~^EIP$B-OfFO>sVDSZ&l5|LenXGmaJ{?K}=?Z5ZP_ zg5cJ}sV_`>o7-k62w`Z^tzJ>UP=K9t*$=I`@Ueh1tvUY507wB(7GCkr+AGCc4=`mE zSvw;`J45>^n$tbVpw1ijzNan;I+`ETVIjAagGw&(zrvc*gGlEnwk;fWI#7^e&TfS& zA+aiOp)~-SGE#K{{WzJY0VW^0O;JZ}DUI6qM-^y{-dBt=0gc*|Mo}1FsflC{W#MWi zseQ`y?qdWSKg;2r#|;N5TAcev0frLhA~Ol;&x$WY(Jj{80F|#_>M-XAJiJ6CbFqT; zb7PyYC(WZUz6_P?0X{2`Xjqpn#ezwAWhd<^6=oVE#D4?VPt(coS@A#&#o;!@fRqGG zY@|D#4Pt2~drHKQNniQMl(XE?0bT9XZ~f+cT4c;Jy$5C4W_cfrtunpUxsyg3VXvpTHS_Q#>RO2yTd<@G!#zn`2`7< zk|p9jSdTJf=Lzc-LY}517wr(N$s?;?ppudGN8)t)w9y2$QBcJO4uRTlaGEDcvLY)20B2&vE=r^ak0aFKX*&DQq&R~oaIjK- zL&>QjlW4e7oZzlyJuYw<+&i@tb*SO-i<})Zu5HFElRgEed+1HXebn1TJi!ra2NC`dj_~q@@#q{&%@T69EO(7i~ z8aTd7ZB85HnXdE()6_rq=vWBj5E?x<1vKYvAe?!E=2he;l@MV|$YDrqZB>~U7E(-@ zZf#Ya7d)Gv=b!i7@{zx>U?u*}E;I_&*2E~;$r7ID9a{l`oIRIGlK&#SMPpz{oN`v? z{c*6Pu2;=h=AAHu-pzZJnv@b2)%}_I>y5?>%&TjMd~D-H)N3!h;cEw*maSV0HACk% zSVSg`h0VOCuxJGr+x)s+rC;+6Dc+S_+4j~ryEnSi(TeS=!(yr4EEz|&pq_EJ@5#sK zj;AhLq8I*(yv+GwUrhaIEjQ1cm3~gI+j%dSgdxR3RY|j58k{DR+F6HGb>hPp9`P-Ne zyOiS_(Z-1rX72r}g~S#$^=}|W561uRK=^Za#VqxSTu10@D>^Z>!@F$FAS{t0av4BsR)$n zr?OuF!;2KVVwY#kMU!Imlw) zi}wpe*lFG|_zH|n7E%$YqP5qWMc@0zv~Bj=)EK9e^gOB^_S^cB4w1C8E9jwzkU)=F zI8U!GNiFHbVE;3(92x>o`usVTs!u5PqaXfh=bor>XdE-+#0)|daxlSu95ZDyv0kLN zG>KUOm?o5nDyONK>!c_y06$86z$%g+GFA>Tnv9B#qfzA)vq@N7e?;Qkqxv3#@9{2} z;EY@(NXO|7cXR(%!E6lvqf7S}Yv!}-+WdVF{qJ8Hr5`jznMGzr-p&|RDR8lZ+OgxAuO4Lw28jJ7_6hmF2NL$C5_%IG7JDNg^CWRc%3t$F9b_3i? ze;4aVm702FLczG8ao!q$E})YY9q-ebKS5|Ub$yzjR)kgONtV*P)>Q&4tu;pa*rh?F0fAd$ zD{jP#edk7nXCQF=Lwv|4;%w z;$xQ5&&mErhmKC6x#cty-0UG;o#ufq%@^WNn#-W{0uBx-UT$Rtz%l@hBn@~jKpc+U zE#b&Kanxsv^j{l(~GDRvDGMR*qajjJ6Q^LNu1a)ag$O;audikOuo$< z?-Gk-yVdUvGDrlkw1tDpUt)ssb4SB|k3N)Pq32I>fRjha*O&sWHc_N~v;FR4dtdw| z9I4$zN{n(HtDL_2p0hNAKYnw~RG^dNi0Urx{?1L2R@_#ghsDOg26bu=&bmjZYdOt7 z)oQl<^GiC+J;UH5bt9cP(9J~6Ny8m_Xw&o8b+E`2-ag;RRyb{3BCZ5U%H8#h^>hZe zaU&JKi>2*a$_Bf!fktBxY-Dn*UqmXvB~0YwF*Yid)a;7KCtM-lF+w5V@U@Ov9{vSp z!%)6}2X}s&1-?F@jI2V{=E%hoS%(WKtZVTWKDK)mM_K&^0GW0q_;Kbp|D|1Zbx7^VNHaGVc2(f->ePEz1fc~0U_d3_{Gm9D?$Uy zo^9*qp^y@IixQcYZ0T)oq~9jzJIZC|JF2JUHpC;{$RKDU;{I(O~l)^)^oK8A6>)a;NA4!kNStT2RTRR z(3{_R*KVpIgXyPR-Z^x2_THSqZq>#et|RssQ%$#E`}Ip_f1-QywpH-E(i>1Z^%o(( zcrDer$;Z75gr8L<-#Iqo_QMWW5YPGC;=M@2bM!U_?~p~N_IDM8mG*Zfg`@X(Wrdfn z*_BG3frWY(B5(3cKiOvD=2r`0l;A&EXS^JD_(U_+y%ov@MHw>u#+cfE$j^{N*xw}n zp0#a>g&uO6#ZQFmeoISwuPu-VTi%W%lTY8hh2k->Wb|zJ>7F-zz4i>(`n=9)oq0~s zthf1nA>U|#T?y^5=8N5gy3_L}!X8*Ua%DjXD_%%d9WYv*j|*4rLR z^YKe(X=+3Ab*Z}(zX~YK-_Ou*bH2!a(ineq$dBmW0X4wQ#mGw;&)UEe+bQ(SQo?0o zHl74oZ7+_`Upuvxb@Z*lcdryH7d94VtQDPa=EXgM15?Nf(!%r#md&e=WFOhT>iWP& z$>2@KsA#xcJR@LtJYPpzkhzB-3a5E(a4KAyq3#gil5;hL!U=wH-NsIK%-D6~j5r64 z%y*ru1vE0|-#SNY?8~`kbB!eoWtE&!%PVP1R&vy?^+>~8EVB1Tp?Co6hIbqcCcIt0 z4xDr8*i>A`!KG&=!R_!&{cg(x@A5=nx)9;XY{7UO+6{lBJhN(B|Fk(``(a&5MvCgh zy>)X_C^N---mKP}k{TJMJRt`=1|Y200#8>dyf5R1>g~PJGd%o%#eCL#WI|BA%~pDb zm;bVVL;nYMDH8&ji6?x==edkBh9T>Icj@5>lY{sF%ldQhA}nK-eZ0T-3_qfJcYMDb zemTm`L-G(2h57lG%i+5i%ujSK2N4Lv<8tVRFfvF;9Ofq-Bm`k3kR+o0KT#!XCVrxw zm%hiW2uk^xdU;KXZTQU;8zzHrWugb=aRdd2)`Ips8ZzfvocAe!y?|u&aU2YpPH;w9 z?6GCK4cUjt#aj=wc&^&Egzg>I&}8r8iL4}S^<`!eOQ42nhJEs%E#>WXThWogMjeE6 zd`p1D5#I9E1HqFxj&ykQS_Ep;1htVvwP7U~Zi?B#!e1RZGj*}RmCYugRn76@J$bc; zbXpRq$FWG<{j1v5DV*|;{O1*kp{izqU9EKkr;b6KQ7Y(QQp+GTUL-|#9+2?$Ba(rg z-MgB4V=mn2i(!}e!%3QGnKUZwYC5}L_$4rckL+Qpo;F+e?uMV{zq`pr1K_V;=;MT; zOf9K%ThTi!77h03tKpTYv8ow+gHJ)1sfnmKbRt5|9Z~PyAN7{7t>M`N9rbarOItxT0XT7Ez-jF%bHlE$Qr{;ZrvSz*eP zCY^q9k;MjSAl_n#W-?MfogjrP!CUzNjDDEm?=hy;9vIh0VSFl!?I%y#O8IyS%pZR79$1!_%)_?4?&oH!OpCty)AbEMBn1*m*UB>xiO4s9= zju-6y=-iv3a4a^hL_aO5YcuON1yM9cjYE=CrC)!X3rFgKE^H zR$lN@$>zK_GEdUgHJ`JP4NOk9k(X~Io69Yt@sQTl zM2R_m#8h#VG~BwmJo$DCmT{(bGC>wqN^{`8e9e$@u-s9(1w`s0frP1Ld1n(mda{6I(Ym%YoY z+LZ3SV>#CHyK5&OOl8yo%q!Rn5iY}q+OZxJVrmoiQv5o64F&yRf5pkNo^qNH87hm% zY_m(c+{g&%h_d&>hQS%>&MPhQ}pQfmW+~(jS6gxPtC0 zw(35{KXLIZvn#Rww z@C6JjjSz{MO3o%_6e9Xu`~f+NV~$WB8pu^uMeQd(pALcs-oi?-<}0&XQAI)($OeEh z8N~*`Nu``2QK*z5XRw%5+5zA=MlnfC`a))#QduTz*}+@by23n1ISGbZdN2*DCXIi& zT9#(nRJo32nf3yJ7ECi=3F=fUQ`NP7Uq*K_m*FbM_#f9aGj<@32Qx>IcsVMEW%XTO z3q9rXPQKo7UH?d9+LK6_aWsAaO+9{xk4`H5FcTohg<_7EE}buHM2(u^_UJN%F5zCi z)fRGyDHyZu0X^NyN5?p@z%)(+ zbg7@S7s;mGH9||nt7O(#jPqvjhT5-&nk?7-je&%dOxIh7nWSO|5`+EaN00zth; z%q;|zCcOdaWs9o>?Rk-+ms%J}FltBzQv#LcXEhLwBu3L|AoHrySjfyJlh(BdI(xT^ zsgsv`gP^b}$tN`t4H46!@bl%nA1xW~9Lsf;F_v*zG)Ijes*ePO8=@Idm-x;tK6eWV z#}3r3MqfLu__(Z9dl7OfV*h^s^m2bgh`jsq)41*PbvP|7)=RlUe3l#qOBMJ zNqqz)N)}i+OuPW`-29O6f%uSxW+e=HLbY{%OeY3waI>q)hfJ)33)jZ^tGU`<%kTKO zRz>M-9TbvGGi)7kbFV&J;!7*ABg`=eH(s?vaY%V9BPG(nk0DPiyA2Xy5m8sZ_}$UvP05vC_`aWPt3Y0b?P znjr#`Ca@z0lVQ^n(>dtrjn&k?7ZyIqm5mP-O%)#*XY0(x@_(R#bky0j>VOyqZWq3e6_{D#Ld?(b@Z$Tge{e9W$)~5Mcv@KPOPqSU*IAjC;agSj}w3*#{J_u~jH5qm=wNmpyYL;Rc=QBqI{ zvw~`G#B0Zs-k=z#FmhUsU|_fFr}0VXxdbA5>Fe^{wKiM3*dF~s6zh)a%EDOP4a!{* zj43pD=S--aSLTII5%`fJi&<=e6Db2<2PIS5*1~A3L(cG09@hT@<%m^4FPtJdLPifh zmp{7|wCN1&kOp?^1%_H*nISoXr$0H+=Y%WK`dHO~bX;l24S!sC z8xxfB+-oCyIt2b4aus5+nMYXv9R%n>N90N^{~aB@o>X+b!BiWZDPEK^E&2pn*(OjL zmsq*xS|NctbL|tTC3|wYFHxJ1QQZWEOWf5@8UZ>A1D22iflD02Du{drp=E3iTn`%0 zcNO6*)+>5SBVS}#oQ5saD)WKlHFn4Opv-6OWk)SlcR5GIPW{3n;iTCYYipvyBwimT zR)sLC^;U|iQROVHf@fR`e1Rm|SWJr)7lHAe)9+|awR>!xGw4aEz^DH~4)jxWDNPpQPf-eC_zc`Oily+Mv9N=X(x_*sH?)H5|;*I8)-ud zx!I|i{V1#zQRF_F$m(HB06XewLsa&8>tJQVV|&eYxIEcuU?lY5f4DVTiPx^r<|D>! zD)ql@Ct*&GE$@Cc;%;mZAqEvU2l05zm=uMsV24Yk4{2!&F3HBt--+evDH-kQ6FDa_9iZZ8= z!b{KHAt(ZR7zy~4M1P8foOpkVANN9T0%0`mS?%H!_@jM`BrQ64%fuuWdC|zxIP*gL#!}@djs|Nvy1U=P zk^uBLDe6BXiqVBEODAWG$e9Wqm{J3ui9Nf^lz zidVhBI1>y?uwqbq`Hyqh1Q{BsnzJq>EmilMvGSsLMvSWqm7bJ77#zV3<>KK78X76w z1sGSB;~_w2VNh=(P>hXe(wVgu(p@2N=zhs%5-gC3P>u=`QVNEpfB&t5)JP#JP>3bM zo5-wTC6uc0cG{4b!W7`whHqU;uC0e(=MQ);4iQBP=-CM!0ve%6Lz&jY<0^XUONeOD z>0pRiiB(F9_8^F-f3QXwpj0*Q&$=b7UqDX^fz9cgxpqjWg?;Age(Q#(=6y+vB9XHr zA zV^_!&q>3GrzM|`1>c`HlS=zhDR&?%cqtOMY0YmPX2@4y!`JG+VJjLVerO=}hir&h4Ldc_NNl5KNY7*fVg3#b-U=+ce;F2a zuqA>tw3tyaEWsdACyY9jm{ICRs8MR9zcB}V$S6KOt?AY%em|OnW)Ez*66WXPppnjq zB5%7S{4)y4B`I)a1ct$~28Go{D>5zYnNWdqqil&&(9Bj=A124G9Uun2dl|la*gB(< zNYh7)VYrH(qSR?49Sgcy$q=75V9XUp+~_x~|06c+fii|0oT<_8NdHGp_=9QuR1wqK zZ4(9NJA+W*D@`$)N15#Pmc!ts2TsC7{21-2FQ3MS`&HjV+20qe3Wj>cutkW;hF4GB{0o0>^acbi9 z@`=TS+BtSI;^*h>AKB;aB5 zF{xdJk=o&c;=^2 zHLyJA@0kFnzMmd+ypztJp4{?sQeZc8kaOnRF{d(JoUmnM6Q7eXo{`hn|4}$YTg#9W zG{E2MszN8n(hN0&{<$cmrx7vS*$YFS!|}L0!hH#pL4t-2oB%4`#m}bL485DO4JxJO z8J$U;P4S%Z8|Q-l7AY1{+Ak&o+e?c(0L?4NJ0IN)5mjM7A0!(Xm{PN&7aSN4pUhzAc)IfQKcK#K5;rt&T$t@lAaW z2JrsmWmym!LPnUSkz;gWgqpz%)-on#!!p06yv0C8p9%T^4hvJfOZ#9(E8n1n0Od2{rf#&~GTcPoq)F&gbBBnv-XBYePS}(4)pSA@+*BS}LdE`Yz~Ath zYIzumGu0Z4hueMP>#q|p?0OU`lHIqFKqDI~#|gmB(Nl7?)YI{v4^>tP+b(3%5n-CF zq=`%7LzZ#OQ#vYi0B9!_SB^zF(;&LAnO?bc=^{A`#r3R{B7X=ckz8!s_mzZ=31vbSCO1KS=QECOe9Z7v`9+ zP0xK=di`VnL;J4JS@f?af%E0_*8drBb@h!X|Bb8p!d(9JUgNH%^yHK3q6lGyk5}2R zv!e5sl=2VluH9~@K4(iWYgO;ho?x>_>sQZHSgl9yIpQX7IW?7y35ET`JS$Sd7LHis zCOMh2dpNzJmG5A)pAZF=<2;@rZ(zF@D{rL<`CI-Dn#!eEnZ7OT@(stC1)f?G3I$s~ z1Byr0Q?of@M>6g>W{)n+DV4uZ-vZLC4vsB7;}w)=c_&L>6qM_r)3?3StcqqJ&u)s6 zyQNIbA&ZH$Mgs9Z-iOLC5axe(pvmII6jHp z>}7xT2u-I^x6KtTo{*(z{tpe16apRim19>X09j){EL&!VK zHXU#fK*R+BUmzeu00Qpj^OH3Vv~Ufg49VxY>u8)-ACAjcu-Zz_>s{w#u#-mi-R5H+ z03){_@oAhq%x2N~l19v{W^1foS=5+b0a+(Q-2cPXI{@j@Gl9Z;*0z1twr$(CZR4!Z z+O}=mw#~D)z0dypet+GnTX(8^x`XMNOj1cE)198wD%4(wG3l7^7NN7Q{vSkly#fPU zeHtS*#kV*0_zfFUjmL>?;j16o)g8^U!p%%BqrEtY+WVz$xaG*k8ah)_A3y&$Px(GR>v~Gl{Zex%Qn(? znkuAdp_O;Vj4N6`spZ{skx3u9%A{zeM642VQdNh-sOW*1mW#?J2vaFUdX=E=z3_U0 zQa&2=xmDnqAoSBL2--PL1g$)0{3d}LWuT9C;OvzwQE)B2WGr-E*rqOO=DJ#1#{Yx2 z!3suuA$s8f>XxLTe8$De7V!Zs5Tf84dP!LCERvg2@d3y8Klyp*VAW0X!rQ0jN+nxEs2EWjZRw!b zW|d|LxKfOArs{vfQ%W=I?Me~m;AzDkZULMTCj?m}-MGVvP;!kw1O<6Yk;k+jcUYNp zs-L@oNSrbA{P7Mnh5XUJH#w=XJf=8J)|F=1rox!gOc_u5dye9yB0K+aQfX$NJH=0P z;sgqJ62;_f)$T1g*!b+`?A{X2j57Dlf#xezlh6M^<6TvqkM7_9NXLJK{ajslySC## zo@{iXwu4-TkwrFSustH=_@0LmaGW4`2&WyRQI+WKjAeA6nUx^{6ZsRLlt@O_OK64Y zxtc+|vK=dEWmK-B6>u0{Ol5hKQthOeHx1LI8Nfz6M+UahCV+!xL7JR`DlFYV!}u1? z7o@>-bV&XVYg9jz(7cdBB2Uwt*dnZHbU*nIyq-nKf;g2tg+(NDjHkZn_eS4wI4NAT z6m~(idx}OXi^wM6Cpy2QC_kf70a<=v1~p~a!Y+!eT?e`+XMr<4k=&VzLY|HwM=v?{ zQW?Bh=0rg@U?x8YI9u7llS{nd{xApSBZ-xA(VX|uGkxbl6x39D;t~G|m5H>hd1=pU z%r*U!c{UzZ_12S_WUZm8$}rugOx8s#eam?K9}WRM2hZgT`ydX%o=r??Cg+z)|dtUu(_sq-<0+|Himv}z$$%J#B^79lzN!bhY$%pIvUzrj;9Qp9_$Q+H8zk=AA}K8APL3+OrrkU?2$Rcx-lu*qyn8RBvXL>!%`6{Yo}AnI_;jUL zhK+po?44#`Hk|;0N5e_i^R$^wgolQqo8M>M({D}dvNm>B_9cqfRJkbN5?G8|tcRLPVzBPjf+z52VVE zgIWvs49e2e^2ZyI31)+3hu61BW+r^h>>-rjhX41?(sZm(kX4P|YvRM2P6XDiH z4?LSdZraSeE%WuaCj=I=NS8uhP?2x*oKqv`Xpu5%4Qtku<;|hA(qAla%c!2Uw9APu z)^p=Hy=8;Ho<*=hM>wE^Q*I9|XVF8D5+8?i*+J38_%T;Thp#@~ew4I-LQ%d%xND!# zxY*~xxFE#FVn;{bae+>Ir58z|17pIFt4%ERU1#M@Lf^;%WLL@86kCs)D zBm9N;yynz7JHE92VU|l@`!O;EKy?@XtEA}nOtV?B?%8? zig}<)GPFmw?SUpaWb^Nowr7=c1V+P1_iiKc?{K9m5qhmhcv}6EhD)Lx7j!oSBO3p> zhhGOc^#zGjrzn|ew#bTlJ9Vqg_`0eoh2xC4RCck7rj#7;ig0KG^NA!NISYu>eOmou zjklkqll-$;RWo551u#JZ2TN;>Bq$|3;D+=RW^{YZ(7qL2QKV z(2UtBneDeZ@8N|_;MOrYX#2E0WP6G-_%zuNN~4H_AC0c&u}NNZnCuwkpJ!CI-=#ns z!fU}rA0tR$YGhuvzzx^oB*z7PrbSAFK%7j81+=@$psTm)y=e9`T>cF8JL|J!6cd z_pa+^6>XsYDxHS;JX}|R3{fv0=i2e=3Fa zCu4>fAHx(pF>xpiOVNDlv5y5CqO4IB+v~Z6lXUzr|7!}|F#M8c6fK}{>ud7+SW^A8L&UgiaGXd^ zQn!)mu)}JB`fR2@$$kMT|5avjp5!=|5TD&%5Zr%lO^2||?r)4>{Jk;^Sco%8XU(Li z?@m`!c3iB|p+t-KVUv4+3C0-7ru6EYDo&z}`czRBCTHtxQ55DID@`Jk(d(~_p8}52 zPhWfn=KNO)8)NpIX(kBBt-)}O=^rMd!gdtnyZ(Ejq~s>6t?n=GFVn{ok&1h`l%W2y zfsZj`b9BAgnKnL#|C(f&@2G-Sv3!#HN7HsWwrlB67Vn0iac6ilZ)BNYX@bl*WbK9q z#=}UQna7s_-Me^vkV^!(fr8+Fx0_)4%>g!5FI#gKoGmrFrs4IKlm#%9TO6MwS*nFY2mZcyJa3@ z;Sq9gMI9PW24i8FLXbpRb3HFx>ELrgO2Wp7p%{e^iVB9eaY zZH9zQiDK%U|MQn--JSxdKfyB+7&66XylOXrw38Q;sKMmBv*M3L`Zhp{NTXA`nJ|#F zFz4$snUF3knFt{M^Lyl|12Mk26BnDP!7Vj25wd0S=o7I&pX47tq1#~6Y9)%V z*)Uly1#0Tnt|d}5MF$b}bGM@ur2hF}ujgKNC`b;+YOhu`ArbV$6W9QQf7|cyNHkbD zH^*4FjhR=@H*e=pmb;hNtyfH!Z%+FB$&5S8d06h5#*@;GLg7bUUvWK#z<5y(J92s( z?B-s=RNAryJ5eM>Z9eKmMQuw>S6}APp6Y+&4wOted)c0;ZsGLoW>5ab$9NFLgl)PN zHrs#m*vpSPH*#+UOl&0qR+Vk6?#TWHz!M*U@~rucqen~-g&ZS6eSoSv3= zwlRsSe$1+itLG@>YnT%9?=i{uKlw6L6@W=``_<&twna~G%Xn?gtrO^3bNB(-3lu*A zX(O%=joC`RYkza4h5S!H$Gvs*A@V4_=MHDGSYl!Y_s0F=YTMJh{r07=yX%U>XRRZ2 zC+hHi9QU&e(>o(kp(Wl}BzCw{gh&68XsE+B(6MbCDe9j>i~(+*6X?IOWMa&)CfwiD z*A(Jq&cRf|bu%Z70neFizgPRHR^i`Y2gnYGiaSAmhK?&SA)aw*4quB^AD3R9-K$e) z2dqI}`_n?^5Go+&rPQa2cuUk^(X9{9Z#yB)NdjX}WU8S+`5rjK@ zscvOC-9-@|N|mtp48Bvg1zv+ou+EJZ1Sg)LoCaTOPK@d|@xh<5yGwW!LZVU}cMkzo zvJ(Y=4FSRC(7Hz!^@DVW&}VZX)FLVKb)>+9ubUhq&|&kL+TOgIl>`E)hNv4gw*g$2!!t@s0~T zx44qvql8lai$nwgfKusGKqg+Lgf&5hh%5p6Dk5J;RLKw!BQhGwOu}WIO?=cWXx`ym z)WtT2bS&X%8^=5qf4`A7=H}b9$&b5%HobpZLo8?0obNMM%xSL|GbG-e&yq@B4&Bt{ zR_eKK8GI!1meW+SB-)cp2By>lL;gl5)3dBtr;ItV%+}^oT38_9f#7u6z+s5-VBtv8!mM+oa0fAlCX)cw2|M2{0LVh0vTb`=Eh>on7P}*Zd ztymh$eND6K#pe|Lw}#v~VV%A#FwrWL9c}nu9f(fhm6THrf|`Bb8<4=_Qs3RD++V}s z?g}c>5UQATmNS!srtKvcv=&I1%;Px#pY5vL+MCo;$&>_t^Q7W3Todu~hNRzOfynEb zspM!-fhDrur*GiT-Q~bl0=3?!zS%_Tv`{)W*cqpr=rUd$k;Qm%kL0*Q~LjqQ8=43Dl zwwvKO&lB-?Ar0xWPmN2M@pcRTnc#8p)_)kEKt~KWg2j{PTF_DKWdHjmAz6zc@f!32 ztu#!1_VgC%z>Ft`+XhjjU&vi;x7s;aQ%*k~;+dd=B&m|uCk=F#NV%5GI>+CD`iiEE)#*q9hJ2Vl7w1ea;F!%*hW))IqW-RiS#`~&k^F$W+i zB~zGU)y(8#v*QpM10k^+*+!jI!I>;$iM7SlD@(XCcXQsvPuvrCt`ILhGt6mmGh_?Nh0pPWH8ud>kdP8U-u{#CX zhW=>dZL5h>INY$Pfj`KSKnwzVK-JpSc>P!Gso{C2RG*EV6+B8vb(Mts7IgL8#7iEi zaT{mm6zn+CoiE3Y3~AaaAsO?viASlg3S z6OR5lvSAX+(sC7^cjHF6&^>na2>_zxdVd%e7ZKH1(iAyL)E#SLeMry3?zX`d2TOD<6gZADD3*RmF<-7V)UW7 z-O`*zOuP5pLl0rU{Xc6R&vx1iCT*+pS|(RrMtXDxW*COfIwMo8vSDsE&r!14>W%Pm zZdT7y+MB2_cuwZ;RlBt>)%o**FU{R~NGoz_x>3UgWvm~w?Wh8XraKC_ldU)TN1&!( z?mSy@`^L_lR+~+F*8xhTNpn#yVsjccHEH^&E8*)d6$R^y6*NX znZ{vXQQ&ZL&%D@&-~kF-gnd!SD9lornlkqXlpXvJi1Q2x5b6%l56>8adRrav`mN`% zkq{xSq$NF5Kq&etfytTt+RRUE_lC0lNMdV9s<9U!*-@T(C``?nmcTE!cNzxAMUeA> zZ&wz2?VF3!yCJI_)5!Wb5vw9ks@l9#{Nxa)Bilz~{CZTmuHNPeK?m&zn!Ww)4N;Fg z1IlNa%afsy6-n_zBhcw%8KIW4gTQbks4S=Yg8yI*LraSPsQ`1O1=@WUFdMSFGRt`1 zVZICSjPf0rpR3;SsF1$98U@~>&pkC_Bqunfu;8-9U40_z;g6&SJiX>GEYvR}uk>qo z23t$G5-LAJh1aUnS73*kMK=p^L11{b;kj3{J58?t&1s#TN2NRY;}RGh)C$GJ5~1F| zT0%H&L)qf#X)*s}vyz@b*o4d|3%xuqC-T_Cj;P*w+_0Nn=R_Vy6Lu(QF}bM?w=)Am2rpcFl&J zgsaFI@awm zoJBht8fgcsqARzQA#zG#zh$( zVkHM%7#v*mg!qLZg`-0OcgE~bZlX?O^NeG1=a)m7a@;K>=H?|8GNw=*O50f^o0Vcz z)BGE3hDB;TO3L3iQ95aoPi?z%iaK2Hsm}}2OxKr??Mzc5M6Lr>#ahK+_P~8TgaM@X>h|dyuq4UGB;51mS-7+a~@WyM0dbK zRV=vRD4p($mLTPm4pp1k67-#NGUBFa+2Rm3^Heq`Nb18Ao<$@M_>@pt;!?mT@d+OB z=?QDH65i1dH2EJ__s{V}c-gKlkhKv|`??ob^?0q>Vc`m`E)fdm-bm+JV(MP(crFtE z!$WQp%z?}&aR5VHvY09OM`0&SiYX7JEp}U$x z%3?8gdoJ1A{=17VY|nYKID?>b-uP$Z}3O!W?QYqa z3N-A&yGf3=js*)Eyi~|Aoq72Jxn`IJ#4|q(>ZC08F@DH^u8IneG?#XPM~X{}(H+eht|szq z!=PXe5;gn&Netp_fvb!hfp4J6$ronWEP-5U*w-)^W;nU;{3C&(_qEv zbUzKY7AH|-+-w4TH$$KvrXYRPS}kP9VVEA4U~QBKgLm_|*n;6kFwzqLz}uZHiPDf{jc}~keCo;KyUTCUU(6UtSY80mQ@QqTFnSx#MlHo5WQw`u;Jp#dsjB_N+8HSX&8U*0Jh?`6QT$;7S(*Y8PG&$yK!9V~|LaQD(!U+!0kM zBKZl*WG%^8xH(LFU}zo(`9TNE6oMG#%pmdxAdE7kwttA+&eVoS15D$8_a|7Zr24NG z3F&lLBUV?72#f?$9Xs4@sbn~9%?-yz3oHu4z(ON^rG@Ca@6PN~D0(q)zWTNv3kBpy|< zR-cLa7T#sm$c!pQ#Jo{PGU@o99{OSnN5g;evcilX*n@!_W^Qtt)w>BPU)xg4G_5Lu++4(%n zb{@{SWbPg>VE}`ZbJox1R-od#x})|XTHyoOxLK2d-X6)fZ|nnqAhM5V?$Z0C$@OWG zJAWs{wi%Ts4m3iz3YQ<;mJEdUhr2vfRD!~XS=DLtmJ^p^%T;j?T(eXPts`lOo1mG& z61%}!Shp{BfGKBPi`j%nMrPPN+MpIZ-4~unaew4-U2!3gu^@L;~9ucAA0P;*IuVLa4NZTS2es7;~9C3ZXIN{gqu&b>t zLa_|r0jYPK(7{4P^q>b7X8Gm;{QEfZ#6i5e{5J7h6?s-o$^nky!a_KA`8kKX>))8L z3LxGbJ)Z?m;~W3H%3O~bjgW|LI4~f&1~_K&^##N|2KfkOVInRp!e=_@NeCyTbeHUR z3si@0pEavORVq-h49tA8l75V4^j~QzS+t}X0l}5ZGG~WY z>|x;?{6gEn4Ab}qXWMBMSwA~)56K_VRK^l0^hLfbofYDJn+H8rsDWb?!@$7?(-3wQ`gEiU3lAV(Ha+$Fq zTadCFgZ#3ICGJE?38&k8Gj|3kj}d=>!<%W53!vDIwX_@em2V=(I!q{&$_8kn(<0PN z=w$O`hWBXXyo(qQCC;QNm{2BqwO84BNFE8TUxQlSM~%z!z(kBI@NiF*T-wh$e(*$0 z?na#onUEJ`p}&emVT|;((<~~U^8CL^o>gAch3m>9`ebLZWQD4M{bNqr@H>=hbOyvKVtT& zS?V32otB}KBIPOdSBBfv!Xb9 zlxkAixuvJWD2w00%}IX?y3Csl$I|Sk&lw!NA3Ya!jhB(h5FBIh&hj%l{O&QqN2vUB zNqqucye0{bz)_lql`G72?{izgB_f|~bp+h2Av6oI^gH~eX9Ak_IF$+(GGKhP z!(oHWiD4i2<-IZyv!h+6`o>z9=5Yr>B-;8bn^VWbGzJ1HHPb!_nUxKH4i^Djv!#d< z*+)toao(p2E*FMFV7OvBS;RRh%PuNt`GrCt4#w;rDy zdZ?E6N}Z^V>BF34OkzzB%7k%OTLw?_60k3e=SB8W-Mr@+j^wm@-=dQ>H$P-mN7NN7 zk+JJ1vVEgcEYHEi_{H*2F+P7ghW63WvfCxqjLD~AaccRs_-5kKAkBX2)VpEK9d+`PvVQ;XZ+)p1 zc^;UjJ@P<@a!en12zq~jw_!p?5mF&Ht@*Qp6$?l9RMPB19>X{s)d)_qA6I*yn}e(2 zSLW~OwY#^wnzypyV|Li8n{-2ue?%XEkh|%JE2jgy>Fbgd%YWF=YKyO&HX%$<$nX%`@SsK`d(T0t^qzaegxKjfAaqbRNwtveyQ2{ z%%1g&?H+upMF6}|+w1yv`}P2$`=>Y6Yv(ih+X2n}^xfW1Bj&k2-?=J@v9;aRstBa50yX%*2 zPs50&iuakl7v&Zt71gc^y^W-yq^EVEa1kU z{NLNk&pVVydwo4a@c&F)5%9aes&o%h)QSB>fC01zGk@9?XTfUHl7`RR-FJbe$WqmzE^M|3z0WH zu1^~=m0pcs7i0e2zOGO2clnmmoqkWzm9@DknHTmx59bqKd??O757U+QS@_Q%7pptH zUa5H3cW=)=cI-i``u35IGU`{{J%*+7{vN(h8_U##7xqnoq3C+O^C@x#-75)htF^uE zPi_k}7wr1IUd^Un#nnP%zF%q|TGZoDtKAOjSKrf~?q62g&qs^7J`0~`1b$9m(5z8@ zdFSuREn7eYTCpG5D#5k>C+A$t9K~$4yUzw0$~^#Zm^XOHw~bkSUkt9(yY>4nEWO_M z?n--McE|mj7GK z?LF(y5f?gsT~;3(u9r`)ldE`$Z2)xM5M!{_T{~&3n%+gDt=7-;k7}ytT)^{t;w+zW zbngZ>7`NMd5cu2^^%cQ%X|Au+SMzf$MlN9NOVHQxtGV(ULO~h?6cq>v2ok6aL{E7o zu8%yL0to2u4}$;1y4X9=dD#8>OX0q%VdJ63$RR33_;VOC8Jhe@nK;-PHdFAUCJ3#E zFKb#xaz6}bpjXZ;^E+p&^1K*%FlPBL0##Jwsv;_o+c$J1N{A;Y{fU6uzgwGPgm3a6 zxxEhg+tabx^YQtJg2P^^`NPHg8FIvc9sII;UL;GroY=7nUfL+n8$IAET_Sl8aE<-I zl9{0TJ_>ix#utXvrih7|t@C)O%WAdeVY3?lv@I2Haf?Q0+cL{4cc8-;uTi{Rp;n4L zDs-{0H*g;m;>*%ERmO8!VU+SZ=Vl3w>b{Y`$QMI-TTmTQ&@x_5=ejdB0^ zpgZ1U)!kG>s_uuy=RAlCWY*A9i>HapTL>KKr*DEmBH zt8q*HM7~ysFf3a4*;p3uo!wUxzk*UqNbz}HC7uUMw!rC~VuKocl1<$QK{2m#msD4r zBkhF(E#_r!z;ct0ySd$Z8Sw06Hq1q7n?ekIp+vvQCA-NBaAXI$f&+51)@V!-dMz$m zCeQmI|C)ga7&-h?`9Mp8y<^l<5D)~_%1Ko^-1Dq>ofGDqQD@Y8V@Wj>K0D!oGFTYs z)r9on#chBJc)mc$#MapSjWJr`vKk682vbEudTNZd&)Y#M_MkuD!UpT*3?KKIkzqYT z|L-r_Ot$y=KDp4zjx!6Oc`7O54xqvLoW(`aY_{~Jq|Q4wm8}l#>KR|4o1kn5kc8|E#aRQd!4jFCDiixnWs$FIPUls z+{endRAU>{!f&#CCur*lSmbjmSY@mu=O44-rPT%EjRM(|Qcmahn;Ad)fn5%23-)WkyZFTnk^!(`uLi6z*W2FSwu;i%7cvdf*6Tp6w8Kpp}LJwS75@$ zUu~ey;+{j+8nW#e^18dWHT>*m4KgY~gN-FmNV=Yd4FX|gdC;FoTmB&AI?@uC^;?y| z{~BecC834IMr&btW}*NsINr&-`fKqqTyZ9>d@MN3qli#MSOT3(k*Y_SC0PuPPhFs` zaOwIuuFHyy$Sj!F22liscC|^Alc+qsEBy`qxp|Tl20miO73#q8OpK>I$O>=sE0MG> zJkQc|pNM=Q8|mm8G*`3b>R>$qJgaQax&E&E$2Hv_-$~X zyT|p#Ik*3z#s~UI?~==Kl;X9?ezEB=PE@`VML%Y>*31X1vOqg%3T2Sy1x0BXcKWn! zb?7L>d{@*fnE8YhU#G`1Mm#^`yz$jChv9Y)Z*_eeQ1!uHRo!iUq@#gzts9Iv5KV#( z5Z%;BEbhlrr3Itg-7kW{iiXbl7z_TI(caLlIi{;G7|dTb@N=ii$w66RuwHnu>Rc%IL%3mm2E;ZZ@aZ%08^rSC`txp+qgq7w!je1EOd%>U)VXLo8eGsg0eb-eft5*2?G1>&v3 z?7H>y_dCJ}0t-_^I9HN8C@=7@qi1!|LPt#_(*;}<>1;+QzLBqwRdQYikiGUdj0CJGiu zKFdgFkDd9YcknF@vka) zQg)M$t7e5z$$G^aEWZgs!=jmzu{)zyV(J5G`t9?bQO*{{3G-i+*jD5w7_xc3HlSC&@%L~TFyD_V?I{zwk3~rh+cdxpgIR6URNwb z3hH^RAe_mcU3y#t?Db1zmmLeJ>`hY@$CGcIIgSPP->> zf=d`-Q;L%NQi5UsL*>nsn2C!Hz%i{~7~T{~Wu6Ch&N~;};Q8-wK`f-QtxJUHLvAA^ z?pJa2Oe0FmnbcFW)%w)b2L|OakV%DgnQ)%QXn`7echuHU2e)etlJ-auSSmL%{`CXk zYE$v{)GsyMv_I1Dn)3CQfp~ap(Lp*<2xCz@!%D&QsHTn{nN8N_`yG$?eTkWzo2%Aa zYlI_MlJGnVCEV5PVw4;9FStP{>BS7S_rGkH%C4-j_$|X4iL6GT$F!eJB~jcm)3qZE zZaBD^t%Bo%n_n3;x@N05wu2|S$$B}_Q-?+fBNm5uEl=1%?KRwy%qA|y1mj29$S}RL zx7h)_8mBX*bm`|s8?5t;e@M4w%sw05Le^G|1TtsI4);7x%uZ%{swH=!^yB`p!DLJo zd($>vWv&jnw|#Z1Z5YjILq~;gk#55h+$V0V-NA$LwrH|_K$mU#KT6qX5Ao)r9&y>_ zWM1zEn1$wQ$c~j6gvU14-{wDJwdzjKI*2^5!vo*hy=$N`7{bxqRX`clv&sEU6}G11;_YBcr>>QD#r{{K5VxSPb@6HJm89WF6EDgG3@P% zHzE7r5e#*Snu1&5aoj=qvp{d5?n5ntZ}ojtvbt2F1m&7v9_M{fY(>YVk7o1Q#qTl@ zgz1#;W=(57DR0rD^g?5NZ>}bE%uDT~hk!L$KIzh;cFvHcvJI}ItIUCymtCr*Lr^|K zEiInkoU-W9M&p-)*Z$?M@gnOxE7LPaRmuqe6)g5WiRUQ>f!AOky#vhpL{2VR(eCXv z$T!!uR|bt9kUk(^V%Fx`UX(7N#JP{8IeRhrPh{G}nR zaiDWabr~s%GGxFiCv9-}JCd~s4!BX?=oXsUf9$Z<`(eisu~PY$7xUBmkq-5PK6Nls zR*$GOI|L1*Zey<{+aI9{fFRW4c2WeT`L^*le53ho*mP(!ic`-q2SSEp7ZYJyun-am z3?J9HocE}n0;%AemL^%?MsOjggsuFU-+S$qXb1exO(m10h`srxsZ3}r&wle0z1J7aC$1>d&P}Cl!?yk5g{u3Ht=Jc;NNs4pXbOUu zp+_CMO((c6y^PiIGS<|1wfBVl(mz0tdTuZdk3%@5UfOInF}&Hm!&{P8B|j0URN1St z6C`%UGVKuN;T(gM<1!GY;5EhA+26^XmP#t`VB2efRcXckmN(+rV zEfEjOkH*oxchGq~m($O&%DHwqPjxDX#EeCWRMBs54&W$aK6M2{Y92aQ(oeh2zmYZb zgmoCs*S8L$@icu(X|kR4)ZN8g$AZ#ye}f!U~q0eQ@O>5oM8GG8HWzP+sA>DCJ!LdyxAcnxy`O!q(cR@hYy5jkj!KLXs%?)29c#K26yw_|m#VxG=!7}+ z+dX$Ex{3B&4mP~VUyruQq}sCSbC@_1p5!vv0iz^?pPOn>kzW7HX7~s$gnXjmLauJW}W6cwdH$8##T9=*xGLexA-U4q@>>a6V zC5?O}QCBjBiJe>|Cwd9MCdObNOq9vYxX4n%B$|5RDjOp~So+%+7;Ab}~)9HRKVz*fx=TpG77OojFj zb^O_gfX~P@&x|$7!0vlS7UOL47=a$9dWmD=@b{~kQ=3PI^# zgy^ny?_ZfstAkm2@;;F18N-kbmaP|IG{0X#GDc6*%9JYYfVJeR-2Ub@+g7Z{-;ReG zfbV$ONxfNPwGsU^<6{Mx2M~J9u%`qa#W7C2RavB%?7Ladxz4Suj+F3Vfr9mQ@*qc$ z+HQ2b?bu!y(XNIPqhNQKP^wa1v#TGU*p#gw(<8FzdV{s$g_vPVReybiq8vaxrrz4j zKJG+4G?cRF0{84n8Ya>foy`U(In3qd4z)Z%nw)T&Nrd$H1~#%c`zot-6cAOECI2am zdjVzUb-J}k=#Rl{Nk1`Xn7@*db|Za}L!%K7*-sAVP*C8JO*wMZvkl+3#7?+r(OX5q z<5c$O%6qTE#O@t%fcmEnfgeel%I3v2hiljTeKW>o1iu+9R_<*)|L`YCpA^e0q{HrP zBDW#voPH9@$e$G&&s4n!W1%=1aV}B(cHrqWey*vA@~=*Z+>0Da;B5G--%$$?eVqK+ zY^DV{MpY-Hu+&$zEHnCCnhLuf?7{$sQaN)rFH&ycdq%Tsq8dX6js>ljK7YMgioHOP zV(_!dD;B7Py0je5E#|!us2f}eh!nRre8r;fS8?SmU;)Kjcht7);OzEpCI8XQifseo zvMy4qW0m$(?1Pz=BqiB(-VowN?KR4r8qYA&JTwu7_v5ajf`-h={*JNs+@3b@acdlr z6sfKJUn`|}-*Y04rw*Htg(?ejfj2PgtCiU_Am~xP9+o-vY-+kdf3gmqa_z~qyuN@6 zFU2`*o!v#hvb@)50*dylpPAYneu=bLJjn!pGV1vv7uo8?hkQVO#Xl?Aa^$ zh(AqBPkhkcoG5qRWnsGveGaE};Tf0qO=NDnnLc%fWVw-Z##;U#GQ%)Z-%&`;M^l`0 zULTA})83RVbB{zJ3wY`!OlsRq4Ygvnd34 z$1Rc!K#ic}=)i$o+?8fOP2y+}F7KN1Mt{h3k(=3LYpVpXKo|Uj`M6Sb%-XSc;+0=E zONGJk-41oOWZTI5r8$w3K;<;~GE1)bvie5{<5j=o&5B4^PZ~F|md(xRVTbvbd_EPm z>V^9&EJ54r+qJYsr6SL7pKlDlOL{A)v}NgKupERt`pg5*d@_?A#|n@)&LFH2h9$r4 zq0~egGr4~2is0wY)MMlJdNpDE;sR}Wx(9yQ5%pv!R9(!o5h|v7a5T^c;MXuqU0S~B z>#FdUv%XtLVy5>CFEXS!<|9xeBK%Rwd!}#G1-oB^vldWdzM6Gi8Q=s@`*_!A=h`D0 z`FWZ;#hjMG3kG@dC5=?k{{(5RAixs^3HpcAi&i_q_Wg)5nlud&8@SH)s$y{bCRbdP zjafZnQKHz_2Wp>(>CmlW-2{_6N#E5iK$#O=S ze;G<9?aD}`vS}RfdOR$7h1h2kiP*$}*sDljztdJt#`3%15B73J{GMNEguQZ<5w)S| z_m;FDsp2^zLibR7QWSBwKv?5Am2_UdD3A5kau(R}-Up{&q7L1^>jNiJ?sXbJy1yij zE=s;Gjc12iGle@S;Y`N$H#D;pw}Bj;~4#1}yuG8>+qvu8DY zJthdu=)E@zCJSL{8RJS?UeC1;JZ<=`RKLSCA=dx#uM#WYCoVSeMVoLWpjUpynp3~c zFX5|0X#x9K{g0`)AWHbJGdRNP@7i_7DSkg)pY@)*)Hi%oPZq$T7VwvFEI^;`DiaZ5 zo@pbnOZ#b|j^oc$TwB{Ls!#64qS3%9cOaYLN)F z8ZCEf;*&;H0hwv2&=cJH*TFh+Jv-7JkVX4hr&*wQb|m~5JFfVQ)yFc}@9`NOc}f~z z^duqZY_%I_t@evx14IP1|0KXW!?%q1T$*u8I5E@UR3@=I;QIQwGr~ z(aMTOp3%$qUX4rsde4#CM_m&c`8?Rzb?MQ=-uu;(y$!L^8%Qc4iP+h`9w2G`?3j)t zoy^h3M_#=s2;1c#{kB%`!U{>=@cU$_C#RraCzAjSwFhitwdM5jTFhzD3!SZIB2+2l zYFq`cP*obRm1KL;1*)CH&%3Wkt8dF_Wgtqa5LRl*7Fz7Wb)kF!5Q=hy{b9sL@>ppax232bkQ9@Wr|DRsG7L zY2*InPo~CmRHR5sFXU^>6riRghxl?wLR*;1)Im+zzr|*|5ESWxFh^aB(58L`%>s~~ z&7UsvFlKag2jLu$j>sHS^a0ERVO4w|E~!^rk?Io5FXM$jqg2mOsx=(4bBZk_EY1KI zeBQ54b)Mg)B6=&?`a42Gn?vm{NdzSWBf>Jr?O+7HLznU=pLoM|&k5iSZX|(nK}4*T!wYZuK?Us@@^z1B}*x9y3ca6t!Ct8T124 zN3h&~M;u47aVV+ZtKJ^AI2Ge#(3H?>KV8(z3yluwX2?F0k#v7cs4QqWy`4U^U6JUk zBQ2B;vVC;Yj-{5#sCT}b&fSgAlPu5_Vu)`s%{P}XRE{uQDtd%SYp=7$4e%q2yk>+L zRN5Ka#*|^qW2k}&1P#g1SXCYF4=1Tq$0TAcP?4fvmynBe>bX@leK%DE-FMoBnxGF~ zS7NkqQ%%p>##Q_YVj5@sJLCktuLMgNiJEB})-0I2{bh%`*y6EHR1@ld-`XrF8b+Gv z;D}}WjM~2PqeRQ+kigA%llcF&b=FZ)b^jV4VuYb%DCrWAlp5&{Y3ZS)OG-LK8YQGt znjs~nyGsy|MwFCBx*g&-T;Jb))qD3^=d5$q+Mn}$_jA@he?5C2XkKH7QzzlU@*z&=Ga5=LJiFxwK%r$amMz1##@S$XTDg+S>ZBN*Ijm=? zev)g1z0m}vUqN~nL%I5Xf9%>gt2RH<+SAmDB&7gj*TVMW6|n;R6W7DxzHS5uKXQ-}>qY&$k#n?~ zAq0m0&5RjX{bMHs=XvvQb%B>0gBxMEQY}_07X8~EJn2V9CZS_*f@($hz|+(?acRtk z+qBUy>S)0xmt&}hI5CgEop24MD>J85_pz9lhv7`tox^Gab=bkOx#!9ne`85#Wy8D6*I}XT5FpUk~dX5|@mkYLMGsQ$s4Kmx-JC+}y-Ex`DvZPn( zYEQF$n7I@8)OJi&^K1$}sb{!tkBxI&Gbei7n=B09QgE9XP6U(Wt#W8i2Itm^%PX0@ z^Jnb@4RPb>ddK)|Q$DWU5hkg4IcXC}^ae;zxH@LfyUo$R6|^(>Pj=`?2mj3UPz+QkX^*y(#irA3}_rLyJ)@dGj4vtLbsnsRq?) zB9VN(RPqn@)uymo)ITtsGq_^J3$xnVoCb!EW zA8j4^Ku0J&_@|4+_+fum4o;|BTp!wCNZ~$P{krDE=B4kS`-MFyk{VtM7M(R(WL;!q zj0zRQ6{NH|L!o7$nApBAZdy`&9Sg&2mWIgfW9tR}p^Zy{E}wv` z0?S|gM=U?S!OrYr;UrkqYZ)pKqtl&MD=|tTNUo6ho@9p`cTWX;-32-s7NTDclzB5- zCKBr8Go{~2k5}{f`{7|?cuuQr3y(8$6*o1zX!=snPWRQPpJRMu_O7gL{nIsdx2~#D z=AWT~1(q4w_|0@T1J0rDx^~3fpM#F0IlhR+uX9zRbC}sDT^+Srks9v1ThOf688-J8 z4J~5D7EDmZ=I59Yw&N0#ALAV0>Gd=JsEWo)lM{xUMj)0`M8jKGT1)brF^XSYYS`I` zWUG)Y=c{|Qp=Gg7KCaIRk!40>e(7BGekmH`)Sz>6@W-erAYARr?{FPY@LkOri5~W5 zpV5XcHB+r;2tVQRJMe)GsA;Fyg4@SFMH`)^T3F(HwNWo5nyRn1K7t~dJqeZpJ4Gi^ z6XnT^GR;v0ewy7}H9=`!0aDUFy!0st=4O?5>(uq zt*lH|!%XA&DIgy7>}RMBM{JLaD5hj#RhUb7R32Zy!j;_a2cS%18RKPbjo!;6Q8P#C zGfhcQ&{>!07BTbg2X`$;6z2}&l}S^wDHl?wAe!NH15YdIDU4uKjTO5u{h(%dn2l4ljHh=*M60aekI4i1**N8L zWfc~igZOxvl6t1H#iMTQy%DpPydM&)=pF?7Leo8I$3U2aa(2JYFH?ZS_eJMUYd7%vr9dr)TJeQWlv z`(Q;bvtfn07diX_trxm4+N$$va>itWiymfjR=e^3=Y`}}DD%sp*=ZTJr_Qa>$1_>C zV`K4fS(46l#W29qyr70EF*_4lQCHTr4K$07`x5DpIOn<$Ce4otq);cvvVAQ|aXs|m z=Gv>m#^DKpZ_1)F&ciIxoTDnwJVLn&w@B1R9b@Y{IsKj>m(%^t_*J6bxz4EJ7>XRaglc+eT>mT2 z#CP?Uz>GuU)7u>!_w9Q=Ol;ks_cHEl4qowNQZp=pzwR(gGV`EX2ern^aPvmY^(K>@ zXmN9PjEf|@X{V@3yS}ec$9{*k?j5AN#cxC6;q{g6r9AoW3w65OU7p^?MjIwWQBa@S3%I(1{iD9m7^ z_ms}Sp${pbgK@y1q5X@tm^0JZp&ZHAWF2X)ctYr2hP>#FabL7}@mGrW1)>)c(-d-Z zy#$lefGc*RYxm#01DIaW&N~lP^1{eaK&5mu9wqwy-p9U#heK;y z-amt>X@$n)3qk{sAVJLZXCYG;9&6oGM&266kjbSUuJ0P?4S4gTLkad3BA2x5AFgp1 z8dI}#!RozlH8YDUWMK=afEv-1r+D9(Vt>zHtB(I~PZ46G~h{H|D#l z_kZOBjA1i@n`Tc^lXxND8t69ns(ke;>63dTT3c-XkJ_&m?!kJ zr4UET-Diw*rLb&nvg`FDy7$^xSp9~M4!{L3lxov#7&cm&bl#tlJrYON&TJ468lLi2 zut0xBGjU3*W+**z0sljwQx7uFLB-j$0z6n+4tD;CztffjPF|}Rv@;*v3SVi)}`W}(N!iY^_yL^R3Dv(!evU3P1-=# z+W6^+^*~oQGW$U@pT5VOfSwoi)zdvs1}%{jW6p;;IAET4YNw{)e{cuO_oYd(4vl)- zx}uuSSfNL1AV)K;miE|e=He`$6EW^2>NCZ{2s@+(#COa5m_KLml8v`YE2&b-_)SUV z!Dkpy3Mz;E=tb3B^Zh0Se_X3Ti@Q+p!Zf0Ml4)kiI)>kRJsHkM_w77=y|uJ>D|@MB zEAX~RFTD91Yl`ma6_nwlQO+kwYxNuRO+SiW>s9)bSs+|^q zfht1c3lp_Ax&B1sFsfNibi3_RP6vsM|um!Vu8Hm*!FFp1H({F zFcXVXMO=MDWOt~lc^bBuy^|3h3_E1xyxxtN?3||ywgh0x)cG^8$h`I*ZyOO*gdza| z7{3CG&s<#HOgY@Oq9qhj9^r-TUlQ#XRqi=phR?-&ZkK`aC4}=n+Cg}SgUz*@Zx`~| z-y{b>j@!=T%DY+n1sboJb%jMlzp=wDqH#A2AM@#=O|10#*p<+lDW;A?zGxShcYodF z-Xyi?i``uXiK)m+s~{`(?d0{o=vW&3xXGd+n;8_DfXV!+O{IZLEwFFoxl2csD(PdB zR-5Pjeeol~Mb&s1mz=X9fzMksoHNe{gc+rho8q#|L>z+#3I-x#KdB(6q5|0S@u`wh9L!@RsNP# z$Ra8d_g7UFH#Etss~XVsm|$1o_+a|p)0782+RrszjKvwzw%RPnxyyn}=7Z@*j}s6< z1I>#zzvZ>P+5t}rN2)G+XhGx?sqhCeyE*snwLs+>f^@`T(el|?BUxL{9+}b*N_Ks} zdirbfEBev1=0T6XXzSf*+6Z)3>Syd1?v_4U&Fk6n{Jer;0kTN&Q%K1JXNAbsw;!Ey zcGd?%M7$CzwTI^NNMfsp;Z19;)TtMug-RZ&O&mu>z|rs2LKeg0RYf1rT;nK23Rxvl5(*&akBUwyQ{FB z$R5oP;e!b7-&!jC|6s0vXx%~QTG0F>#M4o6{)K)G>qzhy + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.002 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 127 | --- | --- | +| I/O | 0.027 | 18 | 170 | 10.59 | +| Static Power | 0.072 | | | | +| Total | 0.101 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.012 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.014 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.009 | 0.008 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | Low | Design is synthesized | Accuracy of the tool is not optimal until design is fully placed and routed | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.9 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.029 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt new file mode 100644 index 0000000..da54f7c --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt @@ -0,0 +1,346 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:29:24 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.000 0.000 0 114 -1.016 -14.689 17 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.000 0.000 0 114 -1.016 -14.689 17 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.000ns, Total Violation 0.000ns +Hold : 17 Failing Endpoints, Worst Slack -1.016ns, Total Violation -14.689ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.000ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 5.612ns (logic 2.277ns (40.574%) route 3.335ns (59.426%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: -0.145ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 2.738ns = ( 7.738 - 5.000 ) + Source Clock Delay (SCD): 2.999ns + Clock Pessimism Removal (CPR): 0.116ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + Time Borrowing: + Nominal pulse width: 5.000ns + Library setup time: 0.043ns + Computed max time borrow: 5.043ns + Time borrowed from endpoint: 0.792ns + Open edge uncertainty: -0.035ns + Time given to startpoint: 0.757ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.319 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.415 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.999 clk_IBUF_BUFG + FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + FDRE (Prop_fdre_C_Q) 0.456 3.455 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, unplaced) 0.850 4.305 LED_PIPE_count1_a1[2] + CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 4.979 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, unplaced) 0.009 4.988 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.102 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.102 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.216 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.216 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 5.330 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.330 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + CARRY4 (Prop_carry4_CI_O[2]) + 0.256 5.586 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, unplaced) 1.125 6.711 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + LUT6 (Prop_lut6_I0_O) 0.301 7.012 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, unplaced) 0.902 7.914 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + LUT4 (Prop_lut4_I2_O) 0.124 8.038 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, unplaced) 0.449 8.487 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + LUT4 (Prop_lut4_I0_O) 0.124 8.611 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, unplaced) 0.000 8.611 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + N11 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.448 6.448 f clk_IBUF_inst/O + net (fo=2, unplaced) 0.760 7.208 clk_IBUF + BUFG (Prop_bufg_I_O) 0.091 7.299 f clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.439 7.738 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.116 7.854 + clock uncertainty -0.035 7.818 + time borrowed 0.792 8.611 + ------------------------------------------------------------------- + required time 8.611 + arrival time -8.611 + ------------------------------------------------------------------- + slack 0.000 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -1.016ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_rst1_a1_reg/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.225ns (logic 1.465ns (65.849%) route 0.760ns (34.151%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 2.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + M6 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + M6 IBUF (Prop_ibuf_I_O) 1.465 1.465 r reset_IBUF_inst/O + net (fo=17, unplaced) 0.760 2.225 reset_IBUF + FDRE r LED_PIPE_rst1_a1_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + N11 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.319 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.415 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.999 clk_IBUF_BUFG + FDRE r LED_PIPE_rst1_a1_reg/C + clock pessimism 0.000 2.999 + clock uncertainty 0.035 3.034 + FDRE (Hold_fdre_C_D) 0.207 3.241 LED_PIPE_rst1_a1_reg + ------------------------------------------------------------------- + required time -3.241 + arrival time 2.225 + ------------------------------------------------------------------- + slack -1.016 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 clk_IBUF_BUFG_inst/I +Low Pulse Width Fast FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 LED_PIPE_count1_a1_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v b/examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v new file mode 100644 index 0000000..fc366a2 --- /dev/null +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v @@ -0,0 +1,81 @@ +// Generated by SandPiper(TM) 1.11-2021/01/28-beta from Redwood EDA. +// Redwood EDA does not claim intellectual property rights to this file and provides no warranty regarding its correctness or quality. + + +`include "sandpiper_gen.vh" + + + + + +// +// Signals declared top-level. +// + +// For |led_pipe$Leds. +wire [15:0] LED_PIPE_Leds_n1; +reg [15:0] LED_PIPE_Leds_a0; + +// For |led_pipe$count1. +wire [31:0] LED_PIPE_count1_a0; +reg [31:0] LED_PIPE_count1_a1; + +// For |led_pipe$refresh. +wire LED_PIPE_refresh_a0; + +// For |led_pipe$reset. +wire LED_PIPE_reset_a0; + +// For |led_pipe$rst1. +wire LED_PIPE_rst1_a0; +reg LED_PIPE_rst1_a1; + + +// +// Scope: |led_pipe +// + +// Clock signals. +wire clkF_LED_PIPE_refresh_a1 ; + + +generate + + + // + // Scope: |led_pipe + // + + // For $Leds. + always @(posedge clkF_LED_PIPE_refresh_a1) LED_PIPE_Leds_a0[15:0] <= LED_PIPE_Leds_n1[15:0]; + + // For $count1. + always @(posedge clk) LED_PIPE_count1_a1[31:0] <= LED_PIPE_count1_a0[31:0]; + + // For $rst1. + always @(posedge clk) LED_PIPE_rst1_a1 <= LED_PIPE_rst1_a0; + + + + +endgenerate + + + +// +// Gated clocks. +// + +generate + + + + // + // Scope: |led_pipe + // + + clk_gate gen_clkF_LED_PIPE_refresh_a1(clkF_LED_PIPE_refresh_a1, clk, LED_PIPE_refresh_a0, 1'b1, 1'b0); + + + +endgenerate diff --git a/examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc b/examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc new file mode 100644 index 0000000..414fe4b --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc @@ -0,0 +1,3 @@ +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv b/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv new file mode 100644 index 0000000..e028887 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv @@ -0,0 +1,38 @@ +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Clock gate module used by SandPiper default project. + +// Note: No X injection for X on free_clk.) +module clk_gate (output logic gated_clk, input logic free_clk, func_en, pwr_en, gating_override); + logic clk_en; + logic latched_clk_en /*verilator clock_enable*/; + always_comb clk_en = func_en & (pwr_en | gating_override); + always_latch if (~free_clk) latched_clk_en <= clk_en; + // latched_clk_en <= (~free_clk) ? clk_en : latched_clk_en; + always_comb gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh b/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh new file mode 100644 index 0000000..a733969 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh @@ -0,0 +1,8 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v b/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v new file mode 100644 index 0000000..5afd28f --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v @@ -0,0 +1,39 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +`include "sp_verilog.vh" + + +// Clock gate module used by SandPiper default project. + +module clk_gate (output gated_clk, input free_clk, func_en, pwr_en, gating_override); + wire clk_en; + reg latched_clk_en /*verilator clock_enable*/; + assign clk_en = func_en & (pwr_en | gating_override); + `TLV_BLATCH(latched_clk_en, clk_en, free_clk) + assign gated_clk = latched_clk_en & free_clk; +endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh b/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh new file mode 100644 index 0000000..0c28412 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh @@ -0,0 +1,65 @@ +`ifndef SP_DEFAULT +`define SP_DEFAULT +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +// File included by SandPiper-generated code for the default project configuration. +`include "sandpiper.vh" + + +// Latch macros. Inject 'x in simulation for clk === 'x. + +// A-phase latch. +`ifdef SP_PHYS +`define TLV_LATCH(in, out, clk) \ +always @ (in, clk) begin \ + if (clk === 1'b1) \ + out <= in; \ + else if (clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_LATCH(in, out, clk) always @ (in, clk) if (clk == 1'b1) out <= in; +`endif // SP_PHYS + +// B-phase latch. +`ifdef SP_PHYS +`define TLV_BLATCH(out, in, clk) \ +always @ (in, clk) begin \ + if (!clk === 1'b1) \ + out <= in; \ + else if (!clk === 1'bx) \ + out <= 'x; \ +end +`else +`define TLV_BLATCH(out, in, clk) always @ (in, clk) if (!clk == 1'b1) out <= in; +`endif // SP_PHYS + + + +`endif // SP_DEFAULT diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv b/examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv new file mode 100644 index 0000000..cb0d614 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv @@ -0,0 +1,69 @@ +\m4_TLV_version 1b: tl-x.org +\SV +/* +Copyright (c) 2014, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +module pseudo_rand + #(parameter WIDTH=257) // Random vector width, to a max of 257. + (input logic clk, + input logic reset, + output logic [WIDTH-1:0] rand_vect + ); + +// Currently, this implements a Galois LFSR. +// TODO: It should be XORed with something else so it doesn't just shift. +// Using polynomials with maximal number of taps would have less regular shifting behavior. + +// Bits are numbered in the reverse of the traditional order. This puts the taps in the lower bit positions. + +// Choose optimal parameters for given WIDTH. +localparam LFSR_WIDTH = + (WIDTH <= 64) ? 64 : + (WIDTH <= 128) ? 128 : + (WIDTH <= 257) ? 257 : 0; // 257 enables a large non-power of two for replication on an irregular boundary. +// Polynomial source: http://www.eej.ulst.ac.uk/~ian/modules/EEE515/files/old_files/lfsr/lfsr_table.pdf +localparam [LFSR_WIDTH-1:0] LFSR_POLY = {{(LFSR_WIDTH-8){1'b0}}, + (LFSR_WIDTH == 64) ? 8'b00011011 : + (LFSR_WIDTH == 128) ? 8'b10000111 : + (LFSR_WIDTH == 257) ? 8'b11000101 : 8'b0}; + +bit [256:0] SEED = 257'h0_7163e168_713d5431_6684e132_5cd84848_f3048b46_76874654_0c45f864_04e4684a; + + + +\TLV + |default + @0 + $reset = reset; + @1 + $lfsr[LFSR_WIDTH-1:0] = $reset ? *SEED : {$lfsr#+1[LFSR_WIDTH-2:0], 1'b0} ^ ({LFSR_WIDTH{$lfsr#+1[LFSR_WIDTH-1]}} & *LFSR_POLY); + @2 + *rand_vect = $lfsr[WIDTH-1:0]; + +\SV + +endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh b/examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh new file mode 100644 index 0000000..39d5cd5 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh @@ -0,0 +1 @@ +`define RW_ZX(in, width) {{width-$width(in){1'b0}}, in} diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt new file mode 100644 index 0000000..1816fee --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt @@ -0,0 +1 @@ +Veriog include files that are available only within Makerchip. diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v new file mode 100644 index 0000000..23e5dbc --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v @@ -0,0 +1,13 @@ +// A non-synthesizable Verilog-2005 sqrt function for tutorials. +`ifndef RW_NON_SYNTH_SQRT +`define RW_NON_SYNTH_SQRT + +function [31:0] sqrt; + input [31:0] a; + + /* verilator lint_off REALCVT */ + sqrt = $sqrt(a); + /* verilator lint_on REALCVT */ +endfunction + +`endif diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv new file mode 100644 index 0000000..187fa4f --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv @@ -0,0 +1,76 @@ +// Provides clk and reset to design.tlv. +// Instantiates design as design(.*) so additional inputs and outputs can be added. +// Ends simulation on max cycles argument below, or assertion of success signal. +// Additional testbench functionality can be added here, or within design using TLV. +// See: "top_module_tlv.m4" for definition. + +// ------------------------------------------------------------------- +// Expanded from instantiation: m4_top_module_inst(m4_name, m4_max_cycles) +// + +module tb(); + +logic clk, reset; // Generated in this module for DUT. +logic passed, failed; // Returned from DUT to this module. Passed must assert before + // max cycles, without failed having asserted. Failed can be undriven. +logic [15:0] cyc_cnt; + + +// Instantiate main module. +top top(.*); + + +// Clock +initial begin + clk = 1'b1; + forever #5 clk = ~clk; +end + + +// Run +initial begin + + //`ifdef DUMP_ON + $dumpfile("top.vcd"); + $dumpvars(0, clk, reset, passed, failed, cyc_cnt, top.DEBUG_SIGS); + $dumpon; + //`endif + + reset = 1'b1; + #55; + reset = 1'b0; + + // Run + + cyc_cnt = '0; + for (int cyc = 0; cyc < 100; cyc++) begin + // Failed + if (failed === 1'b1) begin + FAILED: assert(1'b1) begin + $display("Failed!!! Error condition asserted."); + $finish; + end + end + + // Success + if (passed) begin + SUCCESS: assert(1'b1) begin + $display("Success!!!"); + $finish; + end + end + + #10; + + cyc_cnt++; + end + + // Fail + DIE: assert (1'b1) begin + $error("Failed!!! Test did not complete within m4_max_cycles time."); + $finish; + end + +end + +endmodule // life_tb diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh b/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh new file mode 100644 index 0000000..26d3f19 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh @@ -0,0 +1,71 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// Project-independent SandPiper header file. + +`ifndef SANDPIPER_VH +`define SANDPIPER_VH + + +// Note, these have no SP prefix, so collisions are possible. + + +`ifdef WHEN + // Make sure user definition does not collide. + !!!ERROR: WHEN macro already defined +`else + `ifdef SP_PHYS + // Phys compilation disabled X-injection. + `define WHEN(valid_sig) + `else + // Inject X. + `define WHEN(valid_sig) !valid_sig ? 'x : + `endif +`endif + + +// SandPiper does not generate set/reset flops. Reset is implemented as combinational +// logic, and it is up to synthesis to infer set/reset flops when possible. +//`ifdef RESET +// // Make sure user definition does not collide. +// !!!ERROR: RESET macro already defined +//`else +// `define RESET(i, reset) ((reset) ? '0 : i) +//`endif +// +//`ifdef SET +// // Make sure user definition does not collide. +// !!!ERROR: SET macro already defined +//`else +// `define SET(i, set) ((set) ? '1 : i) +//`endif + +// Since SandPiper required use of all signals, this is useful to create a +// bogus use and keep SandPiper happy when a signal, by intent, has no uses. +`define BOGUS_USE(ignore) + +`endif // SANDPIPER_VH diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh b/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh new file mode 100644 index 0000000..d063661 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh @@ -0,0 +1,4 @@ +// This just verifies that sandpiper.vh has been included. +`ifndef SANDPIPER_VH + !!!ERROR: SandPiper project's sp_.vh file must include sandpiper.vh. +`endif diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv b/examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv new file mode 100644 index 0000000..601c655 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv @@ -0,0 +1,98 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +`include "rw_lib.vh" + +// A simple implementation of a FIFO with bypass. +// Head is stored outside of the FIFO array. +// When the FIFO is empty, input goes straight through mux to output. +module simple_bypass_fifo( + input logic clk, + input logic reset, + input logic push, + input logic [WIDTH-1:0] data_in, // Timed with push. + input logic pop, // May pop in same cycle as push to empty FIFO. + output logic [WIDTH-1:0] data_out, // Same cycle as pop. + output logic [$clog2(DEPTH+1)-1:0] cnt // Reflecting push/pop last cycle. 0..DEPTH. +); + parameter WIDTH = 8; + parameter DEPTH = 8; + + logic [$clog2(DEPTH)-1:0] next_head, tail; + logic [WIDTH-1:0] arr [DEPTH-1:0], arr_out, head_data; + logic cnt_zero_or_one, cnt_zero, cnt_one; + logic push_arr, push_head, pop_from_arr, popped_from_arr; + + always_ff @(posedge clk) begin + if (reset) begin + tail <= {$clog2(DEPTH){1'b0}}; + next_head <= {$clog2(DEPTH){1'b0}}; + cnt <= {$clog2(DEPTH+1){1'b0}}; + end else begin + if (push_arr + ) begin + arr[tail] <= data_in; + tail <= tail + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (pop) begin + arr_out <= arr[next_head]; + next_head <= next_head + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (push ^ pop) begin + cnt <= cnt + (push ? {{$clog2(DEPTH+1)-1{1'b0}}, 1'b1} /* 1 */ : {$clog2(DEPTH+1){1'b1}} /* -1 */); + end + end + end + always_comb begin + // Control signals + + // These are timed with cnt (cycle after push/pop) + cnt_zero_or_one = (cnt >> 1) == {$clog2(DEPTH+1){1'b0}}; + cnt_zero = cnt_zero_or_one && ~cnt[0]; + cnt_one = cnt_zero_or_one && cnt[0]; + + // These are timed with push/pop + // Cases in which a push would not got into array. + push_arr = push && !(cnt_zero || (cnt_zero_or_one && pop)); + push_head = push && (pop ? cnt_one : cnt_zero); + pop_from_arr = pop && !cnt_zero_or_one; + + // Output data + data_out = cnt_zero ? data_in : head_data; + end + + // Head + always_ff @(posedge clk) begin + popped_from_arr <= pop_from_arr; + if (push_head) begin + head_data <= data_in; + end else if (popped_from_arr) begin + head_data <= arr_out; + end + end +endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter.v b/examples/out/led_counter/xc7z020clg484-1/led_counter.v new file mode 100644 index 0000000..88607c6 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter.v @@ -0,0 +1,345 @@ +//_\TLV_version 1d: tl-x.org, generated by SandPiper(TM) 1.11-2021/01/28-beta +`include "sp_verilog.vh" //_\SV + // Included URL: "https://raw.githubusercontent.com/BalaDhinesh/Virtual-FPGA-Lab/main/tlv_lib/fpga_includes.tlv" +//_\SV + + + + + module top(input clk, input reset, output reg [15:0] led); + + +`include "led_counter_gen.v" +generate //_\TLV + //_|led_pipe + //_@0 + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 3 // Instantiated from led_counter.tlv, 15 as: m4+fpga_refresh($refresh, m4_ifelse(M4_MAKERCHIP, 1, 1, 50000000)) + /* verilator lint_off UNSIGNED */ + assign LED_PIPE_rst1_a0 = reset; + assign LED_PIPE_count1_a0[31:0] = (LED_PIPE_count1_a1[31:0] >= 50000000 - 1) | LED_PIPE_rst1_a1 ? 1'b0 : LED_PIPE_count1_a1[31:0] + 1 ; + assign LED_PIPE_refresh_a0 = (LED_PIPE_count1_a0 == 50000000 - 1) ? 1'b1 : 1'b0 ; + + //_\end_source + assign LED_PIPE_reset_a0 = reset; + //_?$refresh + assign LED_PIPE_Leds_n1[15:0] = LED_PIPE_reset_a0 ? 1 : LED_PIPE_Leds_a0+1; + /*SV_plus*/ + always@(posedge clk) begin + led = LED_PIPE_Leds_a0; + end + // M4_BOARD numbering + // 1 - Zedboard + // 2 - Artix-7 + // 3 - Basys3 + // 4 - Icebreaker + // 5 - Nexys + + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 32 // Instantiated from led_counter.tlv, 30 as: m4+fpga_init() + //m4+osfpga_logo() + //_|fpga_init_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 245 // Instantiated from led_counter.tlv, 31 as: m4+fpga_led(*led) + //_|led_pipe_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source +endgenerate +//_\SV + endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc b/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc new file mode 100644 index 0000000..ed3308d --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc @@ -0,0 +1,630 @@ + +#################################################################################### +# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' +# Command Used: write_xdc -no_fixed_only -force ./out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc +#################################################################################### + + +#################################################################################### +# Constraints from file : 'fpga_lab_constr_zedboard.xdc' +#################################################################################### + +# ---------------------------------------------------------------------------- +# _____ +# / # /____ \____ +# / \===\ \==/ +# /___\===\___\/ AVNET Design Resource Center +# \======/ www.em.avnet.com/drc +# \====/ +# ---------------------------------------------------------------------------- +# +# Created With Avnet UCF Generator V0.4.0 +# Date: Saturday, June 30, 2012 +# Time: 12:18:55 AM +# +# This design is the property of Avnet. Publication of this +# design is not authorized without written consent from Avnet. +# +# Please direct any questions to: +# ZedBoard.org Community Forums +# http://www.zedboard.org +# +# Disclaimer: +# Avnet, Inc. makes no warranty for the use of this code or design. +# This code is provided "As Is". Avnet, Inc assumes no responsibility for +# any errors, which may appear in this code, nor does it make a commitment +# to update the information contained herein. Avnet, Inc specifically +# disclaims any implied warranties of fitness for a particular purpose. +# Copyright(c) 2012 Avnet, Inc. +# All rights reserved. +# +# ---------------------------------------------------------------------------- +# +# Notes: +# +# 10 August 2012 +# IO standards based upon Bank 34 and Bank 35 Vcco supply options of 1.8V, +# 2.5V, or 3.3V are possible based upon the Vadj jumper (J18) settings. +# By default, Vadj is expected to be set to 1.8V but if a different +# voltage is used for a particular design, then the corresponding IO +# standard within this UCF should also be updated to reflect the actual +# Vadj jumper selection. +# +# 09 September 2012 +# Net names are not allowed to contain hyphen characters '-' since this +# is not a legal VHDL87 or Verilog character within an identifier. +# HDL net names are adjusted to contain no hyphen characters '-' but +# rather use underscore '_' characters. Comment net name with the hyphen +# characters will remain in place since these are intended to match the +# schematic net names in order to better enable schematic search. +# +# 17 April 2014 +# Pin constraint for toggle switch SW7 was corrected to M15 location. +# +# 16 April 2015 +# Corrected the way that entire banks are assigned to a particular IO +# standard so that it works with more recent versions of Vivado Design +# Suite and moved the IO standard constraints to the end of the file +# along with some better organization and notes like we do with our SOMs. +# +# 6 June 2016 +# Corrected error in signal name for package pin N19 (FMC Expansion Connector) +# +# +# ---------------------------------------------------------------------------- + +# ---------------------------------------------------------------------------- +# Audio Codec - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN AB1 [get_ports {AC_ADR0}]; # "AC-ADR0" +#set_property PACKAGE_PIN Y5 [get_ports {AC_ADR1}]; # "AC-ADR1" +#set_property PACKAGE_PIN Y8 [get_ports {SDATA_O}]; # "AC-GPIO0" +#set_property PACKAGE_PIN AA7 [get_ports {SDATA_I}]; # "AC-GPIO1" +#set_property PACKAGE_PIN AA6 [get_ports {BCLK_O}]; # "AC-GPIO2" +#set_property PACKAGE_PIN Y6 [get_ports {LRCLK_O}]; # "AC-GPIO3" +#set_property PACKAGE_PIN AB2 [get_ports {MCLK_O}]; # "AC-MCLK" +#set_property PACKAGE_PIN AB4 [get_ports {iic_rtl_scl_io}]; # "AC-SCK" +#set_property PACKAGE_PIN AB5 [get_ports {iic_rtl_sda_io}]; # "AC-SDA" + +# ---------------------------------------------------------------------------- +# Clock Source - Bank 13 +# ---------------------------------------------------------------------------- +set_property PACKAGE_PIN Y9 [get_ports clk] + +# ---------------------------------------------------------------------------- +# JA Pmod - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN Y11 [get_ports {JA1}]; # "JA1" +#set_property PACKAGE_PIN AA8 [get_ports {JA10}]; # "JA10" +#set_property PACKAGE_PIN AA11 [get_ports {JA2}]; # "JA2" +#set_property PACKAGE_PIN Y10 [get_ports {JA3}]; # "JA3" +#set_property PACKAGE_PIN AA9 [get_ports {JA4}]; # "JA4" +#set_property PACKAGE_PIN AB11 [get_ports {JA7}]; # "JA7" +#set_property PACKAGE_PIN AB10 [get_ports {JA8}]; # "JA8" +#set_property PACKAGE_PIN AB9 [get_ports {JA9}]; # "JA9" + + +# ---------------------------------------------------------------------------- +# JB Pmod - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN W12 [get_ports {JB1}]; # "JB1" +#set_property PACKAGE_PIN W11 [get_ports {JB2}]; # "JB2" +#set_property PACKAGE_PIN V10 [get_ports {JB3}]; # "JB3" +#set_property PACKAGE_PIN W8 [get_ports {JB4}]; # "JB4" +#set_property PACKAGE_PIN V12 [get_ports {JB7}]; # "JB7" +#set_property PACKAGE_PIN W10 [get_ports {JB8}]; # "JB8" +#set_property PACKAGE_PIN V9 [get_ports {JB9}]; # "JB9" +#set_property PACKAGE_PIN V8 [get_ports {JB10}]; # "JB10" + +# ---------------------------------------------------------------------------- +# JC Pmod - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN AB6 [get_ports {JC1_N}]; # "JC1_N" +#set_property PACKAGE_PIN AB7 [get_ports {JC1_P}]; # "JC1_P" +#set_property PACKAGE_PIN AA4 [get_ports {JC2_N}]; # "JC2_N" +#set_property PACKAGE_PIN Y4 [get_ports {JC2_P}]; # "JC2_P" +#set_property PACKAGE_PIN T6 [get_ports {JC3_N}]; # "JC3_N" +#set_property PACKAGE_PIN R6 [get_ports {JC3_P}]; # "JC3_P" +#set_property PACKAGE_PIN U4 [get_ports {JC4_N}]; # "JC4_N" +#set_property PACKAGE_PIN T4 [get_ports {JC4_P}]; # "JC4_P" + +# ---------------------------------------------------------------------------- +# JD Pmod - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN W7 [get_ports {JD1_N}]; # "JD1_N" +#set_property PACKAGE_PIN V7 [get_ports {JD1_P}]; # "JD1_P" +#set_property PACKAGE_PIN V4 [get_ports {JD2_N}]; # "JD2_N" +#set_property PACKAGE_PIN V5 [get_ports {JD2_P}]; # "JD2_P" +#set_property PACKAGE_PIN W5 [get_ports {JD3_N}]; # "JD3_N" +#set_property PACKAGE_PIN W6 [get_ports {JD3_P}]; # "JD3_P" +#set_property PACKAGE_PIN U5 [get_ports {JD4_N}]; # "JD4_N" +#set_property PACKAGE_PIN U6 [get_ports {JD4_P}]; # "JD4_P" + +# ---------------------------------------------------------------------------- +# OLED Display - Bank 13 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN U10 [get_ports {OLED_DC}]; # "OLED-DC" +#set_property PACKAGE_PIN U9 [get_ports {OLED_RES}]; # "OLED-RES" +#set_property PACKAGE_PIN AB12 [get_ports {OLED_SCLK}]; # "OLED-SCLK" +#set_property PACKAGE_PIN AA12 [get_ports {OLED_SDIN}]; # "OLED-SDIN" +#set_property PACKAGE_PIN U11 [get_ports {OLED_VBAT}]; # "OLED-VBAT" +#set_property PACKAGE_PIN U12 [get_ports {OLED_VDD}]; # "OLED-VDD" + +# ---------------------------------------------------------------------------- +# HDMI Output - Bank 33 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN W18 [get_ports {HD_CLK}]; # "HD-CLK" +#set_property PACKAGE_PIN Y13 [get_ports {HD_D0}]; # "HD-D0" +#set_property PACKAGE_PIN AA13 [get_ports {HD_D1}]; # "HD-D1" +#set_property PACKAGE_PIN W13 [get_ports {HD_D10}]; # "HD-D10" +#set_property PACKAGE_PIN W15 [get_ports {HD_D11}]; # "HD-D11" +#set_property PACKAGE_PIN V15 [get_ports {HD_D12}]; # "HD-D12" +#set_property PACKAGE_PIN U17 [get_ports {HD_D13}]; # "HD-D13" +#set_property PACKAGE_PIN V14 [get_ports {HD_D14}]; # "HD-D14" +#set_property PACKAGE_PIN V13 [get_ports {HS_D15}]; # "HD-D15" +#set_property PACKAGE_PIN AA14 [get_ports {HD_D2}]; # "HD-D2" +#set_property PACKAGE_PIN Y14 [get_ports {HD_D3}]; # "HD-D3" +#set_property PACKAGE_PIN AB15 [get_ports {HD_D4}]; # "HD-D4" +#set_property PACKAGE_PIN AB16 [get_ports {HD_D5}]; # "HD-D5" +#set_property PACKAGE_PIN AA16 [get_ports {HD_D6}]; # "HD-D6" +#set_property PACKAGE_PIN AB17 [get_ports {HD_D7}]; # "HD-D7" +#set_property PACKAGE_PIN AA17 [get_ports {HD_D8}]; # "HD-D8" +#set_property PACKAGE_PIN Y15 [get_ports {HD_D9}]; # "HD-D9" +#set_property PACKAGE_PIN U16 [get_ports {HD_DE}]; # "HD-DE" +#set_property PACKAGE_PIN V17 [get_ports {HD_HSYNC}]; # "HD-HSYNC" +#set_property PACKAGE_PIN W16 [get_ports {HD_INT}]; # "HD-INT" +#set_property PACKAGE_PIN AA18 [get_ports {HD_SCL}]; # "HD-SCL" +#set_property PACKAGE_PIN Y16 [get_ports {HD_SDA}]; # "HD-SDA" +#set_property PACKAGE_PIN U15 [get_ports {HD_SPDIF}]; # "HD-SPDIF" +#set_property PACKAGE_PIN Y18 [get_ports {HD_SPDIFO}]; # "HD-SPDIFO" +#set_property PACKAGE_PIN W17 [get_ports {HD_VSYNC}]; # "HD-VSYNC" + +# ---------------------------------------------------------------------------- +# User LEDs - Bank 33 +# ---------------------------------------------------------------------------- +set_property PACKAGE_PIN T22 [get_ports {led[0]}] +set_property PACKAGE_PIN T21 [get_ports {led[1]}] +set_property PACKAGE_PIN U22 [get_ports {led[2]}] +set_property PACKAGE_PIN U21 [get_ports {led[3]}] +set_property PACKAGE_PIN V22 [get_ports {led[4]}] +set_property PACKAGE_PIN W22 [get_ports {led[5]}] +set_property PACKAGE_PIN U19 [get_ports {led[6]}] +set_property PACKAGE_PIN U14 [get_ports {led[7]}] + +# ---------------------------------------------------------------------------- +# VGA Output - Bank 33 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN Y21 [get_ports {vga_b1}]; # "VGA-B1" +#set_property PACKAGE_PIN Y20 [get_ports {vga_b2}]; # "VGA-B2" +#set_property PACKAGE_PIN AB20 [get_ports {vga_b3}]; # "VGA-B3" +#set_property PACKAGE_PIN AB19 [get_ports {vga_b4}]; # "VGA-B4" +#set_property PACKAGE_PIN AB22 [get_ports {vga_g1}]; # "VGA-G1" +#set_property PACKAGE_PIN AA22 [get_ports {vga_g2}]; # "VGA-G2" +#set_property PACKAGE_PIN AB21 [get_ports {vga_g3}]; # "VGA-G3" +#set_property PACKAGE_PIN AA21 [get_ports {vga_g4}]; # "VGA-G4" +#set_property PACKAGE_PIN AA19 [get_ports {VGA_HS}]; # "VGA-HS" +#set_property PACKAGE_PIN V20 [get_ports {vga_r1}]; # "VGA-R1" +#set_property PACKAGE_PIN U20 [get_ports {vga_r2}]; # "VGA-R2" +#set_property PACKAGE_PIN V19 [get_ports {vga_r3}]; # "VGA-R3" +#set_property PACKAGE_PIN V18 [get_ports {vga_r4}]; # "VGA-R4" +#set_property PACKAGE_PIN Y19 [get_ports {VGA_VS}]; # "VGA-VS" + +# ---------------------------------------------------------------------------- +# User Push Buttons - Bank 34 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN P16 [get_ports {BTNC}]; # "BTNC" +#set_property PACKAGE_PIN R16 [get_ports {BTND}]; # "BTND" +#set_property PACKAGE_PIN N15 [get_ports {BTNL}]; # "BTNL" +#set_property PACKAGE_PIN R18 [get_ports {BTNR}]; # "BTNR" +#set_property PACKAGE_PIN T18 [get_ports {BTNU}]; # "BTNU" + +# ---------------------------------------------------------------------------- +# USB OTG Reset - Bank 34 +# ---------------------------------------------------------------------------- + +# ---------------------------------------------------------------------------- +# XADC GIO - Bank 34 +# ---------------------------------------------------------------------------- + +# ---------------------------------------------------------------------------- +# Miscellaneous - Bank 34 +# ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN K16 [get_ports {PUDC_B}]; # "PUDC_B" + +## ---------------------------------------------------------------------------- +## USB OTG Reset - Bank 35 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN G17 [get_ports {OTG_RESETN}]; # "OTG-RESETN" + +## ---------------------------------------------------------------------------- +## User DIP Switches - Bank 35 +## ---------------------------------------------------------------------------- +set_property PACKAGE_PIN F22 [get_ports reset] +#set_property PACKAGE_PIN G22 [get_ports {SW1}]; # "SW1" +#set_property PACKAGE_PIN H22 [get_ports {SW2}]; # "SW2" +#set_property PACKAGE_PIN F21 [get_ports {SW3}]; # "SW3" +#set_property PACKAGE_PIN H19 [get_ports {SW4}]; # "SW4" +#set_property PACKAGE_PIN H18 [get_ports {SW5}]; # "SW5" +#set_property PACKAGE_PIN H17 [get_ports {SW6}]; # "SW6" +#set_property PACKAGE_PIN M15 [get_ports {SW7}]; # "SW7" + +## ---------------------------------------------------------------------------- +## XADC AD Channels - Bank 35 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN E16 [get_ports {AD0N_R}]; # "XADC-AD0N-R" +#set_property PACKAGE_PIN F16 [get_ports {AD0P_R}]; # "XADC-AD0P-R" +#set_property PACKAGE_PIN D17 [get_ports {AD8N_N}]; # "XADC-AD8N-R" +#set_property PACKAGE_PIN D16 [get_ports {AD8P_R}]; # "XADC-AD8P-R" + +## ---------------------------------------------------------------------------- +## FMC Expansion Connector - Bank 13 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN R7 [get_ports {FMC_SCL}]; # "FMC-SCL" +#set_property PACKAGE_PIN U7 [get_ports {FMC_SDA}]; # "FMC-SDA" + +## ---------------------------------------------------------------------------- +## FMC Expansion Connector - Bank 33 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN AB14 [get_ports {FMC_PRSNT}]; # "FMC-PRSNT" + +## ---------------------------------------------------------------------------- +## FMC Expansion Connector - Bank 34 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN L19 [get_ports {FMC_CLK0_N}]; # "FMC-CLK0_N" +#set_property PACKAGE_PIN L18 [get_ports {FMC_CLK0_P}]; # "FMC-CLK0_P" +#set_property PACKAGE_PIN M20 [get_ports {FMC_LA00_CC_N}]; # "FMC-LA00_CC_N" +#set_property PACKAGE_PIN M19 [get_ports {FMC_LA00_CC_P}]; # "FMC-LA00_CC_P" +#set_property PACKAGE_PIN N20 [get_ports {FMC_LA01_CC_N}]; # "FMC-LA01_CC_N" +#set_property PACKAGE_PIN N19 [get_ports {FMC_LA01_CC_P}]; # "FMC-LA01_CC_P" - corrected 6/6/16 GE +#set_property PACKAGE_PIN P18 [get_ports {FMC_LA02_N}]; # "FMC-LA02_N" +#set_property PACKAGE_PIN P17 [get_ports {FMC_LA02_P}]; # "FMC-LA02_P" +#set_property PACKAGE_PIN P22 [get_ports {FMC_LA03_N}]; # "FMC-LA03_N" +#set_property PACKAGE_PIN N22 [get_ports {FMC_LA03_P}]; # "FMC-LA03_P" +#set_property PACKAGE_PIN M22 [get_ports {FMC_LA04_N}]; # "FMC-LA04_N" +#set_property PACKAGE_PIN M21 [get_ports {FMC_LA04_P}]; # "FMC-LA04_P" +#set_property PACKAGE_PIN K18 [get_ports {FMC_LA05_N}]; # "FMC-LA05_N" +#set_property PACKAGE_PIN J18 [get_ports {FMC_LA05_P}]; # "FMC-LA05_P" +#set_property PACKAGE_PIN L22 [get_ports {FMC_LA06_N}]; # "FMC-LA06_N" +#set_property PACKAGE_PIN L21 [get_ports {FMC_LA06_P}]; # "FMC-LA06_P" +#set_property PACKAGE_PIN T17 [get_ports {FMC_LA07_N}]; # "FMC-LA07_N" +#set_property PACKAGE_PIN T16 [get_ports {FMC_LA07_P}]; # "FMC-LA07_P" +#set_property PACKAGE_PIN J22 [get_ports {FMC_LA08_N}]; # "FMC-LA08_N" +#set_property PACKAGE_PIN J21 [get_ports {FMC_LA08_P}]; # "FMC-LA08_P" +#set_property PACKAGE_PIN R21 [get_ports {FMC_LA09_N}]; # "FMC-LA09_N" +#set_property PACKAGE_PIN R20 [get_ports {FMC_LA09_P}]; # "FMC-LA09_P" +#set_property PACKAGE_PIN T19 [get_ports {FMC_LA10_N}]; # "FMC-LA10_N" +#set_property PACKAGE_PIN R19 [get_ports {FMC_LA10_P}]; # "FMC-LA10_P" +#set_property PACKAGE_PIN N18 [get_ports {FMC_LA11_N}]; # "FMC-LA11_N" +#set_property PACKAGE_PIN N17 [get_ports {FMC_LA11_P}]; # "FMC-LA11_P" +#set_property PACKAGE_PIN P21 [get_ports {FMC_LA12_N}]; # "FMC-LA12_N" +#set_property PACKAGE_PIN P20 [get_ports {FMC_LA12_P}]; # "FMC-LA12_P" +#set_property PACKAGE_PIN M17 [get_ports {FMC_LA13_N}]; # "FMC-LA13_N" +#set_property PACKAGE_PIN L17 [get_ports {FMC_LA13_P}]; # "FMC-LA13_P" +#set_property PACKAGE_PIN K20 [get_ports {FMC_LA14_N}]; # "FMC-LA14_N" +#set_property PACKAGE_PIN K19 [get_ports {FMC_LA14_P}]; # "FMC-LA14_P" +#set_property PACKAGE_PIN J17 [get_ports {FMC_LA15_N}]; # "FMC-LA15_N" +#set_property PACKAGE_PIN J16 [get_ports {FMC_LA15_P}]; # "FMC-LA15_P" +#set_property PACKAGE_PIN K21 [get_ports {FMC_LA16_N}]; # "FMC-LA16_N" +#set_property PACKAGE_PIN J20 [get_ports {FMC_LA16_P}]; # "FMC-LA16_P" + +## ---------------------------------------------------------------------------- +## FMC Expansion Connector - Bank 35 +## ---------------------------------------------------------------------------- +#set_property PACKAGE_PIN C19 [get_ports {FMC_CLK1_N}]; # "FMC-CLK1_N" +#set_property PACKAGE_PIN D18 [get_ports {FMC_CLK1_P}]; # "FMC-CLK1_P" +#set_property PACKAGE_PIN B20 [get_ports {FMC_LA17_CC_N}]; # "FMC-LA17_CC_N" +#set_property PACKAGE_PIN B19 [get_ports {FMC_LA17_CC_P}]; # "FMC-LA17_CC_P" +#set_property PACKAGE_PIN C20 [get_ports {FMC_LA18_CC_N}]; # "FMC-LA18_CC_N" +#set_property PACKAGE_PIN D20 [get_ports {FMC_LA18_CC_P}]; # "FMC-LA18_CC_P" +#set_property PACKAGE_PIN G16 [get_ports {FMC_LA19_N}]; # "FMC-LA19_N" +#set_property PACKAGE_PIN G15 [get_ports {FMC_LA19_P}]; # "FMC-LA19_P" +#set_property PACKAGE_PIN G21 [get_ports {FMC_LA20_N}]; # "FMC-LA20_N" +#set_property PACKAGE_PIN G20 [get_ports {FMC_LA20_P}]; # "FMC-LA20_P" +#set_property PACKAGE_PIN E20 [get_ports {FMC_LA21_N}]; # "FMC-LA21_N" +#set_property PACKAGE_PIN E19 [get_ports {FMC_LA21_P}]; # "FMC-LA21_P" +#set_property PACKAGE_PIN F19 [get_ports {FMC_LA22_N}]; # "FMC-LA22_N" +#set_property PACKAGE_PIN G19 [get_ports {FMC_LA22_P}]; # "FMC-LA22_P" +#set_property PACKAGE_PIN D15 [get_ports {FMC_LA23_N}]; # "FMC-LA23_N" +#set_property PACKAGE_PIN E15 [get_ports {FMC_LA23_P}]; # "FMC-LA23_P" +#set_property PACKAGE_PIN A19 [get_ports {FMC_LA24_N}]; # "FMC-LA24_N" +#set_property PACKAGE_PIN A18 [get_ports {FMC_LA24_P}]; # "FMC-LA24_P" +#set_property PACKAGE_PIN C22 [get_ports {FMC_LA25_N}]; # "FMC-LA25_N" +#set_property PACKAGE_PIN D22 [get_ports {FMC_LA25_P}]; # "FMC-LA25_P" +#set_property PACKAGE_PIN E18 [get_ports {FMC_LA26_N}]; # "FMC-LA26_N" +#set_property PACKAGE_PIN F18 [get_ports {FMC_LA26_P}]; # "FMC-LA26_P" +#set_property PACKAGE_PIN D21 [get_ports {FMC_LA27_N}]; # "FMC-LA27_N" +#set_property PACKAGE_PIN E21 [get_ports {FMC_LA27_P}]; # "FMC-LA27_P" +#set_property PACKAGE_PIN A17 [get_ports {FMC_LA28_N}]; # "FMC-LA28_N" +#set_property PACKAGE_PIN A16 [get_ports {FMC_LA28_P}]; # "FMC-LA28_P" +#set_property PACKAGE_PIN C18 [get_ports {FMC_LA29_N}]; # "FMC-LA29_N" +#set_property PACKAGE_PIN C17 [get_ports {FMC_LA29_P}]; # "FMC-LA29_P" +#set_property PACKAGE_PIN B15 [get_ports {FMC_LA30_N}]; # "FMC-LA30_N" +#set_property PACKAGE_PIN C15 [get_ports {FMC_LA30_P}]; # "FMC-LA30_P" +#set_property PACKAGE_PIN B17 [get_ports {FMC_LA31_N}]; # "FMC-LA31_N" +#set_property PACKAGE_PIN B16 [get_ports {FMC_LA31_P}]; # "FMC-LA31_P" +#set_property PACKAGE_PIN A22 [get_ports {FMC_LA32_N}]; # "FMC-LA32_N" +#set_property PACKAGE_PIN A21 [get_ports {FMC_LA32_P}]; # "FMC-LA32_P" +#set_property PACKAGE_PIN B22 [get_ports {FMC_LA33_N}]; # "FMC-LA33_N" +#set_property PACKAGE_PIN B21 [get_ports {FMC_LA33_P}]; # "FMC-LA33_P" + + +# ---------------------------------------------------------------------------- +# IOSTANDARD Constraints +# +# Note that these IOSTANDARD constraints are applied to all IOs currently +# assigned within an I/O bank. If these IOSTANDARD constraints are +# evaluated prior to other PACKAGE_PIN constraints being applied, then +# the IOSTANDARD specified will likely not be applied properly to those +# pins. Therefore, bank wide IOSTANDARD constraints should be placed +# within the XDC file in a location that is evaluated AFTER all +# PACKAGE_PIN constraints within the target bank have been evaluated. +# +# Un-comment one or more of the following IOSTANDARD constraints according to +# the bank pin assignments that are required within a design. +# ---------------------------------------------------------------------------- + +# Note that the bank voltage for IO Bank 33 is fixed to 3.3V on ZedBoard. +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]] + +# Set the bank voltage for IO Bank 34 to 1.8V by default. +# set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 34]]; +# set_property IOSTANDARD LVCMOS25 [get_ports -of_objects [get_iobanks 34]]; +# set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]]; + +# Set the bank voltage for IO Bank 35 to 1.8V by default. +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 35]] +# set_property IOSTANDARD LVCMOS25 [get_ports -of_objects [get_iobanks 35]]; +# set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]]; + +# Note that the bank voltage for IO Bank 13 is fixed to 3.3V on ZedBoard. +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]] + + +#################################################################################### +# Constraints from file : 'clock_constraints.xdc' +#################################################################################### + +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] + + +# Vivado Generated physical constraints + +set_property BEL D6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property BEL C6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] +set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] +set_property BEL INBUF_EN [get_cells clk_IBUF_inst] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property BEL BFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] +set_property BEL AFF [get_cells {led_reg[0]}] +set_property BEL AFF [get_cells {led_reg[10]}] +set_property BEL BFF [get_cells {led_reg[11]}] +set_property BEL AFF [get_cells {led_reg[12]}] +set_property BEL BFF [get_cells {led_reg[13]}] +set_property BEL CFF [get_cells {led_reg[14]}] +set_property BEL DFF [get_cells {led_reg[15]}] +set_property BEL AFF [get_cells {led_reg[1]}] +set_property BEL BFF [get_cells {led_reg[2]}] +set_property BEL CFF [get_cells {led_reg[3]}] +set_property BEL DFF [get_cells {led_reg[4]}] +set_property BEL AFF [get_cells {led_reg[5]}] +set_property BEL BFF [get_cells {led_reg[6]}] +set_property BEL CFF [get_cells {led_reg[7]}] +set_property BEL CFF [get_cells {led_reg[8]}] +set_property BEL A5FF [get_cells {led_reg[9]}] +set_property BEL INBUF_EN [get_cells reset_IBUF_inst] +set_property LOC SLICE_X111Y100 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property LOC SLICE_X111Y100 [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property LOC SLICE_X110Y102 [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property LOC SLICE_X110Y102 [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property LOC SLICE_X110Y102 [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property LOC SLICE_X110Y102 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property LOC SLICE_X110Y103 [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property LOC SLICE_X110Y103 [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property LOC SLICE_X110Y103 [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property LOC SLICE_X110Y103 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property LOC SLICE_X110Y100 [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property LOC SLICE_X110Y100 [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property LOC SLICE_X110Y100 [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property LOC SLICE_X110Y100 [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property LOC SLICE_X110Y100 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property LOC SLICE_X110Y101 [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property LOC SLICE_X110Y101 [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property LOC SLICE_X110Y101 [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property LOC SLICE_X110Y101 [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property LOC SLICE_X110Y101 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property LOC SLICE_X110Y102 [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property LOC SLICE_X113Y99 [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property LOC SLICE_X113Y102 [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property LOC SLICE_X113Y99 [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property LOC SLICE_X113Y98 [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property LOC SLICE_X113Y99 [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property LOC SLICE_X112Y100 [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property LOC SLICE_X112Y100 [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property LOC SLICE_X112Y100 [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property LOC SLICE_X112Y100 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property LOC SLICE_X112Y101 [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property LOC SLICE_X112Y101 [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property LOC SLICE_X112Y101 [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property LOC SLICE_X112Y101 [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property LOC SLICE_X112Y101 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property LOC SLICE_X112Y102 [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property LOC SLICE_X112Y102 [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property LOC SLICE_X112Y102 [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property LOC SLICE_X112Y98 [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property LOC SLICE_X112Y102 [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property LOC SLICE_X112Y102 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property LOC SLICE_X112Y103 [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property LOC SLICE_X112Y103 [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property LOC SLICE_X112Y103 [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property LOC SLICE_X112Y103 [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property LOC SLICE_X112Y103 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property LOC SLICE_X112Y104 [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property LOC SLICE_X112Y104 [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property LOC SLICE_X112Y104 [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property LOC SLICE_X112Y104 [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property LOC SLICE_X112Y104 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property LOC SLICE_X112Y105 [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property LOC SLICE_X112Y98 [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property LOC SLICE_X112Y105 [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property LOC SLICE_X112Y105 [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property LOC SLICE_X112Y105 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property LOC SLICE_X112Y98 [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property LOC SLICE_X112Y98 [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property LOC SLICE_X112Y98 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property LOC SLICE_X112Y99 [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property LOC SLICE_X112Y99 [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property LOC SLICE_X112Y99 [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property LOC SLICE_X112Y99 [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property LOC SLICE_X112Y99 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property LOC SLICE_X112Y100 [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property LOC SLICE_X113Y102 [get_cells LED_PIPE_rst1_a1_reg] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] +set_property LOC SLICE_X109Y102 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property LOC SLICE_X113Y104 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property LOC SLICE_X111Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property LOC SLICE_X111Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property LOC SLICE_X113Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property LOC SLICE_X113Y102 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property LOC SLICE_X113Y101 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property LOC SLICE_X111Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property LOC SLICE_X111Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property LOC SLICE_X113Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property LOC SLICE_X113Y101 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property LOC SLICE_X113Y102 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property LOC SLICE_X111Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property LOC SLICE_X113Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property LOC SLICE_X113Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property LOC SLICE_X113Y103 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property LOC P16 [get_cells {led_OBUF[10]_inst}] +set_property LOC T18 [get_cells {led_OBUF[11]_inst}] +set_property LOC R18 [get_cells {led_OBUF[12]_inst}] +set_property LOC T19 [get_cells {led_OBUF[13]_inst}] +set_property LOC R19 [get_cells {led_OBUF[14]_inst}] +set_property LOC T17 [get_cells {led_OBUF[15]_inst}] +set_property LOC R15 [get_cells {led_OBUF[8]_inst}] +set_property LOC R16 [get_cells {led_OBUF[9]_inst}] +set_property LOC SLICE_X110Y96 [get_cells {led_reg[0]}] +set_property LOC SLICE_X111Y102 [get_cells {led_reg[10]}] +set_property LOC SLICE_X111Y102 [get_cells {led_reg[11]}] +set_property LOC SLICE_X111Y101 [get_cells {led_reg[12]}] +set_property LOC SLICE_X111Y101 [get_cells {led_reg[13]}] +set_property LOC SLICE_X111Y102 [get_cells {led_reg[14]}] +set_property LOC SLICE_X111Y102 [get_cells {led_reg[15]}] +set_property LOC SLICE_X111Y96 [get_cells {led_reg[1]}] +set_property LOC SLICE_X111Y96 [get_cells {led_reg[2]}] +set_property LOC SLICE_X111Y96 [get_cells {led_reg[3]}] +set_property LOC SLICE_X111Y96 [get_cells {led_reg[4]}] +set_property LOC SLICE_X111Y95 [get_cells {led_reg[5]}] +set_property LOC SLICE_X111Y95 [get_cells {led_reg[6]}] +set_property LOC SLICE_X111Y95 [get_cells {led_reg[7]}] +set_property LOC SLICE_X111Y101 [get_cells {led_reg[8]}] +set_property LOC SLICE_X111Y102 [get_cells {led_reg[9]}] +set_property PACKAGE_PIN P16 [get_ports {led[10]}] +set_property PACKAGE_PIN T18 [get_ports {led[11]}] +set_property PACKAGE_PIN R18 [get_ports {led[12]}] +set_property PACKAGE_PIN T19 [get_ports {led[13]}] +set_property PACKAGE_PIN R19 [get_ports {led[14]}] +set_property PACKAGE_PIN T17 [get_ports {led[15]}] +set_property PACKAGE_PIN R15 [get_ports {led[8]}] +set_property PACKAGE_PIN R16 [get_ports {led[9]}] + +# Vivado Generated miscellaneous constraints + +#revert back to original instance +current_instance -quiet diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v b/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v new file mode 100644 index 0000000..cba1711 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v @@ -0,0 +1,926 @@ +// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +// Date : Sat Oct 30 00:40:37 2021 +// Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +// Command : write_verilog -force ./out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v +// Design : top +// Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an +// IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input +// design files. +// Device : xc7z020clg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module clk_gate + (\LED_PIPE_count1_a1_reg[11] , + \LED_PIPE_count1_a1_reg[24] , + \LED_PIPE_count1_a1_reg[28] , + CLK, + LED_PIPE_count1_a1, + O, + latched_clk_en_reg_i_6_0, + latched_clk_en_reg_i_3_0, + latched_clk_en_reg_i_6_1, + latched_clk_en_reg_i_3_1, + latched_clk_en_reg_i_3_2, + latched_clk_en_reg_i_3_3, + latched_clk_en_reg_i_3_4, + LED_PIPE_rst1_a1, + clk_IBUF, + clk_IBUF_BUFG); + output \LED_PIPE_count1_a1_reg[11] ; + output \LED_PIPE_count1_a1_reg[24] ; + output \LED_PIPE_count1_a1_reg[28] ; + output CLK; + input [25:0]LED_PIPE_count1_a1; + input [3:0]O; + input [3:0]latched_clk_en_reg_i_6_0; + input [3:0]latched_clk_en_reg_i_3_0; + input [3:0]latched_clk_en_reg_i_6_1; + input [3:0]latched_clk_en_reg_i_3_1; + input [3:0]latched_clk_en_reg_i_3_2; + input [3:0]latched_clk_en_reg_i_3_3; + input [2:0]latched_clk_en_reg_i_3_4; + input LED_PIPE_rst1_a1; + input clk_IBUF; + input clk_IBUF_BUFG; + + wire CLK; + wire GND_1; + wire [25:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1_reg[11] ; + wire \LED_PIPE_count1_a1_reg[24] ; + wire \LED_PIPE_count1_a1_reg[28] ; + wire LED_PIPE_refresh_a0; + wire LED_PIPE_rst1_a1; + wire [3:0]O; + wire VCC_1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire latched_clk_en; + wire latched_clk_en_reg_i_10_n_0; + wire latched_clk_en_reg_i_11_n_0; + wire latched_clk_en_reg_i_12_n_0; + wire latched_clk_en_reg_i_13_n_0; + wire latched_clk_en_reg_i_14_n_0; + wire [3:0]latched_clk_en_reg_i_3_0; + wire [3:0]latched_clk_en_reg_i_3_1; + wire [3:0]latched_clk_en_reg_i_3_2; + wire [3:0]latched_clk_en_reg_i_3_3; + wire [2:0]latched_clk_en_reg_i_3_4; + wire latched_clk_en_reg_i_3_n_0; + wire [3:0]latched_clk_en_reg_i_6_0; + wire [3:0]latched_clk_en_reg_i_6_1; + wire latched_clk_en_reg_i_6_n_0; + wire latched_clk_en_reg_i_7_n_0; + wire latched_clk_en_reg_i_8_n_0; + wire latched_clk_en_reg_i_9_n_0; + + GND GND + (.G(GND_1)); + LUT2 #( + .INIT(4'h8)) + \LED_PIPE_Leds_a0[15]_i_2 + (.I0(latched_clk_en), + .I1(clk_IBUF), + .O(CLK)); + LUT6 #( + .INIT(64'h0000000000000001)) + \LED_PIPE_count1_a1[31]_i_3 + (.I0(LED_PIPE_count1_a1[22]), + .I1(LED_PIPE_count1_a1[23]), + .I2(LED_PIPE_count1_a1[20]), + .I3(LED_PIPE_count1_a1[21]), + .I4(LED_PIPE_count1_a1[25]), + .I5(LED_PIPE_count1_a1[24]), + .O(\LED_PIPE_count1_a1_reg[28] )); + VCC VCC + (.P(VCC_1)); + (* OPT_MODIFIED = "MLO" *) + (* XILINX_LEGACY_PRIM = "LD" *) + LDCE #( + .INIT(1'b0), + .IS_G_INVERTED(1'b1)) + latched_clk_en_reg + (.CLR(GND_1), + .D(LED_PIPE_refresh_a0), + .G(clk_IBUF_BUFG), + .GE(VCC_1), + .Q(latched_clk_en)); + LUT4 #( + .INIT(16'hA800)) + latched_clk_en_reg_i_1 + (.I0(latched_clk_en_reg_i_3_n_0), + .I1(\LED_PIPE_count1_a1_reg[11] ), + .I2(\LED_PIPE_count1_a1_reg[24] ), + .I3(latched_clk_en_reg_i_6_n_0), + .O(LED_PIPE_refresh_a0)); + LUT4 #( + .INIT(16'h0001)) + latched_clk_en_reg_i_10 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(latched_clk_en_reg_i_10_n_0)); + LUT4 #( + .INIT(16'h7FFF)) + latched_clk_en_reg_i_11 + (.I0(LED_PIPE_count1_a1[14]), + .I1(LED_PIPE_count1_a1[13]), + .I2(LED_PIPE_count1_a1[16]), + .I3(LED_PIPE_count1_a1[15]), + .O(latched_clk_en_reg_i_11_n_0)); + LUT6 #( + .INIT(64'h15555555FFFFFFFF)) + latched_clk_en_reg_i_12 + (.I0(LED_PIPE_count1_a1[10]), + .I1(LED_PIPE_count1_a1[7]), + .I2(LED_PIPE_count1_a1[6]), + .I3(LED_PIPE_count1_a1[9]), + .I4(LED_PIPE_count1_a1[8]), + .I5(LED_PIPE_count1_a1[11]), + .O(latched_clk_en_reg_i_12_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_13 + (.I0(latched_clk_en_reg_i_6_1[1]), + .I1(latched_clk_en_reg_i_6_1[2]), + .I2(O[3]), + .I3(latched_clk_en_reg_i_6_1[0]), + .I4(latched_clk_en_reg_i_6_0[0]), + .I5(latched_clk_en_reg_i_6_1[3]), + .O(latched_clk_en_reg_i_13_n_0)); + LUT6 #( + .INIT(64'h0008000000000000)) + latched_clk_en_reg_i_14 + (.I0(latched_clk_en_reg_i_6_0[3]), + .I1(latched_clk_en_reg_i_3_0[0]), + .I2(latched_clk_en_reg_i_6_0[1]), + .I3(latched_clk_en_reg_i_6_0[2]), + .I4(latched_clk_en_reg_i_3_0[2]), + .I5(latched_clk_en_reg_i_3_0[1]), + .O(latched_clk_en_reg_i_14_n_0)); + LUT4 #( + .INIT(16'h8000)) + latched_clk_en_reg_i_3 + (.I0(latched_clk_en_reg_i_7_n_0), + .I1(\LED_PIPE_count1_a1_reg[28] ), + .I2(latched_clk_en_reg_i_8_n_0), + .I3(latched_clk_en_reg_i_9_n_0), + .O(latched_clk_en_reg_i_3_n_0)); + LUT5 #( + .INIT(32'h00010000)) + latched_clk_en_reg_i_4 + (.I0(LED_PIPE_count1_a1[5]), + .I1(LED_PIPE_count1_a1[10]), + .I2(LED_PIPE_count1_a1[12]), + .I3(LED_PIPE_count1_a1[18]), + .I4(latched_clk_en_reg_i_10_n_0), + .O(\LED_PIPE_count1_a1_reg[11] )); + LUT6 #( + .INIT(64'h45455545FFFFFFFF)) + latched_clk_en_reg_i_5 + (.I0(LED_PIPE_count1_a1[18]), + .I1(latched_clk_en_reg_i_11_n_0), + .I2(LED_PIPE_count1_a1[17]), + .I3(latched_clk_en_reg_i_12_n_0), + .I4(LED_PIPE_count1_a1[12]), + .I5(LED_PIPE_count1_a1[19]), + .O(\LED_PIPE_count1_a1_reg[24] )); + LUT5 #( + .INIT(32'h80000000)) + latched_clk_en_reg_i_6 + (.I0(latched_clk_en_reg_i_13_n_0), + .I1(O[2]), + .I2(O[1]), + .I3(O[0]), + .I4(latched_clk_en_reg_i_14_n_0), + .O(latched_clk_en_reg_i_6_n_0)); + LUT6 #( + .INIT(64'h0000000000000001)) + latched_clk_en_reg_i_7 + (.I0(latched_clk_en_reg_i_3_4[1]), + .I1(latched_clk_en_reg_i_3_4[2]), + .I2(latched_clk_en_reg_i_3_3[3]), + .I3(latched_clk_en_reg_i_3_4[0]), + .I4(LED_PIPE_count1_a1[0]), + .I5(LED_PIPE_rst1_a1), + .O(latched_clk_en_reg_i_7_n_0)); + LUT6 #( + .INIT(64'h0020000000000000)) + latched_clk_en_reg_i_8 + (.I0(latched_clk_en_reg_i_3_1[2]), + .I1(latched_clk_en_reg_i_3_1[1]), + .I2(latched_clk_en_reg_i_3_1[0]), + .I3(latched_clk_en_reg_i_3_0[3]), + .I4(latched_clk_en_reg_i_3_2[0]), + .I5(latched_clk_en_reg_i_3_1[3]), + .O(latched_clk_en_reg_i_8_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_9 + (.I0(latched_clk_en_reg_i_3_3[0]), + .I1(latched_clk_en_reg_i_3_2[3]), + .I2(latched_clk_en_reg_i_3_2[1]), + .I3(latched_clk_en_reg_i_3_2[2]), + .I4(latched_clk_en_reg_i_3_3[2]), + .I5(latched_clk_en_reg_i_3_3[1]), + .O(latched_clk_en_reg_i_9_n_0)); +endmodule + +(* ECO_CHECKSUM = "96723c4c" *) +(* STRUCTURAL_NETLIST = "yes" *) +module top + (clk, + reset, + led); + input clk; + input reset; + output [15:0]led; + + wire \ ; + wire \ ; + wire [15:0]LED_PIPE_Leds_a0; + wire \LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ; + wire [15:0]LED_PIPE_Leds_n10_in; + wire [31:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1[0]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_4_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_5_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_5 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_6 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_7 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_7 ; + wire LED_PIPE_rst1_a1; + wire clk; + wire clkF_LED_PIPE_refresh_a1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire gen_clkF_LED_PIPE_refresh_a1_n_0; + wire gen_clkF_LED_PIPE_refresh_a1_n_1; + wire gen_clkF_LED_PIPE_refresh_a1_n_2; + wire [15:0]led; + wire [15:0]led_OBUF; + wire reset; + wire reset_IBUF; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED ; + + GND GND + (.G(\ )); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_Leds_a0[0]_i_1 + (.I0(LED_PIPE_Leds_a0[0]), + .O(LED_PIPE_Leds_n10_in[0])); + FDSE \LED_PIPE_Leds_a0_reg[0] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[0]), + .Q(LED_PIPE_Leds_a0[0]), + .S(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[10] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[10]), + .Q(LED_PIPE_Leds_a0[10]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[11] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[11]), + .Q(LED_PIPE_Leds_a0[11]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[12] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[12]), + .Q(LED_PIPE_Leds_a0[12]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[12]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[12:9]), + .S(LED_PIPE_Leds_a0[12:9])); + FDRE \LED_PIPE_Leds_a0_reg[13] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[13]), + .Q(LED_PIPE_Leds_a0[13]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[14] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[14]), + .Q(LED_PIPE_Leds_a0[14]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[15] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[15]), + .Q(LED_PIPE_Leds_a0[15]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[15]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[15:13]), + .S({\ ,LED_PIPE_Leds_a0[15:13]})); + FDRE \LED_PIPE_Leds_a0_reg[1] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[1]), + .Q(LED_PIPE_Leds_a0[1]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[2] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[2]), + .Q(LED_PIPE_Leds_a0[2]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[3] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[3]), + .Q(LED_PIPE_Leds_a0[3]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[4] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[4]), + .Q(LED_PIPE_Leds_a0[4]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_Leds_a0[0]), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[4:1]), + .S(LED_PIPE_Leds_a0[4:1])); + FDRE \LED_PIPE_Leds_a0_reg[5] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[5]), + .Q(LED_PIPE_Leds_a0[5]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[6] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[6]), + .Q(LED_PIPE_Leds_a0[6]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[7] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[7]), + .Q(LED_PIPE_Leds_a0[7]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[8] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[8]), + .Q(LED_PIPE_Leds_a0[8]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[8]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[8:5]), + .S(LED_PIPE_Leds_a0[8:5])); + FDRE \LED_PIPE_Leds_a0_reg[9] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[9]), + .Q(LED_PIPE_Leds_a0[9]), + .R(reset_IBUF)); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_count1_a1[0]_i_1 + (.I0(LED_PIPE_count1_a1[0]), + .O(\LED_PIPE_count1_a1[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hBBBFBFBF)) + \LED_PIPE_count1_a1[31]_i_1 + (.I0(LED_PIPE_rst1_a1), + .I1(gen_clkF_LED_PIPE_refresh_a1_n_2), + .I2(gen_clkF_LED_PIPE_refresh_a1_n_1), + .I3(gen_clkF_LED_PIPE_refresh_a1_n_0), + .I4(\LED_PIPE_count1_a1[31]_i_4_n_0 ), + .O(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + LUT4 #( + .INIT(16'hBFFF)) + \LED_PIPE_count1_a1[31]_i_4 + (.I0(\LED_PIPE_count1_a1[31]_i_5_n_0 ), + .I1(LED_PIPE_count1_a1[0]), + .I2(LED_PIPE_count1_a1[5]), + .I3(LED_PIPE_count1_a1[6]), + .O(\LED_PIPE_count1_a1[31]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \LED_PIPE_count1_a1[31]_i_5 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(\LED_PIPE_count1_a1[31]_i_5_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1[0]_i_1_n_0 ), + .Q(LED_PIPE_count1_a1[0]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[10]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[11]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[12]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[12]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[12:9])); + FDRE \LED_PIPE_count1_a1_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[13]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[14]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[15]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[16] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[16]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[16]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[16:13])); + FDRE \LED_PIPE_count1_a1_reg[17] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[17]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[18] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[18]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[19] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[19]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[1]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[20] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[20]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[20]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[20:17])); + FDRE \LED_PIPE_count1_a1_reg[21] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[21]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[22] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[22]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[23] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[23]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[24] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[24]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[24]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[24:21])); + FDRE \LED_PIPE_count1_a1_reg[25] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[25]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[26] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[26]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[27] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[27]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[28] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[28]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[28]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[28:25])); + FDRE \LED_PIPE_count1_a1_reg[29] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_7 ), + .Q(LED_PIPE_count1_a1[29]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[2]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[30] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ), + .Q(LED_PIPE_count1_a1[30]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[31] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ), + .Q(LED_PIPE_count1_a1[31]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[31]_i_2 + (.CI(\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .S({\ ,LED_PIPE_count1_a1[31:29]})); + FDRE \LED_PIPE_count1_a1_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[3]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[4]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_count1_a1[0]), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[4:1])); + FDRE \LED_PIPE_count1_a1_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[5]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[6]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[7]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[8]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[8]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[8:5])); + FDRE \LED_PIPE_count1_a1_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[9]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* \PinAttr:D:HOLD_DETOUR = "2607" *) + FDRE LED_PIPE_rst1_a1_reg + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(reset_IBUF), + .Q(LED_PIPE_rst1_a1), + .R(\ )); + VCC VCC + (.P(\ )); + BUFG clk_IBUF_BUFG_inst + (.I(clk_IBUF), + .O(clk_IBUF_BUFG)); + IBUF clk_IBUF_inst + (.I(clk), + .O(clk_IBUF)); + clk_gate gen_clkF_LED_PIPE_refresh_a1 + (.CLK(clkF_LED_PIPE_refresh_a1), + .LED_PIPE_count1_a1({LED_PIPE_count1_a1[31:7],LED_PIPE_count1_a1[0]}), + .\LED_PIPE_count1_a1_reg[11] (gen_clkF_LED_PIPE_refresh_a1_n_0), + .\LED_PIPE_count1_a1_reg[24] (gen_clkF_LED_PIPE_refresh_a1_n_1), + .\LED_PIPE_count1_a1_reg[28] (gen_clkF_LED_PIPE_refresh_a1_n_2), + .LED_PIPE_rst1_a1(LED_PIPE_rst1_a1), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .clk_IBUF(clk_IBUF), + .clk_IBUF_BUFG(clk_IBUF_BUFG), + .latched_clk_en_reg_i_3_0({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .latched_clk_en_reg_i_3_1({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .latched_clk_en_reg_i_3_2({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .latched_clk_en_reg_i_3_3({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .latched_clk_en_reg_i_3_4({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .latched_clk_en_reg_i_6_0({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .latched_clk_en_reg_i_6_1({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 })); + OBUF \led_OBUF[0]_inst + (.I(led_OBUF[0]), + .O(led[0])); + OBUF \led_OBUF[10]_inst + (.I(led_OBUF[10]), + .O(led[10])); + OBUF \led_OBUF[11]_inst + (.I(led_OBUF[11]), + .O(led[11])); + OBUF \led_OBUF[12]_inst + (.I(led_OBUF[12]), + .O(led[12])); + OBUF \led_OBUF[13]_inst + (.I(led_OBUF[13]), + .O(led[13])); + OBUF \led_OBUF[14]_inst + (.I(led_OBUF[14]), + .O(led[14])); + OBUF \led_OBUF[15]_inst + (.I(led_OBUF[15]), + .O(led[15])); + OBUF \led_OBUF[1]_inst + (.I(led_OBUF[1]), + .O(led[1])); + OBUF \led_OBUF[2]_inst + (.I(led_OBUF[2]), + .O(led[2])); + OBUF \led_OBUF[3]_inst + (.I(led_OBUF[3]), + .O(led[3])); + OBUF \led_OBUF[4]_inst + (.I(led_OBUF[4]), + .O(led[4])); + OBUF \led_OBUF[5]_inst + (.I(led_OBUF[5]), + .O(led[5])); + OBUF \led_OBUF[6]_inst + (.I(led_OBUF[6]), + .O(led[6])); + OBUF \led_OBUF[7]_inst + (.I(led_OBUF[7]), + .O(led[7])); + OBUF \led_OBUF[8]_inst + (.I(led_OBUF[8]), + .O(led[8])); + OBUF \led_OBUF[9]_inst + (.I(led_OBUF[9]), + .O(led[9])); + FDRE \led_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[0]), + .Q(led_OBUF[0]), + .R(\ )); + FDRE \led_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[10]), + .Q(led_OBUF[10]), + .R(\ )); + FDRE \led_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[11]), + .Q(led_OBUF[11]), + .R(\ )); + FDRE \led_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[12]), + .Q(led_OBUF[12]), + .R(\ )); + FDRE \led_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[13]), + .Q(led_OBUF[13]), + .R(\ )); + FDRE \led_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[14]), + .Q(led_OBUF[14]), + .R(\ )); + FDRE \led_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[15]), + .Q(led_OBUF[15]), + .R(\ )); + FDRE \led_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[1]), + .Q(led_OBUF[1]), + .R(\ )); + FDRE \led_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[2]), + .Q(led_OBUF[2]), + .R(\ )); + FDRE \led_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[3]), + .Q(led_OBUF[3]), + .R(\ )); + FDRE \led_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[4]), + .Q(led_OBUF[4]), + .R(\ )); + FDRE \led_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[5]), + .Q(led_OBUF[5]), + .R(\ )); + FDRE \led_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[6]), + .Q(led_OBUF[6]), + .R(\ )); + FDRE \led_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[7]), + .Q(led_OBUF[7]), + .R(\ )); + FDRE \led_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[8]), + .Q(led_OBUF[8]), + .R(\ )); + FDRE \led_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[9]), + .Q(led_OBUF[9]), + .R(\ )); + IBUF reset_IBUF_inst + (.I(reset), + .O(reset_IBUF)); +endmodule diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/place/post_place.dcp b/examples/out/led_counter/xc7z020clg484-1/led_counter/place/post_place.dcp new file mode 100644 index 0000000000000000000000000000000000000000..d9314bd5ca1ac1099ace6828b00bbe5c2485509f GIT binary patch literal 262589 zcmY(qV{~T0(l(q-Y}>Xyv2EM7Z95a&w(aDOGqG*ke&?L^ed}50M|bb4x~kURtABKL zU9}aYK|oP~fPf%@fWh>XO)R@(GJt`AtU!T)ApU7hj2-AbY;9tb745SaQA6{x^bPNt zd6R{NB-F_&ev!i=1B>Ka<`D-?8^s!chW+_^CyU+~ELHXEIq^AJT}dp9zhVM`qo)yi z$S9tOdgLUKnFf*bLrp$}<>|9FhN_m7o6IPdco>*ojIe>Y-n6jQ0CqSa$(1A#T_NwJ z-_m_FJ>=}-C$F_@?Jt)M18M`#45kz7FM(6I3B11&#N)F-soxGUD2Gk4jv?@1WERf7 zlgY$XoYishgzPsWp1i zv-=BELP?rdP#HgR@TZh!y$_4#^pj#YfVm;2|2_v`%@Ob#u6%?hL+|(pK+%wnVd2Jn zh)!m-Y1VREL$8Uq|0}uyy*W)6wMYfl$I;t-8jQ-~>0B#B^dqLPwFyH{zWtOg9AK1v zl_`ip>Zug<2l$dLRifSz#(VPZZCqRChR^TMo53xP!vE}^A-+$vjyXRu> zKyPYdW}D`!qB7S90ESX57VPZ8DHgPnIJ>47{2eBikbv2lMHGIWh>VzkYXmMLg{dUa ziQnmWQPZ~V?S9pA{hi%2(|Pi-!wXLD=k+;w-^eN-pF@T)v%?XalMp~~$tb^oQW`?g z={8bJlFQ8n87MWo&?-b|a|%HQMd}*PKDe<%&2QNW`6oR;A~vHoOafTw4)xU>Z%ik> zmjQPV=JsYuQ2m7TR{9#Iq_%od%x8-;y9EE*fkgTJ%O=Vu*0)<;C*vzuJm)F28yY-O zd}qkN+U~k~rz20EZ?)ga&*GD@T^Hx{g*bXAKl8%fHH}B-%kWgd-oS85uyy|YWt4}1 z`^QyiYd2nN%NoCL>j8=Q1W`+LgtuyRm9=b*gu;N()2Czm`Nkkurzj%{zv5QUzIXSX zK^B6;uE3XK?L^$&CDD@i-PrqNWsWZGCD$Ljykg~DFXaW7!NP5tZJBzj*!mFR)mGiqxlKUF zV8841n+_&F85GKEwg^Rm8ppTirx6=nNF6FtOK0sesaLadXs<;mOMH@3l5447wK{o5I3r)Xug#)RdGUd}WJ>~Cb11?` z$u`Ul#jG(rk7J-L^p_rSM&&aRwV3C$PGPvY%X4{M&E4nr#h~(IbHev_954XHg-~mXDngwzcZ8VkqP4=-8|3nYIPYq(axYW0r)xZf#Msu za#@w}J=t<`Vl=BqnE^sO%A}_YM#Zb35z&t^979*MZ<_Bes)4XxLTar=lLv8>0|RF>G5?NXvPCgD2gh$ej8 zV^rlb%B;k=K8qRWq)8=@7)2=V3~I+hnclS}ZcMztQT!2b0MB+Te%EGP*2b4~XG=jY zNg#tX%Yqhq&?m0N>bs$Lo|Z~ssop3~L!M|jWP%%V;xwd(?0~?KHn;UYaAE$MjzWP@ z1p4DP90M1lJBqAmxYJDlkj6a2e2!bKSe4J?W~0 z7zaokPUjyTrO~yG5Q;5@YO8;RhcXYJnk~|T4Z=bIj!6RsPu6A>WocY|*qiRXRc>u) zo+>Y;1_yyIUglkKqIU9hhjH=vf(&y$@%~HeL*qDo9ra+1I0@b@or}1ci-TrnW{=pL zYjkfs0@}(f?#f;slQ7Se)3y+~0tfPDCb?XBI;-(+I!&u?zjroI4c0h3`Y}e+jE{H= z(b)K2h5IpUi zmd;eL(wpS{Hj1XsRHUD%7_R%WTxlHGAH$U6uF8hBpR~jC*rVL(QBji9Qa4Qdt2duF zkkmm;@xTr}($HjUwJ|*5Uo1gNh5N#v}(W6Yd8^GmaCjVYHY z41*vf>k(ORg%~GBGYWAd?0_X!HSoC;;1ig$q7YUBK*@GM1H`mMs4fMFA7-Zf83Ci| zBf7!+FI1=yXBk_jW%?O{wd-*5q@424SiPyU;91W^EvVdcZ}z_Jd3KrB5;-X= zM`svce6F8gyHPZ3$sbJM+NHqWnvy^@P0t^QX5{kl$C}3jGLz(0GD=RY;qKNA> zGELF&5t^y2;|Chy*+fI#KLD2xUO*@?jr3R9cEs_ll=sTU^HKe?_s#Ad^V(;{7Nm4s zPv6X~HRB<2n&=3~I^f$3QXo2L>zVA`tWwJbR9Cs_HVyly#@l4dkcg#u^W_p%2Rz_c}9!wzB~JfIH8KSD6`2K^IO+W|#8qhGMN3lXY!o+9IR{ zujvs54;nu8YM9^a%xdjR^h4-51^9Zlu3+kLwc%z|B+-cz+=6oJHAZAh?vfeWO3yg- zcaAPW%*=9oP->>sMW!7cdRd*`>Qi~L3!q3hIEv~N)BLNp1S`&sE?2(cl_g~^y6W+i zb4scJ(a~2b%if(R!0LuY0*X2ifGtYDtny43YsZ~&giIx=nR^%k&zDt9(}=T8t<4y} zaV1jqO3eq}f_RyDgrI0nB;GJWx~T+i=vV9<(Du+LrX-DeIgeU-Zk@tfH^Nm;-InQabVQI$N;!l+>9@5Ce<_mkSR&|uM4p)YqNsaKBDS2nP?)6}saNRicO|>km=M8U1n7`PC0{*~Mpk!UkNA z^Zb3>0_CuuZ!G@Swid^^eIFYz^R zl&1^m7>#h@golMPGTA1o%#9}B2W#m6YGp`bXDIR4o6iPAH#02XlpxiWj@ee^VuYI_ zrz#k|r3!332g6J>d6mE#d40qa5A=2IVVR^dheR8^pRU~$g$0*8y5?YQbgnwDzfzwU$+2Zg>F$Qa_QYZls|m8BC~{E)kWeeMM^hpH)^>Q#BvIBAdQ8A1uFVYS>( z5U(ZefmQQ()`J#5^z`qG<~HYC!17~_^kMrZ-tR4SId)v~CeHmKxc2Hx7 z5S7-OT`Urg_5v3#{3Ug@m<@GfxkQqfn9fqlc^4$>-8Fay3%)B^j{X%o4Q zVbDzO-TXAqP$xEjgo-~VG0}_U1F>NlKs2)#WTGnrf;c}D&h&&F3>Ra_5?Ht2(pqpY zv_80VWi~EMhRyuLsOj+G-b|Z;=j!m1A5*dDNjv%p)c*1IhQyb;C=$T1mi!{5+TEM& zDfvSskDYz#STd<0{Y_`qtw?cqk3^0HH~nGu?JaEco!`U`kFygV9u>9M7FynZKZBLO zGZpd`eCDh`elbsGEVc z(x;Cv$%Pfu^j`7>Z_ovAl}AsHOh4hV#6y?r40Ppv zk|omJW8Jd;iacm}NJAM=mVIw+8j%W#idY0YM6zg=gx z)uXT~$=~M92fVErDA^$N6+v5_t*t|J$i0NFaXx0izTwT0t;0_8;lTz(kw|F&13I+= zqIA+55gpicFPSz!eM=8to!_7p`qz7NH17bPM)`A}A;RXm zwt#BdE_`;5$IT>ZVUN2ngLFm#(GYP2a1W(UA#uDOy?u`bJNGx=*r;w8x9I_=sq@WI)(kM)4?mQX^fXj@8uzmqLjYdjT8+VIz@;YWG5bf7A zr$z|GNY~IT^@I!sEM&F*L%qXz%oyWzz!;&e;!4R4!r>iON9jrDz)!ok_QSpAch|o~ z`+XqnVHe*4>9hQRMF`$`XhnDEbiSQNw^%=ojbEF^E1;3<$+!(iLVOt6Nsw-C(!lu$ zOpmZAm4<(1(Z{#Y`t!*F9?tyhEX$M``c+3eAH zqKMr!r)^Qf!3x3{YrH~@c&wtHE-0Z$uwmb|Ol{Xowpuq?9(YP%PrNR-H@dOElgY_F{emSM9)x#?|IxId3!b7DdS@~xHA&PM6~VFp<6eOw5fXUgzPfv( ztpaYlnvvwflTX_b>{X*t8L9|1)aC8!HPUi-e&NrETh4(`#gHE7o`|D2L09c}0m^}2 z!5~rW(Z{!9eOME>IP+>VCb3;iYm#=%JkXk5@zHEyT*L9)a%_NPWL?AY-7;coL`%J5 zY5~i;QsPYu9j9CvMv#T|WG6wG`8ShKPVbrSj745i++9(|U6Ff874z4VdDCJ?`w$D6 zyvgHWft5aRdOI<-pIIN5Cmv>w6 zd96!?tbEy~aUhP!8lQ6$o^l0trg66?KO@FAM^Y0dq%_0|ZJ6^_8W2sX)x5bkqBf^! ztX?pziliQ9%Zl7sHhKr9#EvqV9Nr>A^vwXzlj~>`soE*zcwuJ5bG!3a57hBtlZA3$ z^aZglil{@hpD`;D0E;0A6axpcH1e{xfo~W&DMoS9mDgfs^z~#c>NUlsSGLEC$`w<6Ut zX-}zzZb<)|DHfurR}{u0Z%|GiTOr3BP0LMC`PAr}DtGT#A%qiI%>$$RcUCCP!$ele zq2zgL=i2CR)QY^6aor;n}7;XLz({6HALb^;Fn+`m~ZwkA#YSq)oO>BTe~4rA)o zQ>QNY0KH_fl3$0-yjB)Zb#JRFEW&kaXVs(TlXYHcGX}b+q<#G$AMV$bIn!ZD3VVq+ zRABFDGCSIGftg5YQK@(-(wCwz%mht!mGBIuN6a*2R?JUksMZz+F+e<@e!l}`GNd}4 zR^Frm4j(Caq#>yl=Ol<59`~|+m*nkWHCa0r)CCWM7+dEyYw9j~LO<4O2oJ3(|1Nqs zX9T|h9>W@s{?*~#g9cDHa%Gyd{P^ieG+ra7+zO9@wY(xio;%4XO@FW@`Su{4WQMJ< zc^MQLw^rV5TM!9vqQm!op!wTOa_g9(Yqj3|uX9Jen!|g+^{Z%^?XHgTtc|h43d3J~ z^^oYO4#zSS9R(2jQ}1+Jh8?zb;g5$uZYqX{FJlN7sdfl)@hqVX==0yEeUe#aAi*)MF57^kUI(e=R8MG{!=<_0L7{yP5qZPczWR-lx>xD0It;_y$YaZm zB~9WN!S~R?f-K}CYdelL!oq|XX<&*-iXruxIHJiOEEfy?-~*v9|Z1ENfl35cIg?>kFl_5%9VZQx!*` zs?F_N#@%n<%CLY-s2N@<`ebH`+~EKpK)3M%r%6HSGfO(*D&&dGm>wOHWwOL3E#nNg zZ}FJG{Hlz2gv_Cuoq%g4^9rQ5V{NQIZ*9>P1P{|2IT}OOzUWJa?|vD5Ijf*K1bIKS zuafs#i#x?t*dD{^>uC5$QfrEME{BgM(o$61*@5CF@@@{vjU*>lqHt#sRL;=Mt9!UE zCaCM8KCLm!8O}|GVLmFkTmUxP&V!DK?)|ToTDi+^ad!-S(DzwvIVRtq*jlu=ie$kd zTqZ-D{h%-?Pd_p2CC}TAYpz@{!aVFuw(nq4cy)4L7_5xMx#6z+{lHBUDP9vwUP*G)U&%f$ zZFu{xpCG@6A7UnuEY2MKR|0&vsXG!+1=c@H@4KEoRK9L6>7%7TMjqVadIVE}wPj~k zG~UG`mr~$!aea7@?@z1c+>pNXPIx>ej>OZC>?uGznc>LDRsJ;3D8B8;jkMDIE@q_Q zc3M@o`$a0JgfM}mfwpOYeR$?}Jve65z-S32ENZ=6baKCtGQ&bTw*NHXv^iOi)_ zAO2^i4HYXnk@{T0xcQjM2!z+;lNa+A3+UmUkjrMkBDp?bfkGvBg&~#1)vNO2xat?< z8|KSuON&QN{hY#I+vJoa4YuhffxVU;ePZ0}1ettSgsR&4CGlJYpM<1l$`$CZbL%3(OcSM~tHkuoRJbM#+8QYFBb z4K!9u7tA~h0@mr{$<1awjN)ic9F0`tC5YktX>3r_tsXV2jj9tq66H?z$M@C zPlDAkP01dR{$N3aaABeCZX=j_s|YXCXg6Bor_lKOBe}{94b9ZwgTJa#3UikV@j@j4 zkC8ohCM>AZ2jj}{GtoUypWx%xDqvkI7C2!NsazNqDb}2776Qf4Y6epz{v&+b#rL*v ztrpzenSQp%UTgPTMWu%ih=JeaRRidPUg?hETfOc*V4hx>TtP@q1*-l>k0z2>L{M5! zk~Z?_{XmBER_2z&T3BR14&Pk3u@@MU-Y+Y=o4q(#?V^yT!a41hv$*4;`#6)M8$t~= zYVL#s&8Rx6Y!oAjKf|gwY7sp?%*&0IAbQSco%xw0oE98wtv*2RcIpfg9#suXCyK%CXQDNt~;s?*?WLoV$@FIAmii^!Xb zTN+4`)>Bd_HL#2#DvT^+^|8F_W%|$nDH{ewAN>h2nKdDb)h30L3H`u{ez6JUkri{2F%4)tI@vXOx;>%LCVvVJGXK;uJW1xfQx^C8oX?TI zHW(acdiA}JfnidPQ)iTo@FpU+H8emmq*G%I&DN})Ru!T=Vj^&U;rEpeWoW080F)z3*S!iEhTdkACzl2a>8G_^g!$a5+p4yomrPgzNEhCRotzUQhycp8*tz?sXu4}H-bp;D^^neSI`?bdog zaL5S&)v)xr%{zadaB{1WU zHt61GfkYMa`|?kf{ly5@(|S>_`cCC3-&eVd`NU97#jV$}@;xO;r*oWVxSUVm!zBcb zGqsv^TeQY7WIqnm+b^u4T%ygblKm6DQM*zayvEW{*t zwYqH^+L0J6$RqpmM>7par5De$pL=E>JFd$}ytL|5w~<+;DGVqG6UDjZ5a5i(!5E3P z!svDalho$(k}N5ldKSCkVeT$T(~5c=!U zVk5dM#L_N_Q9mN_Lu&lZRYQmg?53Nfv8-#+FaQul3f%f0^zbyN7X+c{a~tPyz#C!b z*6mnKZi{>Jq3}?_FWf*%-%IkyNkTp2<|VGe%&~{WwhYnWy{}wP7^;i(*6*edh)&7r z)^fbcL&5X7;vQDAb{k#tG)i-2bxsklPHi6IdoB26w6D+Ud}(=ra;TG_r`x;KmS44{Je8}Ke?|jD>~sR7MH5OYYvlT zV1Y~^8w$V_#^N$vd=_0%q~+f8H5i_p4MxrX&ehHsviBd8E6J)`EFFPdq}*AmnA}>5 zX{}gN!PEDH130z&7%GS2pa%p+ERGyS1;CY3OObC)rpf8s_10R3_vrGTDSt&+?&0XE zkGvmEIBc?WKX+QsJeby!>Kow9r1fn2Y+Fk&=}f%8Ii=;*8G9z5BpoB(>kBWXkMNwy zGr8DrEW+DjxV7%-%SN?o`|2fakIYUB)f5VW?UtPyll_sQKz=RwtogLH?8;YjquH>E z#LTs#f=cnDnA{nEg>fM%vmr%!ol{7cj?>w1QK=z#HFhD%njW}Pz=wXsNgO;t?oqG= zp2o{0v|QlZYKgNsb6=UuW)KL47tKP?73OHmhEL`jXaLp24pEP&I=HAxHQV!anaro> zl#GY^hNL%sSlnoFNo$S-uqMfarc;~T3~q`#Kcz~u4kdBpGcod{_K2+PVejsZQ0qW` z#*$A~Z5>1E#FdMhyoz6>UrsXgHQ1DT!Oxu`k&Q@urt~2uzv)-S9W!gfKj~mG6lyX? z!oYY?EF(jm#j{vQqE@v+1t)EQ2y!4;qAY{kC00SF&MIXv-UKu*cQ!-KlZ}8@WTZ5tOO<1i{c&}Rq9RIixAiJ)^ zO_Ir;53C8sL|q9H1A)PJ9{*{aj>21@-3aZ6@n5%fftk-Rn`Q&KL<`}4osv|I6xSHH zQ`#bUgQfGPgKUu(z$2JpN$^=U93}`ewNl)_MtdPDD<(GKrtL%)OJ&5+I*{*#c}J>8 znlN_zSY)zIJ2b3)yw|$22mCFlKDFg zho(_fZ#gifTaN^*0?LOlYb4tCg;#m4m8>|;Q{SCWe#_jsK@f80{mkT_lOQ7)W=(K1)@>* z8+IkaPCHB=Od9dm6HA`T{F)1Wiln*6C9jM5WNSW^qfW=Le$2zJXmh=)We6gn+sb|V z#+>IZx;>Pj=N3qd6Gj-O6Pna)>n9cDbapzh_!$G!Gkek_9W(2kJ>`|Pgz_7Y2aknKFbv4v);yUd3IOVaaIz(i zOKCoW$WX$aIrML1Gja?n^|yheZ+|E+eyY5yhm0V4mOIl9*V6ndLPpz_;=a+@9D%sY zi#_?*G!Z^t(|f9ho{}$!reB8GXvv@mU^g%T3H_wGUN;&#MAX{m7vThC-_&@NVH&z_ zK7!Ijf55C1;2HDKrj06TFBJr1^P0|}lh*m9NhjWnE3ifLY^Uiou8;EE09t21wT&&l zq6K|Z(-iLdS}SRcv25HCzX*-*+&@je+;o-V-ul(73%s|KG*LrLdI-325^pdsfc6LB z1~`xS$j_5rxV zB**K1XvHI7TReJj@Y($C=jxa0Ufr%o?B`L8fFC3GcoKbNNGOvY6HMTc5T74B186Dy zS7(d^A`U$%Cgk7{h|kHB=Syf1(|27VlR{CireR2#1T+qM#SfAemK&vtJB@{6KbDEy z-pp*&sZKf&Z1izxxFJHQ`+k(jH`dkUURP{&+vs`e zsq20ByITSHFGEy&^S?Y?`|{s(&CJP03heUdrltL3OWFNDTc?IMYck&F)^JXk0c*-l zD^t1IU4+W*dm|h2Q~K_YD ^cw>k0c#{cU%+5yFhntbw$8nb$c+jA~vUY#^-I5y_ zYQ?z_S6O;gVcyc5wJ?p5zDKOhcOq)`f6RXvGiZ;??qiK=y8Bq?FzI8-Jp{z8-|j~C zikcoq!OWTYWX~_hhnmEXk$EVK0Pn@@6rNczDOE$UPAwXhhX`)B3C$7|Y~w>CIt)uu zC0>c*Ce@Xu7R=elSIldRPv)7<8LW-LqIkJ)GR`m_zpJN{c*wb3EFhvlOctn@=A4KC zD9naUkdhDZqiJ^xo=R@*1*{l!TTATY$^uPYW`t0PT&6prp!#G*?Iy(~uU7S0^oYc-*tciDmM1)Dih|9HmvFxw66=O| z4a5}ju6Hj6HVf?-xx=(1dXdts+Z~rQT9imr_p?mOT2sZvv|ufJ*Vd>Iy}H#X$==X= z4r1uvmXG5Y6*BEvoH>8Hs#2-b$sEyG`sK>}rk<7!%8O?S93Fqamh%flmE(`@IKLej z$M4lG)#a8v*zyTaroSJ9?C&X1)VUTjApCG3gwTeVpQLH5 zLbGlJBS^bzD0J@r{TkAI4smg?1ZA@}P&JQTbrNkr>WaK%w5?WGue!NnsW&ux5UXWb ztgt4Y%v#sQm?K`wXxnd>{#W^D-v?U>{@VUjJ^N+_+KqXDRz9jI;F_xboKqK-Rpn39P9Z zt#4XWa5dsKCV(h8RwocCITGjdNFX@a&>S2F)n*4IwFM!{Y)s4T?y^inlJ7YC0K2=~ zf9=Uk9RhH7mR0)iu38g=k8cW&gFz0M{K9Qk_7#G5BwYpBIY6gTK6~Q8EAHv%ow^)m zp}zUVgI#qDimxa31CT%Mz#A$%O@sRMt%uP*@yU(fXJb!b*xF;RP21a=m&f^mj-s|D zy=a0k4+u<{3lRbiUzkon;|!@a2X4$0oToi22AJsCN=J5`U1aWd3=%pnKZ=y-E{C$( ze{W9n51UAZTL!M&BCxE%JTi;;Syxg1$dU`Q0V#cwD6ql}CE%DfX?zyRgoW>kdny5c23SLyH}I|R8U-NwhoFGhTfsk875YIrub^+OSo#McvVa|OhwMp! zwww_On_Ftg`iE)(pKWFV>NmFF7TRV3^)&qyI>3IrF}onsKn14Y5$(Tx=GjDd+?RKI z%>r(jL7KaM@$6#{@N)rYvqjLd0J(#CETZ*m9eX+ug|#bt{cvU%b!HoC0D}57=>|ySM&J|9cD8fR06A6K!{)7U(ZK?}^RADl-VF4lv(A zW+4F=iAOIMVeb?WUM-Nh4vE9B?5-{>!iFgzN%er4&R?7r`%}ErKzNg|ggU_Z?qCkB zXISQNiIcDiPGGNiE7+g2Yo&i7hnYbn{=qxMc0oB$OcoZIL5g*Oa~)!LXKt70fv@es z6O24DnP7BztB*hj=qimGm%HRbTE8! z{CEB(>f7=!GKQDBe2KJ=!RY_E-TWsCLIalW^+|-lKczI~{E^?a zy+U$`A0B|UU}wlIhqO1w0t0Exo}*w#pdozNzOX#v7SCo``jn#KQB&{~!+}f<*$aD4 zm6R`H--_4=C##0&?j@ls6;i$+o#^p_vXE=@tgVMs+1}2AC_l^vEZ;jG9yKONp2uhI|!ngn%=tgrgOemgAGLqY^=~>-8GD>>*W;6^43#k}GpGN@3Kb~KPVh{JX zrX@^1j+Xd*4LX>V`0%{xtYHXLv4)CQgSd$ZgU#;<(DG58|%v|}4QxL(^9uz9?{ z@zELn$-;W@YE#%lD>2WZEgdj0_w{MP@kp_IB%;QDUt!S?kr+lZFnqib#p2;G&=0Tv z#l~X|lZWPIkmH97^9OZxJ{8Sp@}W9)du4Sn6vTgWD4$)yd+$^)u!M4vFU( zY|^4FR6b{S1pt1B%5N?Pz6$wUk-~+lC7&+FWuxbUetOEw%u~P`)zk{)XYFl0u=3el?ZbSG@g;aE z#@Z?=fS_gcg_p9ZAQa$9wSZQ(2wN1;4ANPyvdP(>rAQVNbQF8e=y{fK%Oi`UVuVnJB5 zP#tIsMbQad9{RaZ&8)YGt_kg{fg%PDOs~_TUeWG@n6443jQF{d!ummHfMOaJjG7R& zeYaspQCMt{J+dkzE3JddTxo$4GjyuD)$nZnw^EVVz-TD;!a>2ge2OfNOv?E^EFQU6 zRk`m-P&|Sv6TAc#2Xv_^+n<7xGWV3qO!ikq#iTH?2{f^Rlf+6aupP$AIH`IBrAL?- zVdfEvqXmBH%a+FDjnL4wA#q-P%Bd&1e?0-U284(ju|Ks)dknnyC3$3&0RE2=7D`#O zYP1xk1}4p1S~mC{hle}mwGo?EN2BXb;f_Eoq#&Yqly`Fx%&;r@vA+=!m9`*Bk zBosJJz9#tTmQx&!g?MqhM)dGc=6@Io+kf{ipX-Lqmf?vkb#Z7}Z(EfSu1m^koUazj z$;gYy^epU1+DV8395i^O-X@AP_$QL-J_ z6J#Rwoa22z^RgI){%$^HUo^hVLZVqxl}0o`SE~sAw;ZWg(WDXflj{^cGYAGqYE_l~ zlPFmdL_eYG9hWOW{y}nAsw&7J8Ru{aIo?nV$T@_x9?1H^F|rm-;!}bO!+XWTlo!(Z z{qB=jtL@~pp(t}ty)Cde3hmAtM3SOMLH26Szow2r+_A7*E0pPR-MRKpDzvq}4AcZ( zeOx&%>;|rCb@I1QoQxmZs(*#@km$j=ht5hu|XWgP!YnDHb9Fhpb z7CV>JW(r|fnY_-QWBV3y?gX>cQ>Rr2!CHvsp?e41DLLrH+-h+KhatM zE3gHlOUv{$lJQNcG0X*WwDUw(pu)A4c)j$7auiGC`Ybk4G>e{oy@mtU=RP|l^-C94 z&YSyBZ_3WfZ9AM4gG4lh>p*imunb2clvaE0%^x44hz4Kw@<9JuP=xdwaWQBu0ddp% z%IVy0F+R<~k>ArsKzc`N7R4GgP+~$or0atHmPkm5x84!N#i77+;J-?tkiPx@x42{= zUxmho2Lxmw@qfIZvx{Miws|`4Qpy$d*4OV!L`>aD!j^iZVB5KV zdHHg^=Uu3U43PPf^P1?~T+(_s?aOeI-%qvlmo1K!Esn#tvy!Y1%| zt?k?7c*?z)_9mClaeByQ;Z6-B&)#~yHV3)Zcrx7kKGhAT*mS#yZQHr6%G>smCcfgf zJUdNczs_uKww_-Q-Zz@$SbZI*_2+W+{9XZ(fo zS?=#edYbiKCT{qh_rm`}*}{$Tv6a&tnHJskZn`k=U7+s&j6L4x6a>-^>qLHj47Yyy=>Izz>3WG`zTg*GHc-n@_?LtSV+=;spKa2Iqic$ zDvOm%7H`tfr~wt0FWx{TQ;Al-7L!Y+TCNr|1rg_66TFTE&2@Es2JsmwAxc*g%~Ih~ zzEUF3qlA+dP551X730%s-cih;lp~S)u;X`*3KMh`LL#-8aZ4Vl?v+K$Ix+7Wr!k|l zrxHMe(&`_E%PKkQ8=8`%k8Ta|tfD>JuHy8@X+Gzno%oE?sgz9;?M%T&rZNF+GgXBN zxoRuNrR90rFx}(#8E50v425b;*nUbev}V7uB${!#KDtQu? zcmDxV%HzRchDoZHF{`0mw#jm)=5knv{z%p!cFf@PU`gLli$P@lcQ{Nhq??STp#pKLEY?P;p20wR)^_rdB|&`5u#JJTb9bC%`iuXnw< z-gY0Cf4jL~OcMV*3&RZXN&M4PeA5F)BnmS@s;4Kh5(PXeg(>;I$-K!qAh&|GSnnc3 zO8mSgw*pC`XN83gxq^jPxrkhk^zXBVFgA0BpFKHV#wLCS(irN~Sd2(%x42lG&H@Pw zcE$*P2GdycKc#4Cm$=#Hurxp?11q42`>4Qql!y5EqedRqFHSxJ4EMz|R~4b^Og#cX z%Q?djofo2mwn#L2D7AF}YacOZApPK+Vp?d-~~e zuF$}X760v>cy}fYC@}eqDA*Ta_B%wp(@8vv$0XL16DoSCAbl(++V3HKJSEycAbm_H zGV;pz_qs&8nCDMcG>yb9$wm!+hlzk2=5KK4WWO2 zuQ_JSnMaWhO4r7**8REBkcP$^V?tJ&coaE*JX?uvYu4jmLFnn!zF6*xMKf#jx69{V zrRx;l+PfZWI1OAK^mq%%dpdKM~Ow?g*yDnxs9%gZ|J z`Geavce;M+Ve0tnPgA7sGW`T*EB}$k`FF!dt+wYwFVx4iMb77Z&*)F~-ECUV^zcPz zX|%M<9h$C=Rg_?HR**#ce$_lIc|mkt#y)dKuZ~%m1dB>3N+~A!g8JChO37Q8jJ{>m z9c%VqICW!I z053A#p+D=WD-(NugyQc7tSjStekM^Drtjbx9g3L*laI2cuaPo4v@HB7|BtJ8j<4j& z{)c1R_QbX^v2EMVjqQnT+cs~Ui7~Nldor0k+5PP9_w{@J>b|E=RUN$h-0G@2)yI~s zAFld;zGHuuMC-aaS2I$c?&kxXvl;y>qYcmY-Sz+IVE50D5O%%RGG6~0=|9~!1hC9w zd{RPZQ;fakvL}~zPIfI~c*yP(t>utS-yG3@B^UOx`sGjk>1Gc|IjbytO_tkXVp}YE zYnJGRh__h0M_0qzXDULzR)>SBKuM}Kk*yG^6u3R5zR zK19>Uy7iAQOTCICZRoXmzV$6K-DfiF%8_o6X@TEt`zsIX(O6Edrm<;))+Ke)&S*5( z8rNYpFM~b_gU`XRgH8*>&%w2TUI|0b!McHN0p*OanDH}0c#iK09y}l4?64a~eBN@y zwIG&Ej9_nJ8ZbODw4msrH9+Ws#sbxWHb#gISX$6E(40nTvHX*iL|$wg48GrV2kcE9 z_$)mdwtj9hI(27m46XIry|AIz4#a zi8fv`-$6Dbru>H2*zANXuFNRY>&;R3(M z#Be#}beZVSs1!}!$*!fHxum(6(PdO0+F@?k9NQ$+n%BiOKiT1D*ow9N=(Lz=Yp^%3 zLtJw|=H=e_*%saDP-8>M44;8G1$qqj5cmcJGa_w((L$gHB?3(YiwBzwJi@_c+HQXz zk;yw^Aqv3USmK|=Gh1P8k88eIb1-rsZ_3Wtm|A17YiDgoT)UdO+Pze0>(1DS&3pmz z3GxH_?}FTNJE3qx5J2VqzebYq&$_rnm~}}S3rM?i<)3^Q?*nc|=lK1&nw;tlzO0_= z9bH>l>l@glG21b_W|--ler9*QF*^1LdI@|9LJmX@iVtK1jsdBFfI_O9zLyir%s zB9s}P|J(PtR7+f!G&|9_{2|Vjgyut$#92L1OZYV`7EOe@&`y<^v_=NYIxxL&uIf3p z7P>=v3>J0Z=Rr^fs8(QEL0AQtR^aPFNCoJpU|m6(Kzbw2(flF0{K@92gS(SvePAHGl{e3A9E-2 zgWkyHANxNXHEzw)2>~w;a(Kp{QC|3C@)VgpLYpPmh)l1!Ed)UMVfi8X5&6M$Ao3vd z!1JIDKnx%Zzzm=aKn)-bzzv|cfdu8Aaa>-H?W=v$t~pRINUk?7&jM{X%_Fk>eQbim zFvOsif$>3a{=TC@VL&n?mIeq<2rW2%IC}6jaJs-$f8V|jmmYQhsQrhl^yXTT7y;;h zBrRk+5Kge{z-b_s5nTh~D$*s0J6Lz%7Ldq@sR4NvnE-?c8VM{Sa0rMFMBSx!Lf}Tq z0m*~N1IvTT162T<1)Bw(1!n?b0%HPY0Zw;swo>$@Lktt)BG- zuVW?CC#1Q2weRPq?(Vk5HM`}G@jIjIO^{E1Co=at=|+48ANaNDvEbfixt)-y&vHxO z1$I8$JEUdVL+14DWL{>)EP`OWcFwd#_K+T92mkRsk1nt+p_9yMWGdCEEyW(!^=Oy& ze@`lKiB)rK&ASb8iX+(8q%O0W!A>{R7JOw!589G%&7EZh(Sg#nnVT>Bis1NoA7SPp z+w){7q`MAY6uXS^PZ!K7Sp_`5LWCRYvbY$wvi`6e>dFX+zE)R<6gIds7jncrc6(xq zvFBC89}Fqn9Gff}UIa*0Hvw_3pD7YfqFTmLC3=@KMKWkflw}m)4>%b!COD z3ga0OD9fqr!^`=yc^CM=(Dd%NyoaYO5gDcn$*MH>K90;Z1rZ?w;|sTtv|%^aKN^u~ z=E45I$jMDITuKeR7 zLM+#e;v$E2^QFb=psiBf@%#}_m)-IaA8!u|rWar&t}YPp&7IAx)mp8%^p}-?wMH_a zYOzGnj>B4Q+E{Tg9_iGv)u!pX6;Iu3oWy&ruQ7hrvDL~&pAZk=y|QG^G^}`~BDUqu zW+cC2Wjj^CNr2n)dG&oY3Wdq%9kq>Q_+6KUz+m@&_ZEr^ypE7D&fqu59UGl!xtu^_ z^;q5cOkLA-Q}(KQt_$F%j8{Ov&RiSMK%H+XLxudj>eZ+{p&mI6dg7@Om%WjF$u8*= z6#6-Umn)lk?5Cy5#comkE>**}EqC;%B^u;Z8}W=>M@SP=OqIV~u1hV)=S_i?%!Z5-0V_t;WW@c{AhA z{N6zKcr~578)OY#V>W5^a)Ao?(&!1W!J|_8P2vbYrQR}rD;Zp?)=qbo6JO6h#HK^r z_I51lsG?0|sj58vHjey|wu;~EM*J~1rCW{iY`>=4QPZdQt2lR?@SHWrMiv#YA+NSk zK&fqyfpNok)wB+C=gp?7f$yQvGfkmn2ksMNf=>+_WnU0X-4-MoYJ$(sRJXXKXPaD> z-L(9g1+U8{H^Hvjmm2jkn{)wuGNa2c_GNr;NOe@n%XCRi!J@8GdL#Qr!&0dwlR&Gk zmU(|9qhhU+de(VvqpA-Gqp^?OLeK?JF8KhyQo7Rsv2k^4C0O-!OYfCT0GZcnyM%Y-)hm+qVg(HpwQ9anPD0yYxoCUn$ULVbbs&opUK; z!$gcRdscntXWx1bU^=6`h?-u=ek)AqAOFt=+?JG4s12<07z044gJTdkaBcsP`yjLe zG@z)!9%-ITpiBYMI2;*t3P`GOnlL^!C~Z&;%DOPD60BL+Jk)xi0|{L)=NuNSmKWub z$+r>8qapPSHCPcSEEN!fSg0Bu3;~-tmj-!Cd*KcQ<8J9@RKX~xGHLn0fgCGhxrrj_ zUX*d+B6KJ-T+UfgyT-`KqnUrjB;q&nb~>-#O1}`?arH*Ca?^YDpNET|4g0?jR~*vp z?2&+p{e!dJ^M<*dW}p0<#*yyod#wXc;L=E2vVDYMUc7^^Rma+4 zdilSNQX%s6h_>w~NE1D7LYX?sBcEL$%PISpgY7!RU)z>Z0t2fro zIE2?cgDgS6mbgJ&66RIOd~4cb8Ub(1wK0&BS_Z% z7w$U$g*!Xdf8owe1DX{f%8b18Jde4*GoR_!St9uOy!bt!>H=wGr z2ul>aHiWfMN(k@Uf*RPRHc%YW+7a2SgMU#tZNQi?-62vq;)~ezi-f`82Upy=UzyiHJ0nj+cY{0)_rc$D&=H)4zmgfHH1VpM2 z05h&G1(5pXPGP0g2E{-nzDnQh``U^2hOxK_&>F_JTsuC9d=Qb?O*=F_oU{W77#k&l z-cSz$QcdL>QXi(Go082^MrmxzEx+#dixF6q7Tr7$H4gl2T1AjSFp-ga2oicsHInN1 z1{oz)m?i90$&ftYil~4aOtje(WK~$XjkaG9*uhWU(SBzbWs8axM}qGPPofJJYw~JB zVx6RpPlWoM7x#qv#*tAyT_%#6z%DdNO{^l9`ntjS)TX+HFHBvdaD=~dq05tQPbSdn zMB;H@N*l462UODyW5Ou+Muv9lAfa8G2S8$DsANL zRs*ZajZW=7$Al)G;RsPT&3_b$c6uULo0*P^qz@M$s3I<8{V$YD{|jZA|3O(z==;Ca zh~-{NC+l(GS&CQsM~S1yU(<`s5$Gs|7QPu5${m+59Hcd(S}28KB(h``!6NbF=qMt4 z2s+e?!Vo7v3n1?y#GD`yb?6mAzCRj}8g3Viz;a{7?m?JI(2&zA0$&?aF-vjhQV!nS{vV?XdP6&sUHghC)aqiY+YT7lts3k7 z-N-7fDXZGX>JpXwwC&q7zvmN$o;JUa6sw`P1`w)@MSrD4O>k9=aEMnb%N*9KF%*fv zX*_q3N><8C^I|Mj`lcsM#i+D*4Qoeb)&3zkI-wO(1Zbm_zBR!wHz_Gc|60eQ>lZsB zR@NtqT~Jvv&rG7%Ov0R~S9=>MNAZ~k>uvYx__h?D*+gkk}&?92aV&bR;k)`*BdqwK6KhEL_ zVpHM<)*>|;M+afHIZ(6R5f*4_h>1Xiw*?RkFmvHnUss^2Nu00$zi9kihah3XxCue{ z#z;yqDWJHo^oJnR!V!N2paofY{#Bno3ipxv>pcu<72y)(84TCiD(HIwLIo7w;SF36 zqmcqZ{SRfY#XQu~DeBJ|a*0LLdk-SL^QjEKX|@tcKH1i0b-oC{)rn|jhpFqNdJ0Gx zl?va)3G8NM-BK3XAEg8~qbF_r66UluG0I_Z)uw8tgX=g;6%B$C09v~kS@MA z?OKdh;5cw1p>J;Dqz+Dxc?Ii6V&zSzk5&*kn8(oWEss{RwvGYw7MUrHxc> zR@XYUl-ATf+EgE?9V{*LuG20rd$l4u+dSH26W9ybX7{cybGLf6Ud6SAwGtZGYvy$I zu4l%zCAT*Btn)Z$T`bMGwlr(=?NsaIltVhdZN(L1lv}9L@+s6hK|0$+(8a1!>0y?o zGaWl;L9U>c^El-?XF+q}ivk%U4}OT3XDEOJ@XVCb!qdG9AWos0+*-ayVff>$b5JsY z4x&`3s6(}9;M8lxzXi|;;i$oOBg>cvON0d)bbvnM`nhc`lhMY@joUP7iSh+~%O7X8!E z6g=-wK5(sMd^SEq?q_6&T-0at{*0a55(+7;wCucHFa5pr(fT_C{&&92wvT^Wv+V7h z!!nd}noIY=e$JPVeFt>fLvAr|$+zC7Z!zn+kuIz5{obusuU)r1K&zfCzkVwg>!L5U z%Q577qtNulHw$-^$Q%5R6g>B*wZ}vNBFk#u%no5rn|9sb9{&QG&%b{s>Cj!izX0C=PLO8;?cmo=10Z8;*_Z znrGqSy_TTbJeB71UXN{qJesK-3i|95GIX#C+8ki>r~17bD+nlVc6jW>_xswD*g5ZJ z%{}2%EXS3#C!y_-awWmzka8k1;-I!KQR<*}EOF$ZwkM(Ppmrs};h=UQ0dV-WE0OBZ zGS|!b7Lf)2al)yHOuj~TrosHwn~C@HS5W0G>pc^2H2iHRF6L~h@Q)93=}t2D7(_UU z*R4*5z=G3POGhgvrgZ}4eI|9`my75|ae6mml8#PArVehKu?B9%>6@qKT;3zG27uy~ z%~JrE_fV`t=RBXoV;z3)iG(&6-u@x7kG;si5VIHZ!TDbcwsS_|LCf6k$pyEkW)bqu z-5J?i#L+&E-;D5*p*LhNb?B(;U#ZhX0{-{J-Syw{75|RpSwwX+?l5i<>xO!mL#?etmhqIwoD=B$#mlVd}ucF>l3Oucn(=a%DpgUK)Myn>H$12R^Esy zAYXy`SYh)=xCgo3Y62l&I_7v7A9>B(rB^Z9DKGGDID+W9~?v#I4OD~^%KatkR zH1lKh&4cgHGkZE)gnohJmkWPOe*gciteSSv^@)7tjLupA-^!|onZ=RbMp7|1g5OR( zs3Ca3qWt%zzDu<$jjNX%9#)7X;dUyz12u0}fn=xy^0Vwm%(Qi=P)qUw0a~A&v12K(cr1;0li>HVL64s%^?Y3lT8|d2YMPh6;!m zZ+{)xUDAezt*Imxh3UES!6y;><}3S$7D0@?^vc%Am+YrW!7z0sxbQ^FIAXsLm))x zs9WZFWy*7Qm;59wYq{T|Pv^Qx(G250z_uzjCNhdR#AO+b9KEky5pOPqI+PExXW+ceh zvK(KAcM+}l0*8^`_k>DOo#_*N3m`y+h(7WSbw%M#csoO<2%y*-A3?lc$REWe3A_Cz z?bFBR2tpq8dvS7p;|$Q*cC|0WaLwgPj!cEe%&>$hizx|$U^<1}+(O6qq&^Q;o0A3c zE-2gFhwI!AzFqaaV0dQ7k~s1AX1X)*MkU9uUqt#k=FUpT&UtCTiSG92yAE+JE-9PM zE~F~An_9*UJ66>uy5xqv$IoGNe9SJakgKxXVnMf4PeVLWj#%Z=s@AYqMd z3)Ce)xRu3!brf$Sa-azCY?jMo=rQ`jJ0%)bBhIYZz0hKG`k0@CsX$AY$UxY=l59Y0 zmWkI8SCsl{$~f6~rNEW>F$n=4$F}sHKLa#Pv)tvm>*rV|xB3P|?N^#_p zNb)(lz6*s;plRC3v^Y&N0EN1)2FSQnn+~w3+};eszLfxvCImH=sunZgP5Uf0LCgUK zUId<{_||5+doEw#mz=YzBih5A^0$g1x8R7&d!OyIO|d7c!Mi>((h z+ij{Sex^O`RhG4*E_<9W8^)$_Ad5?$5_qKXt8o9-JE$TdB|jLc8BqnY{w}ww!!QoX zS?9$-od+0v@Wb3mNJ_YGGNY3TNZ`l6nJ(NoUFz~L!_=A`qG`!#MKI%(3ykZ&vlyP) za+r!Q5AuU+B3N(pAVUwl-5MeSS35XrZ1im#d2DKfbd)L}HRZYy}8B zDZ09jNk0m!o)$E$|0p7#RlpK89!;GZ?(%FZ;*BzfD zd;uUhE#oa=Wj`E0LmT}_yh4fDlx_Z@ztSR zwqyV}Lebf2f}koXfD<3Gfi+U-!6Gs15(yPo>h@3-!fF)VylK6`X?ecNyE>WfabvZe zDJU_OJXg!J{8N5;edy%ev7Cby%|BYU+Swu zk+?DGqO;~bIS^hk*aMDNjmmx!Q${&_LFRL=xJ<00Nq@Ctum^W=4y+l~WA3s{_5MYs z5+OPWyS*YhaX0Qoun<^=>}S3C3B{3l!Z-kRqiV+xrWFsk#`|@Y^Lxd_H?P&rMa3)R z<-7I=Ry)!=7pvN1kgo2pdx)Zv+zdn}>bT4!(71ZCSIjj-yM&)Td6)(E7;=e+ILE}y z%^6G)h9Pf0xGtGYq#|w$b|GF@F`t3jv2US<>2E)wQZxFe`E@UCPd?-~X^EWD0o7gu zxeQ1zp`pY_+2JK?;n)~sf0n^@T0Fd|^lol#8v>X_ksiWooOWLWfKx_!J{WB%{_4j8 zrc>BW!M^G1g*{Zmj@pXvD&}+5E_si$Mm?dB3kcZ1Z)&bnbbjg=S}+@G1bbiZtddTG z!~GPVmYjSLz!y}qINaG=eh98cNc}Ks6!%lKqVocCw)Oe`PL?P9FGc;I=ZMb1+4kEn z4K<133N#P>mW4u`_2K7E3YvYBL7c(*g)I*|FR6E)MXMn=^ZT7F)8<|n{miaNXqNL^ z?7&NRy|&#Xw(j5!Oy+nvQb}dkaXk}Eo;sTkC^{41-6Z>uQZ*A~W)c=6j%IkqQ-Kc+ zl58V55*IBs&Fyy1Zye9eT9zAa(Z@pn@BS_NoAxXhVi1sDz5jPWg{O&`=~b?WFTQ%) zSSdH`1VrL6DT)q`2^i=;StmXiE{AR#J*;$^DGA-^!YWqcHj=k#jRH{eac%;zw?_|Xq9wNWln>-(fY;XQVenAd&xcJw0AO1YQ zlfX~LZF~Yas9|6NH$Mdu;t%rO&%}_8ha**&O7|=G+p)r+-C<~=4}%-5{1<{94{vwJ zt(y<2_}hWJ@CEu`OFH_>*_Ok0lzNdP==0zCab@mkPP^4K%`e$KM^vb(>kwqwcNy*0X9Hj!0NwP{vT!8=+yhaK1o zrY%2u@)oy7Sk{>yh*ANY&{--gLds)|+kq@Htf`5Ui+P0p+TNmbM=>7@XG+K8gRE3V zQmnS|>Cx>JuX#$Nq44a@xY2RbwM&|SlqZV+vW3rmiF>bb_4ww!XZYeH?%XB?Sy+e# ze{?P*-_z|?Rwq|_K&ImS5;7vwSta)bEq3JATgF+w|MT&?g57vI#=Wxo;er|^tvF89 zLf%2%hCl%JlZWyrlUQxPP5kbQSA%W-sQ^7(x8L^mRRMAb;1r?>BG4SZ4WhvuM$5b5 zTO>mWunAK)ywMy)tIc>4rtJ}Y(%lYsB#j*Cg47mcJP82q52ZQVb!x00ZOnJes|!md z?0ume=sgRWP1Ml2xcV48?HW7HF!&}Ia1-Z7hKh()BIW<-1$;e1G|s>2F);QQAC8#dDLCzVM~t|M>Zs|5xA#gC1pC~+7?u=zj6^hVp<6l!w9%SobwDdzqG`K)*2N_ zbB;7eXo&f`W|$Kg<4S6evZOiZnCBSbQfZIUq}_R^-9e?@QBQi3PkN$Hdd8TiXpN#w z;#lC4)0?K4$27()(jOp9;*5>%v6%R7yBp=0F#}Rk0fF? zzqh-ySA_p5*!W5R*N94&n#$kUG9M-Y?iTuHUi8Yjv4QxCg?i91#EI+nozwKi{@1ln zn1G+t$wnNJfH(f;-RZnY&yKrIKdEs6^88%f?Mnf*%$pfImBbu{!W1=PO9A>2oL;T* zI1h%IFn910xyP<0iepfI?AI1hb3b80b@eRpk@eq3(hMe{@!v18X2@ZtNK8E3ifJJx zq~mw5hMsd%J|dkMlyIOHx5`w|uqUTEl!Hsrum@S3bt-AAHft(P{H=`4j9|cLNyVrOt_ z3quvn0Za~Dvz9}=b4jnd@~B%lg;O{MXk)mkG&x<&D!~R1fg#=#4?~Le{%io4-J9icT%0oHRASRyfZOS{C zV+o6H2~ZD~_5(W@^VN);Mi8~tk7$*8z*G>I_2I>We<4RYz}i)m@JgAzZ0Mp)P<+7e za!CcM9-&n*-4*;oIfxAbr@SfJv}##y^lA8B6~dgJK8cCLNdm^#8F>Y<99vYy(dsO= zsYQpqA}lf!>C#&_UZqusR}~M!J*N+DFd?s|qYM?GWyr*}BGP9>g{`V^9Lnv!Q1heK z8-AqxKf4VT&D3$#2yu%khgTDKVHU253(hFcop1V zQM43+4PR3Xml|r%kcO*TrZ~t(9P6TKogP~kQ)%2=wsr*%t}Dm5xH0a5Eml(!5G>Ov4_r%z|ELhaVc$J>Cy zFy~Bt!6jc9VS(pQ9aZZDPS=L%m*3QUL=fPjMZa|HIkL+BKFRp(?JDwrQ7Sl?~u?E z50XZFghV(q(M@o?yE@=4l~E?{u*p13>DMSXR<$A9+Wh?Z866UK)YBH7UF#$O56tch zm|RdlVAN(+V2TLB+*HaU$==>L40fn0x9(JUNW zhJTfY^+a{Wft5&STglkREUFfSUYv>ih=3%l6|~6c>(J$`6=on5a&2;;sU0}Nx6~wR zO}q+-j``yIiU}FWILNtly->;A#t2(#Wav=YMrcNjQ zER1HE-pU##@PtHN$<-s7;7CpL)x<$sNpSO0l?~uG68+Q;_cEjq4ZUP_B@}V{NX-{R z2F{5kvdirjmX7>;X7b?%X!}2ybQ4v2s1Iz3!^7NiEj>kPbgk;()gu~EA?~ohxK3d( zQ^kw|^)Emu2iM}1AyCBUDy%+?VvMjs4{=73RbEq={E;bDr!WhcMW^VLO^Vdp+3lIjc}(ts-3hT zAR$C$P`JsU8s*@ALqlb*lB6f<_;MC}2X6Cf-Q=97$ZABo!fdE_Au3sp)x4hR}RZyTvfw9Or9vDyPz(0 z8@Q_$=e<*5qw-NK7<#{6B2jRs*f?#@r8D42LY9r&KtY+?6@nno6+`AK~NkFhgyacpwkmAjsS4mZf2yyPmqlqJ--O(gab!{S)wKR^~<)Q z7=L5Dr!w{f$K1nuluTKn4Ti`L2{7c5;S#J7rc$j|7c`!lQnVU>LK$S-<57a2RFsn3 zK=Pzqp1PposgMqM!;(U^rP{IO7 z07rFf7aS7GccFK?fJ690EF6-Ea+e2=04=T+TDFh`GOyjGFvtiU18WArH4vw?>e8vD zl${EuHI6yKf&TTqjnfDIM`g}l3f)uGA9Kl<3gk<%SXpH`1w)a>o^PR%^@|P9cw8Q$ zs-u^OWCJH>TTSepJLE|nW@~#B0MT`@BeVR5cEz03;YaUUvskr0d>1SlDlQn+s|Obv zl!ENDni7xTU2^G>1B_dVz3^vY>88iPz#@;8TQ(6!o)v0oP;Zos)L$9uO$w&ELxUOnrpGTNz27}It?=Hi0?;01a7CpW6JOrvZ@A$nh&+ctu#n$ zwQIRgj%sPJSasB;Y}KnV9Az@BW_5EKc4Ig(7uJ8_#q-;~#!zA|WT~z3IL>S66^n

}79vR6z=D2ebuwNjY^Wx8B%95w~6C26# zhIGx8xmwILX=ETGX9JKfcy(toaiJDVT(wrbCU{&2G@enLXHfM|!d)%m2H4LC<^g#Y zTuW?QR`?z)SvH|-YB$&6IROPZcD%&;EiF}%ouz|_Xj{;HlE+t4#e=(0B7e!D7Zw!A zkKN(8VD9s|6CQ)nRpe+}EzVyALi=3IRga5OtsTmoLYRpshFaW8QC-=>@I&92k&c7a z%R4g%5eI#_kr%5LH|6%Aj0J-&lH9*4Ho0r(=-w?L+KKdj* z#FtF1n6inubQ&0E3jTbjs2Ra?c$OTbSdTCL$wJx$i(8|0c__y&TR6aJEEH!kq-tTm zX5=S6%ZSQ{uP~+TWJU5g_AvL2QUGnG8E-KtXf7WpV%R_gF8t^#HKFYGRZMj+Mkr-D zM~;d|^aJHNdA%i{%Hx}A{&yddh)GMb2WOTgn`K@3+Bbyc!X&`>tTF( z&l?(;hNgGb7+%smiHycR_1|&r>{#}m1iPjlA^f&^a%YY!&>!qwd22K8CkPGrfeA_5 zp<`zV6oCYX74QmDqixYKGaQ#JEoVxQfz*h;i1w^N3*ogWi$gt+_NpiZ5f}(p`%pqG z*$?6H-;w=SVHI&hkecuK=#3A}S9?n?PY0X{QSqCveoO}ij1cs;no_Y@mCE1!c;vU- zNM_i)LozQ_RPwb!nb#>VHJw^E#l!bKRq};ESXaq5HC>!UYUd*wE#^ulh9PxB-)WJq z(L^0N$uc_+`Ezz&Y~pa3nD0rma;4K-PlNm zhiXMn@!!d=;j;_kgNPyAw%aN!Z?_zf^Na>W)H*?<@6|37wmm%`PKUN@d#rA6G+S-& zT`d#NfHH#pw$|vsAzUW(b&5bWjqqrbj`0ALVr|R&tTE}$Q5~0;axT8SxQQ?NSTkjN z$caqqT>h%k(^@XiD4_m0REytv5oD}0SC8%ZO#tKEDn0kk)1g8wl@BSR=Z6*AvCe)9 zuD1!|#|z>7ICx$|-LzA+)$mPhq6OZc67lQ-|&&>lfQOV1gKmo})lJKh41 z9=Z2bp3>&euafojCMiIiE4?SJo}Outu9MW6YTEhL9ldI|1?63zi2kzapUDf!`iQ*f z6c!3?+0^_f)M$of8d~D@cJpiA@i5dZ@r!$QQS0dQ11_eOs#06ZweDJ?UCg?Yu(_A` zCm{M$jx#xtB&Ur~15cA3Uo%Pi$AhM{EFM9USxDI+-o7E3&BW<3lVZc%Pukx<)9Fjc z)h`$@qB4ScWh4Hm++^?3*I=QfqOj~=#?&@+L{D*a8+qrlW;(^nJW!#aR~S=W_Vlf$ zi|X5=B-%NPdbwduGV9x)t8)TvxWJnz7W$#`wMLNV`0?Y|S>O@2w$k}c0fpVvmP47R>UQ)@ND z&5@EFPSqM17Wz?cKlr!#>-eXW7JOxHkRc?=TPc19i}_gbsKir>$Ntl}4nq|O;Jv$~ zqvBML@L$YY(?z=BG6vh=%OXKzNMdRGKUv`1rr_sn>bHp?0YjiM+8Us1;(k$Zf@r&r zJb`R!X94cY`Psqo5U+fUBm!-g*iS>tyX2sM^Wd#I6HSzbOc1{~iMa!B-MR28h-;vT zVQ=H@*EjRKl9vO@wt&)XxK^c+I0nhp>ZK;OE&*q8ZJz~OcHSwhHn@5v<4R2hip0i2 zzY8l3v&H{XLGxKymS0`A%=~3Savx4|Q!yj6)=T=niXMLbd2FH!P+SEl;&gqM%hUdK z^r(8TZ^^C>r3=dDe0kKa*YJY*03m3n5KM7zJ{#l_)ugA6e1m{oWzQ}2#Q6f=0EdDS zNu7WA{vNw#{k)_bm0olF*@{Ky|I77Fg8RgNQ#JvIcVGk(v`^V#Tt(;5y?)Zj<6+_!yh&zour=xQcbb? z^&{222xde+r$IK@b2-WAKqO&s^Z;rUY7A=Nm5Xqs?q*Lal${(k5PLDTeYoEJt#;>y zow27ET9VneF-F!oecXan6qt+CMLrOTSF^cC@u? zc7Jej5|7YP-BGXY-45vw0iUac{kbIIX?71d+cu=T6n}o6KN+OhU@ByxDpXb-K!lx# z`_7X6n??WQf#;UTy&12)l6YmQKM-C~u?*0o{?SqQH;mv_+x?Qt?wrc)iD`e3%~Z%( zRj9B!fCXA`XjF0im*V-k;b5305_dA^Q*zhubeCP%sX|JlOid&Bf&LiqeCvqziU_RW z03K+;pO+Y5W!>5^2HmA2H$ITqc}q25Wqi7BJ!OAW30~RRT*2BJv29+!81U7N+A8A@3#4;Lbg>;pN1csy@xI7E7p2!b1N+m(cAL1 zlrO#{WGL=W@8FcM2lZ76^Etp1eI@n5v+QuvMH((#*6C~W^c z2t_4}wUk%2`}#4mM={0t6XM^#@5vsLHbbZSayz;6Jy9HOzJ-TW8xPi(YCfH}k3ZoE z9T@^y#=MD<^VW7C?Oo}=^nCe}oCBq0y0IW^R|pss-*op+1!%9^skHynhlAo9|3i=9 zxn2CXT?j7730`5?SVI2cm*lyfclWIJHjR5?LF##`k_>MDr_GtL_IPj@3w6h-M!|s~ zWH!xGkqrX)FUuzryh`!)sQ0pr{lA0;KV7B7R1O2M(h2)!|-};ZM;PpH$ zoc?hvHqY%cKIGRD6G80; zSBkg)v}OB+l4`=X*w!yeKBt1(x4}jJQ*xo_S?2wZuMzR;>t*`aM*lLbUoV))e}0X$ zkQXD%n|Nq&6IoF^l$P>lVqQKqy#uaDhx~W?=L3Y18^Rqafr_c4$jDql)A4>1v-Ncc zFOtA9O-)*(*>EX?tVl!Ay_XNZD-zn!_n2}6{8{^W7XrTSag~|fP@-z==R=a5L7ZBA@l)PUv z&L?mm=YE@$vvZ>c5eiUtFO%%|%O1?Lty~<@`qKI$_3fCJmeEQoNrCkI;>0;*00R1u z-A!j>E~dne=D_UjEz}-T@E79~VZsikroa>2#Xq5cgxO(*0E1jKRKK=MRaYg;Hq%M!$$oWA zP-TCcN(Do4y3)a2m0k#72?W#i`(@{H^W=->6|_O~vGnU4)4b0r-(lu0!NZj2T(?cH zZC=+4rp+w9|^QogNsr92QUIqr5 z->o0xD2#*6u#VDnvFH0>Ob)lR!1EoQ1F?ur+}ZG0eW%}CvM-gO|6-Yn|AumDOj~`* zjy9V&G+psoFYn~3aypy0+U)6$t7gI?17-NR*9X&yhAU6&<7f670Dinq|GOe(_Qh77RM<&T;zyfWG_O=E zT|%3TS0{)ggMRGRLfyV%~yyipNFL-M=EiD?LM-Waa-^9sz#$A%wqTgWZA-Ejt-nljCj0 zfkaJpnb!rU+Wgh|QgcS&qJHug2QYA0=#*UHAr7O(ZgQ~MJkdJ%Mj7j$EaBmL4>tC@ zj`?f@{&Cu}oNeBTJuhBj;X$}Oo0iF~W?_ApCUss<25z>K#;xD9FgqL_2B3N*9;Z&k zTG@kAAvc`tS~7+(WQ->T;1FPPuTf*Cxe}kIN}C8(hWF_1?>W4TbZc+!d8~ z)LZFR&SD4-ysIiVdeUQNL?%Ub%`}$uY9%#fz?Nerbv6sO76S;+ zv{u&guot`Lc&_8zAoZ8RW+L56Y**FwLkKVMoYhy9#=0c*moQJYRx+x)g1=}U>e$}g zGLl`CdP`wMcngVj(wcxm{9%GKUgC@O%9rYE_gB+gc-QtZVh?&b{1!IUbm%MCv80y6 z39&8~D@FI3$t~KPbFK955Y8_DB69s}q;)R0FQUl1)mYaiVT8) zsc4S1lG3hKOaxP>I|-|-zbe-ZcIhFn#+Vv268Ga0EmDjS%ASw%4$X0FG%|8^d5W&+ zeMx|WhN%A|4$#RG#_TrjQ8O7!lU_)-_Qg7ExS_b-I6^B;->>>aTuMx!;=Xu`&vmFUJgWetZucu%S)-`2H_1H zV}mUh9XQx;#I7XeTO+E4VOmhKG<-=(&??VYFGRmL{a&^}7`phft7dNadqZS@O7?fW zpBnoHG+=jg`^YHd38)=?{{OzGAjGYOkd6%ka&z>5yZ=1ROqX))U5h2q#&&kfGh_@X zG+Wysn(Vyl@4=+~^@J+ubp;KZN(Zg%(8=dOn^vTcx(&I;VW8$5+K-ELY-|}M+Kwd` z7eBoUBokxCnwc|xGW(+sRd2uE9$p&xx;T5%{BjcTNRCg=6?4+o9@gIou-M@uvWRydg8f5{WWN%zGaB4zxf8!Q zct`BwLcF?X_C7g`YOJ#J>@NBy`HtanKmRKG=X`2N>Gz!{8Trfn=b!k~Pw&F}Xn|ZW zRvU{#3%^uG%v`qq*1uZ$7jPtwC2#8Y91WZR;yF}d8araT`WECnsqSL{hPmfn$o zX3H7zk9sE9*xuRN!u**B((au&9#(B^R^jir8~VQ;an(Gg8{(DldSn9j)hSc=XTwpJ zPxp6q9+O{2Qe%>!$h^^d^C4QsAY_mzf{PRL2JU|U?qVq4c#dW|u&2u4q%0I2JgW&w zzMpd#7i|9C`lHr0AuyIQK0^bR%jO4B5Ao!W4!{AGaUpSJ_I4q6QXj$YaH8QTIVYT&>?0ayxjP!wuTvN^_$zO zGW9{E$Q4BgiUn8D`h6;zCYg|kUx;mCI8!$QD~+aHw~gH4{Y9WI#g=i?$R@_K2jwJg zg`Z>w1M-CrBnF~faivTR;2;5XM1w|MyJe)T2Q;;4?kNPG3vneG9i(n`p;!GsK4;8B zxgf4}ZWAR25OY2MNGfzm@36oSi!)^?obCCRccr_# zyIX3h<$v^j-{6 zn2eo>8VBc*Plq;F7=x)tyk}0DcDGR~Yll5OLcN_3i4JzZO1^eh%lnrJG7jNC*7YxW z7sz5K*7lk0rBKOJ>ol$rZ&!vu_ zJwM^2oS3(Y6v}9*tA3RIHM^(l268LSiGU*;l*vi?N3IZ zgFKzf1qZZ`W_ldkeD__h@r!|RYFpuUy*vv2DuHP#$jLH)#V_^?o1dEdvO{rDpSL+k zhPvwqsj-86m^;!zS8X{*_y`5@H+P-mq{VZ|JVk-#fnoDhT7LgzmbQ1dRp&9m+Dk1F z)V9C$f=IVkT+(@P(>$sK+4v6A9WPij!VS0GTGpaZ7V0f^e~LWh3&SbJ4AH5K;CYbv z^L=fOZA-Xlc4%Axo@})(W!#AR)YpLFKqpd~wx9LFNRNW$KHPF5=-yU`lg`p%t5x(# z%cl7IpFKDIxrZ)3s9whGWU%q9jn<>1Y zZr5m@K|4D#q+(I@8hJ-XsmNGpxOCI)0Xk~*ApU^aoUBoXN^8VcXW9?r;g4+n2gAFD zoyY6gnDY+OY+z+sS|Q)Aw^eU=*x+r)PB?*aJlZ(6(#(#r_SsBL6sfOYEu!QS4%2@^ z^D=OD15YZ1Q@R3u^UZ^ik8P$1831Bz=bMY*;#ksDt4O zc7u`l{XKKIcw-vEiF(88z~yQ{B9YwX`pVAC~ zmUR1`6{q9)R|*fd8OIuTK{VKSA0>G|ckk0MnX{Qn#Y@{vL7K6I{P*xT4#iJhyI%8F zrkcE%>R)cgFwnjAa9PAh(>1P%B*RHxzBzs@3Rif}#Su3~f5oqV%*>5iq%6Dlf>U4T zQQkxgR?}{5iT!YJealpm!u*5T770FmhSWng&8ous@{i5Sj@ZxHI1&hViPW1FCP!La zZ0snDODVlP+nra$U!LSmkZ9c%ZR)1qziN+MMJuWe$Piorib+O?8S; z_LYhsSp z8t43T8^+4K&FnYZP%#QPdVBl$}*U_E&h80{rSzJ;yc)j&Vfqb_LUj-BwA%O zn+}gx9R*X3=mg)1^zyC3le)XY&KclgtLiJ`?C~z`i_=0JrBkB|#BvjEC9g=!K{Z2R zx77}D)n%gxj5|)j4o$CNzNK0XmF_YPf>fj|+hyO)=^avEfrH+4eS;7<cnTJ`dBv&uM~c%Z|~nN(@f1a)VCxYu+yV!l?&*57Rw9BLQ;x>risc^#@E zZs1Jk2F}_hmRtDh{ynhs@WWYy#0W=H!^=y{w_mhA>%`}~0wtHpV&|<2T)|nJ#Bw^z zrFo4^3)4B9jv+}VoUW9xG^>w9pojAxelJB09I(p*^}ZMI=Y_mi#(9h%7J0=*G9?N=*!x zqKBIk&lK`~8e*4KcbqOx^+RbTM68~hGcAXIZfS~JeM}HVM|JK~h;sTNl=h=YnjtR3 z72@nmGB*SB5cYN5r}qBJr8>9X!90~R*FUYmvKrsu;oPrVa(8wTSlGSHKP=V4x4Mu- z*_>$k@$>Q4N5zF%J;&Ic-bW78{5KydIv&y&TE+e-mL5mECk$2o_?jZi&feNw$$A{^ z;nQ)YsRrf@eIx>vMmrxR2F05@Dl?b@${qhR|I~~kscJ`TDt0x|h?_0iRXehw&67r- zs^EvM^5ZHj|7nbf71oAX!Wx1HbP#c)b*9)QH&GJM#G(1B+pA{wL73;R9Wpm>Eg@c( zZIOQh(+?^t$B@|MC@qMKmQlw>mR#$*>X+Qa&M4ob{i8$(vEElxb0^jk+I@VS$fQmi zHO^&jbz#l49$v17lvtt#2y)D=CILaWqOl-;x5XXbm+#D<>?;G+L<+G?(4!6>8YVyK z8Bf!Ehc42QNLgjr@~VfdK+F8F(8{TWvImi`WtMTTn=&WT?#mzDtkgK_5Wc#PN=2CR zbsy3Yi|SDxR+s#C{uPxSe&ilrqm*qf2(OY>8Tae8#S?C&da{v1k$y4-QHfn-`uXB@ z&Gtrm%6_sBK-z%5v4LQ-Q*n|8?}Q@KMMQGEjKwHNh-?vTSji)jn4&D|Xx?ubz@)%j zAu6EPN=)#fwpXN*PAJhKMRs|eVkPWJftJZ3JtObFmg~FE`pGSFm8Ahq1*QvaqW9~p zks-fT>SnLT7A*I(;!(fzs0>x%#f!bU&>Eo+vu<|sC>sShL@UZTDt}^# zk?$DuA^2#dRlWVO$wya)tMJDJc4BDaskV%xmgq#T^2tM2ripMRkKh*tPCFl)x?#SB zJQ`mIq)&HESZY? zt9&`;?Z@=-bP7uqQ9_Sj!Mic{K>}tu3g1M2r}krH!wZ6{3MnvzTqQ2v=N1i1ejRV7Ax8eslez#a# zJr(zhg;0(UdC^b*q~5$xcBj8g{ruj7CtIwI7>^{Pr+=G9we@4zz&W;@RgDwh7tVyY z3R(P-2J-?}%`$Rxg!AjUhSsB|4LSN5jIW)_;yH5RNxS2Cl8CaTodb2y(STvVt-;LZZnYa1A_aS(urQJ;0uGkn1KCu_ z%4S@sJ-6NWtr;lh=DrR&x2;1As==EE&5673XN9QTcZ+p5rHwnpO0J1B-`k}V79!;q z1+1b?Q!hrLj$P}yK33y~{fp8iFmgJa+b=3??+=&V5=R|9{mtwY#m?M~?dZy%8b>W{ z%Na^tbv%H1v*Wg{lhmxUk1Kno6Yp|=exp-d=6Kh(+Ar*-YcH#X^`@HEkBqJeuWim^ z7NqlmghCnr^G<|}!;A+@6ZNQ>!j-_sN%Fwj83~rA@1txTnQ@2e8ZJbO#vDz@(b9QZ zs1dO}fh(35F2X?e$-{ekzKtR|t4i9Nl~=J51w_>j@bEy%hW$)0m-jhqX2|8yN37TO z90H&<^CA{Eg_6oPz^*fMockQ32tOudcQV+kCo8o=5{Um=_f>z)v1?s%Vu%06`x%$+>z?_G z&h=a)t8o`#qTzU7q|bqZzh&>S{4H(W;PcRUQ-P26CY(BIoSHl)vFLq~f3ju$d(c|0 zu2;3^({vxM`uA}pTx2Y}Q;pCG6|!TgEplzF_#WSF#CxQ2r2Su2e(I0;r;9E>rTTUE zPX?56Jy`8U;nuLr?i?e%8Tv+ydUn4!lebyH5rRd*lvx9ORjXK^X^`8ncqT1`n89{U zLfIX$UjIvkry@;bV6$$DA?Xdn_op0=-_z<^@fTN~pbkDMXqRm%cm>U`DOWODtBR5? z*0MT=%_tI zIq&onL-kkMPZ|yr2Lf|jNh$-C1L;MwX+z`a$x5%n2;_rk@SI^I`>D*HhQgk@N@LBA z(?p4d$poT7t74CNp|pYPpmOq$qCfg@V2(d zYJsohVoJWJl(YftxHoB54RexqIv74E)T%8l)VKsr&--(_%4O&81nr!PJN>?+k?_2t zRI}OR*xxlzl+!zPJf#P!YRDf~e|fn<2eh0!KVkONfQ7#LXSZjhbf|4Q(cU3?jJ!H~%>ZgAWyj!>y)@NCKv47gCP#`)H%r7mVPQKFSzxMptF6!403 zGVa2EcI-3x>+sqi{=Gm$CFcrbAVv>f+ru_89+E8F#&bLR|D&?Yj7}^V=`L!@jSrJUiv zHN<`f>iaJ@WbU3ehy8~go-8PiYWpaEDDhkGIfwkIzgr$zTXj^KVHE<^WBMOI#^t&= zR3}Q$P6+LBQ1xF4v`_+ft57WFRU79IxU}(Y=6hMz;B(t^hEVaj^R-}gUS*`Sf9~6TtsV)I3{3iYB-76 z!Tq0=b-{H#X*Z0`+$(5o6vkvxA4GYz)o!0je5_tC_?C0DUX|$3AD_@^JXIO{qb)DcqpE7yrNo>J{-XGWC#flCeIlRVB`OG zpW66|SugagtiU;ffu+!<%zij3@rD7HVdaU0sMDA`{HaU%U2p`UFQoYh;zPOGau?le zW!}j3aOv6o*BC3kpz({Yd9F;ww}V$hQq8A*loXrS{aP(;JazYAzGFT*C*-C&^wM<> z%c7P^W`$S5u{OxJie3kk2g{@!HT*Aa?@>z*fz>0n^FUki#x$*()2mZh@Xvnqdjh>m zR^e=d1O~#QFOZl}%`dK+qQ(9shp)_pCnm*qO^#;>RV@)}|_Mn^KR8j+VLK;Q1Aw^_QSSOP+F+_)k3{b>WCJ zK$Ef@(#N2;^9x~3nj0`ywz#_Z_@v8^TW4i#*;u|KeMigk_P(sCdU*;u@)|=&=VkkI zZSOZ-PlvqlwdhGu)#(dk*nK8^~3ILjWKh=d<6*EdAH&SDI7 zNG~8#IM~)K_$z1uWVaM*#ww}3z1M|<-YnNo7nX&`nt0BQQhN)Fi;MdO&eKnC`^uVb z+tSmmpl31hu+z4&l5`k+0ptZwjh8QLzMjR=acM4{^7=Ju&H_8`?Sr|joX6|lt!xS3 zx0*(BzB z!k$;L)+Joo@A*?@Cw1;tX2av5)isy9Lz9Iwv!;lvLWBoDcutT{C)@oDN-JkOoCcES*m*aUU{g{ZIvz= zBWv7zt&=q|Vt{n5`aC*;n?B<;uZRzNF{Cno(@wWWrL$jTj zE@E(H5-rZxW24`#fHwq5`Ri+%t&i*T2M6}a?CyGRlfxL0hxY0ZhACS(j}uq;*nO=A zNJ$`mYcb-`Y@J5FvN<=trgtA@hR?xbY3|RSsy3cC(B`Mi#;a_< z=kP)8pg&A>^xzqBYTf7H>=TPOX=4;`BC%&@R3*Rj+NO@5*KxI_m05!Md1v_qd9%t% z1QQ1bmXkV7yDbi8FRJf)7gf~E$DDNJXwFmIq`w*K(@DJ^aGC87*QUvBHV=XN zO@5P-&BPl#4^K6bkF1S|Pze7KVkN<0KI^1IQl98ucC=r{;uKNyp6xS7al`X!`)r>k zfl_keYL#>=f$HhGIjZw~TH~XIUu`tsNf}A0 zm{;|io?L8B4zA{EafU0=+baulRPu8$bSqgx@HNLO>9FdJU!Am%na{avdB{!lKTMVn z-`1L(K1jXWncbX}lSiZj*l zb9zzdAMW#T*$~g-pqqQ=f~W?5>y5Z1yU2WNWZ9p=aLAl zEG9fGuDcF6$1^9H%X;!l%&?BJP{6-X`^E(QDB`s%Vd14hk?n@>jlJJtQ?-B#w&P;{#k(i7{ zs4^o9A`Qll4L^SEaTZIA0;g6hKj*`;(GBzbKpdVt(2r`Up42HH(Y%^~mHAw*aK}{0 z$dZ40dXcY0p=VdNn_AY~Akq{wVmxKk>kVb%_<;v|qC(G4Qh{pLdHGs1$>&B)Dg0e#DycVcg<^Uo|=fU*@WE@4-3qs5j_rg zYO1_OnD?hQrZ0`{Z^jwh{J>J-J8V*;`(F)Bw8YF*a}6F35eW&pMWFJ&LMhA#8RM|s zJRF$}pP-G_5H;rKqOmsqW+?WoaXL?mwR5|_Uw^&iWZ6{u^$ey#Nmx}^RY`)>T5l@%GzvkUZ|UGl|fa^cMc93f5xq* zL}HQ+^l|G7ShkbwroNO;>&VIKG2FlSpuf8%;gLbODNaH$skQZiZgvQ3=Y*--7?BZM zT&HZzjF=xS>q}*J>$%X2?GU`ZQ>QgDLA#^%+9i&Rd-tvh;cAdGn9M?$hv;@EUHDhP z)=ZE#zsp7dNY~BM@-$#d7ru!h?7CBFPEv;0uGMkB;$QMyuo#gtAuMaE=LEID44 zx-;J^cpop_C8HfRG&xOeWPUlUzlGhZ<$4Tl+@B5+=Ez8|PGKX+rk1Spm;e)o+!m<^PbKnt)^^l-+{kuIMmN}4Hct?8YL)isC62hu ze(9bTC&FEW>=9;}@)cBZ@q0z$z{;IAw5r_xT{m)OXXQsPmcyPK)?1>$rN|W=J-8nc z0yj`NY=sLG$7KXD2V>okiNC)%{|2`PfItYeVLrrWuD(hA?1iUsf zFNG{vO5qS^IOOeq$6Jro$ZJUC6@>P-qw7ll9q6d4o3>P7mu1n2MQG=%g4?<>+10nV zLI+v_2JRv6Hb{+A*j!HPPB+b1w19cEE$5V<{a-9d3CZ&L!SB zZ9-G)fz+R9O)9|3mZkfno^4O@{R(l!+tQ!~FfsK~Ys1q?`yUa$=NQE#dd{AWJ*R0x z%c+jt;R5|2=hH*pb*IG^kaaEp_XXSogh?%bW8;bOn;$oj1^NBMmgJ78n`5MY1pgY$9&zJ}xItmL(mj27N42KA3e(+$>8`*q5RHT0-0-8^qc%cN z*~!x0?Ij(ealku$6E<|bM2cwa^S<=Jxx8w<Uxm(NYvFRY@>pjkd+GqF14l0kC|oa2^Q5dD+PXN z&^ETsU>#ubbo=hv8wi@sJ;`TK^Mx+z=RB21Xb7 zV^$0c^vH#3%8H+lFuBDD6Z*h})3K7+34JNZ5u=0g_LwZ@fsft=Y7=7CGZNX&(551b z+i_C1xpRp>q!wq!_><9(d88+NH`=S<&2Y2hr@}V}o}cu(K7MmL#>?hOa<$V2IUWnT zjr2&U%&QD)%4XU5j&pVW!s~jNwgSzG{D<+9rt49upq&(s=g-3{AJ0=H{!PD}=3k<# z(KyVET9!e^_>)I^UGu%SFQ8Wx=|8+oqJMaCzE_78CHx@!(I%v4?HRRRfVQ8Bqke)K zVvW!pin11Z4859Dh1UBmxj|iRlzOy$nRh*BC0~g&f$_qt3lMbN`12mTDm{)SZnC&t<8E?4Co>0bWjztjE{p}J>v^? zW(>5rz5@vz{O8b89=F! zzPfF4J_rP{f?+>073Va9<{a!TbUb*K- z!I0Qi6ZYWMf^(8R*^4sgb-F^3%BU#&zq)mk?( zqgq5WTLpoi=&+`Bv~76sXf9Lhdpz^fv>&do+iA!$$9JsT@p{G`n?|f;{|jv7SmzBQ zs0;_S2>W`4-k%PdW&C;blBU-rL2(sCv~tTHYIF5X((es($s1Dvpxd zOU9RQ?h{F^M{5@o`K4`Nm&Ck&-r9U-U!KSIuN`WKE7_+F9&a9lOQ9pf9jSEJOR%^U zy62K6p@Ght>%Pl#{7|B0^-ne{whw%JL>?qCqWZiXx?JD|-0bNq5jUtdVH!((z*gS| z|H3J0slZa~R*~RROYf;82u3{=&tmoRxA$eM4^;c}w3$@-Oo92}0-L#WizUuI{(y_?U!~y3DR(?e|u+ zHs(>Sj|GjBV;3_9viQHy6j-mlN+P*!1izL${1W=0;YtT}(aqYb-0Bwvn+LM>xvEf^ zZv;=kT`=kd6fThRTC;}=BXS4+BdsJO6@*Rv37txv#m_ypDJp0 zT|(Ksfqk?r?~*MO%hOsD5RykYztXZB&b)|$INqDZ*leTA=7-xn@iimm1*SEZNnk72 zr7@=#o|MfR_`>2N^&pNTIkeIv4iekmi7In+DELXBpd!A_`viTMe2$z}X$TUUZM}Hzuhc64yhTAT; z+OH54f^!-etR{eGb7X14M8Ri{6qj~Tj3Qz3*oDI{oFW)UyFTo6HxPGg;&GI#BQvCl zFFrEg;&fO0BFI5eSoIU;y%wpaD@FjDIC&@L>8Ji<)gU$)U%|}^eV8rCx}MeewS4h7 zg4KM@d2`ZAX1`!A-*`1U$?WL_=8Ua(Fw3UXn#twe6J3ul&Qp~9C*UX!Lu-T*6dt`m zHItWjnzKJSRrrOT-kKK{tr zR($!Mk|0`hZRX@&5nXVsT&NpMnPBc1%T(Lj`Zvdb4eY6T-+65eWL z%NX3}d|jR~!Q#9mR^Fi(@|L=2x>YCTWz8}~#lp6+i_jx*yQ#e6=TW$pR}6F$8L}tz z!(|$c(D~Juj^&4B@tAj46nh-dle$kfof)6H4=+@pIk&@xjqpFNz4sI=jnZuw>+NF& zTBX*>`YeALA!(QE$+wRWXL^_J+SX^J?JJ*G7vW3M-5T@Vo7ca6Y<}Gnh9dDo4+#%V zU8Eg}5LdyNks_@h_t;oSTI4v9)a}tyi`C_>;b>XHoM7FA6}RqL-GWECU89;+ZF8M^ zZ8FQ?wiAwr&^_^y(-Hi@cB*l~eeq!N((1B>rQ?9CsX*vbk2mOMCadkvVq}G^Sf0*R z;o98$k>Ji|$)(-Ra;#9=sWdqbbMCn`69smiy2uNg@&}CtlMK~9Jj?n>wm$=WHgLE- zsi$Tl{ha`I{!IBzQEgLv*2t4LI~KegwWK62+g8$i4y*p6DN9KYW6x7%6f? zeE5YX(MNCBWBZA76?QpfA9ZucY7?3y)G-dS+Fr>Ew^fDeFNP}hQ-tWlSH-dtCWvXg z%R}{<=|fix-q-SlX3DATPl=;iGM-wJ_jz<#!6AuF@inpw`^z7okHZAB|qG z#+!KF+SNwtE^e;HA5eP{L(41W0?$Hmbi9`R;>oX)TN^M(=q${9`{oi=2bf1=~*?m&8C^nr_2Eu!dlPtrI5JOlooZBsEyF7 zh|S^+j@L$B?@3RdgNHs6UOK>eT0-2D5EKayv7f(&7==o{SU` z@){xnl|Ou3j75KvlE0;ZV_#exX}aDxH`NNii;yo#(||)_YwuN$FRoTq-EWZha@vO& zz1Ht;$!`u$xQ@2&+i$MQ+=cJ+dN?qU5&gn7@UPw$C*b?()j33Yhc%7R{W7-jdoDvZ zRqZOByGuw=0hC-g#AR+*KxI+M`{o{<)bV68Z-ND1peZaHk;ot;umleSb_Yc|@o0Ov zdKHKwv6|u|bR_U)Y;l@d9U7Oo!K%(Xitc6qVrRk{cX2f0OmE{|BP8}^v)%Wb7dxU& zG9!WM-tj41nUf}n`pZP`zMFp7vCyeCjKFhqiP4MkXASF0)>)kQsoGC1vS0;d^&e9T zWQd`VbOASW#NmS$ljE3pTecvagyyZqu0^M_>g&D1Y|?M@dyj-jVx(E?I|l9a*q_S& zO0!3Q)^DklaU9GgeQE3NY-yovo+?Nr)P1~=JQsnZ5#%$26rG8l5X(3d=ED{C^-6I8 zVL@M7w8JS#M>z+4Qul`Brj)Hh2&uAUM7iE)X7lwooCM3rvFzRV(;`hzJyIWI4H*i2@9{=^@Wiwq$7Fvm9!MgK{z`0qIN$-E5``mDl87taPW?~d6 z<=`}zyd#br6t-?*oqhotf_;*rPq45d(I; zvjj{cK^o-F{JA%JVeO7wsWc?4QoEm!rL1&O!pLHfvbzj_ZnF`yvO{?E9HKCZNU4#f z&=zKW*!neMA<6Wl+;|jgG|Z5?kUlD_RrW49rPrEUM3euTxr&d_bp06l3vavUp*6gL zC4cMX=uFY!93@)iwcDr6*KaedTNEAYo=7fqlB=j&rDaU+YS9#|-_( z-U(XR^d1x~j~lS_oF`z)3)1*08{?tnVMn(V_!-*lW&pL)*1!9l^^)$PA$5ll-g{dO zi4WTHeq&}4Dv@wGOMZ(t(Ejh|vohZ?6`NgV)s`K4o@!9h@>vN< ziVm-V&2K^_VC$kIjnWyK0z_%E@xF^2ap*AdhLp45*OrkI5U z?t~Fome z1M~Z-u$4%u#Xq9;;7*8w2(r8=K?i^XgIp^I>rYaPxnYaGYh6 z$V=&8zy@FEyl7+j8(CL^tWvR$&L@4?NzrCs-!C2j0@h$3AY_%wxVE4dGE_a++s+#1Kz|2jyVJQLym+q)6JpPBe1 z^v*L9=fl#L-?;M)#d&ir-@6<<@5ILnSv;}VjU(YLodulCBzdFiES&P5&QJ58v?0n% z$?XM4jc}fGSab5j(bw`Naw&XXtIXtiQn17RN8iOUbz6@upXOl?KC=<}{5EkKW6G8s z!%IVo&56z%SW61MCv z+NvK=5dX=-Zm9mm<(s{H$xuIh%)rMfGR8y{vuXBz*k!+KcT&tw%}G9s7OijnL2hJ9hWQ8tc(3 zoh{h2aC<)tW-EA-?n+kpu%(67nnUPXq8g~sJMx5C?_LNLk2#mV$-;#R=fFZnNVQQs zUU!ZbK>)#Jj0hqcXFc6Ghdd*p8Dpz)xfycS_!=tn(4$RsUB!yb{3)RWVvISrj{?|7e5=TgdIYrCc$g3)*Kq8<_-Gv8qq-8d~{2O7Gqumh#v zJ?aN@7R?9XD5Xb>PD=Xc*9tkWG#FiXD%#(*{nWsfQc^j-0w4qUNPh5AnWu)(`&phnP4Rdn=I1-YwGVyW(Ck2u_WqdeZ_fR;^+%T%S64E#5qyaV9*ezvB9zfl70 ze&R)2+2_CKhX5Uzx{m)f0Rk)v;H2|^ISCj%K+^q=0KmX+0OIJT0G7uAPz)!~qz9~+ zYcO!?0ZbFOn05FK4)u2dcz)GGSO643fbGH#>_KH$^BpVzq9y>kbiH7Y0>Ju>{!2}e z=b`@dEoSI^d?S1mfKyihfU_1DGFhVMJB9#f4oYi;2M>UofS&`LPcpi}{aZ!j0g;N{ z;7)ZAUgqNUI51$QervD+Lt{RGO8cy>c2}qD3jmJ3130P-z*PVYjpM-3xC?Mp?tdI@ z05~f5o1=~Z;tj_MvH-rh%m7ClY@%cVj^_U6XaNNHa>stz9#8TEViS9f_8DzL+c)uJ z;~m!PM2Nt@A4vciFcmNOKO$~BOjzdM_^a&d$2I_?=XeLbBQSavKvZ^rkDj}$v-KZ9 zQ$w*0aHem-e&TJF-g+H?2!&?)`f!x|*`a|2sc4NbDUt0)N#MAOYH zl!rTlB;2)^HIW5nZ#+dakZM?g0Wbs<14Dp0fG{uwjDdj3H#Glm*f>2; zfN6mB-;-}%Io+mj2Jq;=5m(!Rs9d*#o33^sEA*Z#%;?0WqafgZ1$8O<&Jx&kHI3N` zwna5CugC1X+v?R5_-4q8YSN>lSPC%MJ;j>YgoRAN;9~Vu$erzS29h|WGd_8Ey9LMO-AR zJ7-UVP)6v_=?Waw&YP49SbhaO0~RNNinXs`cQunvvJLWwSn#(J$U^EI%j6h;qF!vh zK9S1_Fj&vn8J)a77EirV)xGeGUx}$m=^Jd`-a+?>SGwoU_3-$CCEyv^y8Hf$;X>GZ z03BvRACaH&I9(7%Q&mnQa645^6Sm|-q>G;V%r95aR{qO65OY*{KK9b2(|U7v%kLG-rNqU&6#Dbn)zlm>+Q0E zFr7WBxQcfVSv9@=5_)SsX) zAvOD6m6=?ok$G+0zxU0+z4n^KcmWko0CkF|r77gF`D88A%I`IJ20vNRP2sNGf`&kOS(wMTY_9;XvNTN$xu zXr)&5$GH_RK@TWF?&0|0KV=ts{QM)JrcgjlR81VJ{@$93{?2Jycs!!O86n=^30lzF zwv_zS*PpG>D&i4==>T$s9=E9DAu4^TJdWo>e_oYmperE0sy<5R5RRm3N>lcq%A{g# z`0xn9K(K;bPIbG5A_@OlPw-{TKol?cUY)^L;al2UJg+2W|8y})J%=3hTQ&OD8-SZE zPeP9o_6!eAx`3)T(oH23ep6io=nU%r>r6-J@eojM8mKS^sIcZJeYyb=qUORr2?Jd20GJq&-uZBWS}7f{Zps6SDOFWkV34YXS|Nb#*L~1@KRJ6V&h(9`(@u2zwM){Ha1D;Y`bn_b0x&x6>ZN*AS@bhfuaFPc#_K)}ukD87U52#$LI541lP&7|& z-W*6Fm5sL6ap_Gz=WPdU)hwe`90xIzez%hj+as%#r{f=>-!nFwR^e2;KU}&h^1iZ8 z#dA4kL5R)QPZp~TSd@^Jl#nw!Db!v@?09PhO%al4hikMl4#T$q^`$*%c%sE6#CL#=cj^eo!{blA5dADF>V6ywe;zGnX4H z-i?;ri-yr#yeSSco!sQcM z_*_I%1uG+~Pzsil>$s$xbGX#9iy$rjPY^~Zs~d~J8yP()8io0NrQ9tVJtB{y0|FK> zd$Fg=b&iZJM%Wd$Wk0u4En18?p6(Y-sIyMihhnu1RmsobtPkJRGUASye&xWeQgQ}m z+BHIWnDA&{t=36c$h22P%~CmPf0vj37z(^JP$<)0D8bp8sU%U3j_pdU_-snIKqL1< zvuw`iL}?jEk0#li9`;y~6sHz8uyy+D!o4z1t=v(EMCrcnkgP_8blGib6z7VF+Q|Wa({g9@)r}Zi7R7VXG%v(2;eLWvS z_j}LmDx$L**qc*Mvx7@zS+;IpCozom^%#e)_nwhmol_^%1u~4;<7r=&R(4uzic8o8 zoXJGHAEGue^>kWIBo(V&n1=98JaycCwaYk`?i9ke_G(u)E}sLOHE0pS*Eg*ny6MKC zBmlH9Q4pfv0JM2u z$gjVpeK3t|)lkCd$hN7OczE`DoT~bV;OF_$-mG$%i>85?XHtE(P>G$tg|b}4u)bJ~ z!Qy%XH}9s(VFZ1b0kC2BYwif8h^}Cl2lyZGFoL;>n+BID-#=eVIO1t@PFdj}JSH`P zM{sz|VJ^LCs~tGD{1c^VtL-^9V)EtL>~ni!qiPXs0Bv%hh`2Ha(c)m@(#O4~(OW1{ z&kg=5*5QwNI$u~~x7XCG5lC-hSeT+wXjf5ams{3L>_czzpfCj~-|qio>@A?;Xu3sh z+}+(_@Zb&sg1bAx-Q5Z9?jCG#cSs1X!Ciy9d(iwt-uJub-1Xmc*Ilb>*WSB()l|z& z*F06b8YTcVw#zU~TSPX7x1A}He3L|OI>H4sHaCWZgZ1C zvd&oBD2+seQLswOTdV%I&X~8Cu~SZs@~?~U&}iO0+GpEnV5Tn$7>+HA%8+I<_E$Ga zyTjs|>2abY&at98_kbOGt+B}Xcb9*vn_zw4{oks2LM)JAqq-6_BdVe@to_!4sBl;4q)hpp=dDH&mX<3O*pGv z@0Q&e$Wru)uJ(mxNL!k6t255b{25blvoD^>jLP7ayD1@1H8q;^#U43~R9x7yjAEt?Y{6+`2N)5c$-w`HSJFsdbQypWRkSuVHJpBSwuviOj*FqBATTtADUn9F6V;mPwwl0miE_bVGB%&ZN z(<}&A9kz10`=o8K^2ht3E59H5LdAquZw&9iVC%cFUZ+hlh~U42H;P>tDJ@2LpRTnATlg0;9d z&iN`D@<^vJI-m1Z9Lm2i7(T-**T=l)JF$P=Gtr+1OB)ND9UiJ^V*$;NR7J*Xmv&6{ zKuQwQ^B9Dt3gJq`rV?R>J2Sm}d4wjbKrV)<-!Z;n=8B645j{_kh3N7ok;Tb!h!*S( z#l8CSd2{>HI|5co0Qs9@?L3Z);+zDj1Z|zEx)dA^Mj$k@td-}ZdV8wgULLMyJ77%R z{!FvI*x%wv}b9CxIR%F?w}koK|{-eB@GE z#Bh-3fN)xkY8C{tHlO0Bw29dS;7yat$3&3qWt_Pln?YzCM&wXr9HaO!El|%A{ap&v z*g|+Zm$=>&kW@+8`}n}Y@h2~Ae`9v)#fKp4iz34v=6F|W!GHW+g#1~5;v)9DC<`Ai zB0{xy9~JpdG+DD~6+dC_Sy>heAj_weralGHjL!BReOL(*BZHaZ>BTa_6W$qChcbe| zX2laWv8RB5v>cP~H-Nw%;SCsE6I(&eXXQ>*!YY%(2jkeMNkRvUZI3(+7Z$I8dfyNN zZb=mFT7J(nakR;kf3zt_>0~*-UDgG<7l`gW>Wm|c-f$#e-|y*veXTrzwVo*I3GVle z>ZpLMemMvZ4H(vVFzE9RmJMEv%NpR-#7uw(le|Y7%y%2BHaG6j^@+r5Csge-B0AEC zgz>)ybRw^c&^h@7k0b>9EHeI>oFpXs>~!~-D+dcZF_Wzc9n%NQD@q88P%L-nU{!FA z@jR%K<>YP-AB+rhMS53Aq>=v^5VNP!hFRapgRRaj4YT+OGWO$OO4^G8L8ez`!b3LY zo&_>BwE*2m8(O+8=}?k=i`cba<;2#4?g-?JnG;Xg+Ub&4*}TLqaEFr~ftcF# zU3C<)d+GY7$=tr}UqpwS~ zGva*(rzf%% z)$Gy==Bw$YXD=klPx4J(?6^Hfm=f4itngD5niw{y>qHjvMB2D^Bp%mUy&? z>`6Cr%;}OD&2oTE+A_(&Ic=F#Aem1`USqf1@H#jX3#KTo?VBX(9M?mv8OlevG|S&2 zbz+}nv>|QRhI~(re^H!-kf=;?Lj#5lGH13X3;Gn106e|gma13nlmLs6f5SHIJ zS5O5mJeMh`Zvv;MheFb^ZWtY@X}Nn#@&W^z?+1#TFY)njzPT&6vYiv9p~Zr@Sr#&c zV!0D=sZ2vMv!K8fco-ELymw6N($vlW@k{uGyninx1&w!o{ zpfYv|tY7`GP9^aSbX4O@x*KfDV$5%t9SxvWx`$@?Hbr}{gEQ>a$^w@OH^GtA_JpIL z=Z6TWc`I?bdo^vd4<}ZWs*j9Qwy=lSe%eh7y+;f5z*NqG#5k~-X_72P#1~C!hChb6 z;#q?8B(jAPK;eSOD{f5M!`_kB7~Yb`89`+Xj&E9;*<>2irsp!M+++k8%f^szi4Z1h zjciHV?p;#hxbS>tyCp;F@kGyOgzWJQEI@+k$;=Dj$u&fq$3$`lg94z}5h`G?@(V=r z5XS*gX60f`%2f#%j`sODXpkVwF_DTDSQ7Ypw2o(JIT0o@G z?)qRDLL#^yIg8<>LJ=q1-y&u!)88S+80Ru4IEyBB`2wrlr%E7J9X(eeMV#6}l(z{^ zv96OZ+Cq%&-d@zZ<*r6s5vP;oArrkD30y8Jwe&KUHaW!C$)5U}OjfL{ zH4pA?0khzjw{d-|l7k?+j;msbPaq&Hm{yEKg?f%D+{@KOPL)dm-Q?h4O~n4zy%!39SEiu3^HJ-0Yq`rrEEY7Omzq;e-lO?Ty+RQlwm8fKK8DE z=d8N4rS@Z}7azrL`{#~X%q;=Fvw)imd7VI*gN^CU7k+}AFOtDG7nY81#K;p}MbuXH z<7beK4LyzUpZly9a<({ZCLFUkEqKPW*mKOs@f8HH!e%WnLrNxT;N*QjN?_IoPxpUv zN`ozkJSbw!62pv-QYJIA&_G8jCdxjAgHefV4F<^;eq`am6kMfIMA|**lxqkXFA)`u zO!fOU+?UpGB1u7wOjC2^@exOYQy_Vn_pbMpdpPdS!7}pPfg>vTbtlED@ZMp_a~bZ< z$$~Cs8}Dd&y5;u1XX$4_#SI~r6EMLnj}YZBFp_b8h>l=6g592wHR68C`nZ0|@bDOg z?(%{mm9)2|6k*(>r1Y;TI&NPhBe zTXo6MX&uSX<%&3jo$k1mV;8{i3O|Mes^K@_D^j6REKLh)tWf zvN{;dU?Ad#%mIeJVbx4H*#!V~t;EJ=(YIdsjh*pQTDNY&Vfv0-m=FPqT8udYM)i)@ zAp^Z5m^g@lNpFzDv)nuwS+(56iCS#84TJH!8)4LT3x9)k% z5+5JuKy*Hvu4Ce|>!<=QC*@0`Sav6^v?D*ml@){yv2}(FCS9t6)-3&$5)`>v1p&ac zH>xTx&tS^2;?ERC1esgAOCKCK57pWRX2bJv9N0h)YfR81n*u*N7%0r5g#h($0Z6L< z`;1g+b!3yY7r;}vH~7qxBN;d8>#F-Aoz{@tkS`9W#TWsdr*+G*y6p*yA$N}6i4_0X zU`V9M=s7pZlBZ>6U%5#OVDaH?L$87<-)d#i2zFI?0y#{n(NkM**?Lg)$h1?SBz){%m?Hw7@=E<}` z1Pu1{Mhd=2PqR9eNx0Fyia{CULm$)NyxZ*2rJgxwGIuuC0~EcYYFJ zZA4ML*9`~M6!axi8ly=5z}lKhH-@+dW+^e* zmT{ny55C`sMW85#oL`zI5#`BM^fBZshG%R0Q4otcTda?5!rO!onO7C|k9gnCkp-EY zEc9ebbbm4pGO=PiB@r5dU4IQYFYJ;(T*_A2pkD%8}TIByqVxA;=~;Ywb5;;WM*i;=z%bCTuv6ZhFX zfnq}*FWc_2<*0#YAp&pYQmEf9uIR!Bib=wf;Vj<$%brQCn~wNd93= z!!QL~yY0LNrkF^3^6VCVm~GJ@N4lS6=3Q!~7k6QS95I?zQ=+S={>gwoCQQzNHb$GC zvpD@by|8?)MuCQnebHTkG?gMLM#_4AtJsj)JYw}T(@!Co6mLtTCU3q&@z#d1EYj3@ zmP1HujLi#;K@VE#DZol0f79h}lZJ{g<3fv&kN$-5l>0h1%9lUei~OfcFXotJlszSk zg_{c;wmwWr1bnVXX7F4KJ1#fZJ;}QUU}5Jo;!WBh(aKL@*c>+Zq3a?y-A2knmq{EI zr708Yd^tAlq;aX1PdoRjmNc-*t!j#4c*`kRov@_*#ig(;a*B`lrO(c&t@nFvV8=Tx z6`h?8)kgJO`SkU6YDn<5CH&XoA5-x-Z~MdS54&pfv*V4H{wLE=+Rn4rqr{#vH_^@+n~%2b zwlNwsZjn!BGo!=qR#5F#5b82`Aayd6+??r$?E9N{?nPoup+{yovF(Q;(V^* z-xL)IW2FnF#iH|?1&U_-g06Pr9QwNG@?R~3Yt~Z4;=HZ1OuH5pe3P3JZ3Df%ZQQp9 zS_&9Ayh*nd-y}GH&rS@~+aQ)7`8~YLk--wv%GTX1KTTF^rnamu0%SL?N~Mk<4O;m{ z1mKZQ0f%5K(_To;k z7EK{d13$r|tQvs+>|L= zN0T*Kza9>CkI}n%zqaYZ=eK5NILU9MYX%q>hAJP?l@)W#fN4gI*4H?FC~{samnpr< zq5_mAV^`xqf1!{9XVoOJRMX(5l5?{z+a8I%)xOhxx`VQO1+{KtSSk?gXnEC{QI^T4 z`ZZO7=;&^Ss(eN4N&2uBXmG?u?{G9Y+p33J>8E(m02M6 zr}^_7pU+|d0m2l7O_Dfpnai7QQD;!T&@Lw_HP$*`-uI-qbY*5<`lIW4+4ObU-KKRX z&LgHDdcKd2jbHh#rA;nFMSEC=%?d=Ww4h$);Ou1|eEsWeARu4?&5mqE6w3v1QkROr z|0m`2<&+4lH!L7?Si|Cg9{N<+w^ggXHy# zEYv5bq;ymxT7^ei_O}I70mlRVC~gB84xC0w6IP%1g0)p^j3jp8bozf4>$JwK9*&Ir zC~*U8Js_Zqcbv#GOizDfq6yVka_8&8a>{k0LXWN@5FmO~4qV_wQZ9qEF89Kk$k$OL z34IcsCYdrjLK^bqkwe?rVhQYb*)XF|4v)XT46d@2Np7089Rrg<&MSvE#8yg%y7dwQzuo5N z%+C_{cr7Uct{{R6stKVGWU8QrIbQ!^1^J86K$H|~n=B{DKE~un%Ki}O6Gvb}R8b&y zv{oNYCBg#KQ%IeKA#MgkkX%}FG7^j?S}@UXi`+0$LQoVEI0I^90FQ>wTM}!3{S2GI6uD4b0{LdWQ+EnTUg0K^#|;hMfH?`Y zoDO9_j=<1>Ho@hQn|ju%4~4{V)e8=W$ki@Un245qvrR@1jAf%S&1ifC@n%%6?hLdw zy|`@2%j|f0=&r}5o}TB}e`xNJ(LlrCf6?4`v*&*^ReV=4Kvd^V#tMWce=`7)|Ch%3 z24@L76bqt{iESIiL=WF^Nb|+(jOm0#q74UBd_y#Pw;7t?G#CdwEYYj9!W{UXHlwz> z(eueqlP%$%sR(+7BI)%nf9f9vg{LxmYL$NtH;FU$0>fI2^;z8h<>t8d4J8f)ck6%i z|HZKH4Dw$hJMiC#?CdY&Pm7=ia=C&~l=(iJ$>HT<9KTjM!`q4zEQ8yC0slFOOny0Q z`@dk^{~huE3w0N|QR4939R&svYz*J2Y~?#cc4)@_W&PeBUAuQ*q?%`>D~NWbOZ}fL zqsX$2>;1o2nXHbU z2WN)B`gb|c^~>x1Q1~6*Kk5KG4J^OD8q@t<_G#n~w$udA`x@yk_E`U@tFElCesA7t z2Z*M#7a_GwbSZbI4M4Jc`^l$qF;A^PRMSlzLsZjGNvzj8p?W#WrNq}Es^v6nlRBr` zSHA!2MHT|f55r}EH1@P2B@0`81vmCoCL;^mpoTQorQo7A)~A3mfJKwV9Q_D{RUL$l zXy6kq?BmA9N)pQgV&lNVW3d)}DtpXEs0`c90j91nN>h~?i6(C-f%WHO1mLMbpgCb= z#am&YBXmGSP($MUa?F_NB}7rx@x->9llNZ^VALVTyQvGoffC%|>Q5x==J=jV5_!_Bom2`y->Dq6cXAKF*7=X}PX47s zaV+HD?+xwQ_u-S{$QLwW6EieS_$jTsUJeR}xDAVKO`aFN$mby|+vN1wivW zFS3!IYmyO}x83+;Y(~kEl52tyd4R=u6=Wb$a>;YZ`YwF%Q6+EN>f}%qW2g0)5kMc$ zUz1Fph_b_qP59yj$EfQF4aezIU>Qx>nzAy2G2j*#u{VRBsK-ZvVj9GfKk0`>Z+kTNHM-lJ=5uN zpCodt1xN>J=W~suB4{CZ_pqJz+DRTEIoAMVr0nyfr?Ak6b$%n7dL14ysv(=EDw`Pp zXJ4!SXJwaH`{C-?*x-S!`>Sz!+49B|8d>=L=`JGYKZffs@nLcB?fl&q*+k&$^}|<& zqUR{X!SExXRV;3LBIreDu-;Vs$f!QlP571n+8RN0C(iqxu(-7atHAop{E{Yk5&!$> zX3^n^2~3xJLu1j$rMhGgV1($~kzXSwf zsvioO#9x_Kfd0p%_9d4)d$Oscp!Vp7lwQbK@c3!+^&Nei!B^Nwop`5R!pBg5(bvRI z4@(-KH*e!W{oM4=Oe>YGQ&yA}BY{Pf48g%3kZvCD$l?PPyiAEVM$%(=4+qmI$&w)$ z)N_iCWF9{hY{cSolDTX`BrC}6b2z_(Go&JEAa6t@OYF-TYQ*S<(6mnCY}qyGx>L9M ziKQS4sghi)?-)`X*7-!lumbtDS*m5x`4R@PWxf7nW$Hr+N~#Gwj6j-a_YOXSr$7<- zBrOGkR+ zOsLEu&jhcSA%>OKnv|~K9pGxumFUIh5~HhQ#(o<^yA_JRVhrp@y1^abQhn?hT;D0g zAUp1*SY@tm)G;Q>@z6RrL0!Ihlv4gT#0C9;u#{4A`dDifWXz5w0m41nTa z*v6X2^LwN>;>r|OZoxYSz@OijOgy!<^aG-^Xb)Q1P(n)513f5knj9~K zHmFAn$5b30f$Or+eU zwVyNst`&+~=^Cf}An7BJzte;{K4OG!F4A?oHQyqI*@?0?q3IYHM zj3~`7f%YVk2PYpc?l8Y?HTq=BrDQlX=D^;OyElHI{0YNqdx-v zO?jLiBg|QC8mxJ3GLbgeJ0cAz9^0523epRbd^P@9M?7OzKE1QGLBk3j z%|_JjLn0a^?J;yA=b3kVN-=drp~}i~6jgOmwCvD#2IMONR?+xz0MOk}r z1!89DK#Qs(idrT`<|{s}YrXwEoF3r$#{fjoIu14e%3UTu_`jmc3xtMTtM+@X_+uTkQef%PN6YIY0YB|;@sd^^0F#ioU}*!gC~b)%+~jaPXlkM)lat%fi@jHjaMgYxJ#dTI~pyA|V+Q9&cZQu{ zg_DprMs2lVeg1*3e)@dM81jv*A%pQoe7rO`%uoA{Mp0W)GA&^#I4xKrE__64Jiyci zx$+N7?qDNK`MWqu&hCn#ET;z;vNVj%DKK`TtxZPUGp1j!X()K)so0QAht3maz;3?? zXh4^D_;=Y*0tx8T54f~SSVt%o~ec11Liwz)!;Dx>ywV^i`tF)h!_CZWdApa6=x zGmFA78IIGdtov#m0)jT9&m?+AMHG85Wf=(Vy?VW59bA9navfVvL7t6?7v>>yrH$im-u@H-4XER*Vsk{2)sXjR~GYGAmN)2wvE%DN>)+-_0iB zn6hk}R&0aYBgs_Z0L46_UlKOuksou2Yn8;2&iTl2vSa;7#dA_3>IIei(G0=2zw>9q zM^NoSG}Eh`P=I;BJ$Cy!x03knY6 z&cDToiGKJ_{Y>@)I+AyvEP7KzcQqQ)ew-nT2UKu7==Y!aeO%=q#qb;s#$~7v++~O- z4TrD7!imKrCb->%C?<8EWBFRWLB3FWY`3wjoYxs8^fxaS+2A9#cnH@rW`X>@7-;cS z^c7RZcQbnDALn@pn4Pm^C|7%tX!e>i;j1q~=wcF9uT5admK$gwBywBdFW!Z?N3cg9 z@TYHcWd^m?$w&AZu`=AbENyHx(EFcEb_>nkC8{PerWWf_$KQT=PN zGTA&hH&92B+3S6{BwYagIO$)1E?Pdb+uY2U>C0Lif?Ii!D65ygjQg93$r9H?d{XYl z*2u)grRjl(XTuG@0PpvM%iX%KeaU@+D2gbUd;&tH6oZ_j=oLf-3kQQpJy1hFL(hvf z;OE>Z5Ag|V@RBOjMAE63{Vp88VMtrW9JeWSc%0Cga@rz5BWz-brObtW(+P03fjqTm zj5Q7y;y#Jgwig3FIg&eq6Gc}f2h*PMHanKEmii=*n; zopVDziYLlinHB{|1vbx@6QV(FPGP@6kEVY88tfOt1@xp57pTi%ZAoDdMrVPHgl~}7 zhN8wn`ZAQ$PT>HX-mQ_(XP2h9&Ys5G#CaJw(WM`uO?Z|a7;`c};D4~UH`1!!(Kv!J z$Fd?6lhR{MS7Rn3G+BZXG%=~Z5{KmIG(WK1vR8^p4_BLJ^z@SW>_pt?SUNF_kqGxu z^fOHO_YRhoHEdMQ!t=|ieoWE|G||^41};a%Bp?@TLZC}^F>yL?Fxy@6)JgIo`@qx9 z2ou;b-ln2RG|g>kf$rKN{n*UUtk~dBm9y1vbzZVxIaE34m^yHuAb0E_4jqzOpDM4aNvzm9p9t5g z-}Jb?yfQ!>?tDg2YPDp3aT0|tBe?A~ho8GG_ykSWN*nM44G;VY@$|*73r=1@BzsN(7J)bz$>EXbBpVEr=|Hl~oak$#MaJ`;pPf!~^GSw_NuS}R7tr1m-*cK5^%*!@a zoRn`UJ)l{heSS*+Z5+^0Vx?TX!R*~{PW}I1(VS%CUAQX^UHxA!=cBc|&p6 zBfpy8;a}<3EOkhc3~mFKhC!w>P^Ptqv^?H^x`6V_Gk-k_ z)!gX3^$`c3j)N;-k#rKjG-I*q=U9|%!U?GXJF1R^wL*fu;$$dSg#2l*w6s;nNRdC% znSRHe>~+K~?XP-O;{ra)yv4uv^!Wzun$1jz<42w5hV)}bog|w+H}gS?78%$i(SDXN8n2urBBT=&LbP^M2?kI#wSvE_7(0#Ler(T31WB&8QN$EqXgP z<7ibws~zc4cLnjalv=Csz{G*in;R3~Ezt|M$i!FAd@8?HqOT@ek(uu(N=@@h9m`h0 zp7*wGR*1eTEiII2ew*_K&hwe6pFl;QdldmfpYc;U^|fu+je)QWmRDCcbLz-$h*P;* zzNsX9UFQ0nI@O6o_hYK1P&N4D1>ucq0)oHzIGXR{kfqEg4GH;A8ZKjKPgR zWz_t`&Ck^GnR|OQT&*2El|h%6dahjq?I%oAL$XaM|9M`NWU9V_N?I8k0d?yd*qC5W zym>06mAl#4YQf;VEaBvowHM6%Hv}3BFXvk?R6muHSsInX zSp|c_SuXX$WJ0yVS$EySS$~yc(0qEo*OQ%(OtV%NUu!v=v*u=#i~9aqn|k_af7{c@ zytCZQpw3@W6}Hk=`Qwl9dfq)Z6WP&wykTlxNEejYqY9sl+BogNx4*~ zP@z*SSE71v{cOB>#8hH6TX^1h*VG_P&ZazmVx$`)H#rcR4*<#Tsw10o$}q*Nt(E`K zY;a6EExSYM{nc0ImGY^LLvUyI@8o|U0*9ZwC35CN?20uqrcuRFyUKxMfs%=O1R3P% z#pc5~q!S5N&-4|$#R7X`A3%j9H9(M_*C>?{xz-d7$?G#&_ChoQFJ06^LNvk%T^>d| z$BA!up%k-K&J~{wB>Qfm;@mB*_-qlDe7p*Wpv-igN=k=;D}ELU`@*cRI!+!rZgU}> z4fNhd)NY~1WhsvAZeHNs2#|wG47ApD(ux<`M@70MS-%5F-Nzq^gnDYrEs|!dB&l{k z8_2z<8I%N?7(|3XM$DCF&F|Kp*GqGsptN1-y=P#8gh*q+=sL>%fS8V4^HcPa~g} z?HG=Cir!7)x(XbpXfGb|ePdVL@ApX5+aCA2rD*4{7lP8EN)OSgS4bHKni&s^8yJ87 z6%+Y+hNm!{BkqtomeN~#C*m^(%2!6(B-xumMdqm8q=`A-x&|@kyPWt(oDpE&P2=|W zB}-sxzs#-5!S5#VL_M`At4aHhOb>B~;=s&%+Vv($t5U&lf`W-7$>V3h+@XYML}#7P z6SEBqQ#ae7X4PX0#!e*1YNU7)I+q`W=@GR4y?O($9rUYoh3O?ZhvKQ3cV(wll4cfy z^!z6W2FD+#p9c+c9<`O9!t}EEKQWJgCr`gEDJ=RV?mV(s*x494*!iuqyx~VlKi$ak z3}-2H+}t`3q3qeMsfkD`6=C%8p)F%YQDc3XLZevj9yG6Z$G+!L)=w9Qv>NROb6@jH zDQ5dRv&8^K2&@tD)C(u%(Lm*aK;;FjsqVCNH+3zzU2W!Nh0fcYdAr0N%Om%+h3_4o zJItG1$AOwso!^ntxeV@nm$Sxat~qc%__w7kV4*G=pA}bR`$W$MzxJ638P3=UrGHIQ z{$jFsR&?%Q2=7z-6)K4SNt&#z@Lg%_HS|npR!Y~9@h-`>D+Q=9OCI@ZluI!a3^u^( zqzX<|oCaPmS5dU@8cnZ@qmGB^J?{S`$0mKEPl{GKuuxn6p?ux4+lkS6___iJ7xymr zD@=cqye;&w{rZv?wn6z+sF6xKt$swwXE%1c#Hs&NVb*OzaW)p2NcyR{Z{QrqkL2z4 zaR1l-)*G{5UCEN@{A#b3cF1__SzmQgwFzZ(tVIi#&&r~N#`IU+Q`-lQ>B9lwk+;(^ z^WmlPtQ&#c>@!V!0qMxDn5W4fN0&9t0-&a01i~t4(fjEme}_KgAGL#ypCrIkF~89Zxc)%Y>WUp!!}{Eg-5XqEW#v56gRo<%}kR%W)jn4?osWhGV9 z)vrQ2(T!ds(G9I=ctu;?v|7yDawSot)kab$(ajMwH$iIJnIZYE3ZX%Su3kyfdyBY0 z8s;m+cRpZzSqaZPXvQe-;0Sf7C$!_XuEnL^E4t$z(nRfntdYN<0m9A6qe_OsWP0z5 z_YW+I8XNH%hLA)HnVlX-i6|xd5K20XyiE0mEHqNc9Pi9&h=yNik~$KeHMucd^Z2|| z{%}_@M3$%_o)Rd#i&Q)#;IYw;RIt4+XHB=+xPi5#c2kOT@gDJL1-yd4)+W%n@(Nnt zNX|G5bI(D?(v^Luzs&FOuP5>uo9;SGNyl zPHy58v^%P8*3%ut*DhIbE!Rl~TF0hW%rmQ3YFB7kMlX7)_dmyyl?aM@j5{ z8o*C$gT0otNWAW!N8xrLs=>7(%CWUiu{k|=pt(}j`81?xh5V`}Kd(iEU#DdTT9wGt z&nGj|s%h1u?s@J0?dj-810P9AtL?6IHO1qAzhm3osSakMp~L=9wJau8tB${6QG-9# ztkcWq7*)$Zm#8$$QRjB3r8X?!b`*vbc^ZdMW}^>?STXDx9@z3YXTVRMLc5jZTGKsu znpOj=e4A5JOI7)0=X6F#XW^5mt(naB=ybcp-Z#11v-iNtA*1EN+tWg$@si`TmJt4C z+Py!kEXipuoCkl+Tx(dG;Gtf{FEu6Hr-oZhEWJ=Jd5vLd!Q5(hKLrQ~zdD)sFUF&| zr0h_p-dv@CdIaOIolJ$2bd=&7lN$>6rLO$bF0CIM-CRs8Y($ddTC<^fyCcygN^hl& zV<@*U<6d!|Id8BAOA>xy5s!HEb!=%YvY0sqWcM;pak*!-)cZ8YB0qA;ASEKP2&gbj z^b$(0o+zaVELgU5SS+1WB9AatHK0lIV{P%YCoyBkTQq?)^Y*gqs8C`GCw-y`aI}LE z$lF3p<_kI4O|~MN3!&siiyIW@A;8TP;9%~Z7Qo1x z4$nCXf0FQwcBhmfJf(!7o+k^$5Pg1)`@xm$gl*5i%qi)=2=#gZ%&IyYtvQ>+Q8Ps( zi(!O~gzX!qS6>QKU)ok*64EdYB#$|D6JH>X4CR81v6zFj=L!K>e{w)>{FoicQ{}Ls z34SG()RJr3grh)ZwIy~A^^N;O3ClTUS2q|J$=_1|2$h=Q>tom?Kpd&8(t-Hbaon&i&8}TDaU%GYndo+~dKi)y{ne zO+UFRpC-Ifv2oL|(#dT#nW;IP;@G!=>5x{>>T4TMk)6zD8J<(5Ki32tid~}NET>4H zS8hcYh~oI9d5)>J%94>^VeooQp7`lW(T2GRFB60?5t_tH#2zZFk!z5V4`;~6Ijqy6B#MYv{vujUH%OxDz|N;2`T zoJ)#Aw}rfi z$m7K{?I4T|ZI}!*lX^^=X4x^O&GZhuL4M!|iO>GCH2TqUtT-gi0PO@@l0Pb@op26l&3+zvwx3lf-;sS znU^4^^|y3V2&ByU?J?Mts?6%ASNTQhYi;=#^t{?~1I`4H2u`SNe~GwtsJh|2`z6V_ zV=(ez5shh$+UD5c*}?`C^w?11&mhube*Ki{JHFTc;bS2XC|2n;k|kS7u$)P4!(44` z3Z7oIEchn4vcki=CiG*(;Z$g8WqJGInUL=M{KAg(f;3O4)hy+rePHAU_C686oA)MR z)8}Q4G7$B5yClNSiqFduQ>dLN#HNY2-0oHBJ!)$g0be}4vTP8V6V7ia?i91?Cwe%1 z6bVOfXWG3}n(&*7qiz?MzDT@)9di^%b|0>3=u4RR%tvF0JE`)Ljb@|P0A@T~_6R*>m@az&bk7b``}6~P)E9itI7 z0xgnfz?YIyXiBXcpGGxXHU7;S@yT39(=$z|Vq2yKUfE5MhM+6jR@~!5`X}A&Ntt7F zIZk0U9WnXU(NwT)f7Z&W+VL{xSn^wA%429$sWeW?3g!;%cuzs|Z|LjoB=tZQ!#?g& z_Z?mCIm5~}t)V`f?ZU#r2j-PFp1A1bHarg#L3?XK^Cl9I0+Q@H@%YsYnL{yL#u9|A zMX?#v5@VpZnKac?oA+SJuVJLdb}<|2;h%e zXvHbX*Rg??RK@KDVo?k-=&&lf%27NCGf_!+n4uZQ{c37+R(d%D171q}^cpX$49YcX z?HYR$sk-VUmDggar4<6IX7e9cz7{qdlb%U`RyyGxsm7ru7gNQ6;G!n?RgKa`Pd1l% zp{}jrK&Eb#fr_1h6`6ZySV5k4B3FU5<)mr2J639`t=U#?APAiWkCY93hYsf)J_&?z9jOegbU?S_I?t6goDC zNV-y8Zw2}Myk?eCJMH{K`d7Ca3hV`HGfE}7#=?b?wqFSzP?}k?QL2}m?O4hlp^&E* z?DVQPno18=I<)Kl_;52|D8tQMDU*RrC~eX9g}CBUeptgfEKg3A0i23df^W{ zi3Yeg#?+uiX&|977XLJyM~LPGH80IQOJT?K+D}&L+8o(w+kZ-Bhh5s@3%R0*W-;q2 z`(piXiTh^~I_-p3RdnKPDIJ}JN3ZrxH10)r4Gh~|`rjaj#Kq5it#otx2PWhj2ekE0 z^Z2-#A1km;emd%Q$ZH(Ye`cg*=Pbt-kk_UaUg%O}rp#eW>_<1eY4WWh ztL(2le3vRIfAzn9l@O3#P;nm|e>Ph2rDw?9jPu9))@@Q>+eOm#FaKDe*3|23-%a+T z{rbwM_qZ0*Td(eDYuchA^e}qx`EgC3?s$3h00o#j$s{u!Q{!u|tTuNwdQfsbdQhV& zh1*Wo6l94!4O7S@c2?UhNKZZSac*Mfx>4#YZ;8Q?*UHvW z^WBNq&u;9n_n3HlR)N7ws6JJsNfuiJ^5pJRKQo=ERgcW#Hop;BkdgyZG-aX=ERqb^ zlYn~`?Q~<#s#-fQK>>_Rlkp#Uvw(Y#SNuc`O#&a^-mJq09ax8_e)m=FlJF3`8kHK! zeL%-e-hrp=okq5c>-Gppt5`#p1LK}+Cu|6znN>mgYH_IzbHEDeo7LkYnv14jK|<`0 z7skSsI9DcC^;>)^q0P_F;ZMRjvt|S*pu#bwvmLqG!ePRFd?RPi7B<$3>49FBp;`Ot z8y9*tAyO_3l&dwDB*9%%)`_w{9`&?yXZ0tC=v%#Xn{(&5loLDELne^V&fELssQnk$ z<9lie{e{7_s9Ufg?j3hg)}3z2`=f~DM_yj?4DAOXx-2{)+TyxE{V0>s^p;DKCKnGm7mqRLzX_x~qO{?T_Z~CNNM>RSG@h`? z5}I)}Sp7oG-tVllQgjd$TwJv(XchZ;=bm(NMzygj{f|%juW!Nogjc1el_H3C#--Ek zN;WO+q}uJqrFc!hk%De9zWq!xEsgM5Af{7l64^BG;!I#2oI8)Vv&CPAl<4Kp@^j0r zls(Z&wI@7&x}0>pO37&MpE9cEV&~YeO@H)Xz*&O&_rPNCSJ(62Zu2XsP=Z8)$iUb< z@rA6Os9+usCEJ)zU z1maz~K)=gixObV1NJi2-;XLepL4MM?H+P2@;J`GDFd_K( z!BFS&w6DJ{DG^A;8G2Xy-c^n1ch!P;ymcf1>H2SCBmMHXo&;Fagva0Rpf*zk3y=km zS%^YX3}fQ&g8vUG5UGK3CY?t37D4oDgaGoDS7?!<$UU`hiV)e;O3bY~BAQ5^o|Gb# zmouv%`tC^D0H#RZuukl7%~j+o==6wbxS2lNn8qNHrO!O7w$e?Fb0 z99^Xd@|p|!jd}CsIuizKD;l8b3c8oZ?GJRLtW1B`THfQ&nv4&Ile~GX|Ki5+hw%YY zlD`bw%OU>fmo6j|UJV1~=mgKsg}@1QdEP7T~O zQ6{vh@}FlW3mvJnU7`6>vZ%DNtf-OnjgbAqfL%b~_mhR4nrz8GOu&5np>dSBU%DmC zL-o&TCfxDmfLn^0l)zt!ELcXxNV!BZ;;LZ^DC^elKtVTMzhmo(rTNMF%C9Dxt%Ei8 zvHIiq-?G?o68DKZ1v=P>qvg^wVpU|puDrbV=}ISCPuM>syqGzSgebQq<#XJ;J??M{ zA2s~=t=&P(;+rO6dgWs^3g6i}X8}J2*0TSt--}fSld+Xtrf9iXsP*g59dhrR_TQXe zUFfbb2*GUqP9P|n1bDxY^m)vLu!{an9-8DGySw#*%+qzz56b^Nl0Dg$Cd){=FEdM1 zR&~OEAvDycZ%F`~YUy`>?;^LdcgsuV*7-=Z^R(ty$cBA-&+ZTKbBx%KR&A2HiHE++ zQuG+Z`v3TP2k1zfXl*pMZQHiZiEVc#wrz7_Yhq_&O`J?@+t$R%?e9DI&;9RNcde~w zSM~0y>hAaL-c{9w!qEoeXMhJ|NSF73hEbrU1nO;oT5$ah?17Oi zyd98)(JXB7WYe+5daDh3bN@-H>hT-tB-KsnSHhNcnc4x36)PYW&=Q2ZTeY`Xs>>-{ z2#*jI(lTK_ol@E{ml&wxVQ%;)o4?c~5HOeQ0^RT*BXTWnNeMo8Op@W&gr&d>1%IP6h4t)xwW6z!;J! z1pPo;0L}Sf#!qodtlNft$-j?i8%T7?9P>9xlK{9DX**@vD8sS0MQ9ZZ&!!%@ zOxuN;bdg(B&_Lvf%JtJ=H`M`?CsT{8zW4CUhRy=1wUNTgny zLAhp`59)8fB6wXZdX#zfYkCu-CznI6Z*Y2EwE&GmMoU%Ms)-eQh? zF7q3!rcip_^}K-BU#|twOE0{cJZjzZph%Kw;a~i_HZDJ?i8j2Sd(B-iNnY7L5r65) zg$zufAvH*dd=^h_ zUa!-_N}#jvP0z8AMp$sL6AQc5q5=J!dr&^bH4?3%RLBMA^qdzqQ^3GuD7R| zW75x^9`12E2qNsJg!bCbSvUUT*n1;vvOQF>dho^@#H*$6mrba6dl|}br3M42s;z$X+0*5y_1G&lh&d*d44ld73VI%tAF@`K0nOa!W@rH76bL8XpHV~#t zr5fCzj#Z02g+g-gJC|-3vHI zIgC~GdqqqgM=ui23|_^=mXt1*Q6j7%Y-OjfRugA^5$;$O{^C2MZXPeM+)N&Rtj}=x zUS30Co0Toi6)t}Ce?xVrQktbJL^pCwq&TcVa8bdirGj5Xu*OVh)hl}MTzD(eiP`Re z#O!Jy?*SRdIx+hjkVApoVXe#{Npus23fo1(iaVjG_hlHlW z(cYOaZAD3mD>wErJ{%eqqm>TPlZ<9b6R$@?xw?i!IhusKEi6>gUh-rx$SDYvJ-G-na7qG+lr0qT z6lxPQYVkK>2mKzU0j=w)!*{CbcPWM3&3>;gr^ev=x+jq8~m#MF1F?V6xd37QC=N$4LYbN(Iq;FPDX_4Bqc#nLH%FEO;f>5LwklF8BOsR z{{N!Gfs9i2UX`p3t3aM~J=Ehe`aS)(aOFUBl3kyz-%p8@nA>okhzO6Q&Vh;k5vKBFs=R#hQ`h93+f*uMCFV*S9kq@N$u}h>6 z7q-{wKaf%$Ti@kssU5AI1?%Kf&QA@q^rykt(S{FDQy%$(nnxO4NpQ0m1>u@IwRxEUO#ur{QIdPX zM?|H$`Du#Pl-L}Ef47(PO63~5FhRc;;Lg3~ z{=gc3(tgc#JMnlaWM1BSHOG@$;fq!j3SQC^Rw({KB<(A^Dqchv0J2gU9~hpM*OM6q6a zA$`Ko=!*_o19`uRDRoF5`p=t;hNZHy6*NghNRqIhN%C^ zNza1zi9obtKZJz(bXe3)o%VzwENQk36FnqHegNZ4T!()kM8-Ah=WVN8&A=gwBHF+) ztc}Ovf(kSM8$>`EjEuhQ7KQf-QD5je=OKB`hN4tlOOh98AS&S284Pqm-n!nx?L{47 z4(9W5(H_uzqBIshi;spM*@Xy)+Wt4(Lnh5;xrs;Vs2g%9Qsmx{Rdh0#giC7jc_26+9p z`%{Wlolyyda6)W6Zmtx~5Q>VvH#I)uYUoN}@-qvA(Ayo{9Gtfl)eu9CYZ6iUHRHKO z+EXn_su&Yq;te_6|3kUdP&Cn%Wlz}!#5H9v^T~MAdfehFGwh^qqvE`?b2CQw8_?H& zJ}jKPs%aFTD=Ea)3bS%{d=3H~=xYDvfOUd?n0b8r@eLD}P~bhZLyDLb4D+D)!fgP= zwitQq8}w;?oY3B-_rEgmUm0E>S8fVQC>OcrTJHkOpmOF-a2b{X?}m2-Rsz)?q!Y5y zS1oF9yhOsSV3&wr#sxen5dR>&qG*aW^yA3V2CP+gmuDZi4;VvBT3J7;we1W;S6Z3j zsI|>$Yme$fi!m_WW`mGMYXtmQJ)2sxfqhH)Vw4*L8Wca;;4$1(tGjST!-2+q@js!# zdA5x=i*^MIQYU@vz!ltneJ2-2d@OyAR_yOlgke#iFsD(Y-EU*}-q0aG;Evgd`5Dt< zC~--tHQ007s03hsv6oz0 zfX_pdk{6@~wRB#^op`~k&-~TW)Q7%Jf-3kKZuk%${SXfK6<+xg4sjPQ5y4HlFfpjH zdy53OFg}X}cN{`I*zpD8ddK$X6vxTE)ZsGcC62JNKSVcoR!GsOh@NWlLfl&yREYcuFcGH?L|{Q&MbzorZNOSlXy&SFFYKSRIyo#>7&OH3cvmsdqFm83GU8q~oY-MUhGEtH)qb_W zeGEq*Eds}$gZEkur?{#|;Hx}1{@sn;{b!g^^=!iXLa)e4TIbb`*keVrTN0-6LC^N4 zlVGL?uNfY~u1J)hqUcg!h}-YW^O&hjHzVA>f_JhcbJK~n#=O64cEPn)HtqtH}fn_rXB{!a$oq0hpjL%RwP?m zXK|FBD$K$eoe{ZySg&^dfNVw+ea`s#UW6eowcwH&)u$#oMLN#P1(i~$AI@&rt+lo# zvaWG)n)ci5MF$|_R@A}iaTFUKe#v6-Y{_~ub9@I3>J;J35@M=rhe-I_% zY$+O+(=jg5WzlLCkoZm^#-E-@bI;E$XLogLZtp)@6PQ;sAc#AQ)e3a6GGnqXrR=sD`ocVvotV5@m824D}j&wq27Ky^cJKlbm} zAJ-?2=b3*F1RZbENy3@)vkQSt=o^8gwfBH9xcGtcSnaTaPlSF4Ndsmf7*g6mwS^=g zN#YLBIg(I~4)KGkV_7rICn#Z8AX3jR zlA$H^w&QxEY| zzBjjCpeM8gX-1t<_P03GnvV~32jft-Jj;!T8khc_#RH{*rXYk2ji-x;-o6 ze^5$*cp&XR{*P%zh?-S?SM^8O87{(%5X$@ke^+V&Z7h;D^P5o51lAadZUj?}vnd#zrB~ z|Gz=u%Is`kQ{Q95Cl6jZzM~&@GzlT%f*r_VG0EIqAbl7gto|uv+Z?=P@;~F1t9$fPfta=(!y8^!65}PeO9?Dzj3L~TX zr}xhj|MWi58B}yU%vAgzkIX;s7vGKXNfTM}Ygv>MMOi{>62hAS(L6Ukb8>h=rrkn_ zEWGnpJjSIavMMxe9S*Y7dfLu>*~Gs#`remRqJ=CYrn#Bnan zY!t*NirbESm{%Y&^ZWSi|ipfm3j zV-iK`{@S3)Qd|w|B1n+L*aNm(EGP*nOx@9PL2AR1c%Z_*Lwz9GB7iQ>z<%_uB`qlW zl&d22o%PHGr$8n_#v&{*H8k(mwhxRRV%efQf+ zv1%O5`;-4lid%urOdEJmKv90iUj2#?!+lF7CxH|l@wzKgHq@J>wE<42il@sLT3+wi z?2MS46qG&g%_$>IX;Ot;F5}H!*CcJeaKz3i6>hFRk;z>|$~L!{xnv^3#)i?AjiqdZ z(xE!tZ1D<(s+1=qj|PG&o6;>%KqfvUM3k&@ert~+B6-Pr`>IrjV{uAdGGObehd>6s zAp=Xbbr?DdRJzMil~H`C4nN&>VtKIeoJNQnGI1Kh2pR+lSN+M^zC+QGe1|G3PBdkp zFD4;unU;xhAi>2-PMZ{A!vJCaA!<&uaT#eYE!FX76G2(!iMXK`-$_BJky_GRTbcki zj>jI)DV1|C!**~WFew7m;-NL!=*#Kr^LaQ0{RvqqVV&A4W{!nGNG9a=xu4A+nhz5} zAQGu6ihK@rq>kKuk^Id~>1Z~FW_*3wuoi51;<)FpvmNjjUDvH|k20 zymsG`PCwZAIyCtJG=@#bd@m29*H3|Eu|vr(YZH$x=~aPIuDySOXCneD%ohLyKq`3e zEE_V3f;l`K-qFJWBXcG*4+1@scZg5G`pLfSK%W<$cI`(?y8L_~2N3yP9;%iAA8Wk& z2&||fU;hSt{`BfuASepD3)n*@RN=`HvPdeEjPm4~F^t*LeAl7^ca@XuuYd zC8r1Frw3g<0ArB6biLbN0DCFb({J7!c@L~kHwFybh4mL$T1b}U4}5CeVtel0T)2PU zUcj#C+yTp_rNlngCNwHWm4R&wHea{}7C^c#2myx&1a}FQ{}kAejDJT|*nwG#wX<$J z;O$UE>+Q#OzLKk%R?x|BdEGycE)|Od%=c`4`tXCq@#FJ}skh7ffn7R;yHI*rz|HdaEOMD_5G`^#F>4E6l zwA_TDcsyX~Pli&eL=TNqx4OZrn7ZejOR~J`4G<16g6ki?U0>f97A7Vt569UFi-p-K zO@P^H!GWMTyXW_z7deiEoq1u$D_B+}So?#1oM_@^2Ge)u?H&j@68pj)Kbhinxl+b4|J1p18_6jDkvnFK4BCHeC=WRXg)RLi#^~#$(=j{kXo8m3XTOS+bS8m?I|g%RO7RBIcunz! zES#3E;1I?mhT4_6hh_9Htgw=5Nm5!H6`65ejO0eFuv8q=2438tsA*bkUuwXfKc5JBDg-T|35a(EmsTElB!kxkyNE_ zaX_bm+b*X8gc@2@bWsb+sjJ4(Z1@T)mLas`wk$)v^lB1Yw>br7(U!RpPlD8dB`?ow zmtaf0skeM|j&n~7C@NoVf)J1yhS$9sMU|ez<|LFuMnl*v4icpabHJR00BCBVEP5P6 zEQBIn(Dzhz&mF%O*erGHo$h~C0d5?EBA(~YN2^L$L?bx2Z-!+o8;A8*&`}v1M-^He zj%g}28ybfVMc%l~Om&hc8@Xr`-t6%Q!X#dWLRcvBy}pd7TTXX8g>y3(se}!>SaZBD zr?($bT5kdj0esuh8`Z1>vV6_(xy!?eI#C=HCa3R{GE279KRkmW?t;L`r%upNP9S~L zjAC11jGWK^ywE>_B;GjpV=HpDtuTg$La2;5NnbfHO=quwa(q^UtJB#fam)84P55W++VvV!*Qn z9eh0Lz+Lk4vLw4%-ueA-ldegh8lRN*w_xJCkpchK-?<~=Zic&4`-O|zK>2S1O1-8u zh?#j3z+aAQ2hCZ0Szy^rxDfj*B%U=Dqi52Qw|p{&_k@X6Hv-~3GvxHw$PBxz%QVGg zH`^b<6pkl_xsCt8{|QnKHc}26sK?Bdk{>ovo+=Wqg+JdYe?_0)yK;z3&Dd0`)kXfa zr|dL6gMD4GsWhu=NDy@6{flw6l5zlCAF}el(tLTtPZeN9aiwH6CFTD^by;da&&5t{ zLsiFc?HOKYrOidh@j3cll16fKDG>mP%>JWNhC>JKja_b*~=y zHL7NcSfqJMPy{%0#CHrj%M~jP$OaPuU_CH7-S4YCaP049;}Vh~dz7{19)SjPwGE4I zaS{qKUn@jx!*BTrA(R+x*G(T!?DJOMolmz;OxJ&NxF$~G7>_~bB^Yn)b7JWSruj@B zjCNRFnQv$#9GsuIBCd;$w1?2nuA?K08!?e1465|S-L!AF?sK0~#Q!)tbJA{k`Cp7W zAV43}=S?LucwQv?3%L^sE_sQB^!7BBDiUA0?SJdumyN=_UdS$!tWWp!qefQk3Ls5& z_=;IJ(q7Vl%tq`-Sg)e=_A~5zY}%&cj;xyA-nQmFH(5W@lI;R4&c+9Ffv+6^x}Jec zN&LI?MrM`$U+=%f_94I0AlepGgV}59mg&LZP60CD%xF9>7JLo~$ih8{`P0{|d}Sx8 zMbKi3gaE``a^9DzE~d5F9A7I#yGwwOu6EHBMA;CS%kQ>IBmR%$=Mt^1y_jX(uP37N#O}qZVs%@!rL-+@%>z? zU+JL9=xk5u@~SbED}w|CrBaSnt4kBzOoj91^0WS#FF2-d7!?fxwbMWLUXg>ocDPMl6=qE&`}L+}qu{U>LgjrDZ32I_ z`oBBRAECp2t?#!^PfSc7bIO8UunN4h{Xj%X48pIUxD{b8G*$b}iYj7%S=HB)_nm6U7a<1dtE7@|93mycx*^bWQIz?ML)>O3hjFe#TG`p(uZ8Ydi+oAA+yUEIJo)}maNI$ino_>e0t*!m4pVefw8>j!a%yn#c?_ zPp#vNT0YEG0&eUOxh=f+l=19G<6jqjhNN)oW2o+7479S(eqVt+>HW^l9EY|W95i$1 z=Ad$~?@VF~aM-Jm?iryHMaN%TM-REDRCSEdMR zI`fM*C>{C}<)v}h^K(`au}S(qwu+Mj*TEvXzkthaPAe#e7jWIIM91Pcm!=t{DFtau zy-8!!5GZf59D@-Xs(G=$ynA`O+=@pe(i{$rQqxZ?VN(t9%D8%D;|HcJ$6(z8zn=?wM`Qb_f_*ZS4YJ>D%ce9)=W0<9J^^@14wyJ(9_K!R$Kip4?@b7@osrm?nm2c3`}Lz8jfC9hwJMf z_jL(dIx0(ZI=tacmoZEE)w~dSas`_59N$|cib=jJkK=|ciPNA+(8;lbYLjCwC!60? z+nnBkT)GH|yb&PJ2#tU@Pv0(xw(mu8RtFLy&ci`;e8)uqYpDy7;a8=)=+2bLK5j<{ z>$cMY`DUvJuwzDU{!RbTSfyDit4dRJBdi+hCkpul4@6|AhD}tEtP>y8QlQegc2dOd1zzrQqS_ zm*EE86Wsj0VIcfU^l|_{i;2x&d2|L)bzx{j>^%#0Ri7Bv5acja8gP-8K|CLMYBOiQ+V$m@`d^I@IM|YqRfxsOfmb~lrC+)WCy&Iv$bTqNA>PXzz`-fVMZzN*m zH(sYc%kGts642F+^tvTk>?@Y2X;v|jvu|Va>59;>aHdGJl=oJ49!r1^T~HO9IL@qZ zw25V)>L+j~_}Q6KO7<^nuK?HJ7t@a!rKx%=j>9O?^*cC*%9K6uX2^u;Aw7^P^@6vF zueaMz6^~thQG4Bp4%_q8@@Z@FE*=8_CviRx$r_YJkln|}ivkv+nS~bA*{tMH^-9a9 zMFC}fQLzd{*j~_IW zWLUPq!(2Ypv3LgU(J!d_zrlt_RJPQJBdp+61dwu3tn{x3%b(Mt&=LOmg(k{rJ~6|g zOZ_OLyaNjHy*omx=6IH-{tgVXN3vk)yNv7XxZC6#oT`>n?zR#IUzKNP1gxSg9~DRY zX~qmkg_#(7Z9J^vCeQ(Ukul@iXvSA^M@7Z0Xt*pfa4 z{vhO9oSS}3aG^HSK4>k3=bdO!VtrPUti>g@_2(5!$W||m8Jh34P>-;z1ua}Ak_LV2 zB*L(>EW&+E89BBf9it(%{CyK47wotYqHJ zh(5}7ocmNW-sc7I8gxXbdIT{|h*)MQaR<}8B(CDzZ*e*0I1a^D4V@|^(b!B&az&o~ ztc8Hle|gm@f{q0*PnI7&1mH=CXb#V(>h=59Xa3yas1BmpQV^0r_`5L4#_-PzfKPgJ$jcU3S9x{n<8 zh8~auKafJcLx??J9p(HGVSmKtVL!_ZcQcifW%3m*DZGU;m%g_Eg(jNZF)qnu2Iu4u5*P-SKieBi z-#_li*So0&FCA(&l@wFw3XEwK>L*pL?!eMq{g};^Ogk{-1s}@mFXRb$b&|GaKty!y z%A`LB^e_Y*lv7Y(J8DiLc%N+Tr#z!T%7g2UNRxSH1zuK~0&vM@LobG4B`^$G}X@o+Kg8^Y#7qbzITKgZreNH;aq5_k>(L zI1_RRao@TPLBOH?PGVelhc5=ztfd5GUp8m?CpC}seWQJg?9JA_0Bq`xn_TQrmHUIES!QjR%BY?tTOy7c3Nzn;8Mn+}?6>bF)U3VAq+fsP^{Qw0!)4NA|5W zibN@Y6FMdANYu_`qI$tkdLqQYTrToxGG6)~aJcKC(|H3b|NF%HA$8lsQ|=?E$6@V(f_ip8ng7!;c7KVr=fT}t(RHOIh(kT6tFfnRYLOc$ z9-PTEd|T{R?fALE1MpCQ*N6MsKW>H-r-$$=XUo)zmE*_4}!L4c7NY9J68(?6L0gFdxb`sMWy zr&^vLMO%x6w4Mo7%-EnTtnd3ZN=7I+rF%5d;S4QQO7y1z@~_xF3IE*t`(*Ds6dEWm z(F-=TngM0Oal{7Jeou2k^0Lo=>D zBbP2}5<220)E@~Ir3pUHyz)(grZc33m<*0=bU4;U%;M`>^(gApgg#(O!RJMCpj7{lstW>jIUDPqS9^Ad0miZr0;wC{!Ys_2TeKP#vJbJQEkUaQrSd~*8s zHnP6|w4AX0XoEihm$lqz294_=j>UP=@N-4~c_&Af*xK6~`f(M(IyA-7^9Vs&O%6(v z^m&pO^6nv~$YGn<(3&o2xj^g{Wo9JMaPc@w!~8=|!h|%pL3a_l=$rlei)W&MHk&}b zJGsx*<%J;qDj8MUMVY%@o9DC(TituRl;9{kSAy@uB5n9Zgdpp*8K3lOL6eYXTsqi# zL%uApWI6=E4momfxz?hR3q8mkPa(+Ep*ADKXNld#AgyqkOo&mML162aVclvMW&ajw z7!b@dSRGb!rHjlZBHahe5unLIDPq$aTE0nHJbR`{qAQ3h(a#kSv_=kQ2>2^|A{(x2 z4Ooy9FHT`?w&TJCSvRrLES$D5Q=kPP1lP`p%tYbAbZqMo6k_9L4ssx}n)}5Dmf>WX zodAv!Vh{`BxEZX@;b7kqt!Q<-b#R0I$&{;b@mjJ9Rc4eR;9jFdM1@glPN=~kKO_oT z?f&-aq-y*z-%qeBM*V$m3^U-y61vM8@sl9WrA_Tl4Qcz}#tv!Qt;G9eM;w~?pIqSa zO2W)>2a3Eex*q`oYo|fwNx_PV_7vsG-NhKSAocFGJDruEB>HM_mS^b%`5@XIOhc%^ zjrhoUyJy%VyhQ?d+Tjmr$tR_4qb=c{4^MBg?w>c+R{}7&G*$bc<7b|Mjd@>I5g46q(vWnp-#^NvYPJ{3Yd)jb)Gq9b^DkdU ze}PWDP8mY?Nl{;Pb9FG|=)oAURN+{;%rVkvsUTo}Ec}TZyI?=m+svK?D*p3qs=t{t zyGrq}ggHB@cu{?&m%m_!l#2Lgmy2JX$F0M_m>s{`qA%0`OC}o1_8~fss=dk za1qfuG@27`;A<>ZP_PYy@qiyx27bPx8%Y4Qy>#rnfjF)cuV8fACt8)6dT->BR!VG+ z*4+HD&ztv`&hglq2jlC-{ey-azyqr%(WtSU6?Fe~wDD4ZXBHe)hlhmTB!|>=Y=T)m zZ^Cp&RK#EMC6{sgt;7$JvwtfU3wN2$Kg`GGHN zrTyv_xT$i72#-~~Wh5WDdVC|Y#f$=p%Tkd)oMr?kFxkj?)heZahjgK6(jn024MjWs zZPn^YpCJX4c-j;t3x01IW6sEl_+P&#@?9+55llgCdl-iQ{m~M*q=67{$cO{ONk=6y z|C`<<{Wo+_olUZAJ^01B-utO|_aS$-c5iSfN?X^T#`ltGyGq<;(Ev;Xggmwxx?Hf3 zmzYYTN@n`{8lSL}#3J|6N)>*AUHJud8A1x~vNEyI{BQR9qy5L@^~2-) zU-3pDw%1^4DA@_562t#&Qb0mwt$yg>iRJ<+2Y?42@y7Hsi43ve75wf5Q9r4YrY@`l z3BwoBT3{?fB~Vg&ScHD`b>95`aJ=2aF>EneQ29e*n^<_Jt?AE$@F}M^Zfr{gDt{FfhI?p6nV&E;)`H(pNUBQC0tWozBamAx6Z{b4U6sH7kW;zot z@wFJwAI7%(072+%sykeN7Sb{n5<4Szs6+>0ZALYSi$;`jx#mdFHaL^kYbvXVHE! z^sp5&JI9}L{ou|q)7BXz!K8)j2?2mV>BqAMDper*4PkrILGjZV;2v~Q(Rt^B1|i3^ zV^X96$n3)8;U*_h1}M9^OA2K;5D_JcaS{Pcul8!xS(qb5q%7!@lszh5VLt#ls)pm- ztlE_;tJNg#T=X!xlhKk>;X6G|q_v}~ELc3*F*w>IB+guz5>sWtqpRvDh&a=WLdE@- z;`hJn5_?YlF2?UcxflmcxXpLb5hO3 zzKyezQXgg{rZ_8%%JNbjln|ghtH#6fRt#hCRm8k{hjVQ4R$#qg|^i<#)**r&q*Z84UdI;cNy$=Dt_#{O&D3>v_6()gaQN3@c*ouqQ%jp0c zdfp3$vcXs0b>xa@v(CGcf!T^YK8Ae->fB0j&J5FpAEn|5lc#Oy`GflE{>7}>l7f&-GLZa-}OW@^e5hCUCrs)~<_#+Gx!d)=vBnP>u%QP3{LC7q~ ztX9~xUW-m}3~F$vkFQhT5X?^&in^UFxzVxMGwp3ha@YWQb;T&V?}-H zW)?1C|M28zSZ?e3=9oR$wccAQyN0MbvL`c>L~`#U%I#oP?EIpA+S(yvO*e7`&NR!n zi!I#p9xFl%@`*xwBzIsJS1Hey+MM8NxJKc31iOJC#xh0IYjtFe|3KM7&!xLrgwHzy zCNePzcre^JrlE(Ce1*e*dRc?cqi^(`jI%ZLa`+OU^JXys4W~ zPsj6nXCppaWko0tL6TK=(?JS7p97Cvg7t`8s_^{}9`lY|LN-FFA)X}BJ>CQLHgz(0 zH24O(t3c$N=MkKjj7@xR`D%upY(BK+WFV^!;1l9OrRtAj=^UY@K76f0RzRw9-NEBt zwjBg6=IXEhxK>q3<{ewu7rvLUKy|x)sic-xAth2%j~q!IQ}Lam%P}7Z-RfrjXXDFS zb)7mPd-dQK|C8(B<>T_E{z4}?GI?IDns>(N^FhFGf8}n$D+9B)~+ZJ6y#Y<#uUIW#T9oxx=%eIl_4Xy^6J1!w${#aD7f*+n_z#jj8}+v@?^C=H zG{*!*UQ^I(+~8Q?3ZQ-nC*mSn#U9@U<^$klAM?djKO$r+zgP~hJ`mH%MX=Y})Z4x1sW&BkEK$r@wdrggq(`s0^YF|GPkI?N!RUz%r+U+;L)CB7RW z=;yyOfBe5FGm8j8O?MeR3yY5RewWUWV@v31YiK1wROa1GkDGm>a~GLZXBGNlEVaRzA%1Q`E^8E48`Bn;14`Cvy5Kb^fMCf}wz ziqU?j_S0g%v|m1kd9J5J@7mA>O>lakEH`7WWT#gt1ma=&xB2Afx#``;=HT4{N`I!Jp!t!a?Jnw%Y& z;W)ut8@h6hJNZ5DrWrHrmb>_m0k5>I!zf^2)pE1o!hnh_|MR>uP5=Tla%URRLgU;F2afXF-$Wt+aP+e)rg7swxPp_umATwk`=4^z*GJ}O+-E3}S(hTdc5fbk+RQtj{?gqN=U-3xZ zCJxM|gQ#zO=ng@S96!}M(_Q9&>ngc;hyOMFL8)Y+TBL*Tl;8zHNYZTJgf41d!F@JV z4M7s)E#0O{zUGR?#!pClRLVKG&Nlbe-h2jJ5Y_-!gtofP824!xU3gHT3y(}$CC-=c zIG@n_YV+}lJk<;%48c!4>TsLP&{l-NBm)mTo`CL%kkl=B0atYwjH{FuAC<{?0^7Zu zv{-x$f)e?-O6(5%xJo7Y2jZp%%L`nV#5{mp>YWCj+@C|IXGX_5^#O0qG&NAxfaV!i zl&_owG=0r=F!_`dryVWzVH56EnD2$ zYWdnOc!da)g_m7@)+taZ@@^cs@!KPt&aJvL&)rF*>D?c^ zmIEc!u32TK=qt5?%P7%TC#_h-FWdBb@~Gx$E@l*OVp>?2O?NBYLh7l;e{e^#+`MLD zm&(me9@CTlrA|6-b_$_EEFzdCoWr5wF>O63vDsZKi|*z=TW0p->Q`5Zl!cXJFcs(C z>KTG!R=(AsS!z7d=nS5fILCQG_vEHdrk65$#s5RsSAf;gY+D9*3lf|Vf&_PWcXxL< zxVr>*3GVLh1h)_@xVyW%!*u?8@0&L>Z@#Z;?b^G~DQh{WyVu@Da5^y#zq)*y#iD4N z>^&#mvHI#BR4=+!ng&T=evKJJGq`7?RqeKnT@VAtGrZ5QMIHLKS6nw1}ia858*pdQC!H0cXhFT+Xl86Ebq*jxn1HMhC3U&4|rB!ELfqw@yK4eM2}N z!@|v5JBU0%gr0GP$4B@hHO{{QK328sics(_X?1nX?UqZoqxYJdYW4>=$u8It3A+wM z>}`W)Er5gN9HsEVl`#8ipm=PX<(@k=s=$4BRtY5Y?&w6*LBTkt3JT#Y%zP-%i2u$46auDZ8UyC;29j#xw4DM zBSGXD$LnG_8GlnterO~&HIP*xr!c<8YQGzEU)OcI(E*?3QE7M7xLsNdSDZyF)1f8} zq+H8IY)%|%^N`$PSl~-WayZ4h#KpQHDINrdM@hMA5vAlIrNy-!l7D!dQ$3gXJv+dk z<)r*bD58Tw-cFGHRjG5vV)7@s<{j>k{bR|=<{|3%BokMUu;JNxq|ZGgW2aM$A(fs` z9~+`ii8c43fIn>*pEbz^fvoTu7dQFi)6|sL%fA^|_jYS{WRU)QBA3KTAW8h9u%H*L z{Hp$PUq^1lIyLUdJhk@wH@lMhtzu-K=^iE5;d0M_JxaIYC*}<4%V;+ced-p$<&->Q zKi3xNrzD^pO>i0aY3vu*uHF9OGJfaKCVR9IJAOANd(%B1kz=a-i4rfB`b(oWQVK6V z^0n^zQ0TVVf4E+Z+Q*%BIig4J2&C%RS<9{W` z8W`jp4$#zeQ}Ea%JLP%WcU#lEJa$jX-rW9;D&!>1~~5|o85fjhaor|U6SHCjLbeHxUzhrl6<32@>sV}v^iA|5!(D3l0Q!i z`VLyrVLiiI6(^H_%Dz{P{~Y~Vo{pul)_nU$th(Cvy9x81I5>MbX@3A4&$BnpjBHtY zMC!dKZr~s#Pf4KvDkW-rJ2{=TP(e~K*;rTChqqe7VxF)spwn)e-mcG?gYba#E2^Y? zZ6a45D25PX+};F{yZi@(@@9PK%KQ`JX{BsQfJ5k<0Eh5#4t}2V1;WIdM`MNZ&Kx5% z6=usA;^>HWG5qJWM!`<)+UA{aGUQ63{S0PiZv-I!&|2o0UNT%i@e ztBs7ToG$1PCv7%482m5Jeei1xvcvqMT@9Mbdv|*E&|c%+ z=Hoe8)V^hm#1_1jj?uU0tcJ#6<-F8i&4rP0*r`O;JI&ZA-kmG~1$enxqJC^4(ziB4P97tPXQ};N*F(((l32OQFSFF`cn8~5F!~_8Ve0HI`PElces>< zrutmAZ#1Jrr22C-Zu5?{_?8&`^{;&E!Y@TzZJ1{P=%pLRDQzL?fqDKu%&t0aW%VxHKmE?fAkLW#x9v2BTsXsHND1-^!{Zp_tq zi_CWy=U5{n#95{^{5bCU80xhE|8jBFqa?HL`@+Vtc6<%P!FKI`%8ejgtonjbe2?)}2q&hz%9 z+6UYkUwp`8c?d8^O8m^34(2PQ=i}-1e0Ou@s0CMzxAEfee)p#CUZ$UjEZ=fs&I)+szQEDrdG2DG;}U-x#??yU4?v%-91ToiQXD zE^LK?82uDjpx9Y@u!fV&n27+rF7;PJ<0N5v*o@_*K%s8FQuQ_K^{(l%>dw`J(>s?n zgZ=IjHU+c2qdO#R7hTMGJAHrRvo_gtc&VU>zi)a7gMb?x z3K_Q}v_d#Jo;>DF4i6#yFiCa!B+DXdS^@svNg@2^fz-yY}1&MPP^4=eEd7Vkuk z<>v6gX+7&YRPy7} z7qoUvxc2%Pl1U@fB6y6zQgA4PGX7&~;Qy!JV%bm2X}*q?>o49rY9)tN<7U+yPnU*) zKeqE8kD3Q;sj*2S}e|Bd_V^eyRu}N7GQJx-g!hg?GO4SW{g~13WS!&wn;p zL4w~^@6VJkjm6DV%z6lN^y^@_5nr9Z>OBHQI?~abp=edI7!jz6)7!U&`^w@=2=9w} zmZ!H@r*^h)kR&lq((vYA`mu7m)~MN9bkh-YY9K1`j^> z!@4&v0f9fnt;TN^r(?L4dQ_h+Dr-fjzd=nge}~rr^EP6dJ{Y3W(;|wJrPr$KJ#&?9}MLsBVET3$h`SF&+Iy7dPbcZZr zfE+orNkBti%h#8;8A%6=DxE_emrxduTZ8-a9(!(-~V4O)?`If*3$0*R;r()`QJqq z99c@_LcuB<;i!(l*PtIILW3W{TzYnlAtpo!r5C}VC+l$_f{a3N#<7`E5v^%G@?a$7 zrIY-Qh8NJq%c9XH^Q{*GJSuV6{N}V^bV?L2#n-pgGpuW{1I)krBE#h3wJzH32=}|; z@kFdffh)Az)vA8%M~H?K5&9<&MRO_pOnyOGR+gB-u3N$PWiXbaTDop{8Y)<#M@?K#r79>1w^8OA+&fkM^D^zAV3ry^s z`*M6>aM~~20nu!ZCIq9&yD=GAfji)`GpPb7tDbouiSqP8{KpUCkaE}K{<>%xhQEgdu8KhDFEY(E>jKQ za&?d>@=Qc}X{DoKn#0*xNjZ?=?ColTe}g<2B(YN<$+X@ARjHEDW0BBPlC&`jL3|_> zkfOfKp<|l(j%Gd_$_$S!&1*X_y~6ciMevNQKLI0sT?hV%Gr%g6lX+_iqjzf*+GDM3Ikky^#f~1t8-dL?)Gq<( zFW8s755Z22yJt2MW6)RL+dWbz6-dN%qM24URRsJ_(gCxwV*%W(Tc_6p$5d>itE)Nt zd*(|8FL^PDaHd!oY>~yAj2G>@!|2~E{f?TyR2K*lC# zI!5V@lSG^sr9Q-hIcLuWt_M$wCaozuwon5jhdL3@V)weAuSc#2 zmPeE>ulBLyl%BD|vs+`bTR%}nL4GCZQg6n$i_}4`&EwwqUg+D9%|xwCvwif(x7~;K z?B;p-@$&kG-8cv7spQ9;kiX1V;o1R@fSF#E=y{d(wb0E!$ph9u--?903vCqM5XU*c z5;Ep*YJ?;=DYYDA_T|+hBft+kuPM-ONsKtl4y)9ZXhS(i3nP)GFmes=P*_kXai{0w zZ;Q6B#bpVJp37K?n*P2fY-w)wmOc)TgleJap4J=) zKj&36@Z;XkkaPVSuoc0WeiEqiUy2SCkW&olOApp>*+*cdcjh@*=t{{^ybNQLduX@E zIUi<>#!>OmBL}9zipai{K&1WqzI{v_>GcaIK`z9J@Z;yow8kWFEFm7? zc@D&Q3N5jkPd~|%(V3S?pb4gGyTQqg{C*VO10i$@R{J>OYr9hj^B&a*Pf~A|8=Cs8 z$p-j*PR#tt6!*sEani(7`D9GYzwG*6eRCS)lqulX^Z!WyqnC)2lw~r(A=ttnU|jJ{nEa(* zmHYmsU#ZaPlsOpQ`B_L%idQ=!?n4`1T=4r&%6p7%1fX+AOE<@52tdHPLr40<(lV-JEhvGoqaNob2Yq zepGZWds5RqBoFE>D*IoGLJ&g^<6GV;W*1=0zD;R-p+(Dr%fKplTM^(kixa#-buAgu zzDhFJf{q?0?}X)YS0xlY{NzC-1> zzmpqMbrx8bdfLuPPeYlXXIiX^zcq#mEU&>ssuua>plA2@cpB{U{fY%5V&HBDi@U>S zIBR_J<}JKmMLFJdOcz1pS8+YwhkcHPVbEOF#1U_0#kub!TJ^&Zq~tSGr!52E-6}9c(!32VWv<4_ISkafWVu3)#o#-TN;eJi}&5qE10- z`+*w}!>_n~^Ed8aC%ol(ZA%bmn-VB;56c9zsZMIgoy;E7;q$^RI~DnUyfLVtK@wry ztL|~u>isSHx#|iYWc4Jq=#um7&aQS}ujk8~z=n>C3Wa+zW`undrHD6VZ*W`sS0S-t zP7%&>A}iN_y#s_wMD>p|oU&1x1EmU7*_e%H8_Uq# zwr0PVptZrW@M<>U49@M{9OlGUo*ZgP50el===bX`-G<0k-BzHHyo~AC(%9PxJ+g`Ps>wvI33Zn-&LP-qa>U-+(`4-e2`^jPJ&* zS%uT1F=vu`ZUe8^Uvd391sdDB&|+AuEcuW{(Uk)WEnk<DyK%kItguoLvV3Te%oauDb6%wM|MMvfYrsID}(BKjhmi z1^5opR~bz?-ZN=1(0VVZNUi@*L! z%50BJ(7d`qqs+!Pd@REYR&$j%-bCAilYN;6=XN74^nde2j&Bg;Sazg)4UNvy5q@)v z-VcPwAA`fhj`sBJ)ZrVpbLLVU8lFyw)g-Ml@W^tUF_hh9dK`muk*-;>{vQ2h0&fBH z&14`rfO8h&N5v^eGOc<$#0ND}%rxVy6>S(dka@dWB$v#KY;IN^neC}l(ZiyfM>dMA z5RO!`!SY>&Yz8<|LUaJzv~{*+Qb;@Jl#^NdTjPGs7aUeODCu~3thi!780*iT6F!%B z!X!Cq(J-MSm!UXT3WT}gRn}TqmdmZO{5UUE$iEuSD_=Lp*ueS7DliUU(xV`9YxxDt zrOgo_rrDOka>wu>*}+Gpq8vLR#jJ8c#W)gMf^YNTZ1s(D%a)?VoEJaVDZZtSPUICz!7>$!UyUv z1FIZ7;9Gc^YS}hL4NYWF35zCfBeY!p+>FIc8YqfThQ7XP7VsD=XV3-qg%7VS)eTgv zMvzb_A~9nytoCaoNa%Yg9ASANA6-5w4S$e17^N`6#7l>v6PP^11at|u=LhAIT!4NR z4A8FzRA*>>{-Ix$!rZ!Cr8_jhu5~ic0`x1&%zx-t?2U-D6iVjbPj)GhzF8=!N`Eam zi2D%ap`>8&GXo!l$&UzMyeF`U%#+foge`>vZ*(YNK+QuDSqKwUd!t`gIHeAb(IhQm zFaj{ICIJONp{4wg1~C-i$)e)lpqfd@PzDECGrJGCJo4>)# ze9Er{qSsLcvD%I6e1dq`S(;GuA}Thl5t>O9khRatn_t9us7YZJHVm@?)7^{<&*~}x ztD{;bZ7l^s7}c_3gf-^+sbfNXJQmS&3=b!aNbHubNPckG#Z)zPhWFOso5@w|kG6oS z&DRt-C{d+$P=>rGz_b^M4zKN2(CLO<4H#5VK}A9zcbMW5n53`Gb$N0WsZVF4Y#%lF zl5X0C-eYyc#y?8B~-k;_e+p1*3-j&2oGhl+?Wp5Ux(~(XU*h;oP|f z0^2i<1lPtGh;8>%Vw)YM2bWojMwcJyf0o#42xaBaJ5^I4K2yPmAYumjd5fG+{A|j* z^nV9O;o#o0`w*GaKb*ldI(Cq9U9 zx$ql7wCwGQM&SjlclRzbeh|#*`XYpryIDu>s8gDMG1B)vWURO3j83^?t-<=_xvNLP zfa%zG>wz1HO&D_awmQTd>fHo$63Cgf3tk+%NS5|RDEwpY?^=S;P8@KVw;*_SM6bvQ z@>WHZmEX~O>xfQ!zD{lpG%T%19}ak1@yv3j@uZWNJ)PQ)Cnq^hffeD|E-#P!kw2bF z!;qFMeNQozE8LsjM(Urn+k$wiRInGJD2~-)CGW$*C*%w0+Ya!Q&v2RSpgdyFi8_E6 z61(I4xZxnv{rWpD<1lExjNN$;oswr1GeA~{!OHce#I!A+O+C(%#!bDEiiW#uX%?Tb z2`T)17?U8wMxsjF>!^#g#o_W4A!+9iGLBSr+(yRh1|w#5YxhJRf~t#N1SBFw;&{2+ zBh$X&;3fHaYzCR-c0bC{ofgx56w}QG*<6!z|76y4 z%6xDqQ+PSR+HwZ z^m1q`=ExTsh~q*_=vzFU?xsWRrn>+;&e|h|@M0l~I|FsjkcwXj>sd?)JxsyKIXdh{ zkaCaCL*B%K-JnwD6egxq$%shO?}v4|=;Q0sHZ-u#KI)sh_XGrxw-`$6BL`@Iw`BXm ziIx*F1wHXd&M7f|-Ll6&pPM%_eW&|l*j3OiO$})SiVXEv!n6Eny}tIZeKn6XDn~m| zIvvwbNaWS|VKZ+J-usj80OI_@W`3y=f_hW-sxxClc%G6J^=U+a0G7^j+?u zXAYIGC_F427y~q6LfG=W)lLo=1eB;jg!Nwuc<3b-Zv+9IJ!7P_WNESDm+!L*u1RU= z*EswS&fk^Ql?Yxcf3=zhhZc>xtVm%r-7~1YuR(z{#?!7U-dmS<-Y!-x4H6xwezExo zb6n@EPM_nOtdD|{@(c-!W=Kah9Khw*K`#q=OjYGKfciVC3HNVf*?qvyoA_ zg*=F2fQ{HAXOwP(3y42F@SPX(rjHI?u{h}3-uUI!BS+t>Ur%E*OC~8nos>VQK*=)B%N344moc z$f7nL>1NNAqpQV8kX*U6r>4o0N*hll<0%MpDQ3xRX`0eAocRotXfIbzJ*KZyjhNb* zd`$UN*!d4l$t)%=<1X}|p?Fr-Q}YxMcL%4yCkgHwmh59vqT7ZWis=aMZwj}wR5^f3 z{w;VC)9)LG+ly89@Nl#=ibh7PkzKP?ubBy}o^|Q9KMfiEq^%ve_<35=d%?&(e%TTr zM*zGfZq=dgKE4b5Fuo~d`eocpXRvmh@m`~dS8BB#w;s_uso^|h{xFXKm+y>$VLMBg z{jVTIXZp7&GF(b=F=jqtVCVykCk!urS*kd94Z}6XD#5^TPvWNk(It88Xf{jRj8Mm2 zbYu)w*AB4!|JXPgeOW78+m|>UAD%Xou>O3iBU4bDRUB`p4XjhvyfXAsQQRkx!pQEz zAq$GnSYFc&ZI0SQ?JSBjZF7?;v@5y}J?gB8&CgQBb!g|CL!d_;-%ayur*dz{IOoas zT(KN5(i_?~>#tshW5^Jboaa_--TN`c5|dDs8Mpsqs*$|8Gq~6^j6)I1D4JQ4VsE2r zT(Pf4=>6q6+bDG=nErwUIflWFdyYjQEyijLdSDnHzK>A28Z zBfyo?-8paLzSW)&G?Pnpoyj!J187t-Ys6VTHO&_%6ZG(Wz*Y*-uRb0(F(ZY+m0gZ0 zuZQxt3ikg_t15~IWqw{#;k=X-5OehEIWoSdrdzmTZiaOzs%CS*gzjkmvyf~Xubl0C zmm(Xw1Gh8UL~PqVwU(B*@yfop*0wd0jnBi z1N%2T0(BNLt6vMW0A3&2TZVqv%k7Y4X+`**aI=HUxzEX!#lU`o!D_MTFnd7p=D4JPnPodYdp4f(a*Fv$^?D;;==?np&6f{Qx(guj_PJR%8;C^h2B zIea6Utm98IZ=sYU9LC%NYJFqXkXlLqR8c6QMbJ7<8jCs7<b3s-XpDFWJ1!i3rv06FR@_ zUcEg%-i*+%W|;FJ7BAh)Y6=V;TjOVTbqI1+_krCoryx;%ywpAI| z%;}yV4>;W(?Nr3a%SLm8!tLdU1d=T#3UQrEp>@jsQ=~AAmC&IKPYg%oa4%MDrgY9% zBv0flo2gKYmyDuhDZ!YF5SI&})kty^;+#v)kwO+o-Z{MrMQr-qfZ^)BnMsCnWiqN0AgrxXxn|AW}Q zrBvY>r{Xh8kKJLTI=iz|=>3+&uAXF`S+^BHJd=_A=j*Y7V?hwmH1Rgqg zk?7a(Aj~8jT)lIRjEd74H*R}j%xet(W0UIfApFOWkivGngxay!!^@?YMz#1Hbu(Fq zQ=mlAkd;;7M~Y19O>?+VmUBqVz`unzYc%qOBmc6t9rd^rbi#;^NLHA+@H8 zM)7)*8bH^gHKYWAte^ZR_Pz_=^7>SzHfC{aUrggc@^KGUWHSh_;Up`w9^+*X;&>Oxd>HT8lRMlVU z*?rn)tts2JvLT=*uufEJ@3h%GU7%s~^O7m5b$vqRD^?uNFHf<{H3dn<_T{)Bhxzj{MgO8BaSIPk9+F_=n}k zm&b;;x7$ZSqNb+7*(L-`;Xz|8#!~MXA1NQ=_@$7;X7h-peE<;_T^eN$o84?@!JcT= zw48%|BJO3kI`Z9YZ^3W0jv@hRcB9qqig8}a8ta4LYaQ7mipsl=oe=f8y_P`|Pr&U) z5S6zJRajY`AeJmtItqOmHc$UInhm5v%jgiUAX2TGAc023;nBdn(`~$E>u)e1!vPu1 zvh^v4MMvnNlAlZmNloe1q7b)qsZR7GrcoFXYkf=I42O0W(46szDO zEZZn?#J32G(U}Q%0+5;m0VtUog;c7PFX%HTJbED1MuWV9ECS|UgS@?L1g$)!!BifC zy{1zwa4I(CCFiOUFU-YlYW+oG{l92n{1(z+0C z_H0^b%*HC1=E=Nm#zveV$T$V|igWCZ*39iNTPz$HmOrXKG3>v@pJnoTtoA9!e#UX> zk9njUx2ef$*K2~P=QNO){}RcE_Rq*Q!i_N~0g^0X8ARi)b}*UvPKv?9F(>jR4kGrc zH8v_4%;>vHcZ1MtbL+#L;QzY3$MXssLUK}NAsc&XY; zC3jQ7w8^Yx9k4+k^EF#PG^@rV*i1L_Rf8CgcAOevEH(d7+x?Rx|8P|9nI|`-Aedxw zHbDD$zW=mkic0YCD6?+-Mjr2*fQ5#_TQbw~4YRPsp41DpbRinipkuSHax{yv7#iyp zCW(g@rzH;}VRWFTJZ=3YLA9a6MOR$LVSU7!(u!1MgHch^P>K*lNoj2? zuqIF_^Ek*>((sMQ9XgS9mqe>{s>o{xQ+X*NMiiXVdU&2UR|&h{MU<=@lX8gFq4g6< zvFE1SS^wanZe+&)CCW*1*S9(hXME^HPw)RvF^e{3d$}e6Jo8*SUoLs=j9NNZ@uDg0 zD59y_a$HQa)a<`k#G7ltCDIOJtpuTI+h2!mgijZF$)wLWeA7EdvRq22zAa(_`s1;k z#=7KE9N$=;Y|H{lsxc}op-Q3{S1;Q%XHAUwYJ)p1m=XYMaNs)q9jkZ3_D3;(K{~1_ zNoeT$`e|U$n(;MP1V>kWnkp<;9L()Ua{FmQu>KnV zt=z!piu6V|e8}*T8nwDBf!erfTdb~6x#f(|_#XtqvNu-KGqu&d#==>B&8nF}+jyQ> zhKL+T1fdfa&oQ;fipI^a`h#3E4Oim$`q){G!3%0*&!j!kpkE0U4CqFd%5xjnSPTk= zVbdlSu`y{IQ4*gL6;bGhwaj$qn>+b+<59r;4{d{9SUKesLf*v+Dhs_-@VJWY6<3ms3R zR2K6!yuD9U^a|BuqKx`;<1axzm!ZVxM@BQUhYuDlDpit{l19ICD31W@!^CC_lpyK41CTj z2*srM1{mOdMTS^KZ8gQamJubUHJ@mHQ{DW;9&luFs%ssNtEi?O(yWQY*#4?g8pnK& zx&2i#oIN{&_&0F|);6kaggtC=KYQS`A$~820eQ0lJH)OP>1y2iDyM9IBEjafO2v5H zSVW!O(pDaI2A0WY0|i2He@f`Ip>)GtEvJ^@vq|Ahr`ESdUp2{eNOyDRDpMo#-^S)- zrslzB=6mMmq88>wmgdmbpPA;JYGhKF%f-72X(PT7U4j~+5O1`um{)E6U|wxn;V( z;iFx^OtxpF`CWdttGMNJ>Y|M=}!nfV8MiMO& zzZ0#tlsSe5OF4(s6%FHlzk*xO& zs{O{wV7*GLg)5HoGO_9dO}{x122D9+1p$`@hpga#FytQ${RhJUbgp3wm0*8bebP;D z$X{pqNlB>ii#`E^0r6QX6N4c#E%ciNTA#e)zigx;h(dsB#~_T@2Lj~MFC@m`&4w^M zV?ak|W3E)6CbNlk>_|v*Y|F3_=zbNr&6tO7ILH}uL$oF|Hm@fUN@v1Zk{;%FjJ-9x zCcGa_n_@P7UafFTk1Jdsb!h&k@w7_q{a0a>d!)@(>FsL(Of+j$+$w*KjWmx7;A{T5 zQ!1a>NAZkRTAN5wi7SpT|%6$G?9+XLRe)a%yzgZ;(8GkyfK}B`9wg%f6>61JIQcz?f$0 zaOQIr<-hIA{zcoOkDzHjvHyP>@tzpPXK0hA6f#R`p&KkU)lVn z%PM}t87rFfBTd9n`~EfGm;hRxtprvFu&rVvsy`*l(KLW6o|1{RnXz=(Bs0i+fAgqK>(j_e!}#^k3Nz*PIN=Pc;u0MqJ)U#~k0x z7{2f;e70Lxp660pR~{{oQWp6s9tH`7#5ewu*}GSD%&yJ*AssgiAD$h@cDtp6wOtgn}(7|^+=?@`?MFm4B7vvWRA0TN<-|q-%0L4I;!l~%f&wCYU5yz zsEk_iPRl!(WZM7{%};cs2V#L1rgMv^rWbdH*ZQ3lfu~o9Cv?Pnkeo3 zX?*gqo9}3=vP#HkG_B5zO0J(hI)3sQ`#rK~aksSiFt+H%p;g&gb-r)ao{_7nH-GQQ zsyn7yUVZ$`fk$UnGCP0!nh}*85PssUy>U{3U|?0RoAje1xv=uKF4DN8f@pUIg`>Q@ zvnt{YD8!HGa@`A!ZIu1}`n^!JK*RjlYpfB<@!uw2W4Dn`8yydK+54V=y#^E(1oLH$ z$Zw}68QsV|Pwl#pC29?+UZvivYr}b>sJu+p1|XRM_4JkW5vQx-gkAhDjqWCfa2_2 z+RDf@ygPq%B;~BKwm34Y3{cm`YddcqGKxWkY#P=D<#6SjY929z=KKanbw$TP#9zOL; z(&QPAVErgfG52Z)jw8rUh~>^A@O8h_B>||;0+-iZ@bi0H7!yuEy2CobRH*Thz;=R! z)I>uaI?RW;y0dh6FH4P2@=%?P6?moK>zcs4C3aKT{WAlOEv)6TEwp!^_0lt;T3ERd z9IRFM9CS`6m)3#!ZH-#E3va>RTog)Gz%W-Esj_)6M5}ZjPjcxUPKSZMaJ<`QS*o4_ zHO@9ttxu(21IUPb^%v9rLEP)cV{5(R5yqL@I&AO`YEwbF2(-zO+D>gv*MIGT*EV2C zZ|lgz869;{z$l8|qZmh3Pk}k&HF|XY6y1*RUw{%vEB?p3>2DWO9j6*EF{cXu=3_6@ zC&}dBYU2N7(@x3c5nQ0Nz?hCv|0}NnHNUSS4{N`%jk8o=0OJ_x0{VOS4xE0jukAk* zT;lD4BSDYrRdZdaD$}R({L6gR>nU%TcH7mWH&%3Jiy@rXpLGS~IJv0Gex0&WdC_4wueOhi_fv_pZ7QgG@!2kcSgS z81ruGBH21B!kY*Z4$uDX%5|W=`p$P3c~kE$;P`OR`D;+3E7!7yF`3&1zEq+7_sa3t z>IhufKxzY)#R_C?AGwS4$ySw@0XE>sgr?W-M#{|R8HlY{LTbp;?n24Miig= zqol&x!lBQZevb-?vwFXdOU zKmc!E)_F+f61<2pJ@zo;u4Um2R1yQ8VFBy|gEO$c4{BPS_*|g^Qw7N(oPb&c$r1Yx z-Xx&Tt&v!t|Dd!fIKnCQ5UnEQ=u1Q`BIH0x`iqVLX7Q+uXO)@B&DP5u2^5^G!;uL} z(xWN*hsAz8XekXu)j3|Q_=}I#fi-D&4MpDhyaj!QPc>!3IGTa_*rGiFe z*UI+~Jd+@}#Nmy_QF`@nHpU*c(t)U_GFY)YlS1ln#2o@C-$?-nx-SKz3B$oXgWXwv3v2}-wgMqPahb^<>P%FQb z>hqQ0T2rO@uw$`eC6FPeh8YtL`8_^n*Ob1_TzA0{?}=JNP<~H=hWv>~(S&V+lhXUk z{@3@NShQ#`P-S)dmx5|(1Txir0wx}$cWr1W%I_5r^q&*G44*s<2_mcIc3_P&f(}KX z8JP1{?oL1`=mXFYd7m^IKY#YY1g}dI;P+xiR9}w33#^YdoCG7@Mhp01p3+8!i}D@S zlwvnjPB6tg_e@%BHC1*6M^HTd6g$?P2n;6?8#K#kzJU;D9b~*z`*j2rb5!qfG+Bq?@md;#TMGv3hOAZe%N>7=6Rbw zN9!Ou1JBQvow@06R7}2Hk&qEHA z%P<<#Cw4(R%73S_fas%~e9nUsWX>`O%XmveD+i>`&k=S% zGEH!%B#H9eHW0tJ0KMzFgt=>J>z1?*p6vHS8O(-9S8t~4^tjQDT1 zzm`_+gpBPJc*QTqIi-wvx0VXN4<^j2r#y&Tlj!eO0e+F>L*hIH?@YhiOnlnf&E@b7 z=~yK8@N!`^@FL5oU!FX~2$&YPz6tzcTXq@Rm-21bDL(YgmSsTPU`2}7N0jxIEhQ;* zH^%*utY!7{K4f)1W~LME!X$Ipt?ixLf^E0PvZoU&1PfowZrxvw=3&KOPxHcZCR+h~ zqAFLPj0xk9aj<{tpNU$D`&vicuGh&hycy>-EVqD9u$0#iuUFfZKjD#DBcMDkCK-7g z84~dG2-QE!pE1Z~eLD009jK+15LnPY=`FuiZJg-j;5)B5%eE?=#QViz#u?Zeo{B2IKV!@`9S#HpMler zQ!-VEEh9(8sule@vSD0|OMS zC~Epq+Oo`zt(V-GOFxCK_<{c*QTQyszOwuVV#rzQ{ceG<++Wz8Z$~_F?>P zUeL3oO>u;A62eI!CH?XN%ZIi~`0gZ?Ib?Nz)2qOzKB`lLpwqlp^Wol2CZOU7I|spX(GLRz_LlBlJA4JCmA!qw^EBaqOoe)VT`&IM0h@HK9IT;BrS z3~0!cH9Fs_kY|EDNej~t$P4XoC>-Tx0^(o*-U`dNE*`J;ZF)BQ zn}!Mz*>@*AYs@Lt|RxqDMh`5C_bILr~L0_m{}%e!|Taj$>OovM{)vjehcBsv_tQ7CXRN5Ae#?avK< zOeb2X9y3gVlrm%jD!9(^*o`DQoP8q!mL5ZdL~$;uGv47eK0kOw2r7}_J*9FdN_Jll z7r45JY|alcD8e@abIn77=kNO-l|tr~@XnX9af6|R`fBvev9S=5`usPQecoPNd?ezH zg?GK-h2+C_@gOH8t|G{oe^&25n_S`b7P)+xKfVVMJ9K2fSA&4%U$9k`zOz}=Lb++} zD{eeVgipgLM%3(J_hWrzrZW{E3+#sI8eRM@xQmJ8wbtCw)K=^l6r8cKBAde;W(Y&q zwMg734~yxU@u|tfhlr6=pK*NT?s~tor+ZDsKwm@;+m0IEG7;(~;*T-$X=2ji%tw7u z_0K72Sg@vnP+M08m7Ya-ChBa%H8#NOcnTqt$A<%(Vz$GuwRUo{55`L@jHi&0i3gUb z9E#OoJry1NGony=tdyvY3Vz~rOpplQdpnsI90UA10((^V$Mysj%n@Z&|CRJRVgREkogDiEM}cPupI3 z@loc7U|z+wtO-(Dwc+WVTQKyG9KN~OTPqcR;G%W*r?Z61n*YdMNxD!?iaq}k(Gu>d z5pq=%d_#WK$JhPPg{}srkT;f7J;SNKqqFc-RdRag;p4Mfszh5MC3LJBce{nAhj4tU<0X1GkCahMvviU+lQoy64Qu z^xK%xYnW2u7E=Eh7eJoynSirGF&wP3QirDtv|Kb{IEK`Ui3v-s_s)Isc= zW~y&9P7?2%nd*O`LXem5!z)5@3*FA@d?AMSk#jVG zKkI`%U{C!X9S`?c1phJqWF7kP;!X?9?LO~AyQjj3$O3Tvub3Z5N5>dt^K*o&Jj_{wt`q7%LR@bcmmfg!%vGdYM^QCr0Nj2JPA z@TvWxCtap~{Vv)O;`QNCKzptH>dOax@(YdOwVVn&gW+<60RIaotvWVC{e{gp;&F_^Os%A zsA?Zn0wFx*!|`0(H6sP|aDB&dKC~P|E*BQ`5k8WtE|*~_%+}*6u+McpVDCI`*T4=; z=PR-;DD>7YvjW&yw6I{BlA@d^WUzd7O2Afr#~N@JBzNM1m6n6uM`FYfnbX1wrVaQh z&^T;Bh7;Z+kSmNnqHFEvT7r3PHfaH$T0c{}M{Ty)`_bK7Hf=Bie3Z>Wouj)GqQslM zT}x)-C)L3=ZEN=WE`hz7p|cu>lQMLJXy{3hLlW^I>{w> z^d>1CHn`1OD}$Z#5g>UZJB{+eWVsN0OAEoQWDC!(Opgxv3~O0udxLsU?)q<@>g(Hw zkb@UTif?Wx+%zt3ht5bsvL{Aki-(KQo{UA7#8M(~OcNjO!o&Iq2%}9itkbG}T6BFp zS2ja}_x6$D_OsUu)-gYJ$2k`Bu+&XooLoOe&-9=i?!GT|8<9}uY*Ro>u|aekU9uFy z;0?Nktp5)8 zI%w^}!ENwsq;!j{%@(YNI(3OhQB|CBn0acOWhb)WWDA;&;=<@o-~KkT)ebZ22oIvw zT9TUhtZ*O3DaD&xa-szmA0sAufEyqE>@>4-{yGm(xm_flEcVu%H zvQZU;B~>ZjY+9n4oP7rqDc;#$c+Zd1iv~KEvjWn{)DCwSACrFhXZDmzj1iREZac@y zTVKYRX49=0pF`+k^Hj}9HSwzOo=(^MR~Yfaju<0As4Y23$6(y^4zu<-qpzFqP#V#M z)nAmzm@2!50gD5sf;<||9vrM3GkeTc#;{5#y?9CbWVFp6on${b8}cMBAWS`ccFuC@ z3s1g(k}iL2D@U73&67v9U7qbG?ajCE7Di4fhn9E4$1Ez3vy}d3xJ_wuAd6lBr%1C7 zqx_=;L01H8YLerW%SLZNX~G%1;vJ7y)7W;fkrgG+WD|WxhRBgaBkz#g;HFOZzBAhc zcd)peH1^$83;BWzje0qcdJh~Taq$hn7xaE&-`B-MRvfMEmlUkFDa&za;QJTEh1yuv zVe$^F(tX6f_==wYn^L~m@OwWl=pNVUyS_q3Pwn?$L3?!5B#vh(RU{tHa`^=L`fGIE z1E-Xtx%KrwZ;WX$#+Zggw1R4k0Zu*!#=`;K^!>-H+K$nB zI^qIm`4mtv3m%9Kk2~zD-hVtR%J#<1vlMnX&GY(;7s*cl6JN+CXW(XGE>mXDdow~E zee^k6dHJoUaMv5`<NesUo-9y9 zG}z8QY6}ToYssp)ASG;3>V0(^VkWpsWOC3$$e0tL9V^E#DTsp#DBqOP#-FdoPw$n| z=CkljDmk{V9F`SC#wyJm*ztk$}NpdxW!d@=!QbJkftuHtoiszii0xLs{%xsS#iL)Zj zQ!*#B@?5x2_#~!-zGC$aVVCk%zw;s~zOA+ANOmqyek+^UgeL5Zl8k>hJu7QVPrbgK zXR}$k5tOQQHN`?-N96IFPlbSG#(k0L)Mn5_@gKXB+ z!veDohE6@AlJ}Jxq;GTiq$UuV7n`4&5Tq8q@dk}w?38D()|O^Mfiz?zdhV80HH@B? zxGWIVPB_uAA-v4?1R06c|S!yc>E*zp>5fq5`GEz>#MH5xzY=7?(ao|N^8~ys7Ya(KN5zO z&IKD5lAd)BqRHam8tYqgRuP?by?PXkzX0cFORrwHUPPxj*pD6T0Hgp$7y^nB#mf$5 zEf5!+*-kaEO;(^{!@6*8Schwccru}B64B}MmJ5(Gs{unIMV~yM$S{x|u>D}VN1?gl zyZ$$KS#kHree8gr-*R<;Gr0!;6yaKRq^03nePOT^l#_0z3mMJuLPTcduG?sX&el9{ zgl@0dnEx)655*FXhu~yOn=%N3W>3vEj6XHpUoog$oX`<&42IanZ?c^#ruMR#VwQX4 z#MdAg0?FcI2S{}ru$Zg!*Vt?6pA>-+^-mDhglmE7pq)V1ATSi+PTb69Mwn&n0PBd( z&$x=#`ogtACtJ#-Az~1XfOJgb*a6>eD}f`FGdQuFjwc1Izh`lMLb*j?%MEDVr`i~W zEV_W@`zZ~^w@qJ~3eK%pk89;i2%MP!!Q831aYTT?S>LOdk9%Yp(TUv&Bt{h1+^dIZ z25g~z+WMO<%+=u@jPmi{F!tyZcECwPubw483W*)i1X?mx5tivdWlIB7KN#{XcEG3~ zk#~M=uO7w&c7WU_&@>d4T|h%K{=K$ZYo!GfR|aQ^L-39L^v{)+BN85%&KQs^QY=sm zh=FcE!jGVI51?t+qcLHc=CA`82Y@*537}`>5Hj$JA!E}4*AV!?HAKunXP(qfhy9eh zKGLB$7K{^az@RvIiWMf9nhf|tiuC&aA63*^CME`;z&RtTF}0zi6=Zq(gRT*s)Tuz4 z%Cn3xT7E5TK!sC{#`Ady5~DTs>b(OdNX+KWj|8EcG+pLDfSo*H(+_u zZmR+0y2k`0$#9Wr1axOgp!Wv}r2cKkE0sV`0E9N|QKy%P%ybD%0y^?r(Z)w4YC6E%|EgbVaT<)`ds)InT5N7Zv1YY^yaFv(75$JI4DN|8d6qLg;q!7d$H8)Dguut<&s#^LV)q z1zpA%VbYOKpc5=<70YvhYja0B{y`%!@xi^K1oc>RHV6~;pw$nh zKpkrd_Y04Mor7UUPBsEV0??2^v4g;8v0*-GEif@bJ4jN-07|lV35aNh0vy5kztDin z=@O_)_VVg9JYPtch)$RRpl!tI&e1{8ZEd-VjzCp~SG57@qXCI6kTnAR!57#9|3C!{ zSU`X!+s1~p_n+`&i!JLy6mUe})j4=S;MW3QHmC!dCikBkGeA(nh0XVxK$@|@k(j_) zEWnM=n=W-U<3Mk$M*NrC8G0~rw)q(Fjor6oQGsL_efd3sv8AW75opKj7fJmb%ePJp z#O=YZ0$MrLnJ2oV7fbF?`5lc%9V(2FAx#XKkme`OT#U^pec=#RK;3)+t+T7e^!@hZ z+Ur#pTIp!3%K#|#5HLWnHx9$vh@k<}0k7u@_}Q^%?Pd&=P$rG$>#Zk0xf75zR2u`W zOF#q{YPUk*v@#%tBYN>D?gY@aKih1_1>V!WsS6;fF)%)ju2HYd*8_5m$^g2d0SqkA zVe^(LJ3x{;TZlv=w?Ku`3-Q7%0LQ0Nu2loIG4ci2sjubp3UK0T?)l zIuwRiBchW_!SJd^RbIi^BIknQm83_H0_vH|>6JSe%N9t;_ranH=C8hGC(LwsZfO72 zx0F{mk{oa)Kt`aBw0!~nqVBoocy`3s1S+5pY!Xl+B<#p0YICm-@RmEkZYU0iMPQ&t zouUJ(>Yf!SOOT7%m+D?UKA`M@K-=N6CJmt(78B(f|I?43*{{$T?K*(=1~Q?h5O{Hn z=$z|Qd{g4|9Um1CmmZoNsE#a&Gn=|_HKDs4`U%@g(9x>X3sJCk!x7Qjyt6{dM;!iN zv{G@xCOAs2DLNBK$VAiwd>8+}fksY&?MLs ztP|{D{9w?APN#nh4m5|>+tdcATU~^VA0ayY4$!oX{D6o{z>6EKWbEfYO;kzT)#SP_ zDGDsS8wfQ`Y)JF@~*l|fkr zkUkWUn2Y2oFrDN8Tf&NAe|rJJNO3xWWYyoW7RMRjZv)-*g5R^_0%%#rUZ!$uAb;8q z^+{mdpMVr#UGBdDQ9-#857laZrSOBx!2VjlxB}W&ct8Fw@jf2^83m4QO83(@Q@Br5-@K65tZ) zU8S3r&fClqw>Ow6KoSiHR1TIOGh+DaMjlenImTwq46rk!$DAF81E}o8y5)k`g>js~?@lADryPFsRK=z^NC~y~G4|Q058Bj>a zWT0x3?&X2rs1*k^bXV5MU;WslV*Tc$Zr8F~V9R{L`*y{}0nC=Bz+_>LkiBjGcS-AHe zc^uGmTjO_^f4nAY{?t<>RqYo*BT{bAFCxgOrwhdHiLV;f|=@zqdnJikq9qo*-1 zNiw^`cQpdGCMlaLuSrT1Rdl@?bOMVu{ zuPL2)HqyT?{)!dRu6MzXEu?=z9I*`*@7+_j51wS3h<$#`_AM=X_{Fd9)7RKd#L4HQ z{Y!AQ4-X-e$3?qer&&$g=tg;PXg=HZ(>JhV6|@eX-nq_krrd`H*1Df9=sm#%2z>D7 zLjP5;E`N}3BH=l9xtjGblq=vu-Qk7Em2KWmg*f4Thpjj7e|zr{w3JMpfbp|91shz! z+gq?$0l-nvlr5CQ8^--t3D>fsUJp-gT0bG9$E8JUx*&N*zrvB#06Tv z*k-_g<$HR!p2mMc&QW62!W+`YHZ{%PYVS-KHhq0^bFSng|MSu(x{>h`Wd(0c==~K| zy3dB71Bcy=BUA!+K9Q)h(8}}-bP)s7!DURS$DSd7!)fenj87SHMIOm&q zd|tu7zA&FXvSWB)PRq8HQpCZys(B-FbXz{=W)mzipABvlk~s7Xn(9wdGBC)SAx2lRA#X4 zn~4#FZ`4`JB=&vGv?Pa|1Xhmy5`)5a^HnUJ(M9C+@B$TKDe%-q&YM~U(|U(4c(ssy z{2W@MlDCa>JW2ZIm7;LKrgUkpmU$A}gych>C~Wm!?q2UNrevqg(bs#|NY0E=B6Al6 z4;6zm!eWpy_vX!OTV&!ITdE?4*wUkMJ6(H@i_lbBout|uf2_|8l&)!RtnL2eSp=WK zUEd+fZ>^j4GH-S#i~3yQJ)o|29GdPVQYI++RButfj%}(KpQk6DchcXZLwo()TF39h z8QbLJzegTDVlflFm9vzZ5GSe0WxmhsS?4jMXpKcd_c6U*T3P3@5cPJ{qdJebyJNUF zZ}h;v7ZaF)@ZLN+BeVc-V@7U;_J%k)BW$~w8UJ~L3KD`r6j}mnXLW=W@a)47A`K!* zef)raM$tZC|CXRYb-Uwz2Ab!|VF^+juJddZ$)^xjD8YJ^v+e%#&psgAi```CwZP;B zOM6vK#f-8&-IgLGkKiqYh832)xOdq3q<=oh=d+1EXLZsGcy=mzi72)Ol&L`ZnOnYS zQxqJm+;P7)eVuo%DpXYE^sN2;{2CAXQ7C)xN5DsLYW?um#WmBjFVpohaiS$uN{az$ ziSw}hdF}%gfih6DTY$^5RMFV z@|C(WEagVtJM)%XSZU8b zHoPXx#zmFZ5~*==m0Nge+cw_J>7IOeJ5s9n7Y4L4p#n*WkWb>?1M`&ULj;HJ{50k2 z&!WRua=AY^=E3cf?5`iwUUUl{5Cw{rs*|V}uVy)XF3LFS%ZeT%nu^_QI7fABK3>{> zo<2_cCX}>y{;RqLoPX5D}Zq@M4kHe!yuyry+NR z(@H(+TWbEAr(@2g18ib5xxoON22Rw>#z3+MJZ`eUrpWl9hZeBcT|R5a0$+pg7e{N^ z1a0ChQDHS(!KcrOk-y!ur%Y0(-P=DH8%zEuqo?CMV+FVVEv?p-z~yY*9vVL5DT9^E z@Px;aef`l)@GxS+a|$Q-A&Fk(3hQ%VbzxVIRUga3v7F&AiO5l6RxXd8g=4h;v{808 zK`0>Vcny;Kg7iQAZsflF=bzj!xkurGxOSo`9AllPV~fJG2Q}CBu4b$@%PXVW^ygs& zADvBwcb@HCYS+mOuJIKI%o-Qe$;<-Wf0p`mm+l+CWiPp|_ZbqPSg+LE&-XQRW9z(X zXXjk=NEh2fp2p}n_Vl+EM5DWAGQc=jpi<~Ng+~*~LY0uc0?{?2 z;_ZM4r=q~S7=%`o<%EezAuHfcjx>@GC14czqxhK^7qHg3^w1Mdai6LZ1kNgO)T~6` zQN+oR^4^4z;wA8neg5c9dKiEto`OCFOZaw#3Jb1&p?tCRK!|VHNKsdN9&F?H-%}dQ zkt~Htx*QN)pVYi3;owxh@U{h`6*W02LQ|BP4#M+ut2}~2&_2&zYMBYEt4<%1#0>Bd z#5f&-(B6_>q*RA@h=CuODgHH&OZHpC`zs=xQU)QLztqD=CQ`9NFJ3l(w7dpEBC$?5 zCG9D26@^DGNQ@=CX_T<9_Mz$Hy5~sKreu+oyh<3fy8EnMC1RsRp0)jOmI4-ByXU0h z>TJ}4bOH2sGn7B_J%fbePfy#Lkz)0FlqWLSw&_jikm;&6r?78FO~^`V-s;7 zcMyan6@wSZgucc(3uQ2M2kW|u*&j&dk7P+K%J;66-UTr}#EJ<^<>S6FDiA0Vbd2X} zu#xJ=3_=aampIF1I4o0!2$i3L&4)tG92kOw%)`*Y(HC|iFC_*9EOTRgt|``FG8W-ghz*hPlmaM;-Y4T z;=BbPeQ9NQ?kOOY*PKupzzbT~g!F}nN`GMtk;h}e6d(<|QKH3gF@!*U1aeUU!HChY zb?ON_brs_*#&`Jgi@8uvh$c}u2*kd?fkL;8-$Ov=xh_U@_|odQkh75T#u6dCN$Ue) z9D9QSv1^nC7b@RSA&Eoleo8w=NeoLi5|ST*&(oZMwig|?`~l9KU1LFC7+)pH88@cS z>L9W__{NuBvPacFusr@|hfySj>(HVhzjQOI+>Nh9LBmWRu-NB{$0CI>iW%m`fMhc> zx(TuP9wC(Qv96beRP=Mw2yTp))YnemPhw3_Fk%{0WT-K{j4%POU>ttNPn*p=b~MNG z{FDo)7niA`O1w$lZDpuN&ljm%KmF6!ylL#33U#AY<~Y^Y^+W@{A=TMd(iGI&7I$Ab z#phIgD;s~*XfUdp1FHXKGei zoBDKm&VBq1&#@;(P$II?sRvhA!VF&A5SNfud=kxYh=`SX4$Ux$D3@1~Bm-5%IYy9! zEbup}m!8N#@pSz;~XFEnboYkdj23!s&;;R4V)*;)Ze}NL?@$4ohe`zjZ?Fj6Rc^EeomRqa0=K)$nTT9qMm7qHuQ#+&L z6NKN17U@Cuysb}7ni8xEK@ofIl$;-*!NEd-o;U~QNKvqV5-Vn{Y_6^rs_Rhw`m|5| zl|T?w4#;&V2ppxk@{843YM}}X^7y-F^B~|UaYI)K)>_56O;9%-^~-ytu^lBbkFtAD z9M7TRhF&P<k{C#1i6CU^!({is82sV*(4s)J`cJsbur$EC zX^#taA1B$sU^~=hOS-S0ghkAlk3S#^1?IFa%XX|L>(|W; z+y}!xdsAa%g_Pj7W)B8(QMn7n%g7A}7v0Nt7{$Y%92^RulJTSXAh#0WXFb@|2oCn3 zi7dmW=F6HrQ91^~vyG%qRFjzs!@D=eUnzden-@$y-#@ROM9-xuy4X>Ls`c)YoFVr|#zh#vMv@Rw0}h4nFDpe(^1QmzINJ3d6AZ^z9>+@O@mw zOSonF45v%DM@N^e51G+I-z8oe)^+x;76np2`EmUuX+QHht#CB+hk`NwVV6B?cNKJ}fs^0Fj}$T|2@|-ZY@MAO_4OZA?n%XldLc`C zY_RR}AwK0o<@W2M?R#!3Oxb7i+q*cfClB{8FLoZuIU-fRyo54@YJUmT0^`aiiv|lJ zHDYkLQKwxBgKNld&(~+J#R*uEYl31tXTnahMo&wu=J6f3*8wC90vCTe|41k~Kj7PJ zWPbRz(236e>r5mPGlSF#mp#%2_tKA*W@<&mE>cmP12=%R)BqJpa!y^dw+v@{@u*+{ zc4s3?m4irCdUiW?hx8ySQtpwxO&2DVY=HVbrbC)2W;b$d-G|!yDu<^2AM82-B8J+u z9O4hP#$}CBJ`0;z;N8hz9g3~=pzAwKpxRnefm1n0G-#zh{|c>_q`q~)LqL@ znC}XE6|d%#eoi3*B%|sAZtF{`SB7`jtZ;8L)|#UrQc(XPw&}0bbs=P-P1CrmWsI6M zz;=pw9KOe@e|i{r!$ov7U(4aeiIc0(tdwAq`XN8Ngth$hjYo2dt)@PSt^2mRs^66t zYn%6brFjT%!t&O&6(P4OPBZSN^JHKBU##pCc!-dhRV;uOlu+2 z1buLqoNROFPjI!{uQlg-3|G;=4E2YqEx~RD-`^EBjnsNKRgN0MBym#2rZnFZr*+UU zEJX@#VSnh&Y|6xVN@Yq3V(-HX1Y+IjrF~M9zZ~+&=UzuBMYZodgy|T6q+@kER$~H$ ze6*9&5EDCKgMK|bc~%PC(Rrl_`@XUt8lp|7Ut$u>q$|cQ>)Oxe80MJj>FH4hk!Me4 zbb6?^PG%Xok~<`2VVRLS6wO8rd{t!ncCvi(-4N{fn+Zh1MV4$2;o*CT31I|bNIG0R zu(KX4->+K;X7-)qkeI$m+u9%W#@N97C^7VW*}wy+G4u}D7W*f8&|bs^kdN>By)@0d z-*r-_6E@r*@{v?0#)Q28{*_u-EuP3Nas0HaOCWZ5A27h`KRM^t?V#>CT5e7*k6Z!6FUW%jm}1k~*wR&2zlFSIQG&aDSOu!)yNOuxgqQa=Pmho*t-(KF4RVeKrJ3 z(*^hJd)baWp8V+^J)P5Gw33u8vDQKzxXwKag~?WN$wGV4Er1%~3qGL;TzG=PNNP+y zq;bqSs;sACvj17=T)!ZDTF#k4@KyMef-M}P^*H)_yc_nQ4r{&Qyci3a>wCgcG$JkJ zY8y*s922Ol0Fi(IWW|aF!?l6n5hX__v^f10y^RL3iR6@07u95omZ3p6S<7$muZ!9|{dSnT|O+91n3;m#O zB_nRakHK%=v9a+lY7?)A3zN1;ONa0H-5%G*-Il}~g9aya=uVdKpGjED-i!~A{~98? zio2&_$Oa?-UbOpe+O3bnL3og3<;+ztT?`d)+E(@4ogqYh&$K+W>uf4}ZvXOnaXSv{ zA;l1VPnV7RkSI4ceCtbd|MM8UyJg)$$5tMyZicmPmbLtcW5vS@KjZyfw&ByMhfXnF zXUzuHBkID))78-B9!Wxx?qI(EV*Qj}K^fPH*nOO*9Nb}8@N<`raVepptfNTc#CCzuv>FmdU(?xcEPm(L z81m=7$KWyQ6rXoN?G!AS4VLe(eo9c#d>Y#w>(_g=PBO9HL{>$&_}I)miTi@egry>( zJ>uNhVm8aU)YqdNnB}Bggu(C88IN=PXL|H5c|h!NK{46LNouOme04?cBYAPmT-a1t zq0SU+*gHGkuX6(Y{kghOU%cX3GmQ>x(6f(!Cqz}-8lcdAsM}Jyi<3{lCQB=cu=+|Hzz<%Ba$$k>0Oz4=deIk*{>x zy{M1X)POe&U7#t<=MJk#z9xFII~=I(z+%ok+J^#6z<9h9E!=sUYTO;Nqj+x6i_-*& zvVK#Q|9o_!EKTN?yFScOW42F|{0Ksy?`qAE)}oP?SauI%cH(83m+{Pt&81 z-vCxs%(+(u-59MsU-z8ia(~g4I}7j2hxmDfl|IHqJzk>=g-)a8>1=t}@TSekcv4Np z7T<&>{1QA8JJeQWzL=FPHsoDCu#A=0b&~S5pNfrQ3afDArJ0iPgpH@4$sc|%hwFP7 zs+HYi?v!Ao&n}f+25qw=1DG|v^5nKknA@gH1*MdOvCcZ#{!9I*OSx_VQl$KU5P)|Bk&j1WOQlrm<0hdy85 zb&a>Tp;y$$G7Qzs2~$ChTg}RCVwfSDzbajv0ql$KPYxR##rD(S#+@*YqLjL{zuwZlKFxj5qL}Qr@1iY0eI$%kD%et z@V$prZK3+MqymDHo^D3zJyM=-{*X+uDy==qG*&Q@k#m|KCjDYuJ$1I8{H?@!!m6w< z*#?(vuy75F{bPC;fWUCZnxc&P@SY~Rr}Mn-%FVB4Z-;r0uL4a+onP)wm+nIjSDTn~ zKxMz1m~v)D!HL5LeX8KDX0X8Y*RL;S|_#Byy`v!LH|^EcGM=10qfY3$-!?)D$YH`N#II#3T?&%#EuhB5w$$8#h;voS zV8Dja0_v;~Wle^;D)hIQzS3;SM^zI3aKU>Nuavtd?z21nu&EGZSrX3x!Fw35bEL-& zg6l1UPtf6|ErJZlPnGT`0ge-}`#C6MA4oC+1i4|o?2sSNbx4uebPqNNuRD1Tfc+7U zic7YUXAHf&TnQ&-Ku3+oZRjZ4{Eblz>~ zUm6xK8{TU+Uua9bAJp0E|Ln?4N|x)DMjNJZ2T9kUhTgO!;|U+oO$DCPx>Kr>iWl1p zF12l zTw^--QzUBdU^Rd4U;qbR6$W6o!I*yE?`qnx zpip;brjHs^w_M<2t>)7iV0k(h=&Yo?Xe4L=#Jqq2f=VQ)#<8hzkjiT{sUN}UDn=A= zV=jLm159%#5ZqieHI0STnl}C~ZR`bTBRR%f(9=Cu03I|TY9s+6AoiY85qmNEFK47+ zAq`J%{fi%I0Q`utnA-u!c1NR#tsz84`h*S0Xr~*?q&OwBK{WX9%rPyh`~&X=FP#1> z?hT)jeh9d^ti~Kb9)IPsH{mDMpQMDALcxEb`RbI(VEc-vzf|zQFvt5MJfiAq)?jeF z>T07t-xcX5M3@5dDJmAyp*UneUj`diTzsL8W=XsE?e@9-$(Ah3552+qhcsWg5p2Sy zk!{?cGCK}kEUU^pA7Kdat*k1&0tCof4+l4Sx|l{EBfYsay?rC{p^i4s=<+xl&K&4@ zhGBfLFBAxSRi7>&>M# zNS#0q^@lPR;nPEa-VrKJM>#a@Jh(dB$9fup-{glP@I6=%RJEE?had^O-Ji7SZf+xxeE$2u3As4sdYyy&hM(3p8Y}zE9fZLj^X`Ra zl0VM&_tOnyFW1C>1Bj2VQP#ZQULab=x7kP_&!#7$R<01=7Cd6ao`n%c8mQ2-$Rj}; zu1wr!`dPDH|B%vH{VF!*#D~hmR@)ouTlgCyTN7BYX(ml)XVz(AKG~y4dJubPbT9MJ zMyLFA6Hg*?MYr9UyJbL;U6Pg5H0`f1?Ki{RkNfnXla5vf-1nh;09P-hKfpV{1siH& zycqGTwz<-%lW-bqE5G+>!kL&J{Z4GvG4P8r7;O~#F=hw2&5A?97RoGHZlY6%p^V-; zDdgs$q)_~YUa61^#$ABtJ2$SN57uDzp!4a7w#LyLfsu_7_h0~_<8vrP<##3F_c>GM zr`(9Eqayl+?77h&HhUe3D;ow1b~!fJeuAu+v0>_P5Vwtw@uWH@Ctu=@VyW>n-r*6- zD!N|FN`Bjw5>x34)uN9~4!|f!@SY@LGo(;~!U3Ev=6Z%-tS_PDFHZ+5sAvQkNg_+0*}AE5mtD;aD}$>VEDg(; z-Oq0V`nIJgVK2f)4fFqS(5i>YUe7;V5hW_?Tn=$*9BHNATXmbhwI|lp`{!R|h>_?Zd3>NU(HXjp` z;%qxk#S5FDy9@=<&DgG+$3?5XyXbC(`_!H1Td1FVHto?8@QC=oh!mKG)+&SqPtS|` z>!&wgRB0a64GOPD2y1yR=Fh$uw3!E89Oy#0fpP*X)~{w@?GYl1-rp(cSRvs@A@nMd zgVMjH`i-gM9z+HK-U2QGH9I;h$F$bveV#F9E!8SS4HVXOVXOjdb)TVxtH2udJ@Z9j z;PxD958nio`MYr|HZ+#fNNfi!qTS{LIbzNz{soCasAETCHf0ExUu=hThqT~VIo^KI z%O(%pGG98WVNFW6nh(DmYszKSBhKHF0!nyEI>$re2zl*`)}ddX!`zHP9avOTR9r58 zQE#Aec<|(F|IeavplIHPEJ^**w=ne_w>-_`l zdiXgN4Duh~2gbGn5wnSXj%CJ!H&-KY?(d#z_4&Ilw01&xw~`*WI-Z)R`lcNw9?FEljbwG%p$01|KtNv zJ@&Klcf;vEifP_d(Fi8%(gB^CG+-~D<@egWIVpWOa3YI1a1i@10&x2weL6AiA3}el ze%bZZKf0u5-uxhurF$G;z(4}ku?#Lm^Fr%U&hGx{^_J9P%DV6q=F$_Ld2)v^vL++w}Yqo zG7`X=KUeg#GVBKN7&Ft)oL-TyGa&~GUZMZ9mk^FiSswI+`|<Dh7t?0 zK3`SQP)E){b#+lVe>^#*P=7omvCs&-QMFJy&cGNO{Dw;6FKy;sU?4QGepFw(|IYXN zQ3aTw29jdc5VZ}XbF2C%77VS9|KWTfwA6moPAgDse;=x-6^OM5@EC%ApqS_N%!`=h zYo3&wLT|GMo2BP-lO%TKg|G$3WEh4%6BmuMz{0X~25V3+{A6|I?2P%=$x5(ogn#lm zl$1AoTGgB!zrG-Y^g@6M28(ENk$@On1pZ!=}=;1UXfk4&BAyREZomDwir`p$--Y-JUM>Jnszs~13 z6R$X*m4EX|S^N0}G1O7=2KAKRpk7|w8|yRs{>J)j+?|oy>b?t=TPm)ncVCKZ3A=`! z1?eA71QiDSo|I?L8_?YF45+;S`IwB5VT<~?34O2QUCwLU&kvnPH<#AS+I)z6%*&od zmkglD+nt|Qh3^t^zx?R<)=Tfw1Yo}Z74XIQPs4_>zv8D=%VR#n!k-^fjnzpt2m!ns z_5HMzC#OG29H4b=_>=6h3$Z!?o94#|(*<}aey3V2ZrWQ!OR}IVb88QI2}Te=_pnvH z&S@Vvgs%11&bC|DL#U)ll34!@?RCCC2(X=quvNsOEx&xM!>I2P$~%_BsQ4d+hdV1A4oEb9JfjH8+aDQn%ib&@r7*CKM&RlF+q4#@J=) zY|%_qCdIQ9=xi0wR3;sD@qv9y2cA199k=x0@LG*Z0?!QoA$?YJe@S0zk4^<8I?AGq zL7M4&;x@4*GeH$o`dN|w)8{Q3k1!Ig%~s#Qz+3Y?O2Ji>f(;$10}JL0DiCrql-_Mz zg}kwe7&fd?)780=x3XbA$KY>&v?~HM332HWlmkLmp(e*3Lf0KQ=9~H5W(3`AfW&M9 zuMLxq@%>Bn22|eo-2ok$tN^-AEbuNcqy{*4sh+=7Zx5h)0_e_fv0=@Ua4P;#>VeV| za1ku=V#JN96stMS3qt_cNiIC<1>Zz=)rj z>0L*`itj76nD5vwx)=r0$&-VN-k8zqrAUdqqIZ?hS9!g?$n2mk^!$9knz;waD)2Q( zdSaoSHvT?367^=FX86SN3muwgm0Qj)d@#Qr3-vA%rTfPB4aRBh8i!Xk71AOT`@P}`Jui^0x z@a6pld}#pSb4&a#f&CZoMbL={bAOWV0E?5U3nq;%B%2jwl;DxC0fj~pcFHf@6aW>zTrW>$Cwm=#XHnH3s4 zbotig%Cf!jL(BjmBUu(8cz*ctmXd`OC6);O8g(^BSi?x@uRYl;DICBB zYW#-zMuNu<+|}3W49UyUDV+*eCKIb$9!vwxr7c~A61iT7uNp{W`H|7J= zJ2}um&Stua)4)(4E{xH@P#zwn!@EN!+?a65WI!w#UMPraV1=I9v+o&s=jxWUHM|9X z`vMEY%MP+cC!_sRr<`p&2KTBB<6kR6y+)0Nx1}H+BJR`i-Hw4@(r)lxtZJUYa3tX8 zy4O|2EbZ2JKRF~Jy)tBEMG5}3AEbhD=)b~sG^bA3_Xc*sf1$% z+5W%AgTiRRY`MJuav#u}KjT&D?O?%(>*)W>yPeS+pQJQDxlHL%m6)t#%N>$Xs{iZu zu;mh=Lcx;FH)m-?DE9SXLwMamU5V|2ux zlf_XRX@pP>a@%R9qk)c-B}xvdt#4=`jDf18zF1uJOS}jt7B9ykE{eD;M<$(;rYYmc z`m{VvdEc0APBZIC;C5KjbH-mXwr+$z-I zP)G6A+_{vW*N%jATfUhJrlM>`?S4UqpZ6Vnt`j~%g!(`1y;X2zO_rrAW=t_NQ;L~c zDQ0G7W=b(D#mvmi%*@Ozr6>g<#;gDDs+sDUzGL^L&Bm^kS(q;mKXKwE$j=vR?cHqm zGuQ8{R9PCL=pEN+9?Ac~BzP*~<@#h`(5plb=e`E9+-s!wk3PU2=nN82(mDsBgat%RF@@ zbTqb%L;|7pRNS2nn3~TI&l8v>Ss1%cxfK*Ie3+o*4?0#Fb`l;M65~_h zrE@6(A4mi#(~Dtz0CcgDs0&g9;Rk@!N~z2;&oTlfx@hgE1yY@}<(PPhoLrno^pto3 z^KM0*^+81O{4m6v)6PYdtbX02U(>%?C~L3E2rv=2HQ&~a5Ef+4(f{Cija{?S0 zDXl4s@@H%OFHfMpSKW8r;p{k6T)ZuYHhhcxzP9+jKCoc%nV?1WY!Mc+sMex>cTSGI z_FN-|VC@nUAO!!qROL|K%N*XzU|#b*?>DwqE%II4XLWn|W@&$NCgAmn6YqtNysqEU zPg?@7GKbDDzVGN8#Bn31F6qq$_c^PM)2yMrf$D_Uyy#&+xCC*rmorsi>x;yO*?D#! znbWR$l!t!5OOrQJ&Ap~+TWYeg44HwDXGoii%U%71+VgmF`n@%KJ?U0(FG(JQw9Yq* zYa+Bkkwzl@%V0Vv8J-hVYIY(kGt?5b>tQU2KQtve8K^61%ELS(|FP-I7kGL2fF!h0 zQZgfW70jIXA0w;iU-Qd^ZCG)q`>XpmN50XUi|r}TNd~^RKcir_6!76+MZ~a5#0UBg zEq>1y>K~a>{=*LVZ^HL)!uM~&_iw`Y-(vCmH{ts?;rln?%lS9q`#0hHZz6oi&;P$B ze3}12_-_6te1l5=72*5+Pr|4F55hve3_*cT0`LBep^q+*!_FoAf@_$A6h$;U; z_`rhyh45YfgYX6YPr^qUokRghz{V+(RK!IIK zd3w2!AcuC7`is`_kOh^A3;k{66a3rAXaARF&%9SORg#$gFMA*3-$uUDzm0sGU&!wp zX)m#IfS7tv8fiQ+DGQM2NCEPK{&9WR7m)*jH>JnXjH*=x=(~Qy#h{J}<-8)D#Uqj~ zVqx(V7cOw)Vd0A1r!(+}Hi$?N=nbm%E&AUbe8j}(L=wh&e|zQLVUu#}{1nJ<2(XCG z;=<#QueJOgpV$@3rH7-CiXtMvBEvdz1qKf+y_WMJN=Wd@)K&&!tAXy~Xd_|BUw=;l znM994RUomZ*H4=SHQ{~fvT4wgaum5T6?=4jU@ue!HM`Td`4l8ac87Z`qKE`ha1s&T zdX}GOk^pLjSXxg^qAqcCr6?q_(FeI&46;O^F|r@rVyS1OJnTf$W#4i$kcyS@^R@OF zjr1S5zbcH$DhK{|(!De}#{WC%p1{A*y%>5+u6CHy|AF^{|HgY7{{`L~lS)G>mie;u zA%CfhttqtF%qyP+xqHcfPDH5 z6Ujs}R6rp4t0iSL!L<%)tCn^wonZ6{22My(&gLFvE14{J>*=K%NXbUkP&>pqjg;XKqGp0@2&35pRa9%Jz)vXLPkqv;xQIVh?DCCa~y z2@G{sNyxDO3GMv_`sCmraAo3`>0>3eT~Og^P|CegUH%?LX;IdJ6@KMPn?p+H`M;ew z1Bl*fF?~MXI}LL<_jo8$PpdXHt%YLiCGCGrWCTI>t^I}dm`9d+|Dt@d;_y)!il7Ip zi@R2pQJJHP`JP^uEQ_pE%1U{{2{AYNgCYxQ--=w86`d=nUY1Y?EdR;^e@h0IvuEd& zm&t#6B5#}e`O7eS_=0UFWe+F-lFOv;uNZJxCfq$6e9mhN6yP*{^<)lob2V4%t+G1$ zl}*=SU%*Pe)6VGkskbiv$;`;!5ec5yeE%2F`%ezO-J}SGin_>;4CuZ7m%jV^)w*iE zNf_bTJ!*qNpV-WuRBgShI)s)T5BfXt(aE-L1cnv>4K{m@L%*ciahVhp@PaXU?k=Fh zfU1>Y2hQnv)uvB78#-0N)`=4Bvv$N=|Er6j<)?_3!G5c-m)!}B-h?+tXL6XZeB3kP zANB2{U-s~+lXkF+-2(cr=uj^w_ZvCS@**{XxLm|rsI4Sl)xKa%MTGmTEh){hgcT-G zUz)&wmSoB{nuQXeL+~8+`-keR(l?+tv_igs`5-$PCK$bcxx zhCI5g+Xv{x8U#Q(7JZVYi{Hxl^mG@kLU7F+PN(q4DjkeLXHdN2n_CML%+$%0)am&@ zdT1XT?fPK;xmi!<9VRJqc9(HAI>qDib1w}W_@6uGiUXC!0_;;+B z1JDXVc8Mr4zxpBk)4bQ0=#nv0&ztY`;4-wc(B+?PD|W9BDwa*g7-ddB8%nzJZl7XZ z`*fId`}m=d~r7E zQ0!a0X*nph$OTZ+fG&@mx=*)eb)CuIY;)hq9l3mZd?Rh*Mi1_|WH#nrg zX_21rVF$^w2od0|WGlee6iE(n@ULIfq}}n$4e!2Ezw3!#h>xC?4kvw|88|_Pys@C< zQ6T7==Z*6#Q0#u(G511>A`WFkU>w8r#pNcE$w$AN2~C7#0+andlARW2jnI8H?#~&R z5c}c3J$}s7C^PrE{^j9wb@ckO{4Fe;?<4jjcZ-)Rze+`m9^6~Su%=!4Az zgu=t^a3vlkCmB&p#W&3WCCY}Ukx_j>{jUZI7`#s}f(JLG@qtf+$8~dl*r=5vi5AtmSLy7N*v;>C23j{5LG@3tAR6|FKRF;m z37vC421LNaTusglFi;5}>cHp)+71uCExLZA69hFNYFgEYsdFTQ5mp}mb`5;IaOv?q zs9GFHibO0@f{Kea1drGza32a5fIu0usZYr=94us%sHZiYe*P4Sr;GgE+k?Vw975F| z*7_K1*!5?xG=qJVrp&t%;jH@?;Qv2lcr6}ZRb-hCkT58PwR7P@S3UUXQ_d&$(1yUW zkiQo)3so_)^IPtv<)PDW75B-*&cNL_r~S0)>+faLHJE{i*ha$`)?>0tlt)lFKo7%i z5m?-S5$UQD$j$PIq#sHuhWn2oFHS)Ve?#noTq}0z*LRNBbV=T|#GdE7H5=e{WRK!a z+R@i5K-H;bXQUtqJbEprB!%E=g@C}#ec@o^^!lSb!JD>j-orFzJ@e`Ok)CmfDjX zVvFNn6c~d%v@u~(0e5Jm-6c9F`g_Rt^aj{8cxM(>>nDIVsNCq>$&?6X32Zhf5oPp!52`6Lf~r|V_$_cIVmBVr4D+&qe0_pe{5=i`Kxf}zcA5VWm>I{~E_r1_fRi6Ick8i9|9<2Owy-ub$ z$RMZ~xyN=}yHA1gga+AB{9gW6$!DnkS1GWs#v$&SKdz(hSSV8A@D>~PB1 z1=r53ZIfi7A+~&soa4uO6D$SNtOnTaTTxN&yyqKkkTX(;iQfj;8o2WqRVp z!5i#~`t++%{C)Y{ zP{!p_jk2UoWX~pFBIkLwC@Gi4by}tdd8A1k9DxI$=c0%Qyi!K8TRM4rR5|+1fB(kA z?3=&X?!vUxT;t1KiE7rzV);Ujrpt>`g{+_D(y3e(*L#^t34hbs9R+p1P;Ri6&(|S@ zW8439=%4463cv^s$x}?ZoE5gk$fYN+foqP)+q!%xluT=$EqX|2aW#}FQSiE)v6RYY zVJ?*^6>;%JO5I8L=&O`Wx1B9&sAqA3DP<75z!b9~ooP$kbT6hUW^v8a;c8QQVX#G? z`JaLH>f#BkHfScY*U3r&dGc8`gI)8McX_#ZW^xf`cx8j-)_ED(jsu3M`cUELH-QDRD0P8f&r`mx`Ukx`dQR#I!18i7yh%=BtHj z-o^PYv>Su>kjnrwUJsRpd4oU2@WQss0u{yvhTLxYN^i&1VO^OgXwQBx>f+U`S1^kU zmn7MNR7~>ffb1^ZoOXWmudgHe1$5{5N3FgISU!f1+w_}yfVs=LTczkN{k?W+4A)k( zlCM$8+pz3&bk;2nH!F)mI9k3YRAUlX>={iioOdZE@wFr0FdXvivOP@tWFdLJcPBQn zdsta|<*kC_t;ss+ZJ%q{?nI*Gq0uAK&tr>3vmZ5TP~#-HV^mC3a>@J(u~6s|&xLPA zz9QFS<2$>Etv^JvRwg0oi35%dSV6(=QV_#Lz(kATbUBI?O%+Rc0C8zy`p8SxnX#85 zV3DQ7FwyD6fU=@6eUC)YX^N7s(-iuE_zF~DB)E~hi3x~HVb6Y<@iExf!|vTZ_Pavn zes=|yZ$WM51`0MQMzf^9MAatIhdqTaT=}Id=m~v_KaW?aC4uolR8?gJ+-4NsNJ|JS zT4(~SK}t*qJNoULg@%CZg6R6H4H5f_iu$4{YuBz5A(9}SvQns+3|;{4gh2>674S9u z;-T7aHK1O0d1f8HV<2$C#}*ZJBM+bTjbux;Zx&`a+bmrZJ|iR6 z-*_5S5~;<$Fa-%%lJ|u|Qy@}@zf8M6jSJIfO*HGLP$&Lc?}o|A4~J3}_F$;G)z##S zlrU9HC|8v!*E~{a9QQL{YTY?==uuHhRFyH(Ji=)lXE#r>o2A~#HQ5nBm6*BJWaYG8 zx@c0F7Ocn&RON)MazxNP!fhPqG+$~5a$%xv{2%w%IFZ8YpXMXL!Dzc{4S|6o87kF* zdiMc948WKm?qL{w@!9J)3h80Fv4zV(PaJY0_CP95oP1%Z$heA2+SLkHKzoPEY2mVU z!eFpfLd@QaG{oxWXz6#<0Y&yz%Vc{pNImUswlRg}sob8&A%k z@A;xMGHPPoG_wh^L<-T#lDubcwM+cYD%tru#tk~XKGK+vo=0UuZ>}uxLS~{|Ft04U zx68(^8H30V2xi~pGM%+~uco}x{q{3CoW7f*T0qJC7~dg;%HIT`4s%>6B^yHN9Y!L>U6OtB zSaWi^)fW3`Jf<7*ScIJzt;WgUh?GMLb0eAmCAd=t4Q9;M;ANToSNI1f*|VCQs0(ca z{9f55;0vymvn=9oNY2ZF*B)-df)ORULXCIDF-%I12{Ok8}>T$uq7ftUJYagvo^cnGc+Y~)>!xEeyaamGaASLl$7BZW56Yo$9+t(9CS}0I(X_}x(!b4KC(GD62K_Rd z_pyHt{C4AXV*FG2SNMcrIr4c>EgHu*2Oz-M-B+vSQag zwp!*Uwt#2cC-;pMRX$5@t=XLR1<>sk){sN&71c7Fqu}BEMh}5d7`i3u`&eKu|AFlC z3`=zQ0#kxU>0}eUu%6Aig%FN&Mu5k4=&qR?(YG0p(-4!2O?-fp0DbfxXD`KZMi$N+DN7E7I(93Oy~nh1v#SliSt#_pnth$|Awuws%rmAC1VJ$46;aA0$U z!9m?suV9k*^Vk~khnbf;7dPVEJ;Dc@#bq*$MtN&7Zo~J zAZ`3la@QM1Fkd>i9)gymV~=?r?Fd{Yre!C+Q}msS$0ueu$VU9Rv)*8%fPdqI-v@Ej z{^;3BfZSG2?8)da2{qDu_`y;~?+;F=F;v zZC)AEb?b;m_(X5D2D@WImt#=7xsDo;=V0Xo9kRM4q`dkG66TvS9XK;*;7+3)Ytk(A zp>Epc+A@|ztsPB1Hvc1MEtf7g0x7W0t;Bdd!k>0{A||4 zE5%Ny&xi&i+T{f}XimOsi zuARKxF@?Us+10yVQHqz9HU>3l>O0rfT`KzHa^np~ncm5{fM3bT#vg$l$wT!}pvWgC znQ0npB@+zRWYbeLS0$4bQtj9y(;Ep}^jK>vV>J?u6kbOS5;fL(%^8{K${#J;OiQX{ z;`RNGncF56?P7I_FcZjjv3Re2cb7bAp-}`TSXQhlMsY^Pt}&O|Aye8R_u3)*T7<44 z`&PuRA-?p&>?wI!6SI>h>XYN`SyPNV`R~>-N7gY*)-m_iF;muGXT`Xx8eUd@G&eA1 zU6EZ@N^fYSea&smOxCBz8?%xu*l8AQRg1SOrN6E`zp`VOrY7q%Qk6MLp8TK5Yw-^a zO_ye5%QI44Id*N&%Q;KAe?6FT;jkW?Gp1zXPi)FrZH`HdeYzw&{%(_o$^k`@Wj`6S>s_ahmYoJ@X(#b#s)S6bjmYeM}LX_-Y9eG z6hP>Tl);2;2G)^0*5YruviV!&9N7?OvbuO|>JrBFJzP)fd6=CwvQ<2-Q?EL#nS34-QmV!yj?)*8&4_I_29rT=_mjbST@OL*FdaZM*mFVJl4a0{_Bdom5t zTlA=3SMg;Y0F+qK@c8+(_A{70VLiuz*W5DSlF;ZLVKff(p0v1lDwV9bc&e17xU|T?kR`KOEGxYHb`!!RU~xGd zl3}-Dw`1{a`nl@keSjCPbbJ1B6ZpB1y}4bY>Deear(Ur~;iH#gILtS8&>?>N^e1kr zMP?Tv<-KJn@d>k=72YmJ^0a17AilAC_`|ZlO%cTO)G{?gs80)JE0vNaOGrZyZJgT> zb^KU2FDty6d_DDdfNKi$-NC`Npfb<#%--q>Q(Fsf7Hnhu;kzT}4VR7n-g0he@_^O?XA1d{*>(T6WkI2vKJA4Zcf6(XLMb4442>I!>r0dXH8UrED9E??6#t*&JipQWxpg7nmORSQMk>I1#PP&o{whK?g_W z9rt#1KbHHrAy4SMAf~;9;CV7TjFELEJ(%-<0u=3M%`7nlxL)pMJs1dH z3+tA9u|c(F5p_w2d*qX6#f0A$?4YYy8W)l57LZ-`ZG=JM@F>&j=Ypi7rNP!NuFrup zEPbTT>T=N=5tj>}+!`aWA}Lf#2sdGFvfU$hyC%_z?wbicV}EZ(SZkYJGSQ@Hv`b$x z`L1cESZEKQQ;rAE4fNOab=THv?&f7?p=j0Z?KuawLhh_=CCGjJO z4^V=V=Btlpurq-Y+q#lGAuL<(PpVPhidJ-adEK zc%}AOeagk%z*h##9j5}Bu46|#H^Eo`prZE}XVPMiH>swr_^8l+SL3zbe)m~P@DV{n z3orM3v&N%y8nj3{$gT=^sXSKu#$9LJeRbuNnqX~E4dIVRb2bGnURl0wJtJ&?xXl|o zxJ2U!KR3;HUh@(0{T<*8=_lj{8A)+bd9U^={1!Z%lc&yD{5zpS zg2b5Zg^g2Z+-`n8ao|Jrsomde+Onz-$-PgvEr5+^y>AmAd~dSW(%~llnWx?~hR0vU z?6ek+OFvT)x;MFtLN<7DY;njn&+B>Te7Z!y7- zQXGmNWr3xD=qP-Pq5X4?vru6+*7~YA9m0}k{INNa%rcf>2vqZ!mlX3q@MP&~C{ipn zJc;J`K|fd!SIN>LAHPk-2!!9RM4?LIhk&!+(G)_Pz78Gu#-;S>7f5q-uz*Y>{PJ&* zi_?!bW*{N*$d?{{Mz^WPZe^_ zP8eTFlwL?eCw0;1)ys(8M7^gsssz?cl2B?f1VmElB2UPT%*zRdul`2Ow99!su+7!` z4Q<)K$LJizdR%1ZmH8B8fie?8Zl`w6;3>+dh z=B*$w3gje5BLH}?MrCsMFpg%Jt5hXRhnEOH)&Nx@!c>yd6ibUwRwynsNu)aE$O;`z$=(nEgIpoM;0_qD zK#6Q*Hya!g!f*f?592Eq_t3rm)Zp(rX5BDe+Nt(Dn;U7_TfXw8GHC_3T*rXvR+YD}HrRTIO1F6oXh0OA9wxGi1V|RI8@$BF1!Ma(0w5P*n z+tPj4UJP-cx$oD;teYGng{N&TWx_UodxGn+(UCx>)*i-2FTOXgRn_i< zR(}Sisv~hp^vV0dVQSKY(*W^_-B3>utue6Kr!c^O;!+RxA@-=~CMV_Dx->;);o>Cq z;X|LXHD~3y1)`a)Huxti#Bws`+5jjw5KO~u_=za3n|B@$1f>+mY0)qn8C&wRcQCfN zv;?c6P&qP+VsvV$!=Oks3ArV1opvnj>E6o8^>b@H$-ZTfa4XlLWmZK=wW*e+L0MW+ zO!B&Bxmj5$lBp=30@a6Ic>(1Q(R*67%lJIs+Ps)!z4dXP#2@#g1CfIbRzx`oI2{WY|>BgP$W`@8ctD$Ytl#uN4cG1aM9gUVSEK~Jy7DF-qcpKC@+6u^2Qzbla z);b5dEuMRprOXZ;g}a`7Vzi_Nu!Cj(pdBZ^|9E% z>Q39kaOp1l6nmYYOsx4!nPjIcyp=xTA59W9vaZaY+8$>{Q;6?XeDnNPwQlcs?Dfui z_KM+MSld5vY;R4hob^gNxVDy0i)9<)QdmS)e!pUoPY>%I6mEUVX7Ab)4hJGS#Gk~H z`|$z3te;L$y}g`Z`nzvhoYA3ubG=zF^KMMin`I0>2?K*!FAxOFe=W6${k^m@__Z|8 zt}LBtH1myNDXu4pF(t4^Mt!R9=D`x-gUx_Wd|-#X@_5${Mb+uL1-gRs$t!jV_pNK> z>*%%->qw>aK#Fz+r>Th)IwpT8p_BCqll3uEeF%#_x)14DmdmG%>=G~M&aAhNN zdlS%JG8l+ro{L)z-uOTVdk^<&h5wMk|Aa$OUOxoME@X0!1?MmZhdZRoz=o~3J#1i` z_OsAJB0yi*7R)JCYam94f?;M5&r4c2E1dfwyORaQZ5ZWtTza!^=P)>}m4rq2VflMb ze8FB5e2ulc#Xwic)r^_*&4|NlZbY{@xfk0E&CH(M+Y(@wkR>COpOYgYl;2xpW?<*E z(rmBBXSv~;UHDu>x6}A zT6<+wCHgQc1AlF0v|6m0wZ1G4_JuBwT-+{u;=nP+*yA(qaae%MuCSG9>$l^y&jCvq z&frnz_D|*n3?@#lXr~ekCKVShZ=Pk7iUYjh7HVskOn8D=mo>|@fe|B}<})?s^_(W-S#e^#W>eD`m04I*@@OKagkhvKNu#jILPimE88wn)G(@H_bX|4tPxRKZ_|4 z6ewCR+hgV zdL*%dk@2_KcFmh}Jwv;xsKn;&cWz3rPF_&q*Kt`;;nrbYK=T<2 zHH7b&5HN{NQGRfj{NLnmQ$3zGfp4O4hUv6H`sJTffK$gNh>q<~`ktx@yYt(P_P6GL znX>g%P1#uiHrC&o|MRq{MS+DZf=YccyMaYcCGnd11jAqwf3UV{b*ZWT`V`$JZZPVG~*c6 zUkv;f&%bG>`R43g$`0WX3A>v3#i@KrN!J0(uQ)!KhMunBIb!WZnZJAA>zVoxR&LGL zi|=Vlt~<2m8UJhTDdK96i3w*SOVj@$v*tFG(>aSnV2=2{ms>J#Zb#OU&wk>cR&EwLF`CybZe&A=|z59Of}P?VDG}vJ!My zwMzCC(qMZuDa=F0?xIClv1hu3w*j;i*Z36|YMIu3_LKQ*mDv;J5c?rdt|V4%6Cb!E zIkGoSUIy(Oyqm5KcQj*^KhXC-t~%aU0jYH`YG4kFZY<{$c2@Q`BzL!gq4i{XNCL^;xZ?XmOgfeGLtHwuHL_0u5rY`;HuA@aRI@@;D>EqX z>dhju+~L;B*)o$2nZHc)Y_%#jmX~c(Eldc{nui1Wec-j0?FDwM@tIIkHD-#obj~rf zG0AFE+44 zG5z4)Z$I&Y1ID<*C8!;Oyi5AJ!Bxa z*>{!twfxo`4nK3?*^5{Cti;<72&hy={(8OXG*lC$#ZPFGuBdBTX%`Z576AP!zk|D4 zVX8!}%}Lt#<8M`FR}vYtO`b(`;oy3tRBVzF zGM*j@y4!^*?59UEo{rpToV;x^a<*$o{heI;3z_K0heUeWh;qz}Jdruax<^rNp1PS{ zV{0nuPGo!tGW*mBIGJ+81d7VUIzxMLJ5Y?_JO?wJpU#D3BZ`jR;70Tgm?&z`HqS8} z_+d2k1cTwLn{rONnUDZHk8Yl++66csogrW*Ub2~O1F;#m!!!V@UT>2Q9`Kk#25v^LJGdBIM5^3!(P=g+Lja{>6kSn8E_}_9n&Toc39=w$F@@G# zF|H`}9c`02ovF|OU)AsXJ3qz`?pbW)PZ9uu>d*d9+%$z(bP9#*%E1K8J{y{29R>%?NcsY15dyd z3f|%>k#61W)GtE%2I7d;=7Xvl_k}SQwpq1DY?0TiO7A973jJ<9wNbsu4U;?MfT+z| zkdwJNLJp71H*wk~3Phz3afOq;!+U6YNx5hI!6)Vt&^p9xgD}zPT zm#QQV-f<*qOxmLZVSb1m8^hDh^A>9KL{Rj-qjn)#&{9nWMwIrlxQ#psbnmleAOGR> z{AC2psrah^(xW+t1l(gg=KvIwd6&Bw%PR)_aLqRc=rC0<2Jui`Ap7w|UEpgrD$Gm$ z%k0&IJlhC(IkPhi3lll`#hQO+A27KcL2~FW97A%rDirerbq*@^AoPPEJD)sDyGc?& z^_nmg-LaKu>Qzbb*~EgrhWuGk@v4_KAL7P_RB9B)>}6gtZ+jD z?I4v`N8ymX+r539Oy5XX45l^Wd93mBNQ|2>LI(k=Fbn@6%`^km?;$d9Xva4ckMK7d0#2~89O_6Mcn9Xd_f_Itb9DFM2;)|o$+(36mKEV z0VdQv371zcodLzjpmgY$#WwP=#~=j*_Y_Q>+3iaCP5^6CST)YJ+w@V#tr`HWme7A* z8Nj@Q0JIYil>Qcm3kLQcF7U+RM@hw<0r7E<%lGJ<42NNyxS4v;wxK6mcClCX%%yUd zT#g^3GO{7;hTpd%Tk?9U5H=g2K@*_r!#lI$=9zD8stmJsU8+>yq%dg$Dl=uqQdNYK zgbp)bHok^bK*g&j{iA%`vFKVq^+GtNGz!sO9Wv+hom10_ui;jO*>WgStTb zPnN4L%K0o`v z``8Mn9;i?jaX6s z3;JFb@Pu(XF`D3`m+%`Y1Esc*GHn)~{0IkT!q(eaO&2#v6q2-7!ZYhLs( zKG3qkQnk<|$EAY`OCNnbR47oN#nOZqv(Q0)O5{i%GHC)_!HqB${Ss+$IDR2< zKx}zaD|=jTt2%tA{s-OtE@;(N_Aty?0g7-A&Lf{Wzt$hl%J6xy*%RcO35$LQ=B;qBsSNVzG>0Wpl+XGBUhG z2~QNpmif@93250!^Lqfa2~PPr6C*`V;o>07Bu+;#flAX_vdOOde?-I>Ntu`|1QNcZQ=u{=Fr7FMi&|<&ux?R0 z4V4Cti?)MJ!AElwS9vSrKPl#^I{_Q9%2aE3&fnZZ^6CWGTm6PI@0d0&6w?vhNV0!k z1m{)h^hVZ0sENpe1}h-_u2BY^R=F4?t!gRcj$=AILfOK!7Dmcyl$Mz}M<2OsQ`vVI z1@hV$1#wqK=*|qDLn%ILGU#e2nm&(%N zZ4+Puj1}B$0+*3dDT6D#FtH%-Q+!<_?!ve9qI{r~p!Qwi_q? zL0g5#o>A(xJPgN{I=)jBTNHg1gITHLRgfAd?Jy zUz=lJo6><^B6B{!UqEHm5PS}?6UlOR|7vCc;MhH(Kp!=H)MYT5Y@|8w%353o%ovsa zU8G-q8`FprtHaTnR8J^68d$l7{>Z$IQtZcMIhy!0lDQ%V4=ON7zmD;ql!7BOA*$is z(7|mfDZwV)J_4R#{8aGc2D;f{>cWZ zCErYn5D9FswB>iAgi;C-{!l-6BE4cetutvQC1dlr{tTYLxH8#7&A5GoM5RV*p@e;= zHVKIR51&UK-tLeMceRKTF&!0UPri4}U&Y&^q>I@eiIdd{0A4d=BDDrC=6qw5LG*3iBz?` zxa^QhvQuLdt}nmtRZfaom}gKWIA{JL!eDd4lO@%q2h=ga=lAU!kDTqeNF}IrC6m6q zocqme(R3}XLb4f6()oDEj`={L$60>Q-~7CHJ@_wrh@1-tP^TR@*(33t%XHUfAwOZ9MGJyLgrlE#S1u7cfKD%^BOKkhO5GSz(zCnMn4w$NWUig-KEPm{*9uL!AOTFKU&`9NEvkLfIom3s(qZ|I z*AX!Es@xM{9ir<>AIA67dW@5|)%3tV$!d&S*HBN^|j@cKowMND4_P6sg;~ z!_W5qc&DD}Af(_;o_%R1#(@JEna0^rMBSN1Tu_ELYCkyU!rYQ<@wY+}kO^6(26V`< zo~Q}6OP(V+3TUe$6@$3)4wW~W!)=ww>gaGuF4peCHO%PKmk?o68Jw(+eR;rwbn0-K1%WJzgC?{R zUFLGR#dp~ZhxF(K^vvOd#+-?hAk>HlWruHA3O~b9m5C?qB3OpEzp4}VF}ZhUQ%|tS zRvE3*L^&ChYu8$OQpH<>P{rFbq;NkW>C8@?99&mvJ#ze@RZO5uJ&rP|p92t7S3%$y z<(tawO!}mMBID?M?FCrOB9OA4U+mV9t!5rRU+C4inXb)ROiy&Oh6Yzs(XA>+&1A|V z-%CDhRs7ns-TKfIyv$zg4zv%(^bfPz(9_Qq|2)#Uf)>D}8AN~X(^d54%5--kcg$gi z&Vq(;t49jRxo=7TI+FWiQYA`@y=x%`oPl_>$z*3a$axZ!vhA=P>Y%hC2>!^ho{l|I zw768@a3o`GK0Yzh+ydyiM*0e0(UQ!`!jZ%-w-sj!ZB9fi)?)8$Qe zN@5m=KqCD&9{yP&7Y~a^1D4Y=iO|+h(ZdBCT%}Yg(S}(z83zU^khRxiLn6v`l;PNX zb)GS55?lw8UzkE=N}#!MUg_D^NK} zh=;p)oy4I|DEZl_ZZHE0i}=*<*5f3RgD!6~5yQl{hQF0z!p?$)FABF6!8&U;!kNcc z4n6??1aJelcKa&Idoq$d;H?&Q5&fSAj>y2#Z8j`6L zCqh>*T-rZI2JXU8wiy(s=;aQmwrrczCZ%Dhxo|%g?fWV;wn~hTFaaXt%5fm`Ih`Xv zT2i4q_ghyG=`Mo5ElDjZq{*kLhMqbEGBGJTtVRaa&Y9)jq>{q=5zyiY3*SEW)8BH)^ z7g>a_-#qs4w`CH9^qrTwj&tFAVetpi^WK5Rv*I6+J{c##frBA5W1?RmKrb}4JnD3V(zb}v)Vs0Kr zFH_WrNU@hn?A3gHvdSl2h8}!BMnfBW5U-_GIgHy?fv@!dBjuZe8ddRUnNIN# zQijUb!l8ipIj0n_&hVqZau$tuc#_bM&9Kzm%73FU;wB*mU&5MUNZ zq1gAPGqqgRZM_O#zmsx3-MtAK#XtEf<;HFOMRk$@eQ=q;st)>+Js~28F}b`=x2j-~ zV63jeH|>lwy^%S2u+pTrzRa>BWLZc{J$4|eYkgdA4yz<-03-Q*xF5EQ zVTI;1n~c|~>6+g%OO6@@0`g#72@}N_2rUH`{ zs{<5N{xKBHhs&FXA;Y-@vh`U7-s>1gL?rcdNKP_7PjLcsXkXVyTxfQQl$Yj@o*oPU z{m(~AC0OzVdJ}l_I#-&wNf(2ss(Y2DZ(IO8|2Xofo|chx)d$52q*h!5X34@y^+#;= z+B<;8VLBc2;aCdev?wH`Y*g>P4T*;-9e6IrgD|lFSfSRxxESr+I*;clADb{Cypr|~ z>xX+n7P^(6eU4bv#-Zl6P$70)JSVpkBelC6r>!mRvBrcRQ|>c+4M2kiD~^uD)6YYP z;YUB>Mu!dmRaB(fbwio8ZzW@>GL%*F5VG7j7M%xCKxLaXqI;Hv&3aoTa8d*u1yo8Y^cXY0iqn_4$Ji7M#bw-hKv=2lS^-xT-E32qRp$p$>R4 z13d^WcdgAN)y!=+(fe!RGS6rws`s3FloJv1$zOfXX@oRXgXWbvAJa%ql1&cZxPGy{ zWHO|v9Hk{WvNgT9Byl-^yt<#RoxHs)aVc!l9osk6Ke^adWYVM*FZ&v=`b<~pX?f|% zn4)R0H?fs3b&)f(ePd(iTUbfa#93N*Ric_GR!kNxqK%Z&Ft5Gk?7_2ajuB(YahhOq zw8g__>!3dwH@Qo;(w0kj9DL{C4XGjMnAy34AEAf$7VLwFejPGJ#*U59OJ}!#MI*X1 z>&nkZEr`4O(OeGYe8itn;>Fi;9eIk7!DG~tv-(T#%*j?#Y+9{BMwB|^|Do(Hz~X4O zwb9`25-h;r?hxFAYp~!tI0^3V?(QzZZ3qwu!Ciy9L$Kf>*loUV?{oHl{=Lt=_jzjG zcde4Ho~fSd>Q&XNe)>G!Gq_l4kLiu4Tzv>5+81`(;g95?K7B@OcU#i2-;&XY@Lh@B zZ@G+^e~|NjzIzBNF!}MHp_xcj-zTFbc3!wtJ`r|kktEgqbroxo+Ee@Hn zCdp^$4ejXAyya-67HOq}zn^-S`FKFFD&7|4jB!{ZGZUtP@;$ER?$q6z3AKv7Zmx?T z1_wVj_?rN!l#tc$FUm0c+rntxhZ}2`d`h1-zUr85bd%tJVGAP!;<-l$e5~E=dKiiK zSXr#Tv}}%t>Jopnbr&=K0V|?>X1MwG4Rq^t6*H(s%#ERdANI{*lX=WFJdHZ7iFh9c zjXJvt3e&K|w^?B6)7RgOAcMCllkX*lPe~5LS65P$-#*3bDG6Vr4Ku`WPsI~-AVrQ1 zmK_oCp6Sc}-n>8c6w(j=N=dWxhJDHx3+Ey>olT$}<>UvBl`*8hX^_meedA?CzfO8J zJM-vL`W#Ij&$_H7Z!VT+C|(&h|M6+h{~31Q<)M$$%@u`*3H)hi#~0jfeSlV{fqbf-`Wqiu^Ji&Oc;n=SgO~c7piIsmk#Quv zt~VOdW-Pq!Ao{=!DeqwL#DI&z?uJgFSDdDxvYCTkaGnw^@H3KB1%I2hqPzQj0+MdE zaJOb<+ytaln$lLWv_FhD(;A=^R~MZ!eu#oj8PzyrNTYi1Rd2ZJh8^GNZb;X|LAKTp znw~-)Lj1n*I!w3FcXk}d$;AWWVJTXSm6q3qYq7jvRVvbr_pX&RkV9N>DdyAD3EwHq zM{b!D5s#!RUfnKlKRi2tq?4YmdB6FQ3AV{P`i=t`Zi0q~EGx_o;gI?HRjsLAwH1iPTg z^v`Oa4s0z5xq5zG&;2%wHtk?v3-M97HTRHt`n^xLlyrIP)>#<|dh4w@vQw-?7 zE=t+Bjnp;?Z!QIAA?}j><7J}S#55L{F>MRy;3CF3d`|T?wOysKx-Pi#X}-xhWp8-u41h$Rk-=0qFLF_+gje{jOU#V zEX%+>0!i%c8(xw#E&DH>-RDnGVcQ)!=q)w&-h){^c6JHX-&hR4viFvfFoTAtunYeK zZ88YxbY~Y)kMij>R9TWbjdNyH3e8Lj?vad{j{gt(no{c_$(JdeT=XAlK*O~um`_?h z-RVD(mON=^s-PhA;_n!_&OU{D z%ntfE|3F)-AN>faQZY_=bkT3B_YPcY+Wr(FJH=X`AMCFT=$!~lQ)f7-C-VJ++Oed@ zHDn7(QmBSi{}U;0FbH@KWEZ)O@`Xn6X~|nJU6)%96%|s)MWzZe=v>%02S;ZXQQMw* z|MMrtlGRe(IUP~k*&*;u){or@^-NZ?V2}!FARA29M=d?>=G=8AD$G`rNS?6@cjQM? zRVo9TASaZsY=&*$nP@LtsVBP<voklM|IvcB%(73-(u*OVDqi30 z5fDFmhb?{?T>@*eG&&Q0v&|V<5QdTe4z@j4FZH$RKTvh8Rk&1(YgmR9Xnt0?fyroy z`kt)sUaGf^i958bw{2fRfUtgMGR}?Ox!#v;L*o4{if@4T3a{sTb~Vk|v<6G*G3iLM zDQ~zVDuQb4Ci(Cbc_9fJ#eY*xLy_1hA5m}izbER^R-G+AK?MN$L6ZMB@=fQfj(faT z9d8eJTzi*XlpyFSKs1zHlmHy_KhQFKjZ{PHCA~CdgdUzOkjdLw&DI|lg2Qu_KO}^P=Zs4k z`abYdT#;Cr*h*&-)K&-rxST-KpDNLAfB#xd$q5tumO{;Sh7)|tTAKZY$J_1)H-2gu z(0H%4aSW)2@sPP_HxE;MwVI}{>{0YuI%12!kV;dgx8~H#C#p9vGcud1uA4Jo%ziT5@`Rm_qTkxQpe|-u z{r*|+!lj#uEq(D&zVxDrqMCACL!VB@0lcCkZr$AljhDhAtLZQcg&HJ(i)+>Rc#pdO zqk&0w>@eKzSwmJXL3{OY54>T*=y1nTM%7pk>fzTRtRDuX8tAM|KI5*j?|NqyS&j0Q zX1D6Fzfl{{wi(vnXSc6u^{s2=36_O1KjO$8IdmzM^XKVv5gOKv$9;E0*DjO`6 zzeD6+YK^8}k#6@8aZwdy@epzTr}YJy{9mw*l-Mt~%nj`J8v~}}j zPQ3F|)s;QH!46(6b__AQS}vf`PHMw83yXKz9CqCG8QNkwscZ3u${uq6npd~6qHLif z;68q2fk-;Kx}hIq1o^u zy5wR`IK4Ej`%!3-#VDU=zurkkY%cr$p!@wUnu-D+2hDH-yJ~E7grk{4GNoxD2_ep| z4&^6~;bfbbz~R#V65S;;qX8NlSU!ke zndp0>d-DEV-5Uo2-Svq2dqj5EZxsEfQN6$04zE2vWnyWeyy^GLWL7)<<{GlaU)J;c zb|Eloqz>KmNFUtymKoP8d6jdzp-C0lI&xUi_g(|p*oIfl^_$V~siMN7PdvSDUql0( z%({?0!)UTTb18{*XnWH3Alp7K$0%Pcs#7dwPxil25!)Uwjv3TkvpB#u&}xt;Hn7bb zH3*u~Fd;bHu_>5NTKn1-uP z7GGI&hSUE^cHJc=I4m{5VXY(H?y>J$M+mfnfS$t5Q37bLV}%cRHa;**wv}Q3(+zH zNxl%;VNH$F?3SD4_A0g3q56Z1d6a8R*Vdb{;&`OM)SL zrMbtd0Q%Yv3d8G@^v^XAI0Dzkh)GwUu`M%Y{~U+OKS{TryO}$XUY9bx;op*?7s0r7 z_Tyla_r5CRsiY5Za%Gd6N+UF!3iLF`84bG8^vhB$R-5a)w)eQa%ffKuxhSyXq(d+k zaXSG{naO<1(D4p+%&)T0((0LCu|wd<`ysD-7x4WFmZ33Cbzhm-qKHOUw<~g?haRL~ zgx;8u>Wyn=buC)s@aoz@TU07;*ft|&SMvlk&eGV|4C*0Fu2&5uufk*eK zU@I(^hR`pQvxBqPOZAvN)SG&_SpuAz{}rhSUKjn8#fmm~=eBqgl()|Tc?8abtasri zh+x?l({vfb#P(h++D;_AUI1qP$0@49Vom^kJ0~014ZievyeoN?Fug$lM$Mp6z z1i2s>;(Z5^!(wz>G-v)=)xY=?xa+DbEPft@s}P(_U{i%Sgy&7!6WlANu(}pw__!zQ zcV1~@#Wiq~`}Fn}9cwD(DV)=_4?w0|n&=#PPZ&7CS{d~1!wQQU=EF4{YOX064V5Hc z!Fdf~clq-WFU&8QhjlrPU5h;~8^^}N_OT!tn-G@$`}g5vvGP_r)I~cQ{@fY z7JB>G6XS7J?X6?<(c}}Hc!w6DjNja{Vf8|Uo|zL1%9Q;)ro9Wo=_0VhaZKvo=>V^|}vlWd>eNsRwPTd}01nc)TVV&8%)> zTkvOjemmDKtUg5UZ{1NtzaQUvmlozQ4W1Ny+jZ3rAJ^bz^an3QdQm8zz8!%Bd@EWi z81w6xkv&(+{U(J#nNrXqlRy_;P^g)4B$=6_^cbN*wO%*!tU))D{+`7*zZy?ru?@Hv zIA3fWhnlR9Uj=;eUh|IUTXsWk+*#tZ^JB7ykiF&|fCGa%tHvg@>6eZAx1FN=8j-Ztebgr5vfVQ0v&4M1EJ&{=a;6gYPcFEd%FPQ$^u|d_AO4r zUJDLOVJDPAbfRW9Rohg56C8NlEP?!TBB;KnWxmH2r~6Olb(~j9&x*VZy@_(c$lvob za8MeUoTpDyydi|!0|SrlMZ!N64hPQT4k0rR1fNBvx_+=FfP4D@;#K%|otnBFgMpv_5{{Hvl z(>aMNOb(qzen=)xrrjz-QCZK)z~MPU4w{xx*(G8wX7VLWWY(B6>_{(-K#mBb;jQJw0r9G-{+6#8LQjXRhDvrwD#^?jMdk#L-gdDX`lps97t7Udu3^h973qfNp!WkHK zc!1zX5PLbRsrc*n8DdXRu&M}bUI$QA+a)_D%?Ld0px6DudWo9E=_$&BtR`C>SUpOK z)}>;{rm{L)3941dI9qY)mZjkld(r8ZH{k^YOb+z*rQ*Z{sFIVZaaK+52?Q06+VW%<@3`!_ z+2qm_hzI{qpNxC=Nwi|q+&bkZ&Jw-Sv^b+VF+6Ij0z~> z|DuFW>yksPkATo*-L1-FOI}BgYLYv9YF3FgP)q%nm%7e7 zUXZ;9wx)Eqdegy&Bx<)l{v-K6O#alx=RP(vpU68>tbUeLpzRi&(od@hH-rEpWhKLl zIPoINvjQR!rwInx%%`yXTl4_Z0BUGP*g0x@B$U0W#2MY&_JP~WI<~t0E*+R7RHirv z!V#yr=v_XW{<#?EDQGH*efUJj^N3&S=S$i#H#4m?OEq=Jn$z zz~4=VQ@otBv?S56bWxa$#GU0RrqvH=Ix;lum+x61R$SoCMIL_jQ}^}Q;O5Dr`ya7o z58=E|+iVVeLYAy!hiuug>uv688He^>ljAwrw5MoD9_TGczrN@T(~oRBe-jG5y!N@W zTjC{+(7Qcr2ew=Kb#Qdz(Xo0;xw?3jg2H5g<3<<}P1e3nR^WE5 z{PaGy7d=O&fA@PgT31(@MI^2MHd8m@{OX?V*!!k!IWDf%=ZJ}ir^a168#>Y(m|5~b zm$swCrN20_Zv_t5a(Q+?a`J1r>AQ}38|u}o#*^jCbDqd{DYDbox7U97 zr#liWm>K1CrxGR0AKa#=wm@*-*7f5=0ME1cclSmYa&}<&OESs ze|8Azy}S2X7;rB=YSRBoC7ipzzAh{mfXrYiRl!ZIZz=-4sJU1=yjQ0)G#$%IJaNxJ zog0LMJ#o)Nom=JvusC%tj10D+LO~)H*>}|zaRD>qxP#NH-@;1?Guw_{e!1}Kr$$C1 zD9a3gEc25V1@L%y?Yv0_WxuX1ro{=dh_Am!p7PKMaYjDGYfuMXjOey|^Z4NchI*3R z|CG}s2wN1`vsxS}|6akM0G0>Q7QA>Ss017B_QAi0W<}HS8UCxqNc&^wxT-x#?Zl^1y)QX>L(0c0!CF zm9SF%a+)iHB`@$YZD=)a(A zaUk;flFZ#1{WNlB%*@he9sKpp_`JjTL$Ltf3LDAtGX-R3;Oo`a_O7FduvIXN#ju2b zZkrdb8Rk8Ci>$_Nb~MOS?$uvW(U5f=!b!-_WzTWqW}Y8QBqQp)We@ zKfzsGS@j$Jz#QJGEijo^^78ti9pDNGVk65Ag#sWJG|KpsOrS*E&{INhNTB`gZa+PZ zSJ`sbL4cW+FX_m+6&E&{2`r`PIB(vs`19R?edEvFXZs`jE+n`$SMpg*rcf4yD7+&d zy0rVL71+g4#yRlqQ|tX3X^?nr5?^Sch8hlw8Nd)+v~-FneB1fNt>_n|VEjvFdci^# z{A?Q!q;D5Uv9>G`{!XoM**5eWOgK=ia=KsF-wYhm-9{Z0A;f!P6{%i|483)aHSieL zoTCuU^oogITM)@ec4%1kmAqW4BJ_v%4d&QgiHUGYuTqn% zQehh|*f7HiLQr3S+%d9WX^Id1wGH1LM~o=)kzBAX;MW0scM&mS+{XfMUAlGr;g*mK zyZ=+P9fO1HLF+mNRJV4`=`G|cvXde$+}K8*%XC33)S{)S^`fPrAwpRug4lH@-|)5* z{7-c7{{^Qr{o$6Ii}9de+U*GL#|Dk4&8n+hU}E8*8Y@3AW)ae!y|X#uS@xpYM=F3~;57$liA%$N1 zt08--U%gw(fpyy>h8b)!CcDxIoe&F!IF@mBxx z7BzbU%xhQVw=jikN>H|<$-Q(`=;4V3aEnl?;L-|cpnbURUI9&2%IROuF$0T)sbQY! z2vs<&ki;umH~N+56=G+O7BN*JRlgIB=&ut&6c||Q{!4yQ6%#=*dRiJ7atB088%#I^s}pdXcqco<8?KEb5MISWw^|W)ulZ_Y9Zv6 zeSJ&}s~%%zNK5{~)Zi7gq>%I?$iNF)vKi7K@%0!k-1=k+9gp#dY~q${fmFGD|57q) zxTj{NNYgBXgJhqBWRK%`pX0a`O2<4sboEskeLK7t$}v-2bC+P}Ya>@Z&h$4c(RQdz z0-1y(=vMs=PRaGh8|F*`-GJ((Y6*iQFd)1p5;}0cA7ox^B9CoH%^O@zdK-sx()lIz z9`TgyYO{Gp#5HWaR~Wiqxe3+BN}!&#*oa8+ICr}zJ)CHyck^zeI&|vrqV<8XG2)&# zWH)~ZiJ74FHsGmjmvU)vkL~XE>mXBUgm1j#`}ql*1rf?j@`Q<*Utd??YkGDc9L8tl zGR~gZ@xRy-e$NSARywVhY+Lc9{jz%5c3-_BrB+w=b*Rtdn*O7X!)MI-s zwmh(iq7eN^`Se38Z}0_|Yv6^MTi`{qd*DT{N8rVkXCM(8^YE&$N63Yz=eL;49*4~S z%h=Nry%YJ?%rr;lVe^UB18eu={>7u~4%?gTZC)i+j2=3lm3*Ru9$LFPqTJh=!}6QD zpG+t#TEqZBYWDV##A^o!iJpE>_8C^H5~YpQ^C-9OgfY5D~$n<9sqDaa zlGRFA#mM}{$fS&`jb>?DC4TXP9rUM0Fh^b{_k!9?qpe*8y=QY^)6}hHssy+NE@c4A zFk&KDh9B3q!@YeHY1z?z{Z^Dqo^@0yvXHTKdEs-_BA zOSPoQQknu6Me(D|;5lxjYM{<}s-_xQOZ}wDwtpsQ0twdGIp=0%5pH##vL?c;xA}S~*`o0uc(eJdt z@P|H{8c^YSsr;!+JRq=j#ayOo!1!nH2^b2!#}$KaR+^jrqpEcztzS*%((L;KP;`%^ z?W)O|0c9?Da*jz+Hwn|nVS?5M+xqWdjcxt!0t1AW*laDOe8?_jq@9*KEe>!OI))y_ zhG9=J3Zti0W8ASy8m88Y?u0Y4s_@!|t)->KiXRVf-}5D~tDg^l?DEcKI0Byv(m`H- z0vBP&Dr4&HurmwltKu=(wZVUF%=aoeV z#zxgGRQ9#7zf&x_l1eOSuff_<^NUUeP%h1mHO-51akzm<4}n?G(VeIuVYLg&UO z=RXTumhi5#3I6fgQE9-YbtJSQT-9A4tfk?q4ZeSIya5^J(Qs8Y9SZtfm&Kr)g2$)& z;)l+$hyn1%u_L1aIIkE?xmbLsp&9kL3SUE;`E!GgI=H!f*jhDZwveF`NI)U^AnzIl zQ%PAd9g1+5Sl61XB;K>($Ow94BfINd?r4+~K@yMa;*tA5Xwru^Z4Cd}tAw}3BvEzs9 zgvFCjf(?7DWT?N4*P(=u1-jBG;eR{!zA}s@*cicr@#Zt}XO%|%wHprANy7gBHSyO7 z7VM6@2CSnbBQ)P0UH}PRlxS?$J96ncig-#LtU>l#M1QJX+8Bi2#pkc`xJWmW`eD4M z-Tjq`Fn-ByLkU|6b^(chit805?sjN9fNZy~@~(hvYd|(He`QY~+ZmMbp-|WVk3_#| z0Y3wB@1a=DZZBekrC-CL^E}629c{XcIyg4JF0gFOg$e-AZ;MOKwkJBnpX$vPYDymP zM_@+)@xwfaj}?0?0_;GoaAau6M9&l#vM|Qmjr~o;_$7qAFyiU`O{(5L`ZFR@wam^A zmoGcDGQG|MF|k-*M3n9)TCD7`>1GucnC|Xqp(oRMEER~kN{ctJMNQ@8n4ti15L>iS zd6*ICAn2=ruA#X2<@v}&&PZq|EudU+k(gnsU(yLB#nPg%BZ5WI8C>Y<@s`Hgjr{Ii z2I>)dZ=D^DjC|o1X*V=xaU(nW;flVv&ZtAI@)ElwFAyI z-)xLB(QDz^JM|;A8083+`SaFiZ+N}nROJ8o>Gdl&@&1A)PQgn+jzxkRkBAO01B(FD zME9DAV2Q!3D85--6Iq)9c3#2itO-^fT~C8~91Ir8W^nQutF-Gh=B|wTFXp^ata| zS@dKqUb7ZAq7Y1PP`sy>B+`?_4w4B%kq=~=p|VPVf1SD{SNuJsWDXxNjU7e-Cas+a zC7A33uCBl7SA^1wWy_ZmuzPt}kG(3JL$&{3$lDriA@Uy&QNtRutMssRbo|ff-ek>^H0eA9xcPt=)! zG*;smVUECBct@vQz+7F%TwTqKC1n>;XN@Ya%U{9VaD}3#3H44J4i}84lB^-B`l9T@ z^psTL=T_lEswkoxBH4zmjfWz~#oW9!9c0=fO#LD(8i`*fqby0LNyLt|`$1z^y}983 zQYwCxGtYs>klxwVqgyG_Sx33ZTo&;bYvX@u3GO0C4iLkI4x)pFS4Bi+0KwvhV5;DX zi>e|*y?aq61@udA+Y~;zWgwcSMX*_$eR9bFSC3#?xnu;JN`H87S3kmLxz`KL5w0I9 zcFciV2w@9f>L#~F2`Xm>l;G|n2rSpc5{z8xQle(JDtrz#GyGUK9W%VD4r*Z&fut3C z__PUTNgugflvuJRouC|J{z40Mq|d()f7vG&gY}=sop{E_x>%Yq#@E%Ib1mUbP1Kv^ zFt)NPx`7_`qQFlNRA_ET?^~AMT=J?Bf@?IF3O%~?-d`6c8Nrp7ZNB`KQw;J8RTB+S z4&qqOhU52c>GUDI(HYz52E1O{pjC0!t#zfsLpazfy@_FPE|kFd7fSi@ZqOlLap-n( zL_ue;$R4yRg8~8KLD-g7n0>}vmd!lx%wDk1oXfIvb=nYl)^ZZSCCkarSRtRdfLfsW zh=5Ta=nWcR0UubU1q|ncr@{f6F*^>oNKMvMaqY_a8k8O@K7ciTX!{g6FBwv|Jkd%i z_mz(GQCOWv8DY+VJ6bcWylbs)FoTfRpNBU~R|%RNV(~4Y zN$z7+OLLJXSa{)JrBD?J%EMQ2gQ#w*`A8;HEjI9xpun(Bo!KgV3u)gkoQ&5$}Hp0QQ*JeKHIF zA~7*Vq!5^}%dljrgCRqA?6>eTD+Kw1K^~;8^M}R`kKt_ms1#?bL^j0ZL=oGE8#&yG zC0FMp<6-Mhi0dV?I@h3LuH?MN?cL82h%LLTeX1gt$MmKisVVh>#3LVSocb@0SxiH# zm#hJ+P4kQS#=3l7rK~_x!bA)8k^g9a`@E6wI5#fOgv_;AseSvz^G@QyfL^7n68bRt zD2Pbd_J>NN{og zBvlMYC@e}tRbwe3q9W25Rg6VdO7qOgFIDjbb|s8m1pYJ>sjJ|AUT~AGh~o(J7U2s6#_12Ci>zT#GQO)7*a(Em-A~HCTvj zKArox5nA$Y)K*xmSCHc?8^@iJJ#0%yHcZFK?#^&fuOuXXNd6XA{2aEbZ+D+QMiEO> z*VuHa-K$l0!lbR%oook|&Y_H+8A-u&F__=`CaH6|9=u)UswnK~T8lh68Ubg9x&IAy z>mf}n`-k8q{?vlyNCjgCB*R~mJu&=mHVflY)tMvJ6F4B&)XwW@FX}fmxG8RX49M?rQ^!=Yp_(rXd0`^A^!T^* zJh$|gwxZc0IlJk`OJ?sho5!V#XYa6M^{wAE_l*y{bjO3_uEOy3m+av=abm~bs3!ZS zm;z)$3wPq1^_OtC0*0chy3mnJ*eX9#{R<&gBzD>!OV}}ICYeDbWNuFj;u*GP@6A~J z0MF({5=_8kJR|JO1CR4x4@0=S(Kwam;{!q-T3oXuEywHIeE!&j-*(5Oqo$3ao$Lc*YPuTS#;b(Dzq{>8uOTkY0>v_Ns zsF|yR%c<$*U$TD%}1#7AOsP7oslmXB0s4KA`aQHG7!Ju zVg-3*ro{`60Van%>RvjRvVs*|8af0A8oDq~fP(>!p^=Hqe{S=ZWyhMPqq^-49{h=w z2!rs@Q0=Q*wk8$sO$@rQ3(jY|v-3A9(o^rzSKl^BhSrmj4W4AFl0_`&)Nj%>aK8Va zp{bWlZVO|!bA>$;0Sns2TxKob!Av#jwRh*#rBZvur^n*jZI2DVc zIU2J994y03DJhpNPe(nD3Bm2m!@Tnq-?>LhIHQHt)$7Ou**cW$q8djrGFu?(>RItg zENsb*5Q;N~>Wo_#U^bap79%AvDNYzfxkhMa2hB*PToNRH*#l>}6y+S(Zz zP0Sfq%^?P$Q6So4imAW_<|D%z;}HZgu)%8>p?>a4{|w!NBmNnASTX@yp+hf=U2I))l|in-SUnM4p=0G^4rJ(1C&cpsmN}OnxULtKgy70S%WLfF%Ls};h`lxLG>Q5 z5)5Q)Sw(q}6aIXsmW&Vq+MMZpOVz<1P79_(eMg&h{i&OhfktmC@Dcy zhR1^g3vq}>SxN#Cw~VOjHS%|wfeLug!p^}@oIu6c8sWdhOG|RbEO@kFGw=}N$Z&(U zW_Xn?iRG(=l$ah=@`eV76(~tfo>=}uBDoHN#Giff>a3x~p6pb!QJ@L~RM~42MCzI! zQJ^BXLT$AWBgC;x23QHpIdu?0x4HBii&Ygw9)X{{poQb|?O>zEqF9{3v5!A_dD@9& zfDBqNND>Rj*5t-Aa)Nw&h(l5`HT>cYSc8`-F(VH zCeaLnc`>gYR@`rielWCC2owfta3bay zMIC~e%JASyG!zgdqV-K`rlz1kU4T({MhFJe*yCAE$qoBOQ=G?2yonn>fl%!Y4Oc_AI%9e0zHbR=7Wwlg()()vB3Oyfcx(iH@z!vtQr!Iv zRygyLSb;=`a9*MLQn1XIWvHy*5-5l3xn|Gpd*o z4&r+s8Ex~xVsBlDMm|?`z%*OwpA??WXze?7j47IIc1z-Zb!FtewqJvVd$=izkwNjv z?(d0%i+hu%$*G7z6{x_4n%GUA+%ehn>r1aHTzvPbq$GX^<%DG0T~xlC{|*9mv4%_; z=A#*30J)?RIbs6ZyYOsLOp2^ndAcm1;ha&2{%}(LE$t>I(JfLjP>oQ5-qTRo(d*uz z;!%L*!+m3unL+O%!7_{3fjO_h@`$b|)ViT{x^#;i!K>m!si0&00tCw7)vdzzJ~ zA8IOF;1OzA>Ka}2vYz1lUI%^!L^-_}W7Y3ifyT@gU%I=TX{fab(#MPUkku~3Ckc>) zjAPgd<_Lm}$L#k_48;*cn-{V$q0iFD2@Vkh6JlTJeRX~|3d?6}IH5`QQXLQ~4zgM` zCOmRTg(jjzNVggHdl(9;qr6NE&|=oXIWLdVCkogB_+!Q3jRpUX2uu>JUsh!6rO$y7RCp!(`$?v?9+>`VmFvxVkIm6o`K1Pq!ulY$OZ=x=J+4t_~p<*ZwaUMST- zr~CWMg5Xe*72+C^X{!~!Xe{md2OLaq`FArF_}3S!a2?P~m(_Quyd%;nNqPU%G7-gd z*rt^~O|Du##gDa1EL zb46X4FA;W>A!<5=?PIxD3MrgVlq=s|H#v^ZMhYe)bveReCN_<0Aq_KZGIgVM{2V5( zxsY-NCq!7n=rvMcDh*;Zd5R*DXQ*gvVdO@ms5q<`LLqU14JNElBx41r+*NNv9Ff21 zlsQ{ILWlT`sZhozI1n~0L*`uNh?Md-0~LZVrFw9)U33(kv;IcC#l&C-zBHmv6+eQ& z^W0oG@UEx0_O>v+26bg7IZuDSAsc)ry{QrSL$Y<9=fc>lCuZD&;nBsPN>tr6Lx|Mz>JB39mTV&k{yxFqQCTJ>P zmyZnqxs+WUgGK2<`RV7IC8-0goO^+tm=+Uoy$%-J=aaS3^vzSLf+ufD;r00-2;xRd z3Kj4jUA9KFvcHWM3qMlAo+65Uh|G6EGzN()OCmC{h|x*Ta_gFM6r#P6_$Ae2NmN5F zX4iUSdhl8oAI*5=bHDkJMIO~IG)!WkemqK*=0zDO?XP~o9gz!_ZI~g!tUdNhg z2UElxg;51~HZ@i~g)aowx%uIiey%=6dlDpIG@YT#dUe#yR$9Vb{*Bk+ zWsG6;cQ3*qUx}j7WP3LBhP2>!+>=ZB$Ul|1=8grR1Am4W7>IXCgN*&1B;qsGGTsKp z(8|1qlIHPr|1&;t$3MA#cn%TB?qs1{^Rs!o{;IPh55o{xp>*f+Pk-RPxK26iqrYta z$Q4gq@>vLvNhjQKk(uqyc%x=2UxKWKJE~?X`GwTZ6mxQu{^(VXHFkS=_32woiDTNvH@FtPVxZ12PP-hqXab0go< zA_=e=&O-`6tF*|vyU!DBP8PV+=l-t2dHIeh>yGJJ#N{lNO8TR%zH&)e{3{C9>jet> z93GdX$9nplBHA%Oe7{yNbC5}Gj6&y=Ift4Phymu;$rnBr2cO5m-D}GI`M6P(r_{Kw zkW+WN)u%u29$j4TkHl~1OOI;d4n#oP%Dg=`Y1Xxh^9CrB2D&XBkdIz=t2aw+C(SO) zHb*z}b0@Xc%Z9qQv^q~GE(I;~rOO5=bsZz_d9I7n!hUy;^Uso@h9W=w_6lw|F*;Bj zzU^2(PEH8U_-v5xtrfdSd5FDkM7duwm$W8w&c0*ozV7%L-ikp`AW@Mx*3RE zan|75O&o=di(F3bT9CFqjY_oSc^p_Uk-9uzONb<$mp|8%{UJ2%ci#t8O8aP!moiGP zw{zz)9;2DqZ#;k+KM3}dyyVh0SvmhxkT>KWEd=CJ>wlov_LbTo=6%<+@kA04h6Z)23r~b=Mx2w4V z&o?VbkbRaWp<7@*W$S>`KG{U&xckTE^A&4WqHF-STW9;7Dr5FFi6^S(fOZxj5LC&HR)AiJHMQNqk3j!(4QDERRq=G*h1*@p`OA8p2%*xTO-(y2>rykK9PQ(o^+L%wGHU(Fy` z*WCefM)dd7O9TT#2KeO(GI#np`BpCrGyrd!m~H?d^I4XXs7@}Z zP9CW2yb^hGi?3;|PGpxj3rt2KO5S^#?3%i?!cM#C(lt1FeA1(2^AQungqQs;Ta9v< zmwhft41Qf3 zetUizIZX5NzZ_^0@oVj{Bg;ebdA{{~{(Ta6_IxWOqWM(;FiI?69aKNZa7v66*DL)z zDuyWW`*@!|8SOv!d(3JKe*kvn2Rn{9Gmsl4x=ZB!2oUm1>xSgy{8c_ZFY2w| z^_ijH^GRdvF9)06LWhd>l{DE!`H8oOW$le|hP2;)A02Pc4=oPVCcQ?p(*I(d^z&yX z`2V5oFQDp()%9_>EycaXU0SrbY}~a#i@UqKYk?xgDeh8Aad+QPq&Rfrt{bO7ar@8a zoO|y%_x$c!-?vug$&*Yb$-H@Elg!NI)hrYC@$LTaN`p?{`*VlRe#9c^GfFRC-@APl z6X<7Q|KrYwb0~Sh{eGn|5aMq4Hf5~y{_3x=fzbUK#m22lfWN@s`=Li2s2QcKp0i0p zzLJ5Zu7(FwLiXKicU+^JFdiVVcX~`;0fFslktb==Fx4o5uHPl#%@sukJ)gFXXWwkFZB5FY-?uBpyL?t7$0-^ZC@|kne%7Q z)eXjT!!zTMU)y^d>-`2oj;>^9U7qJ60p}t<=UuzKaBQhQK+}S2{OtNJaHv1@&vn;~ z!?E2%V?e<5Lrx`m@IxBW8I&cbGoAe5eCYCK>awI|;booY>fh>Ly~3=Z=huImkq3TQ z7;egXBdBK=SQu{0-ds=#2BVVi8VEgJEd)H=omX~JAyhq<26TGJb^7_|93I~+jRo9F zyL!;p`;V4&KJJf^8(=am2yLA?RJK_+UUhmJGC#18d8)M<^V|U~UcF7DsMU!GzxKgx zPN)CF-TmHbo8A^ikCez6O%f)j!`Ew(vyMDWP8N}C5thTV_utr9q_3|gyg~*M)A74~ zDO6^?bVlACw7oH}@wy!`y{7%wu`JEu&UGR;;Kq`LG@qy2Baoq>)yD34W z+V`i_N%FvPLk8xvN{gOqVuI;^^a4BJ_|COnlPk$hWfdRYB4CwPHz2jt(m?J#{KfQV zf?v1w2O@0K97hs^!&mCHCrb=cZ`vAv<#hPn-#R>A&0HD;++7^D@_;@pOD^G=?!nP|{_cQ))oy!i(q{03D?)Y;OeJ1nfANSY&m27PD=d|&P zwfU7R50~TiQf_zq`&*hhE93zVc^v^ajXrh!p0;_%e`Y6e1v+^>UH+6TS=7^5#DZeO z`jgAU#@ca>;sD`0#ua%>y_5F(E=Tgdppxf>xgW0`}5MungOMAn) zUan-s7}>@y+0;*qPv7xs%3}{NppJb^u%<=kB#F`B?;ES6 z6ASufk&o(#$Jtw7r;j`h!gUKgf91UMTDF;Buu5xJ)6vk#s7S!$?u->vhc$ome{Stc zh6Na@G^spzCqWP9-vuR9QT{hBo5h^W2f6x(& z|8VtiE&CQ@WGRu_ zSZUPovMc$c_RSyfjk)_$ouL9VZuO}

Ns+S9{K}CqPl^br5$Kmd!`C5fy`>es z4Omz*A{`qp2zh_GTmMme&_8FO@~b(w(BjeM3iWF0^D(u_JJB~IwiH(O$r6)hS+07t zOVhFrLj{`0x^ETQFJuG!9{=8-1+<|bSLdsJ)!>~$&3NDJF)Sn^Wok6E{(&G^kS z=p7COIFHD3`SM(@r%{8@rNKgdF@;O{x)InB~e0T#4$h^GCAy+sH!k z#NYJ)=~F}~cqR7T|8|9Cg`6KLr;uEjK{=;R2}fb!xf<%r&p+~; zUw-|;u+8TFZTwO`R7=S3_GaU@am8d|8$j_h_O)*;~bd>{G%U@+wV&~H>*HTh$!5Pkm{jA4x%39Sb4bGSeWrWm1XO=TZz@IzrC9Y=>2CtFdXB?cJttRdX0gTlw*TwL97uM_`aka7MpCw!CG3}C8hjxu`}H~qPI6g z>ClK)x~GVVy(s%V)S6^}o#^3qDdl%&wujl0U7a5Lpw0;2Ujx13!_}#fz{6$b@qPbC zq1k{7u$R+w^1H*c#!CwmkJ}EfcbEP*8h{Wwcm7s$tey-U3wNApOi-@)oreE`EV1CM z(Yqd97J9@Ul^0P7gsilHzWDrXh`N7Yu1Yt-E#p@tcKE)ocj88c@%el1^8{cx0Zf~8 zY{%~Y9^PJFKHffT{Z3hVxZgTs*`R~^{y9DtzgfBbI7rYhcnxhl7WTiriS=O76uLWK z(0Di%yd5LY7G|anBiL!f%zU`0?0mR7ue=m4{Y}ib-7H+cP~c5oK*DtH39NT&{9HQz z72tc_(`lwb>VN;R0CZ%JD+ZnYY4L|VQFFo<8w=!}emAGF#|95KjAQVfz8CFe5BqYs ze^Z=DjOQ*W5N(BL>@7`-6uguwF#>1G6+<(2c8BXQpjL|$=rj`&?k(TzD!8VZGIk~0& zOVIySLsnBXRaVmjILd)z12_oNWHmpg$s+w6EpUt9D7t2_vWw=yZhWt;Lp|T6HaSl; zfl=|5&nKnPq~h_oapm#++%M|%Tt|tVHoL7EC>>z;PbVCd*3W; zcSyS%W^VML^`HOSw6?yFt4n76uvqzZdOG}rds1CPU#MH;aZaVVsdF#i0Dq77FaDmE zLH-3a;!wiJHtwEbx?STzC$_DoRA*gzUgkm)e3cj;hUeyk*wR#q?P+ao|I0MD5o9b! zDLC{Mf>dHM==()e`J4(=}EtJVx_q5nLlzDi3(at zak%WcBKp}+YF5DZ))^v1Oss<@n9c7szR{e3_z&`9@tAlbP`B9Mj$Nz`Q4#0Yc#Rm{ z?OMN|Dg7o-RdXz32niy0J=duWr>`n8B~b784Ik(N(pPVDaym!8`sHdpU~)*+=Gt1oH{*L|l`0%&CGGKIsJDok5e&2w9^!$RJHhLP?q|J2t-{T-c{qLY3C2ZV( zu?6*7*~s<-$BKSIEdK7jq_Yw3daRM~njT+(fp=4{J++^P{+V6>Tjb^ix0jx)zLnj8_?mHS3ePuKs1 z>|Ff~OLPAit}NkEyr<-qS7IRZ>FoCLkfAZ!*Ce0P3YnVjnEQ?#QW^h;4pK>&dOJM- zn$uZnL5}S_HiCEQwMfbANmJvD5GVQ2NovBW#kbSaNbLtSQm8 z69q}8#@}F~?OR#V%41afr&}kz^BiU9kxgyH=H+o|+Z9`Xs8N5QCEM?Wv(Zooj#hFR z&X&r0#;;;a8i%-PiW=&f8#|XBMkGkbpVp3uUtjEWbc)5_t@(w8P`y?jx=ZKr=6Z%| zPi65dzPhSB;P1td59ndW;Ml=G66=olF+KoK+P)hdN6ibPD9T%e>*wOP2#RA_yO@Q9 z`In8f^(W>ZM=6L0p6ybgOAH0vI>@u(Ovwhqm0)*0S9{BoTk;Z7Rg5%F`%WryWpcey zq*br-hi`G7g&asO?E8{(P8g9qaydA$3$WVwG<)6za>>7H;^iSZz~DxlVp`t8b8w9W zwe%7%tw-CRB+rxRo<&4BN%l778|x~uRTL3us75g|^x@()jlaOxUKK;twG2dMay05~ zsxsEqWMj6CfEmjtQ*{N8*ZH{@lcn{<4AgcInzHn#hFIS{xD7Pm^FQ#tO=HmKKSBEr zCDNd0eO|Ra_EO&E`h&v%qchgeO0 z3nkdYIZy}N<1X5gac6{$Y#Y^j&DxSRNRnmZ+f7Gu?@MN!=dZO}da@8LM}}VhCshX< z;gaN0_+M=vx}Li8dGSxJ4jEbeAoAxuI8cRS4a>^12G&NQ1jC9JKS)9d_XGs{jY9c8 zj>V_ujsA9dXI@0FgKP=FuOENg-5h!MxhO0e@xPGd+nK9DRV)b3W+D!Fa?EKwIqrs@tJz?>a~xRD_Eyyz zWf=8T*=us3(TrW_Vyg}$>tYW&avuw1cw}FXa;$i5^N*=M$Z!2C_;U<8NL`Q6brNoY zzTKH8u0)+?DEV_R*h+GWV2WCT)I8RlNY%z>p%n3_4-DGg+_mgU=M5CSOy__B6AWl! zKp6;j<^?IgTyjw_Kx%>d$^aS19yglKERV%9O^gq+_Qs+77KNkQ`VEQDtRR<&RMHV& z3Z>QI-5^KjP=(jgjdgl?1?ZgU4M}EnlN4NW+6-?XxEoBui$KPhi-G1WoQJ zl)+t?T)-(d*11`zme_JMwCoz%>Kgx)@;gv8#F?M9NYP1=b@Mw_p5cI8uNXKkB_cEk zOwB;%&FO+5feWT~juyohpwb}30caLL*Wbqud=EAG!rVvAEEN)|v}UZ@RDjYlm@vX& zlO@kQqS*o0b^A%Bj zO$aTg!<;?v55M)mIx@i|n_E#qSh+s#4L?uEcXk+%-$JUkR-R@)vL`>@}KN^w##=Ll`5B zc7NeCZ(Cuk2)Lt6e;zT~AWp;F9Gz|%49OeqYnWEULv!P9sIQ=j4do+V&`wd25mVWB zCX%(Z*8A`lS%En1x2)K2Dfbzvc}s84)U$M>^AbUxP$DyH+L%14wE0+*BBjC$ILkF> zxo3GwG#~^lA~R>7CyETCrO)z;rMYOiAUn$6%*3O$xs16XMQW|iXk1=J?YyDs0uT&R zaX+%C{x+>xuUfJ*b3q!=81UicUrer_`%IjvN%Kxp7e7c_3T%&f$sd&hPr=KyL8a47 zQcLXs3p&?aiB)wTjSept4d>k8E?b^cekRpxBHBSKb{J&&zYFsTR$8X7zHplJA0krDVNE971TeePMwkNWxWwYlz&`cU|V6 z$!DYfz!4W~d*|dyl_Wn{6vdZ(M}AW)2uh{6AHkn(%pXo9n%RZ*=g1|zy#b|Rddcoyx} z_@v^G)hR|zzpp)`_EU^&(Q{0Mdk5zHg~`=##Iu&z(@l$Nb@~#}+}wx4Uq$Frz75NQ zYAF6Pf?ngQJI{Lg!zYutqo`Z{GSV2_OCfRREzbUBl#;NQLE_$#2&XKb#j+I#QH09z z(s4nql`-Vd-8x*WI})i?aGFC4G58Hiz{NeIDb}8QRVq~oMG>tlRd};9Q-`7T_MkGi zR-^I-beko6&3*SC?MCA1mZd7Z-YSU9)V=$3msH8WnGXz|SzJ{r;|>;w%~`mZ8i1yz z#79)~9GiYC%P3;0b6fQ{asn65GuF?$M)IMe|`Q#e8j(t^OELlec^ z2F$|$%W&mx(MiQme@foKm(+&Sj?u-jLbv2KS1RD5IDKEh@N+;^4XKdfr3!9PF$!2U z#IQt+RgE&W1WO*~{Wr6bUK!UrW(ubmMNrx*LNz3NgF~`JY%@v5#XzryYQ)cNAcFI9 zij;A3QNyAP=2;DRj!`_}pDGyddcwFTG_0b6d_sW>&O?A0V-Z-3Fu?@^^u-gs|0D{3 z)OWZTz0geD=mN5b+AoeSHD$Fr@q27Y>3_)$+C>i%h5t?bG8m;0goJ=A?|_BIkh)Ak zplawaK1b|&fsoZMYVkjGGYN?Sl8;BATD0{JkRGZQP!h>iT|i0b2a3XzwH*j}&UyW* zIa@V@f`>+fQ?d|Q_%Zb_bj_7s;#vp7qcK1xQGkmjae<46=wZdE+?D$RQ{SD;_ekJs z(d$q1*{TZ^3>^&~%RWSp7!)TEHU7H1jr;7EHO%0VxoqMYqqL9Dj_X{BJm}PDFk%NxO+D* z0J-bw=E|rQF`@#+;bay1&qDgovO{BVOdjWvv&?+UIr@so0y(<&bDx&j#yd(_a`JUl z1gfkP5r&Fj2}VGoughV=gn1g?)v@`{6A0Ty<#6|XB;NQDee%2S%IZmwrszN(U19JU z28l2zKtE_F`8NAwI^0>x|*_n$_aT=!M`ZfJHmy(5R!QkoW+{_Tjd z78&NFf^&nuFaw(Tt3KG8SS=!uZN9kByiASv_vOaEXR;KKdR%Sa9&Ev?7) zl@w$I+~FGsy@X;U2FLqGr++h`r^H;>IxcZwne6r(qK6fF2&~ZYfkOYcoYk`ZGs92S z?28A`M$s%20@rz305`Xu1-m&9gx}6+DlEZP`a%C{ilxz}hrr&vnkTZZiJ}dZOPLR*Zo7cG5$qB~xuQw&AyAVB$H#!Jg*6WmOYaTq z$;&Q+r~IqtG1YIMJj04Fz!WwA4X3P~pkW_Gi#}=}XGywu((u71VPNdORUT8vI(D8y zLXzt!5^^xn2l%EMtCzuf)({d>G#cYsH`W6_0(VlhR*XhV!wq>Z z-lGx^PyyWN=i+Lm;b;WIiEO$Mp*!8)g|4{vh~>b%CR&r^1h$ngxE6odXXpsA6Su6V zSJP)!2WMAr=2jUNR{ejGARe=@~iF|mbkL2@uf15$|o(){&G0j1i7CQ^Iu12-Sv6;e$fA1g=bT^X!=FaN}(MG zTs`YCtv z6^42^E?z?FZCNFbiSE9W2gVTOoXrbE;Qu>r7)C~PFuvd?`WV<9Sn%oH>9=p0n`}*4 zPshaChf{(@*m2~Hls{drltjg36J2~Etk&~_^6RfX=w}(4(tezL^I-uLr5>uVsVP*Y zp2A2Z0BVr|SRnIzKI)|6sOG5Ts9r@+fYS1!TwscZPB~!=^WBGhnjC|7SrQ6Dotk`K z(tZ7_U0-o|#l(ItVwu^IiNA4fSfR-~quoX>_d$;3A(a4{$s;5}V)rhi5tnLe>M zgKH&Ks-aOpST1xQSAJdpTNc8#J@bK9X(rWxKTAT-#Q)Ism0R?|U`Ub80pBU9@|k+$ zcNr%AtX!&kPq*TTpX(>R#J@Bs{?jC|oO~8pN7mtX7W?_SftMpwLcl0vB2%`GCG81+ z^?eBU6!ZNe&D4QPc^s$RCN>aekYj+9CgGAM$1cX1a+bl5sl3k?!I@G|^iAeIf7%$Q z*Z`6qM0Fmiou^pf&(_(@@#UuXZhQ2N^~&`~mMml)xFn&d*F{SvT)Qo(B`j=P=}5MY z;Ee9_jdqk*qR%U)K7m2OFL>17YQ^dZT1AdAiZ@j6-pBKOkgUW3=)n`Mz$xy9;!Oh4 zxuEX8Nk3bfAH}d5^|0aRFW_A%KRk%r_N_RS&*#mt0TQbmt_Kp<*q$GKv&ZOSXYuMh zN!v%MphKCFB?7Wuf0Pf%s+1W7MWjP5$!xr&!(-qk1g5ROVoi~c zm@w%hPdHIz^o#Ien4S^H^at9K!R_+IjhIk4U5oIYnxFBE3t(`@!i{XC@*mJDMUI)> z@;8&CtG|(KCP#ZhRG}tb8f?;p@EBQ%{x|t1uO`^O?4(M#%2QEAQmhL&0l%)MjpO-9 z;gl`1E)e+B>qT)d?-!&3yw1sWjh_?ikml`drE-yUAE|*CR!4+(s0Gayo6K?B577@_ z^Ta6kSi;NQeopfl+mKe*$INO=+cMQ4<>Pfutb?AOmRX(XhlHi zt>4PU^Yz}n+$@Uq%TP6S4(%y|h|*^2^%r`CJAGxgafx z!}VAB9l=@>c58e%!L{Kcd;FP_FO^r;-ciNfU|3)WLe{w=6@fbD@mv6D!{Jh|B!crS zsuO;4nBxIYhGXnXhhjuv-2v{TG4N_Z)p<*;Jr% zDfHmjUFtY|yv-1=rQ!FzxLQA%+Q{g4==_@iKIZdY#%p7_()?ow9z*rl`m-Ojf_FEP zW~9%}(&V)$NJgfkR=e*yTSAmoP5;qt!B>FaGtAD*d0@4QY3#1)yP-}t$uy5`J163M z3>}t{>J;d-oQnjs`FxUu*4w_FQ#vPG=e!{##U51+3gvpKqvo=6SjA*Bx-QVjJzdq{-82W?UbEW( zTD`YDDLY(KwoaG;6Uk|vRL2YFgu5lXVToN z(N(iW%e&#P@$Qte0Sc`e{4}Y%vRc)$5v`i{_udaBe`O=HRCnX?T9v22n_;vnH{eVF z^J_fz^p^MpmNC1mVfrj+!-s8&2^X87YdvT1^h@3xx7lH zR_^Vq*F%J*uR7$6jd=~!o%xsxp!t2+i#WQtx;UA*wkV-N6}j|rJ4@;+(vq**QQu6o zioh(dQMxf~89WYa*O#ww%2^Y!oIau1(PJYHIHtVWqTJy6rNdc0>s&nwXP^@yii zjZq6*oV>nJxow=jmbA!^w#0JFmntAK{FhJ*ZRVSz>{Rdtgq2!_R3o0Fda*1&^McUB zP`F7LW*v(Rd;Y~i0kkm{%FmG`_ZSGLpDAnnGDMEk77%9@u|7d%@(=8_0fk{zn;&jr zQB9X+k%;|Fua6ZE=X7n3+(0VG8xkaura;#_TIG3Rwd4HQhb<$X(h7YgGy~mUJ+w`US6OQPtCAOi;&JCr0mJ%Ww_S{6#7tGK2GesT^H% zy=YOd{gF~Ry5tatYN|;41LdTQS}VN-QL!~whzxZSTsk1zZAaMDh&Pq@#VotZV%o%7MEUu2Ug71pX;`u!=UWs{wOP5nExQk;LI{i@4WcBuBYM#(ni#DgA}$DtLm^;RPFBwt&t^kP z(y$1lAbgoH9L&c0WmJxf5-*U$O2BKx%HC;u`rNb#^q&@_gC}RoQK)pySN)yir_312 zsN21keBz0u9Whs$`EUd!T5E}Q6?AAV(WnJi=9u+AL)#}cBe)@kL&)P0b zsQx@7e;tVE*{HG-47$Elc<@UKFxXtNxW1%$Bs)E3ezZSzm}!`*yVb-r4NVAWVzeq> zB?T)5>AuEsOr+2tgeQ4B;3oH68ae&_xN6Yix&PIrQqUr!^ONQEWZ7b1JPN&!Qvk26 zdETDId~x{*n7GNZywYt^1%`R3i;@TBv{Xoz6n(V-XpMdm3bU@ai0CH z$E@4bk^|nJzm3u*aeT{41LU6O$C1Ye*Uqv0TRp<1a%PXY0&pmZc#A{6`E)L&aLkZR-lj_`Q125r_-|oeiul(LP{L#ho%v z0hblxwW687t)FeyQ&);ylAvTP%CpapoBFK?QW_YvD$1ZUo#KQj;G}2Kz0-E?Twx<( zjJ0bYri_6$=x=`lnp75-tBvOJ6kdYU#pxjuA}{;s?M4>&PRzzzz1F4UlS6-L$Fb_f zp3%eB6;6UJwx)~rE+gl&$h^@*7vd-wia(*v6DCCEeE|1j=l6y-E|ObRtFU^kXdU1T zxBCIA9Olpzdii_4f3@m1etzs3+;%pCcoy;I@)MFF!Z-pUfSKjQS1J;b9Mbh{BSuh! zddVl$dP2JqBaH!)X9Ro0IZK^+qj$XXAV`{U|4rz;KXqvyv|&ZlRu$d;Ho7b#d%>TY z@f+YXu(#9f(wN;JMCyJteNVQT&7W+vc4sB7$2CIE4SB3MOCY%=-n)Av{SeA0d~)0E zGV4%XpHW%JyWKde_M7BH=b*$Y2KY@cx?S{z(gn!@v3J7ND`ruvCc5uy>`9@h8br)y zR!vRc*T{Be5uB*3C9*8B>?ADmQ2|Al`b)C~=8|-CRtncP(oYgXE>qm^ih!WhH5X~x{->ijN`6?xZy3}@!>V#LGXLHQRyaI-wJ<=)p2z*$o0IJ z|Hd)1o=3#(s1dq&kJ3eK<6(acGm(O{IowCB5ozIrdB~+ zLR1Y_lhpPc#M!vEoE6&YMRdUdI`Fce#b4UCAoAdaoX$WbTDzXj$gKQ-P*+38LE4KDiG6jZsE-oY(=d_qx= zDIPzcoBSC!ZAF!W3FUsT`S!f-s%Y~oM-4*jURBs=he}UM&6Lb*ga0W-|F{uUO z-!qq9Iw;B@b*uem?+zJ>X_-^{hi4RtHU6&_LYY>X=@F52nm|ry(|S4ZE902bb-s#( z{U+y%4egeg(*2ven433MA__ye#qic8l`>3=FdJ zMv3rAQIJx{#bC>9D*D}tk#~;w+w2q#2z>X~`X((2EI|k(DC-fqSwY}a-u7FQgzp9M z-&n871NQARn~|fqUfti%luqYe@nMIpoh39Dh29Af6}Sy#X=5nigEeM$F1OfU%Zot&;Jn9it8gKbjcDK ze8^W|)(5v6mrRIRAg*mHP+|6Lf|7}S+0n^VJjAMq6&<%QubWdfbs(?lJ%v+}2;UdO z5aa?pi+=$i6k8sGQltszJS&l%B_{EFPv}Keo}jHIrU~i~?My#Mih7>gRS|2a3otNs zI0%L-?wvyf{RJywi$KSfS|S*a8`Y6PFAT+ zobtLvG|cF)Qyk4lcxageIX5z!UMg#>{d^nO^8SM^`?tfCGo8+*PT9Gm-HrBpqENfg zEc8|acdp^~OQT9mZk7e$K}Ng#j!`A4_pD7A*~R>SJ5|d`y3W5Oqu_?pZRVBuLa6*As7xlLSZzz2~>lOi>v}AQx95jOd z6Xh7L+B5O&vAzonliS+r`?=W%TiXEc24Uiboan=U^R^pXUbpp*tlecAEo|h;_%FwH z7B~OrWn=$!->`8ivoh$_;D}5E;V*9LIrBFQQKlL3wj5vWq-y>TPwvj%8u7Sy;(sD2 zv=G*C^IrdAZKHCfA`I7fi91^Onm6OarY&E5?XJWJsoeyn8`DG~!tWpdlV(Hb-I3l~ zRLNl{jyk4L(Ph0BkW}RR;Te%Drf>?ZF({nD;4ci$VQ|p}ES(tBPyUcPB2ju-?tC0h zWy#f<-ylA2?O)*>maBF|0eD+uA`{e==)ExU zYh=kAxUu_9{rao+4~N>BBkN|R`MTkNf#g+JTxY~dVDlY#bZNGknBT($YJad8oz+_H z0&+j<8(o@D6O;t~ABIcF(9&=F(#aj^yQNgUxvI_oKafEVo~QgvNcdj$vk-Ogmxyr- zQywMknGx}?wuP)=uvY_PHMvCt(uo;OvDxaaO<=_p8*&iA zp3mVXg1BF0`=VjdaeW5yaANS`afVgEiu~=Z`TPp#_d`e8#pOs{r$gbrAIJYMr;-nk z1MSRl2;|T3|Cbrw#B|3fbjL6se9n)^vGpk{IL*0h6sQH`V|JPSeT{Qp>Z0d)Vwe8I zM3;%dbHpwM1U`Tnh)RKWw70C6T2gyhn@_o*w=9HO66?$B+344^jw)0m_kG9*|88te zajDXfZCO>+#wA~JsC`c>nUq%(#m632#dXC#M$?mEWs?4EaNZ97`(#w_*;vY!Db)ft zW27ZZ9tH$sBUJC>Tgh;XZ%piEmnrMDP1VZr(9}yu{uq|{v#JTFmXHIBIx(KW5|qz+ zlIT64b~{Z$Y0kMDDCUD;Hoc?Ys;1dNrp;<9*b&+pTwq1Wd$5$h~tytz{<$% zwfTWBhkFMh|4LMrL*FRK1e#HO_W$&*=iraZ*k!dZ>}g5^zQJS0AQl!6Wyj6y8F&qj z7{A#}GnBOSJ5~L|cB?@>Gd17_d=fNT_y3|AWR1!%n@_uvs0NaxD{w?B1lr1woVQNQ zBo*^8Sb`Jf&obcgjPfk+^?bv zOmc-UIkrR;kbyf-erO9)w*{74Sh*s9bEHxhB|vZ1AdtX5fmj|BH4n!`nf`R0r|7myXyesX}J z%*H(dA=quie$ue2VorewZJMi9HW=Iwc-RAHIJOMQjT#K$|HaNw(W#1g<&Rd_i{5r*2D%p^Df1C?Q|unDQhR7HwZ47x58;Quz9E?KA!PB>{uNfgl~GNq2tZk>NMrfN%k zsV#1-nvHzVSl#x4HRPLTK<#RO2Bg~mdp~D2r27^7ndDj z&}Dt1!N%se%mg?brH)I$368B?V{D6I1a0)00pW$3Di}5xN%Vk2&W3+S+gc1JW?JUu= zY*80UMX+rTurM>sT?Q=FJW=^!fm9z1>$Fra)*>_G?JTyl(NwQ1Mr?@ja2tpDG9kxt zRGLTMFu%!myc#S3I5+uA8X~Z$XikR4(3E;TQr8RmjkhANkcRu@-ZmSK=KF&EX>1OUX?|4g-H7&E8+L(FDvL~T{0;S+huCzbb2t=cJ>DLhYvRG zFrD~i#l#IL0UK|55`yHgAkB~Z;antryM`zPmof0|Nb-b-)NugEvO{4Jc=g^qf(WgC zR$d8cxT_FBDiC|XSDtq^ID_6Ark=>ac|baTL23D;rNT5%eO47ZUoZu9yTFxIb2u{* z10gakVG_LPW5nj7%tw$2qWuoUrRW7XfU-^cA7_jGPnFMjVYJ`(l?5a%otlu_+k16Xx_7x-GZIHIWn`_+(aC0d=V&nB*%kbQ`AJ1xjb}0=6W*Kn5(Pxh(YXZ%}N!hjZz%T>4s! zgs>et(L$$!&2G*eg%BJ#5;|eXS~bT?goeOPEENpyGVg)a0u0Py;6x$G!JGfKxl5ni z6@_!n>E=-D^sG`V_u+~O!z13rnFAv9(9?9iZ(v3JTAR9ze-c=oa^q-~f(ZmvYx6Ho zo~2p6R3H=}YCTvMTCj`;30r*d;m5?rIa8len9WiRR{gm?1$S+H=jy@#9zi zv45Aj7|*8%wb+Y+&})iI06UX_Un|YhYxYROs3nYwO9PaU6a=wKVsjSHQYWN`(UT|2 zCB4SrcvSVfq#KICU(OKzL{(r^X6ug+ePzVA8fyfzbr?M8OmZG`D(Ad?^^FNI!AeC;lG5Iy`w`Q`mIP zm!1z`Z2>1Bi*j_plRF#AUZWp|%%TkC=Pu&W3O49VikA(GA!bEqDT|R$IBy8!tA@aC zs3ALyP`-fT5ey9efMO<07)Ha8{+h)7LHPwA@C3wsN%4mv_dzHhJT$B)7D7LuAX)#D z0!dD+zALxrHF|#Zj{HwwqfX=UcXZyH51o%^yO#kEm%oJ{Zx?2yk34qbZ%Ms3{lnz@ z)6QeYUHi25kq#ZwM|ny~@^+(YyRl(3gHLpdTRfUtU5^98V?4zvt|wXgT`f!@Mko6X zJ7lwI%Zo}}A!gw&^Dw@pghM#Nr=a2_BWFcWdFE=0%r8uDLxcaE)IHkZaK>ZSO1bso zi7$D~(x(DHz;Z*`CL|Eg3OYMGk>10}%=s>?{A2ZQ@jiQ-byo4QOr=$-8-u^zM!2x& z!{L0=Zk0;wg*o2=q=GaZ@OE(mzFp|fd`h$j$6BcS(oj|MF4X3qPQ`&fu8rU4zg^6no+l3kUM9pDTFgEW&>zl0`zjfw; z8&Y)592D5RS=&#^IcOdrZkaf;96C;?vj&8(!L{Xv(COqoQA^mRMzB~2O<@Uy0|_KO zHy8V+9-4w{?knzMu!b%kjR5@B24bn0_e5u5)CfiiArzcMraP(QmT`e5V&6nVqmdP& zf90~}DV2)#g%Z^>7}^#om3H^Ss3wex^@gU`Os!|;NzLh|Q*#b(ca!8Pai?qn*=?qi z1~U1US4`yw1&7!=v7EIU2!-+rMzNkK7O^#C@DFRA5@T==uniXt{WVcNJFC1Uq{e8? z9!_lup`-;}^&Cx=(0X|O@UC>>S|gKz?z5}4SV~Y>(Ek%pYWftjTnVeqZ)=D>im@m>8`C^F~#kG|V>$@YLM&_i^4;M)W zQg^PYeg?SKbnjEH^G%z*UyPRc@cG(0*4j5^zMl*4M@ytFy%)+nJ=+iMO6LjpGDp-4 zBD@HmY!pwJebGQ@K*QN?CzXP%;E+*m29%OiGBQwf8bHyhsm>{WQ}0bg=dxClm{Zhn zdaoUZ|FP9teUI;;L~FP7df)wd-jD83BAcI6bjd_EuC1TO4_}Biq9QFZQvePitLSD{ zhgCLoa&|ythT0yc;phnuz${TZHIbN#xQl?er8V6#-GXP4;YMCic~BngLBTAmEysZ^ zuqD3K2-G9bTjc@k=`KD1!-uZrU7(McNx&N=g z4!F#4E@OFZ+plU3=_;Flz9Sg4VP-=GIuW^HV7#o@*z!}J(2Ya70OkO>NH9EgB~MPn zvD-dsWt~taT(^8tQ=;!26Cp^~APbZraL2a1DC_J@COs0uZ8`@$Soguuz@^Y|BQ1yt zP9wt5AUkf}Q_Ok;xj34(fcw84z=*ks0v8zBKZC(44gg&+$W3H#;M?|u_HAV8Fc;DX zX*b6eB3Yk2c@W2X*b6K-pLklB;(jj+;b1LNi!?V>EX5n{%2j7d4iqg%xnlUHy{)SX z8%1FMyL}+JFia7R|I5Bj#(hJwhM48+l4tw@e?Fq?#`7gl5fGVTEd{G)#kR0vmF|cy z@E~UAxf>fiAOOMKqrzvvXb%x`jswV<R%D0Q2Zdqbll^s|Ln3r9ozZWV=lPcmY73m*) z(qaK~yS&oKPG~yK{FwxOQ_=Q`-h%+?zn)BBjkqh2;-vkkbL4VRESOZ?6pw$e;)E&5 zpdcv-j6CBC#mIoEh)lu>1|@@n6#wTUPQrbx{7KUSao7`$e7s7!Fkq#>mj~E!!q}Y$ zY!%T6VNllD4&|n_9$Flvk+4h4nEhPDe1*u4)0!Gu23bTJ$T>r4(|6xs0 zKArmxvs$vX@%idVn%}*VXwBBe;fraud5N~2-9ylU05-JfVGh+pZzT+EmO&2z+5>{H zq6t<&2Q3=7C;)|89iEQ*!huF9fjdO;8H@CtDUw(?1|It}N(rBDXu?QFq@oV0)nRZY zZ|x-a?(1{QW!gKFYjKO2TnJ^t=h^WCVd6x7qMu;&S~`5b1}^}on8{{WI=%r^|K}S} z3`0V&9XmVUfLqrwU=#w%usUW136ckbC zSid3#6o0E7PBCZwj1;yDrj_-#Xh52i5N2Z7U9Zg>!(s&an*Wy>Efj3@%!;{fU?$5E zTo%y3bH8lz@@;Xb<6j5KIV9^dwQG7exYoLA&NJC1XZw43@P8+i`+L{0Is@PN?#c_? z2v3f>jNis|^-EU3Ljk43>tHi8KiFF|0Wv$*e5@B!Q$rWs*WtWu@^7kHOp zTj;q8t#kXliw?dE=^}s&`OFQHdYNH0FBinNoLIuLbS?yBwyRu*vZW!ws;U+uJ4pkR zZT9(}#fB=cuRmQDy0>|8$I45*P=GHy?OEl)j6fIlY)QIwFiAs+Il9|yM3x)Gh|zso zIjnqE>0`7~O}@8%ufEz8367V2@2F8cRQ-U20H76l*dweTw~!l*p*sV>j)$07AOhfP zoG=;>qkSYmLd|RaE*g^&LwpBin$`MY_??Gkn(^jgS-6bA_K({2k;}2Oi_g?<_h)Tx zr!snv0uHqT$}_@aI_$BK^D)qZFjR~_Lbr4dHbM(o1V+b9?!3T|4W1L|1ncRbf6!)r z`gsPF_W6?xWCUddl?Fuy)dnem6~}Qo;XmYQr#sKms}AX5@P}9WEujl_C7;t zzvkmUZsSqb=-<8{lHS{!ZJW84(Id^clq4@MHF0Q&*ms-T*LEg~|Op@L;dEB9ZWY{cI9D zTrpnjNncy}z08uQ$#SJ@fqfg>u^pc>XgT##l(d-Gq2Mzx1Nv5aGCMsjc~xGKcd}sU}JABODxCMHIvKLmyx?-WFD~vTM+uI1-{en-Vp0sdhyn8{#w;YXZvf}+6s9K5i9^d~*+FO9tu`TPO zNzmW~cMEP4ch_LS-Q6{~1&83loj?c#cXxujyJh0;F0aYj>z=jG+vnaV-&g%t)tKEq zyL5gTW3E#=udv464%NO#*JlOBKGx=b#CeVREB(;lD#$V@>c z2`Mo%l>sg}1qZ`%uCVS4yb0BcQ;{w-n6GZngcA@gp*MhwR+Y>&P0oVE0IatPngQ#r zh`|>_u0CFeQcLb;w}m@F25W9>Z{j3&zB>Mx@U%=VqP|Vp(Guj1H~HH$Fpgo9PWuoz zKV9eU1bg-fYWZWvJ%INEr_2?oicmdY#HyDUL&5Xq9jUwaip#|+aUl(OapT$YvBRE0 zvjIji^-v#O_Q1lLZ~s+ptY)TJho z3|dT{0xM=}wm>EW4sZ~0?g2bM(%m8HfPSYD4A8$pVxsC&sMR0yOX^lO)2_tLxL3DZ^}24?t_l+C0%Z1$R@EFoil~vLMBgRSC%jtHD$PSOYl9nc1!85_Ih-`l(quOyFBQGDw?S z?|er%^g!5MLiVf=lF}i51)aMTQO`kU3k}^b!$oBa4e=Ly^@l+{Mpd2$hb4CKi($i` zXl5k7<`>&Zbi68nT~-{yjh-(H=WQpJh4$+M!1o2b0T5s62VkL}81dND0!K#;(t^3L z6n4UxRR{HZKUE`X1hyl59ycB^HDZMXJW4CZm#${cYiJDH9~_ORC>F9k7#+WxBN`~y zzUVvXK5NI>ij}*`)dGpmDQMVqx@sbRfH;nhP3Oae*@hjiC-dyfq$8^H6AHL#hMc3* zH^39bQlK1vnYlvJk>QCKLXM@s2EOcCR7Bh^ukBPL3PPXNRzXGRW>eFH6mcjMuPurl z)*eO$a-uSYR_J9o!|sIf0T>nx0N*V$f&2>muq+`?06dd9Z{zQ=e^fCn!53fcFbH2MtNx5m$ZXhdskj#PH`0(Fr0RHBcexbDC2I zl584KHn=n$0ks+|9J3wF%dx?QiwdZ$#GVt`VgZX42utJ%5XT_RRBY%$E?EP~8W9`RU;abjGG4MT3Xh@yt+bI!H z#J8b*GXI8E5w)3Nbsz37Va*>u`ZT>9Qq*M17n#00Adz*`m<$hvnT_Y8*DNW1`u*(G7S_VP25(! zz$F)vuCp8~_N#8lK7&qDU$SLZFmhYPO@_ptIa3&Ts( zUUx60b-Oe}B!6MG7}j(&SPpe4vnwA=YxN2$_+W+@4#Bj{4;_0*H-H+H+ybo()K09P zh(*9S5u3T70|Pj?DGb-p517zSEAYeoOSOGbnF?`;fa&_pl5bep16o~-CQ~KB`oLHb zu?sl1Knt-4U?@B0Tqp|0Gz_>4_!m^n-O;rKL&QW$fh4JL+%SJ4jZFm4g>0_{fHH?Il7oyaHHSU#V7>rWs)LCL;8f5P4b+$7$9 zJj133HU(gF2DTJnYXP?Pk7vnHOZ~~~*&p7I9&d~*@cQH@Vqoy$JBMsI19n3C@7Ts4 z-gmsW%(?yG|6xk>JPtChAZ&~BErokTs0)`@M4HQvLYJ##i88I@7ebwLB)-&O0uNS1 zp6{5xx!tS!r?IkMP^i!QpiQ1dau?9V6t@KbBWao{|B7{133Z$A!(|k0wl;1edBJ@$ zQTj}qIluIiE1c5|(N%u3-ngAbGf0nj)Ayn+wAwk6m!<9Dq|;5}=wFnNakHotTdb;` zs74V^Wpg~X&DBCRd3%S2r+&{{9e>D5;{KRZGO!fM9j3_}Q%h3=p&AQd)*s|i17tIG zART-jeEy-DV3a;lxSAk~BmHB};Z5_p*SnfOIDFom;`7dX_P0?f^Y$zrg@Ut_RTYYgtL|6+FikJpI-eNL4CU{eB{gzlnk$-ID&IFV zRw2?v2h-951I^vHuiGic&$fEVN%joRrFr8DLJnP&4r4sj4*?T27BcWL#1szx;QJ?p|KQVpB|0rO$v;+&%zB8C zhLz(Rl;Twa4Xcm=iX)=h4NiFgD3QgTb8){>EIFf)-kr^>yB$Vza8X>l-{#st_t_RMKW`aW%MLE_|+dy8du;Oi?sqDKP zTr-^)uz3OR7l;HvEd%fpD${hnp_W;N`Ed*}dF{7*-+tk(f5#8t}REQT&dGhL_>u!@52$L(yj zu?pq;_; zC`I_HkR%6!%~rZ1$<0mf0dHB=*nvUk_uZWDNoYY^=C@0_5Bu1jJ~?dljrdSemz`7vSk>X=v&XHad7-&Dt(Ftj3! z5j>70{Rk$rWs0eP?!92@+o&T53kuFgWMk%Ul}?{lL5;|(uN3B)8tt%`IUO}2OVfoA|5c8qfzwv{;7)yDQn_uSgoR(5ur_Hcj5q%l>}MwCsx1DNj1 zc4%~nb*Oa6b}W)@`}$HIKlAjrr9Ctawh_rWHfnjYN5y$P$hNYAIYWGXo54?Z-cJRp zJ$8W2Z(%}3T31`NrODFP$#JeGMirMofMtKM=mjZN_P<+ZD3?sil|VModEH!(e^q}M zwd_o+IgCnV6&lUIyqU^IQXBQbvoF;K^!r2u z0VcQDMxP71E9h3YDj$kjqE}Nkx~Soe*|`0Y8NEOMZ?;zzN?~-O2g>i@QF#*Dg@3U~ z>yrFVAumOl#vI?W|C}p5#ew7Z3;ZUm7wTO!{Ch$yNyh}DJY~|v;!$llROy)zmY`5_ zo*%FTN`X<-!oBDuj#SRPF$N%0{8C+tz~hM@C`hwZ z^!TN=vluxOIk%%0{i>_ep&%6{w&;js*a-j;_=Zx|=O_(9>xkEYtC92w;rAu2 zSkrb+PKw3Lc{!5Q*D|&H;opRn9RY5X2XN6c(hwT1Ts1#sNAa>ZKQ|(Dp%nXZTHpXX z!;3-|kDz30?eSMR!^Em!ynm-_HTr`X^#>n^08#=}M>hVLDA)#EB1wrr?MUSBxzNQu zvtrUfi*r8k1IFNiEv6N*2;YGcF7$=}-zipPI;jZXPg$?j5mM2+VE|R~a+5{^sAkl- za8WJW1cG%mk>herEeiA zt~(?>NPO=XzlD_ZT*UZ>5Xx1K(vE+SRvz$QMhNI0%m4-fT$I>wOpmr9`n9`VJdjnx zcjY2mk)Xw5Kb{Nw%P_)egRc@nSsUY2GH3SS4}_)s{khySX9di0yoJ*Y;lweGsg@u~ z9Yg`_V*aw*Gj5LGDU7NZ$~qD?XDDal}Q>ryQc3G1*Zslel#?=Y0xULv-qE5;+ORYcW_kx%RWf zM}oF1P~gL+({O?Wxrq#Owr6)v7ud7W4E2vesHh9a7LIM}VDNmWxo}tOM*0coy`oYf zQYbu3hfLl$DgeZAxVOfXQK=t|d=X{v{seLWVg%eFyg2}j?5wVN0-2}2l4GxM%?h}} z9uFlbi^==w>b8l<`}8=wzz&!NN}|S>>7!xCmyKay7pwY#Kva4LY#=Iu2)M2S5Sb4V z6_249NDykTL;o|}eL%;nn$TC!5X$Hn2+#$|bl38-~$wG1KsTk5&Ix_w!W9=={E}8cN6&r!GsrEqj_#P`~QL?OcZ2&#kpIY3UKa*{{}dB9|q*a$p~#V9=+#4x!k*75dd9w>RXnHGg@DC{tJqoUBxWR zG&|J3Us)bt9`Qi8SJT5n7bX1GU%-T%tR+sag#wIa`;lB4L+0h0WZz4Sq06Yv+bE+l z>zLFni}gWmAXNGx#2`%weouD78iV;~Oa#N_I8E15cmdJWqPjR49=^Wn$$_`YH#I6pV%3H)K? zLwE==y`=+UC8)u`Mv(0_O^sT>d>i~DmtDjE+b#$#ZMkQJKp0{qOcwm>hlAlHXN5)j z9IKo)Fy!lde7IRaT8}&jTk!;P=-%|)p4K2MhkNOdwXnYr5V~GiL4aPis$#|dB!IU( zDt_G%?HQ7N|G>G9By@f2&Fp|j&D$x8iAx3_8Qap+r)y+f##A|rJ#Q6pz$ShLoarRgR(VG}2mq%oM+q&cAq>9C zCXs02!?D~Q9%G*;gB}78Y|jwFu4I_bg{Q=DRAIud=nsS<@gIOBfM)>w8^C`6yzm2| zZS~-K*SyOP$sQ80WaCmI$r1(TTr90vCGj?JCIB1(rctRC!-}+&zQ4<&K23RN)FpHC zI#enGkp+)^$a)S`WzaPg{I`O}r5rwcHnDzOS% zOw9HP3VV?)m&d!DlpfP*#55HSYt`j)4d<@El}LO`X$28gu?6069@n^# zREpuVF~8*rfxWkOHiT$Ize}#Fbx?@i@#E*ga=cOqa)>wVQuu->LCubzH)sF1LK;$N z-z|Xtvy-h}^*h|GyiTlUGxQVSF3SnqxK6wi9FUEN%T68(Pr`frNndxi(uj*X;^a_SFHa-K~2!T zEY(N^Mq*pmz(_3hHH~5m%q8KJr@S40C2amHg>Z1eWpM5cUap>VNPbS-Q2WuWAJ9fL z;kqyZ3)-f0pkllk1W(S6C_ZhI5W=qqVr`%=bGn;p!k+827M~e;ee5h@;VfpdQashj?l z;g>bPX%hbqh$2s@02oy>L}-k{eW2W6V7(F1r5S(>ZdM+GLvU}nX>KQTQF~h_(npU= z8{zMIWj>0b5#I|(F-XaN()KK>iNZQk*+a?-se#a~;ecQ*J%m887Vk~i4f zq+wI_F{|jV@nIYAfskIitf%`!J%OjfM{^+Dn$LY+7J`p&#)e^C{ghMM=mTNyHhb1>hQz21|3`QoxqqiKp43dnfqiE#`cGW+&N$&`QDW|Yo#p1o zPb(ieLdMkEqtg?&^P7VTdUHKaNq~G9XPm6cACOA6XN1n~YcsWA`j58&U+C|Q`2UUG z&zj)ZB7I#q4k-yR)}J@nC&n>!nRBVbv33Eb!wt-UIdGeA;#vjcn3mN+xPVwH&22s1 zhanYGg4>|FTdqQ;Mo&;{xp9}*r5dT-f3Z{;YXw~IUNX_c#=HD&)oJYmAT4z;Gx1u? z-J5a|E5kaA=)_y*y||4J%w+%IT7POs99saT74*j$gv??bUJVwvO4AeL;Xb}ypgFF| z1foQ>X{D`@4106Gj@jbZftPez-JY06;@d(+?y;XiYBp6EbV z@X+m5032m*XMce`Gflc8RP`*ExeI5j!BP2sg5xji3ILn`VD%p?wyvNx88qz$T)~s| z-43MYE8RcMB~+Tk?E~vZgQiOci&!SBYYm6t6DquQ-gb@I+qK8CvsVu-)f}7DU%w4c z*zW$zicv9ZH7#!hLJ2oK1BPMWUfDGy1Lg+}CJ!>5EWQh&+W_?F+L83UH+2)ENkkz2 zRo+2s{Bly|z2aTih6xjSJ>3t;r0)2&O7ld=*meW6(nhCV029Muka(PGv@MxLB>fDltE!{j`pe_qx*>JDe%gm+)$li;|l#logp z&%q5pwiV6}gp-z%m z@qVRsju(KT&N`SCrGun1&KkcO8UE~|(_cd~dU(9in6N!#+Yr3jP@`39rm<48Yzr^Y zK>x5&dlI+U`SJg(T%fIPu3+jM*^Iw1+fq#+ynv@pf%E?xO5icTuZ8%&gaIx;6n6@V z=yLfS-vpEnZ->$wV1cQH{_gi;4rA->t`I?_tJ`TcoAi;M%Umk?C>*Et@Yj53{94m_ z%opnS_RrKZ8+{CULGQ>v@>ie?1C_v~&S>q9N3Gaw6+Ld1&3Lj+c#pLftLb76(;&ff z=AzfuiZ4(JoTGmRDg<}JcBi62^&Mu+#)u#Wqi_Ev?)~OTsn{{@$%(@Wmouf~{!dC+ z9MRV4($Zc7+oJnA#dc6yI+m@YZhim%2j#<27*H!THn`3hEbeacYlhh5<9S-j_S6N* zFA5jfkWAI0SZcx6&<8GH3fsVctNmB9GNGCM99)9Ld;D7A%?kLC5_$LdE(0F!0Wb*= z!{K}=45%P@2Z5*um(4ptPOGikswz%|#I{0XmnHg*tr#-1b^g0F;73&`kdDcc&Um>< zI>qllmZb=9~g8gogT%gpdf3uiQA0yO}9`pjs02rWeY%1b0CM~!% zO!W-rvFkF_oI3uDOp>-~)}{fX7(rQWzbrYm@BpiKF2|pRf)?5!0CQ}lOm4L@*xC*E z!N_fTj?B6xw-shhLDD&9qc_IoCOx=)`hyV$F&&9Gcq9Sz9N_V^Q}Y$vhSQn8$U1S` zX10m+v{g5&jUFvBjul*-m(B;yYfk>eiUy-3=8wlRIm@#TNYn4JVpu|5JaC%Ob24)ZQAOaG*KIlHfr`T539^~XgMpI zA;Z8-uv0&>Bz-1)7!ZH~k6u^7Ma=RP7oT=Qp!iz_X@ccS8GD!%8)I;aXkIGTkW3C> z=wL~q@UwFNU5=tkZ=Pgcp{;bRluAY-X+mKm9dPVk`AdkN1hbc*Et?VmRuyr_xG!(K zw;aaDAgWXkFch;K#(hVtXf^X*D^|1W$K02xRJIP)?=$Hzb(9KLBWdU_h=)U|@SMTH zBL{?^wWvV>Lar2I{Oq=pDgi8j&@6%f>Co@g2N{5pLD(|b2owm=XwU&~a|aNAK#b^f zuOa+rc7_P-R;Gc2e}#;64-@hj(Dl?|@Vr(o@Dj$V@RGL@SJ$uOD_i@U0CKBPC}?Ts zbP%>2HUcF=FdB5%+ko}Jj&C#ioG;P$q*LvMK{r)zDIo&{)b@c0ZiSCP)VOJXz+yj` z3PvP8fMlRUy;Gmg0F(&Amd1`CN9cJ6ZS*#vBJi)|WOZRspPAv?4&tmZa>xKLibNEI zGZnhm2xq5nZad&NU>IYFngxAM-rO1>LkU6Y5)-*kAIyRf5w=SFaIuH9MA$&db9O9ZA}f?Jv~*mX?$nm>k_Zs?4vwmse6D9QE?`dUicE z5j`R9xi^o1Dz3SH)AQNj6aUYz*f7umLSIty*b@JpC?@t66Vh6B8g~B!v#?Q#*Fwf9 zIjN6u-0xat9w>patE#lJ?fzllFj-!2p}xdQXN!x;ff$|^+%4OcQ=<~=#@uYIF*=Mv zk%_>lG1KJ{6h^U5KIkD_4mve<>vxS7Bxx}!eWc%EQN6`gG?RB&_9i-i73DJ1%QSOa zPQHlTfT;OVSL<@4M&&OyZ8dc!{$m#6^NK;8Y&*FK`4XG*hDWB}Bb#U_0q1G@8(NY@g&ixQd9JF^5&Tk%%(YF>tjl?>!wvzK@jFM%7 zEuUcHGq<`WvoBZ~MPKC+Zs#E?*rN-<#wCH%?m6RO# zp7-?C&FU5yHt+I9l4Uag)D#a5{W&QGnddf(lF~+@*X?LLqSJ^cf{C>yBD5 z^h1RYG>T#3JF;}5SAofSzhGV0UuZi&j`)Yf-TeE|-B=&yw}y(=>C&V=2ZMOPBfax~ z3q0diNQiikT*kduc`G}EqJsSvrT8C>-Ufq;s>-?H1>Sk)W+eqDKU}O;vkG*;09n;C z9(^n{q-bc@?D#LzzS$Y==3oHj*ZDTWh$URif^t1!3Uz{uy?xQ{YYY%@h3APIhZq02g<`F_u9T!Nm19P%n}O z61OqeP7I8|8)Jrm(aSjX6tL4rulk_dl@6y*%|((GiP9<6~#Y^)IpYklOKYa34xgfdiTRt#fwHNxzT!EO8&GG)u6IQN0#5{LD1&{ z2MpsOn#Cl?ZGUJphugQiKf8XFR2B4Z!SMcK71qqqum><~D zzsfT|I2IZMBVBYXRGHJ&Z4uq`+*k-clMDSSRw@>}8O0?9$`HNr`aplDO2wvrF(-}P ziQN%Bq0L((r5lcG%%|a&AYUiD_0=V<|58VP36<1CF!dH}+m7PrX1da>W%@ApZhO2L zz|Y#JrgDm?%?xDj9q*o$-w0W8C1y0E(Zw_d?h4>IfAN+1WTX2QT9)1`&usmu!)ws5 zhl0nsdt~9?11+m{xbJ#aM%#QFPmZ$~9`5tupPzO+|58&qcM|yDF$;__Y-y?OlsMIR{n)dXd@Pg7tGC&EUZU~}c7;Em`u{p3JjZ+HoSBV&J2?uJr-y+J3S z5_^}G4Y4UH+%V#Mt0RaC7Pw=pZd+N$l4`xSTUk%~ar^uIZ{Uo0t;}J`)ehwhx3iv~ zd4`@M8akgB)r^w}_#YkYf-3kJ@&y=5#uD6VBTQD{EW-4(<~hz)L4yx#4YL8;y3v`Q z#IrRRuxM>H{)Ss6pcHpn8KAfx9}2E4`Zd=3i4&-;G4 zMQ&KEagGbr;`mSxFyy1<#t)q(Y44W+wj^sykNtkhU#OFAGcvEaBAz4htVeN0eE?6| z-H7^_ZZjjVxhmc@@oYqKMPqMbO@R9eBtiHxz&vRq zJv89oIB}h9JFflP56$>DU5;zWz>aiA?Tg#Cz62OAIoiML`=Eg982+5d0sri=%dU`` z{q(Wr(|y}ERb1Q1m>bpUwBhY&R@>9vja~m|mf!GZi&!JIjOjLdNJqo-R~^L%o%2^g z+vr%CO4@F64kpxPQ!s~{v^i5Sk2MV0Q!vlmO`@QCM+0gmi4(ONp#4lHyYVyvUa29} zqjWO$r*5DgmTz++Q z#@131?A)Ivh3&)MkpWzdDP^9_0`YQ_qMOL%wMe{x8ozCh2JjVuh{Fk zb+z2`8x3F;q57q|Y4BO_r_ zd%crikNXUl1$oi_-UN`oWI-DHo!<5Kp5OQG&z42+UV_tbSI|n<`NnZqcpje~?!n<+ zA=$S`LKdIxOM>AQ^f8HK}uW#9MOdm?=XpsY9(Yclg7^z zCXJxzei|3069;Hk$tqu3SW=+tfkk%a+qeG< zrUo5cfKi%9r!CE-XBeTmt~qcviwfU^>_uQy;ZVIN6m-*(1RR3-a)?odGlX@Uor!7G zp}^eGgYR<;c}{%Wa~l_ZFzAYNWOW%H)hHUQ-LHefB;8ORf8Yy)fe)6L&21x8_KGli z<}J9v766*4EEo(T@SMPGz>~W?3D9I7D?D##gR_N=fv&~~+f0DA5nmr0(%w4Ij&5pq z?XM33?WxqzxPG5lJWNk%zZg2tT>_KK@N+*n-rdmfcQz9e1x3#|HVhgX*gPU2EX?6k z>)+V#2x4LaijHgTOA2$zs0AvKwrtcIn&z(!*dU`%>)3~bnW6p5-s3<6;GT_E{Cww6 z33M9w+gcYsU;{W42GkpOWsIL*+KDHv`X|ryJ|{a~s&CkUOPRbdQ&h{iPSmXePX$Ng zEK~0a5PlB03BtRj%VeUyL6Iy^A|R{Wcbs5e+D>HeqX6JuHm8=CQEr6XyE#|3vzra^ zFJ0tyHIN2qdlc+opp3u;bpierFU9tc24whA2NW3Q2gqclC%JQ~6AByz-vf^ofyIE$ zs14Gs*SG$itumPWbYMSEuoQ2PMzu~M-zl3Nm?rp^!|$Cf%QPRMgvC(xu(H{LX(5XZ z%QUclu_v=AacR^VC!LthxU zCET_giC>ymQ$&s9x#y+Oa}9UP5GCxke<+sI630Tyji6X6tjA(txU4v%8#Z3 zdz&#Tf+4(bgb9cK5WStPfC&NjgeAt5z2>X%?nQ*Epevv4LKkK~ng#{w)0YKNLt43$ z%aHV;S71`Io_oQLH>W-P@+T#3dPG#}v@0_(a5GndlL?Cc;~OA@Iw zK_PgB7#KLsP)A<*F=2FYRl9Du8Z7_5St}J})}>~O@QFrQJT)M4rwEs;D))c(udy{R z!828>phRcd06a(0nX278B3wHnX0Pl?pnyRiSm)n~jhYzDhJaIM(C3C2C(9YaCd0mt zKLffzV6>nP%v^_}4>Crkd-aQ(QN9ue^Oa#N7S()!bae2CE^Rhe8QiVHZw6-`#kiVS zLQ=Y;nmvW<{wiHiMZxf@h*-tA4C-vG)P4I6mgdRL{Dr}{VdLLE`>*8iyxzR`Us1)6 z&H=xMY7wi0fNMdbjMD8>gl!oaREIO*JtIvN#*S_d%tPrRW7Id{%JqRlFUFXr&DJj| z(0L8j0IUoDq7{Nz!9(|lZf4wI7gKu$hZ`F83Z1n)8yfRs7Q5NczpZBs5&dBr#x`iJ zjNh6T()UtGi$r}e#2R=3h{`c)`)UO2hCWu4M^F+ndi_4_{KG1UzJ%Wl)gyj*TSr2@ z&i?-JbU7la^7KHVriKb_k)?@BNpWFm;&#QYQO$UoAVVG zQu|XbB+xrWXnzWS;Sn?v0upNmL0ortCyh82SJ9X`PW2M zz=VM}tVxvPZFwL5;w~An(^Olu-;hEU|7Ru%Z(EqVC8P^?{;|=N&6)|)ev|YKX1Qw) zxjPQID>gZg@(KLfNwbonibsAs6JYR|LhEGR_EFJ~=%y`U3P}9Q+IP?T_$baQ3r*oX%s5{TCRy&eV;$*U~{eKdd z=D0a(MpQ2sb;D)W2JT&3vm1tNV5Z zcEy>RLRa{eW(aNAijIXmP1hNZHzPh?W&*8MT`SS} zm@u0EAI9!=W8C@9hV~k`x#x=|Cu1pGX5g6}rD~RBCCz69fNPW48_I#pR?Ph|F zyNQn;dp89o{j z3%CH@2R%-WdV5O;E=Sl;S@1lIK|1HZqpZ&}_lb@_K6~9RTDjk!U7RYL>97=^SK-X0 zEFtW_H*6mTzHx<|2R(q+hiF|!h)@Jjsqq7KI zR;!X2&BW4jRK|0tLP9@|)ql>vjUJ@52>mvNBJz_YSWyH4L#)A-@A2vC;bK!SrIpFs z$M@+bvs#9uKrWu!Fz+XsYAV1EDqngke{;>Fjmtf9{$Zq?h;bzcf9X(O}#7mM>lNHKS++d(hOXcEhhW8%q(nBO%X zk~?>cm<*Frdf++zl(XK+89ABHo{{`*uBszmDuXqFIo^p|HV`ys&8N&>moLjT`mPz<6&Z5NHA?X|FDaG7=W}vZ zBAhK~>01?uTkd{cyamcM4t*AxSdhc#{@E7tKb1*SCYSOvwyFy!SoKxERBl!mD#p|2 zt0d%DbCnbVkMh(A&cOY~0&B}cEbpd(x1<35I4vI|AqUqx zx{?ZTl5`sLQf!q}39)>ok5(X+h&MmJD6}gx6*{7(L&rhqcYN=#0PU7gQr(@8>wWsI ze6YNwBG|oRqY8z7m|=#Ukb`)RkgI4>ru4tameE6|G)q-F+ZxIwtv5qXq1JtA7u{s= za#AYJx@Zz#jYhT-YSP7yESXG;QHK+Uv}pOc0ZtuRm~?FJtivCYx8+JecVpc z^ZHVY@2e8k#{GD4vh%2>=lgiN*n8Hye|#gxb@zKiPjtJMvDMqd{rSetwJf<4>}WkH7b$N81?M;mVcHHnV^aTYGuj zJ?x7NH^3aU4)Ez;s~pE)sc@(sx1)LUF4b1RcsDk6@dr<=wx(_qcvTVjR1x}A;rl2d zwe`<`# zGGnCa$qYobk1l&N&}Ykg`Kl~#Ec8}O+Ttx}VKb~Y~+H>#TIRXed! zl|YeI0%T5u9*{XBkHCB5c%%m8EhUf8xpBUtmuBXH@|TZ;Y`>%w;<&Dh(AiR)+FlC4 z{YwE@Dg)lQZJ(DMzSb&FSNyr;XK0j{L+~Xs;c9M)iGEr!6*U8WG~^y&baW z*SZkC{>84$jYETvXFq1p;%zrGiFLXyh~5X39vCB1Qd)85lWrK(a%r@07#DG;eA_S% z{u+lPC1+YdC55YLFg-{`FIiend^<+5tiL-y`<{hMy?sOf_33C_0F(?8CWuQtBjw$T zfER&pEe2D>YLREd$^p16=S$o<9{$uozUhS?D^jzE0^_Q9k*Y*&-0g>~zfVJ*5B~ii_``SkC1aG^e zN!>cXP_jc7@epV9g!a6RdJi#dHoS@pMCR7O(VGOF^GT=Xc<)E>=HYSK;Ampsk$@H) zk9TX{=(iLW+P3+QY-?A^7wbe7Ko(gu9w)E%tnIadojdxR|UsQtvqw(bPLs-y8h) zdJZ&Bh)1zt)FtZKmTrEWgm(DonA4&sSH1rU6T;$5I*nK^D~@R4yZv4IBk%1u+1L4_ z{m9!EdUd|DhBYTi+aKE=nH>khuAZ9nz57smhQ3Z=Kim#ut~N7H{`FQ^4pEe2zi5*(+97VT`Rt5nlPTpw2}mK{CSLk#ss|Tn zF(Y{d6>6DW)2Rm7M+usS8(MZ;MZWN5z>8+&2#f~h%T<^-2^A?_Y&Xcy?8YOzaBoIs z5f26dssuUDd3v?%sV7j-uv)D#(#3EqQm0r{2D8PjeTl8d#F^VS`v>K z4EN5b9YH7e0;Nyc4HFC0++z@%5Bukn+iiSSwOi^{Y`xwW2RD;;cE?8SAfuLrbDEX~ zCOwa5juez zL%Qq0wHQq8?-ubw!Z%mtFyVZ^_|5krcPEk+^7?w-o<41E_V!m_owj7+Qa5`Z{PMk60fATWOqV>n zB0Rfzo*oVd3qBm}MpImW*7LQ2zs&HyJA5P(@FGZUqms}oh>vE}^?hiy8`yuob-UZ_ zTI5JoT#tSXVjSE)dqS~qEEjG$IajMcIiK}f4?8lKXAI=QeHgzIua78W%)cgzdy3?Z zPrcCFEq;c1xawj^x4V%oR&M3@?T=FFtoVgWTh<#7hXqrjEwimnz*^2}uJ16`{yQ6r zV5;B?5|U;Vezi9V(n6~|by~m=+t+Yqd>ZJw?#L`XzV6=c*fY%127Cxd>c{~+GhJ&@ zm!Nv1f2rb!`v&&C9-Kr&6EFtEA>>G%f=JRhfqwXjbg&Rybh0SWj_5I6l@P#hDknig zTE!lW2n&V@o(5xy3eIy(V?R}x1O4PmxJeN<%D;qzV!CL)y_|vSZ*uSoiPoSNy`+wF=pF0-2d zgmzd}9Cn2>f50ngmTw)u2C zuO?#3n>P#bard%4h)LR_AITuGvOGR04}Ura2Pi|4PCay+>fm&T=nAx>Q@0#Gmygv+ z7lt%?nLfVW_XbM-qWfD;*~{0^m#O8QN28u|kM78qnVRNr=g7K|eh7zGDVsYa!qJZ? ze(Tntspgap!ZiCi_PZEa?4@KqEojjHBtFt*1|N~LjLbZ=xZ{plgR|!e<&GikAeENs z^b5zJ4pgrA4lZx_h6uMnp6b<^`iTWL#%XK$)ZKeh86y-27mu6Icw~E`=T(_L&-c;S zOFkhDk4R4U1g2@?ZNuz{g(a2DHqr0U4iyY@aLFUCWDIinl#XbydY_ zJj~}cbIb|y4Kp|@ql7B{kPU`r@6TE|vhuR*-5PAa{X*?8P}SKJ<-tT8(YlApWh3x3 zi1~TJ!IO$2T^2vTtyfQt*=x#Ms0X*LD%>!Z|=*xoj~CSN_*=hlNK@0CZ-#YfNON6+gelRs4HX*#=Qt5d#r+R(&^t6MY){Rb8?S!q-dMhUzR5j5>e*h79BsnO za(!dN<5!y@xG0xxLpfH9X1@5g`Y^NUUliHwdDQrCR64C>gsJpM{VqEKQ%p=D%7v+O z+Z+qMjKGtLB2}U)+np8YOZ^+m^^uPs7LHO3=M+?659fFRcA&+PsQY=c+3Wpq&i>?1 z@Z22Xo7pQ+cRIQ}oOn9Q{FEY5o#;4x@(4auA}k=-@>?(fSf(P)lc(|*Q%omh1IkNq zQ%+h=QBHVHRgQ5gn%p3bEDbBoWaZ}%TCd}3-|X=@Yhr?SrwvjQxuhqV%`7yxWM!mwCw`ONig@Z}#rtKEngk_tUisNV7ZWr* z_~wVZMmsAbe6r4vflGxsUk4rP@Zdp=cuG=+y{=2AyGGuv#>>x(AAv)t{j=q?l8p4qMysB z2HYYt_=`-%H7NvPl()pEYb(^R{fFf@7a0%RO3CZvtz7@WW(i`(RQzmhfnAm8Ej!Nj zFY;Y*SZn3aRgvi5EJ{|o96?#E3< z++^3o6lTa#^23O^qTUA!@SuvV8PqsgxG|i$&;8m|+TLl18~!{x;SPKcGx3*94y33D zL<`;`Cw*2D$J}hMXZ#uS?YErzkDpz?Ie){Yg{a0gTP32%uUdOQ!i<<$*LTkoCPq(n zjm!R5B2H{edw1h;>E<|~7P!tW%A}CKG0o2?6OO6i@DBA4m$bgi%GATK!@q>#ZAHa5 zxEr+o+0UIJ_oh0xTcMGmaW4PjK8=7YRC1U?!c1ks28W6(xDdZ@sW~XOVi8M3Bek7e z!PV=vEsir&{}OhdaMKs%;o`zj{(!oky?|}%cU?Ymv)orR9M{qAQ=*NYQ)t_jxTBU- zg}oALpZu~puBD96Aw)9b->`Gb>P+K$N^Epq`p^al_A z!2_0~GR1o_KX`H^@&&372yU%9mQQlA=ZMD44t%l4@7U^gW9GRJ=iqVfUEe*sWXCCY z{QS8v=h}P>D#7CHQ}E2>U6NlQBtroGFd+HGJyP;RwGMY%Ku_eggZqaiK4nhdOq>MS|vGn3Ox7A2C6?P_rp1{;HK9V;1^w9p=MoDIM9X9 z`p=oLGVMQSb9t4o1-32LCu3uv-0Dj(VVzocX932=h~$Hf^5Mb4KnjLX5Vby_9}lSg z0d+i}uHZQr72Dq}StFe{o>(FyUPo`c zDv^&tQ4j$Ch=KT&b3F|+V@SKo%^Vpv>sC|QvZ?J4cpk0#_W!OG2PXB0p0%w`HoNS) zPtgnfj0~8d)V@1t$mzK^_SCv;-HB$o_ncE-yDt~5zgci+{B+;Azj_>ywLMl;&2_JSuySuw>{y2axsqMB8^g%I&G$XB(z;u9Zi=-)>; zUh&m*z_#}hqeRewMpaEiww?nCWk>k1`TNRemKU~m&?u#cY=@6CeyPB2mKzU8k_UTc zF%aJ#1GBd}_q%U>RJ}Lvu4V?S?)~rFkIx5N{j($`4(3)|UG7wgY|g0)&;lwy@Jsl_ zSKJGAFK%_-t+=tO8Wp?ju5d;9k>$fx+xgCIQ#vKE5t9WVvTHsia8`)z%@LwtG`P>U zV6g7=GF8HKWW)8UDR0pJswsD{2s4fRKr)S1HB0ll?{mRmk>C0dVq;W-clA`bW>87k zPo>;1OLBfa1`wX*omZx$%JcIlRwrHcpKhA@StY!+G9@++(hPR2F^pUzk|$ZE~zKtg@`qtg>0) zS7PXYB%o%0l%_0Zy`3dyIqhc$4)crnSQM~zIkHI<$Duq|y7%*bInM8#eVJ&s}t&;H<>8A_n_zmp#TFLNGubbZ<-6T_#j> z%@Dt-( zwDDE--pBXux=S*^zzaOK(wgzb+_g&DhiN-Ev_!>6ZYMXiS;fa@H#c-p#V2fUm0_>^nrFn_@04_J zA3Q4M$3{{ZLxOVl&N5r#3Tw2h5OO6aphk6ox}CEuHGO4C;dR8`=XG@sOcU!kv#Pu^ z?rnTa8Loy9c#i|4sc=S{CT4ej8=fg&dt9vmH*_9(J2A?;esH;UpKq;XYCk9h8sxH5 zjj%!3T&~UZ_I63Oo|+E#nkp5sxjVQkZ7T`o&f03HGMdn@bWS%1Lsn-BPS z8@O?}mS}AC{NQ4@+=jWQ&}8|^*fOnD=<$cO?k2uTo@_s zXwuUoH@ebHeCQ)XQ z3J%x=gcep0Rx$X<_`LLyZJ;)eZK**pG(; ztnJWq1LpRL+8&o@pkSbGAaS5|AWvya-L5<>(U@L~N&n#=w=$X^h?NOU*fL1I zoZfO5`Ci_E1}XLqR~DK$Qtov+FNpg=CVU9*;rE*zmHAKZb+C^w_|6}OEiQWpeuycn0n0%J`CMoq%|mt&DHpk2v;%lWI^HUB%GnNyxQ z>Fa+4h9y2ISL2%D?_JrCKAv4Ge0Ot(t~YTKeTMu!`i!Fy-26|)pP#DxtaD8|CS_?F8Rh~+x>_o!z<7J4e)NU315KN9yH(< z?S%=>TTm01iLeWm8FrfineQ<2G&E^y-6GVA;>6J0w-DsFJL^2LrI?o4;h8lL_iy}h z%(kj*P35b5rYZCdSo*DcT2N9V}pyabJ7T7&u8gR!K^-85RDn;l16wrf}m$zsF zqoK%9+{Z*z7)|-sXVDsx&Rec65>VrjjZxA4ldhQ?br)E|n)Ed*$4S@8&AT+ofvIqJ z@|cZlMW46NKgA~PhU#5qYv(`)n7eeWvb`KLHP`n>@s&@?6%b7&BYX$NeEp3q|6+mF z@J13*1j_4BK7K%RYc|?^{SCoVEs+D8|O*$kV;zc;?$|O zJRKcH;C)NaD8+-eoti_Noe#c*V^m+1gr7?Y4bPi0PG6r(`%eR=GA30Fo z&|kdX=@JiJXwTd`0A&&HemQjv5{}he5^J8W480w^5K#y(ZT*q}{wHxDYKDB;nU@oyl^+-bRoVQTnel)Z$3Z&F)r5U zFWRjj*8Iiy+p3=$ZCWjPv_8UCkkx3W^zH0mz|t1)-EP80Drw6M10pW5^Q&xByuO%4 zac*SqU6HPG<)x~&x*G0NN7L|HO=E11H72AHIr{9#_$_5nz7wz_LjW)vHmE!nTD2HG&U~4z{ z;PSkswLmn+$=YjJ8JDwxf4KuSHj)7$T1m~E^PCNJq_`j|gwz(IDY(_VsnbGC4hLo( zhJ}jaJAVuxy0yENxk|S=t@T1@S z@ZtoDzv$u{c~@bD)ZSsYFTYGM7yh|Gh2HI9>YqBBQYaJm4EN<6;4c7kWWWJS%AXXAt&HrbZ6?5O1_5I2s=1e-bVDWz8p)4E^cg&wp zk@Zbg^&98h0^KCDAY6}&*!dY^^P=HyJDwi==8oN}It#`+#$7>~yJ#kMDPeJJ9CwJtK@QW;2 zm8Sd3gXndnlv)jD$3jdv``*OK#EzJ{Ueu|`jx9yCm5y?tt!_;H7lMd(+LzTx7l~eKTK<^}i0RHvb(g#<& zwiBRd*z@1uW=$(rFjD!31VTGU64L&n%TPEr-L@PyM z0Kdu6c|}Z=uaJ26l3U81bWIrobd{mf>cTTDVTIdpos=N1g?=6iK3FR14-i%Avel_Y zGK8={I3rml-NtcpbP6h)UrP)>E)9i*sMeM;_5%Cn9C6T%Qh61ZUP z^yZo+J#u`}Vjh+8jg1=Y+sp7}RQd?=L?)G)AaL40r$YOoQ`j2|f}c4u*oL=OX){#f zC7ep8?GHzLSg>1~n_BPBZ!WiL#4hZ#ubo=iVQ^z4muwSF5*wG#Qczg8CGuMKkoZ#@ z9D&8DjAg%#=ZLM*Mv?v>!kYxYL^A^Y3lJ~H0M`nm*n``JW^6Ov%{`)0pQjF2-rjwJ zd?l!aGjcFeu#K3JXT#R;) zpxG>Q%LDMPaHd$#WS9?SSRZA;LI=kn4_>3~A>h$;c>%A{I*U9oZ5G=By!vU@n!vN3 zu$4W8G28-A+h5hAlHhPu^=T@HMVY;XucmZlv{FG6Sn^{pAuvo9FCNJ~Q%D#G{O6T# z0Pm}13=p```&=7v)`~wwGx%x&9^CUnz&X3C4k(m|N`|X$7dHvE6nd)PjPo#{S*m=W zaZcQSbG>!(3QYn1_bGleO5UUQSK;%JAq`=quLL!T_=gHdEf1vl3&zDH7~1NiCXLe% z=^u0fPbNSnvOnZHxFSm!Ek{p^MIR<&6is+JidYMBgYTrZ=M}_qLPh=IcMJ{@kCNEGZ~BvS?P5Q^ zt;!A{+VvGC|C*Q;;>T&dl4QQnJLQ#!=NFalAZB(h5z0)Cn^Jl7ftma(_xU z^po&tOhtXY9$`JKK8^KaGhqF(97EtQNkjjr9+EfrR0=KG1{9QHPgMMLretQE#X) zb%}$*EK3?*Q_m(T+7`s;;s(EtR|j|X31&DP99oJdov3A*sE$AD;J0QAaXXYekVz5;#AmGYE zbkZYZv)d#n<_07k{2|WnpA4EXO?i;Wntg%)E?*^6ux9PZi@SP-7xHEW)5Qz(SsRH} znk^m?Wf^wlkt*CXwo$q5Yw32lWM13OD60N5N3);MGOs>@qwrC7#`sak<^tA13lhyu z0q@0{{hRcI**LPkQT~?l6#nLY(1%do6*v1{%g948b5uuVx~}p4n>&@79Tl{EblGPo z9*%ICW~1(a^yG7Y;W_KOjcGmjB2vq^`{voQ%kTcn!!*9~a=uAar69-eK$4z1XI2a^ zFX|K#ZQb}#=PhRZ(z zG+}zS3Iwdm;pTbF>JI@k^y3QjhxKc8asq6yZP(< z1XI9^dUtca)^#u^k1XkTy_W@qzBE3;-=k5ghH?!T>1UjKS&!;PLbJ{xdt3WQtGCXa zk^#HU8kaN9yAwQYLP?+>MOc_BjP@QP`YnjN)Sed08Kf5?Ry#uRIXgoI#wu}Evtk?-R>dA(6Ex#&b1(VXgXI_7aR)>^-`_Pb=~NVjg~ z>wc)Ic;vg^+QN5s!gs~3%UphESA6rwzf4c-#Eyw?*<)@xmUwHk#+NS6Wxfp|x~_Ll zPCgw-wvb<6?!@T&UcYlId}kTpSpg!?n8~`Y?hB~A9*_>eN)2#%d0B;-EZJywTZLIG z*|>OPO>A_x8+f-JV|;jZwlh=JynOs5@is4V3;Kzwb&r>5V|>B$+4kA(9Q*Gz$E-uK z%@fHzD#Qud`}^}Ne&gF?@$z~8<=Z)`bGc@TJT#w2a5NlW$xRMtdk|~BwjYBoXQY;c zQ-$RAvoxw`ymk*nHs;3tb%&V4qlUXY=Gs;zh6Sanimf!}>(rLdR=xbS415W6fSV?67+>U&I*PlZ^33L7IMh^$H{z~i z#pD-Y_ar-wRz2yzX_nCx2Q3y|aUDYR#5h_1Kw1ed%8tdaBdsYCui_wJ9Em1V)E*zq z+NG-HlloR?(JB7p>+h481IgAKyt!Iu`k;fW2=@1$*-*)-lsZ6KcLl%LIP6ML3gX^6n17$`Rnxai;q9+wB%%Krv!X)xF`SNad5{SkdJj3C%PiV)utx z*~dNF*@;2trT5P_&LNiXE$cbHsKx^m@FFNP*F_s}!Q&(oqZzeah66q$X}qiXYWaPMYCrQuZ`_ z=EaZ{SK3Pv)9*>g?n!X(82Buvue+OmCe;AOdvOwx!HX07jc=mD&Dki`uqaHT!o<;7 z4aLH&#Q;F)ln{Ei>i3xNk2rEXXgb&;09Y~eOLcCtYRFc{`=TZLqK&s$3F%q{tw%Tv z8O33zvQ`3Ld=;=M|Am@iZdE|`#t18yhI}v40JK!18O~9`$dY~jE+VFa(XK;WJ;|`3Eb)OC4 z9uu7^4C5X{xsza2tHfXy8OdLqoex2O#Nc9jwb~nVCBV?|=gtSLfmRYt;2NfpMSzLS zty6>z2V9>2E@xi)uHba3*#RjADMoV9>|(#H}>;Ect(I-lLvDk$OEReM3o z5Qk;B+g^$6`|JeAj2^iWX4BsO4CDmUo!7JFk!Ei+ZjW!)EioGO+!I0(s4|#7*>VLe zujhWfLQ=f_b(GkIT{^I-SDX*Yvm^UglCttuM;V2hRlcC*r&4ptc3zrPvHK58y5Os-klX@MunVP7I4@6@V%Zfj>e5A`B zp##Jb+v3slvTQUw+y<*|BA%523bgP~-vUCw}kmlXwB406| z&{{6G3cKUmOa_mLeR0O243!^^2#a8a1VR>NokBkSFCzd0goOr<02Za{d%&V(3q%IY zN{d3kid3ltco)d3^l;URk)W;6m@=7)dqRljT<((AUp5mp4^lwwu*l4W+ozFA{M3qh z1L9+r%tM?^ZpD1kOANSC>jM#ot1XoF-?>wdPZTu$O>BDxS(Pun^KAFuZGWD!ISkuC zkgO2ftJ5#?b@B-XccE&d$5(oYob9Td;lvAg7US8d(`PHhTBBfRu2=4&M+^)I086Bg z^^o#2J}R_gm)L7;)q$Gp*3|&byv~u#t~@=GnENAfE#t0#3dD{w?fkwhTtik|7KO4p*`WbDj>1*xCZqv)E*Qg!W>wYy!>m*P4x;|tJqdw~Aeqj@h^isVRI0R^u z6H$Td4eCG>l@KlM_`-+7m);2p!F7rUFhXePEg2e_N=Dhz=oFdCoHen|MWySqyu4jO zHyVqwgqrO!L?HoJEA@T$$SR65R&GH(>lpJ-3M7lY(H9P_*g}@w*!kU#-EE!Q;i6DDi!*PgT$|63_smoe^H1n;KEip+C{?c(bAaP*Ggkqqsz&Z z>ZLTF4s&y1DR^(nr1|3=H+JSZI<#)wkc<8`ifR$!dr@gHBX5m;fsx}Pa}~K)4~l4J z2^!D^HOmDK6Q{8IV1xYekOB%EZ%0rnr6dxX7HD0a6L1)v)NI|cbY$$51~2RCx9OC7U1PlETR+wy#A`8c0feH z1R*HrZ_1a1|GK z6w(_~fQs|}ZNgSubPWV(%xKtdeY!hsNLVr`it>~p^$<}>&GZ{>C;tjJxfilG6#(OB;_B~ zl_<1p@1Ep$W~{?W{x4RMm}RbW;L$2bP$#d+!~w=_e*pDj&H&Ro?;j5{JkFoGBEp~? zP5Q4tisC00wYu%ORNE=m^;_Bj)@*>0;`vARJ?9Wt83sUkJ?ba?oLx_Y&!=knI4-dEC9{NJN&=5DgZ*K)kNonMi8gq2c%{+3b5)OIKI zX;-Af-jzdxw>+JMFa7=3r9>dX3yNSjda4rsvYnaRMu;92yWnT`LjfSQpV^>f9?zx- z&8`wY_a=q^onU{F*`USFr>_3>KDUzZqln_`!m7Us?-{wm1-XvBdc#7+eA>uu$eG3thBdq-Q({fBw|%bh_PIupPng!XTZ4>{M~P1!A!31< zWlD%vv172U+z(s1JVx6 zJXdpeOUq!LuU~^znRi@`d1% zJn=0HG9S~D5%ea*&afjcBLV?vgP{*<(3{au!hCD|wd-;esmI&P;VuZk`0IzGN_;cu zu$`LsAMq{jZm2+ZK$5*kLv8EP=vW=KV#FBRVW#U*3`An4D;_+xSM2Lr_#|o4a(g}~ zDPes7E#r~#L4;F8c?3s<9X}}M;in@5rLhrzaoe6Yf0rNi%~sQyWk|WBrO3spxXoAR zbPsoCO!NyB!%ZE+!7?QCxS>#rm~az@Y^;_2C$pSo2*nceoC)((NEaJEM7onGT3+bbPlE3>LtXlzMrTI@C3&$Sp$RQe$LpxokKDnrx_ zEDln-J*P|6+8f5SJ9(a8$=H?QF9o=NF`!QUe33?Cfgj{x9;=M?H&d8=H67b!09DvJ zD81_CfPUjf>71eeF8jht^1(ZVFCFGwWw6uKD?#{>vYQ{i_`FffHTl9x&cXTS?ZWJu zyr=ibTo&Ks5U6ayzu`@RA1kN+%TJ}*P`+!LeeY*4IhHet-wi?w&mOpoCM(H$tBpph zuKIt$j&YSqxjv`vaIbdtTSD}&G)pwoMszRO@c4)Vu38{a{%_yzOMb>f*{&y|FwUsh#{ zs{TSj=|i^dqq0FDyxG_cwK)&_G+AKCd3$lSxVC*(bZ9>|kwj3Qju~!2pIrJgK1r_< zPf^FbTY*hKoSyX|bGN#Ke3{mWcH6MS??v2d7vs@gg4ex#(>>ih6~k15a%WV3XtMN3 zh9Ffo-moa6ydV`ies|H@SapN$6wzx3S@yKaFS+)w*?FhFRKXr1x%$IAo}{K4nI*ip zJV~@t@ZA^LXtdXHpD5if*B>*kDsCLOe8}2KKPb;DXe5%~H=wJxk6SI5iT@W9LnBYW zPCFG5H)D}l=B3SQG8U04>?n~VM|ZE^r^VCbPv)8#S((+j%bddo>TBoe!E?gX6wDG~ zh;KY#nDb27ZwxmO(Cp1D#cR+(H!k^DuKj9a9e-K+G?guQunE6g+133)2-`Rrs?9 ztK9l2Vk@i9BzXPyyStu)hKPzxBwVT-+R%C!-e&_zps%HG+4h@~tKnk*>SC(@P1fAX zoYfSz5NQvkC>wVJO z{6lX)xYLD`FWL7CoC2gk=hEil%yEbV~`1*^| zfAEbvUWIbSzIG=pVFlv2Mv~6He(u14b9pME5}2xT-0M?elXGc!A{{M zaGsvq8k?^i(-%@@tb5;WHLeThGUKSDu}V~WzfQ5ujPl43N|aW z+zwepeiBkXf#w^_Bvip@UkyK7DxH=rIUf{p0zyMB!>t`B^bG@aNC%6W+xu83dtwyU#ZE()!Q{%(*v zk0Tg_YpaBK(-}^snId+vJ*Svby@mm7A&6pM=f}ri~cBEw! z?AIgup+_`EA`X7cfT&BrWMJzJ&|uHtJI$!JaT~Gm`fngvtBZ7ri3~K7o#qG+VT=NR z5dig0AV!n(h;G{l2uO6#B zfrj-@Nlixh_CrTA3Vay|5xKM1^=@TeYxZGgnT~^qs+TJx02tO_} zsaZUt1h^H~ui?Q((z*Td{K+Yrmfn~F&$63^&z~fOQPE2P@siPe>TCF@ zE}Or|v{^tSErpuEhA=XD3Mti`cG_*ygWP$&N@o-aBEXT`!t3rppB!^l+T%e)GR+6! zGh9IHwm*iOlxKhP%2G?&q@QOZVDJg|!~h~Ki$8hQD5r=Cnk3>g3`QZRa9z$YLC}M0 zZA`;b3%KG0Sqj^7+2MqyB-Ju|z_)RO!>NqEn{q7b5+AneQ4A=}Ap5dLhC9IDvMad} z_Aa*N_G6xwJ?6QXETqB8EV<r&QCQdp*IQvibC8xBnS~%TE<5(8&5^5gpc@ z4p=2IM?8%!DeAT$sG7p<>1|1}Anr)~t!y&>Uqxl3KFUBXIvT$}Tx#D?cmryE9nWFs zT0AGi-a$pokJvXH>icHR=%|y{xu@oz>*%An$z9iX0a#&YD3)_#YU7J2mg16XwVf!I zY2r_-S?m01%Zj;OW;}iMJeee&>!>_JD^;j6(`(M8uB1gLnQ}_Y(0ZnQvLBK%&WPu_ zmXL_~l1+SQZHdhebh@CB5IWsZ_=eyt4K}YmiU#%B&MBIDg`2pirzH&U70S8!ITyV~ z*;BT#=Rg0YG`z#KyPIjj|7gO6r$;$T{79HVZ{z8BNQ}6BdtYYFlFi_BMUAy%;bfJ- zqg{P+mh;Hz*^tka_z9hcNSd5fh8Jkvv^`#r&0@u1k-F%p^%&itX;bMzWdiwSM=sD* z3bgfEvidn_Mx~7D;(NyeR__xBE6P_Szdr;&1-VHcB0*`|q-hyr3P0;F5+=J>)|dRU zd|`kzTpEgjGF;k<*?a48!O@GeR#D;0X%$-e%=2J!Hx`342$BC6mi!Pe%@AMA5lEJZ zI2(jnqqp3E2!YN%nL?zcAW!n#FFlVOH2ixm)WM{EGq&qUIE7?q+Q*>P-`w+Z6m)4E zCeuAkX-*f&lQ@q>uJs;@LKm%+qNRA-6)&FKBI8o=CrD>#%$x zKyq27)+jjd$+WWzW2i4==bR4x1NaX(wbiJ))nwF{W1EH8GpSpUziOe`T#}=QqpZlk zsWgjYBpHgb8;v|kt*xZq5e7EtY`C&{z27b|B}dnVoOQ+k8?ofSEMg5`Z9R6?Ww17) z=|k^Tv1fbZ3id7(U~v5yHrnP=U_gTbj2ypynFC#4v!GBPz4wkBZQmlX(SV{pK`T8p zO#8-@@ZQ*s&G0YqC9{bnv;Tq1Damk)`!hrU-m@85KdqF>!1V$?;t1vht|Lgw2Xy?_ zu&s2iqplPR3>4wf0&`gwtW_p`9A8{X-V=56k@dn6^xlX+Pg+M`6FB^GMZ0bi+|qj$B3jvJ>H3<}TtLaqm=SR4iW|lWd^1(Z|y!Qn7xLi*|!rj6gp+N-lGd zYqK-u{DjSo;uv;E@FWx3v0adY>#0jp9kkoa?e3DA6yLwqR*ecW<JE)zzn=^ljs4lOj)j z9=w3jd-Po|n!NLSaq4rK-^75z^r@D50D{**T>fiBj-EXspakq>tgdF6M1g^uXxLQi z`N!}(*!c^#Ubqaprk)cNK5GRQ_Pg*q3ICAE#|iZ#YI6~dTstR2$*?gYf=;(pszj=% z{ivN5G`9r)9P!03M{0p-NH7BDOL7})JkgsG1|a0aoZ&aPnldh6%}<+gP#mBYYqcHr`GIED$*{|BtE z2G*zU{8>-5t3-xJQ$m!C4N{_D#@p$01Gbbm0Mt!)4Obldh&`Z_Ji-V?eqvCzv4TkD zLk|rm-Ky{!+dr;#lJ>qL>1`wHRp6E#>{k#-j#4-8f32qz!5#Y=1_uzu2+1#M94D;R z*i57Mdn`G#*AZV$EE9cQj?PLVU9^~50+ZBn!p>ND^pG$TJ6HYH zmGNXR@Jn71_NM3&vLJQ-ELKBjGKuV!D=pR*bA2XbuD#%v9;Zj>abx!bzUgFQU#y3u zklOhZ1AeBKqDL)2g@MnS&i|bZpY?nED@Yz1*c@$vDj{yu>4ri&`~ug#)#FH<_XGuu zh~!eYa!7l|&=YBl*(UuN?))-^iPwYUN#ljBQc{vZ!FJRhR@kkZ;8yHPY=kA_Z9g^O zPV88#LoX$h%K@D&ve~s=12nbJ&_WMP6k#{752tfLBWXv;J?QX^uzUoP4*~r4$q3pq7;%+w| zjvLObY%$qHNKmHSb|D(A-1Ss!lq4vWn?hA>Laqq{c|CoSl{5od#H^QK~P$3>JiUGq0N&e~cQs#&~dn-5w`|G5BzPtJw=m@XiOjdid-~d zsoy23?_QU`AKsB3c*XIm{FT!yt%DJ$Q-k+$KKYSz!!s^_K2h2+WA!-*r3LwlvY_a^ zo8qeS&b461*AByvq(8LvWxYH(Oui8g#_xNDN|CnSu}6v-1TGuz{X9T{2Crx@qla4$u_Ev^xx!X< zTYjCI)YACXXoJ0Ty}fhzgoX7%m=l{)I*&*t*HP_ePaEB-to1# z`WD@Q8=mzseY12W?>WjDB7uK@-~hF^(afbrk)LYuLJpo&ziM zOTBnA6OJKERJiH)WCgIkYR3ZdAk$8F(<}9Tw~nsyNS6Xui3Y1gQRAZ{ep+}N_Vz2m zU)IhRHlz;baj(snIk26G(D!+;k>9}E{bu4zM4b|f183F#x7Ox;fmagvPcf@5r@ZV752U!NSKRy-}v_V`gL8LE5_5 zI&*{#+ZaEJSs#^@KP_!|Rq>Y@AKg{$tdu0hk7~z&aKn*HpOOGQ@?T_W#Va#l{4NgT zhW{+?9L^io{v@kwOlItE>o)e6(c|D^c=f{t!p)7tMofag^8Npiz(^!iVf1kJNHWll zt@MC?%-l?hB21@m0IL5^@(Z#gzxv_Uu`{>7qP0Jzn)JM`>|ew&S&%@NwZ~e0F}L#;=}(4;(RULQFptru$-MT(ae2ch&#~sFlKnMfKhA?$482`G zz+%a*n6y=*3+@;oJl`V2hyIW-2AgwF76A3A{b62&8Yo?Cl{ctPyw{1-`%8L``8Aa6 zBaGd%_KUCG%8JY%11Bhz{&VdX6;5a_`vO=2azzFmN2U-y!B^~Rl1kANu|jS8IpQO+ zRjhrfZGTas^IO*#P0zi?Y>YoxTlcw+ah9GRAvl?dNc3Ykq1n+-VCW+PM*?IwB1P}Z z_b_dF}=wx)2iNqMXKd;*s8%Sm84D?Rt<|Z2T zhzy6Do6svo65m-3LI2=g_Exr6|!1+Ape2H3K|ts>CRrZd;C0v8Z4 z?)ewegmd=njmM{0zD`l$nV`WkK)`1Y3?N|ZNmoEHLtl{3XMu{`3O|U~0dI_w#q7^f zIWhb7HJ@W>o*@f-A<5a1)|+&(Q2H2LR@Ny!i7d-%#y4s8Al958$p+vTp=8*#qd+C2 zHWhguW9&7!bg~HcnsuZIi(f0(#_o!H#fEmea~k%_gsf~57D~`3`yt`~wPyYl z9>ifMtxZb{4-7>ah)d}3@*+U^Drf?L-S;Ru&krzfWEb_+ zAI!i<(c=EVl0nq>3epEX@=WO56tJ`Tkh_Tb2rw%N$mt-RE<2HH65JWtt>!to+=F(;hjGXDyWB3^e_eJ$nfod zgh&IG8Yl|Vl{Zii-)P6_{UzUxVTYGE$r-u7UM?ob`!gWK+5iK>Ofc~7%o;0zA=80a zAi3(}TFuq=ncpx? zgK#Mg_a~xZVs;p(fwXCLSc}b{Y<}(1r!sCJ^T&F)d<;4t&ZGcCOAj|at+Y{o4@*-9 zW<00d!x$Ig;V#IW<;OBTHkUiDHO#Tgz|SxpJeS)k-B^(}nqoujkwAt|6*`xT36ucq zZ%>~!%0C#g(%*^L0HJkKOa|8cBr2V9Xc(-1j=`ezGm4%RMB~KP^927LSYI|Jj2x2} z=vw@SrTxIDH0l5sqXq7~sskem7_0hGua$r%A)@tNLKCPAhfsZ<0Jw%465+t4RH8bL z6x@#~hvI|zi5f8V*H43#! z8jc;Cry6h@ZvTlcswoOul{b2&|9gmv-L-;?B&vH<>5=y^?aYaB?jZEW3*e=y;Ce?W zM-zL)p;Z-%%*bQ6CCB05IvHZ%8-8Afe;7e~yK_76R7sTOR{@6UsNbAyzBSzNS6E1G zKQXwUSmfJEVW9v53+Dkk1%Q5%G+@+ivdqFt-a^aU*c&jck#wYkwS~YmETwIv`060S zfs_<1ou$a|ZZkqB2vG1MG!@I^>BDruq9O=Tw$vy=K*K{t1q!gR9y%~dWH^NyuONeq zRR3(sFC>0fFb&#hU(}yWU@(*lNv#J?K&c$>BQE>QX~1h*ZPjAa|9&|262^ZbW4{jl zQ(&msfl+}8%+ZiMKo5pI2OHS@ap7n3oSmNr54DevJRI+OqAO$0{=cem1tx8ncI!Yl z{Bvj^a78%s!#xl>J%xhi27yiuCmFv5h6EyLfff+pY)!$7hdVrFOR&%LkZ!@M5Hi*U z@WY3fZdCx^{#UyCuXH{(woe6ILk;X80Hj6#N;9Zw-`P*{*hQA(U$h2YFZ{SYSiIwU z!_Tmf>#7AFi2_C20cwz%V!aR$6J^_9_9fCEwlb|x{hs({dUsaVOMNsd(w_dy+VEs^DsW!GPGOu1GpagUk1Pb+B8;FU&OAsPLu=+tHMNlfY~A2vW`gq*+7mKn4sS zg>zj-lXO0lu}Ax$0rFj;4W;? zNSJk;RQGhIA~L7F;eT4k;EC#mkhI4~Xqrfj}E@O79=22iD$MFy}Ddz^1X ztcfg|g6xsGh#F7Cr%_0}dQ$~X;*6qfIEE;QM`3gdJwY8dt&$Rn$M4x@k;r8A%wNvC zf6^zZ?bW|`Qh3;PS0npv6%u_($7s;uk1>mtl%S^!^RD(3MK@;^iL9qJEGCs zR%PJMfn46|DKP*diRIEC3KCbV%ntPh1d97xYS1>2s27KnP{!Me*dB+}F=8>CSVmVy zQ05|w=)PwEEGA~-B4*;Nsbs+I8TDj$?#kDmBVA0tv)jvyt~#&(hqSih^UganYt6iECwMMYW?u-cl@BzENIKv<*f;icjO~ zaOE+6GGAkSv z7u4)_y!#g5W?VckdF?*zL2(kWxE}t7)>Xp~cV5X+F${TTSKk}Q)f_Mp)p!xO-Q#qP z+|59PEGtXg19AV`!hMqwA`9M!*wu1W$YF^s60ChU4RH*oJclVJ+xK+C(lW-&$yj(( zobLoQ2lVT50|IO8!>;!92f`eDwZ1L=SRfHd3yP2%a3ta;lBpE^AcBF)rv;bRqz_2T zR4xZ%;iYn8gG(Lj-hfMcP6^1Xl1gntvr63VqyJ@klF61UqYrPGIy;ZY3`cW7#!L1^ zAW;u7NTjAINmf?SUcePh`dsXGrtgfGNFo{RHERzXG38s8a<-5)3lE$r&R={WVP3D% zcdrF^*UPld$|~98x*qaLkCB+&R;cY6NEmfH948e;k_i%u^@A=D#_zjIVsB;&PMzH2 zxQ>ZRR-$INt-0t%r&%3jSJkXSZb08Vo<6WiLy$@9R>{lM(-!0ak! zxyNH$_uupdCYX|s{nF0c{BPo`IP{S%=F1R$b6YBUN~5O}nA*Pq!2d;B_(P05Ro4=* z9Ny-++;zXcY$J0s&moI3Vn{$U_De`6M1NqI7?*tgruby6?5tP^-d`#EX71{q@(nhL z*AL@)t4C;S|3VHVP|U&NIN679qWYN*<|hy6T5Zz^`%PnVoCL+QtQ;p|d+M$xMiSC$ zcfK4cXL6@=8jjl}QJi*T5f2^|%IG4JF;^2tD`Oktz<8>l1rgkBTrPTgLyLZ zVfs_7YN+Ca_sbhp9N!BqvKXU89%FJS=Rk9_{z8oQGW6DKsl4uqc~0O#!1r@O@2rj9 zZrky#XwFj{Zh=*PqPHPugEsXpY7@QtcEwjWJ`0(Pf4F1rY2N?Y^o5MX-Agg5k+z|- zp#L|}P@;z)Mvw__Y>}~TDQ2Ul>sv+gK)D)mPfpM2nAN_j=;!HU7Z+gciI&SpB1L=xF! zvv6pF>myc3j(9dKlh@V0;Zy7}53N3Dct3mmXsfA{Gu&R$l!ybGAL6P=&Ci|4(vxEM zHeItr4S)HC_DY7vR=JVFS8@4cf^-f(C+h*VIJ;iYuo4%5eQd*6l|Q!u97}m#8bxlF1$-HVBDS@nMG{K|_Hu5|3Tn zHB-T58zljA>tEH-3O3Hjup?NP@!kh+if;h=HcTvKv6|X@czqMZ z%t{e{coz!v{@(YuPAT|dQ=HFJAjA4zt>6wl*f4bF+p+#mEAI?OD`ew1b8b=uZfhiB`kYuN-JPeJsVc}8KU07b$%)P;LE0j6unU@H8VS8_Ld6t-i z8;2cR8LpNgY$}x`$(pSg4U2xmLICx>Q<;;jS@Sux4fR!j!yFFnjS>L?kk*aijV#GO zWa4irx*i7P*>};KPF8#IBqbKCKAb}|`l;`j0epsr_P?P!=iX47|2mx(xOn&>J#!II zz?s+6%Z88srVTb_EU^?`Yb7>i`!RpWwtvaOMrJ>#`Xk!Book^yEo zx!0QdGzF{nQl7ArL6~yf>$xhET_*I+JupN6I7kf+)|TICla+Pb?9PfMNlP8an4prd z(K$)IPFsK72kYqC<|v|`$)3#-6sU7pDgkYf_epEbN*k!``DF*PI9h&r#Ij+16hqgU zEs$fak2f5F0-VVG_q0yBmA>7%74oLSp`V~^I<>~#=A!*%K}o11<0;hFXKAwJ zgklYU$R^h__o1>U_(Vf=6;q?%F6@=+aAViSa-fvik8{lDpT3@B&fk01{B$xXJjy~Lm#A3w0MzghG(=jJlYjRQ*H>V;eBT%#AEuWILnc!$T zIYh~NwJJ=MQ~Zu1QfpFB&=nG??iHvtDJsq!X@oi@1=sh*;(%L4%TraS7K$OY-XpHH zr`sE48nxd3viI{w(}`2OiRn%hz{UK z_fv~ia~k*p>+J{2m0*=1ieJoXG{q@2Knq{iaEK}U4Ca51_nYb4yir=nSkh~W2(-cU z#C(Y7fteOqn#I9Ls8|Q>099F*LyC@I7gILS)Y}Z=|Ec5XF@z zB-Ho9l%u-*Y0ZIZVN|0fZSUt2y}B9hU=_XPRwlI~&RP-+ZN0iwoP?sxM|z8oOOoP? zIt*92SoNa#M+{VpdY)a^)E*@(;#{QDU&d1;Wh2yo5djh%2m^^0cleOL0OYc5?WY(r zguW^b-JRs(#5b%?F)j?niB%F!c^|ZgJYWe|KnK+${M9?Up=QVBnG?Pk7yd?M5LbR?3kok$)W;cxL?iY zH6ELs?OHIC+RK4wQRDw6%0mma_W>eWqwE?cmQURimdL4I1v-l&>x>M!6nn(BZo6);TRwBXQ9c(1WR#D-FwCwA5^Zh9ffDZ6 zRprV%?GUN4%M+NV>Xa!-T%j$L&B|?|vZsPNG(Kq_eL9RRug*V@60I4F_^6ywjG{B% zPL^X7gIqIKC>sCj>sAC66=wvKVN+k!sQV9$Si#9^75Zs@YMD89B@3vj69}n7h z99LoFjOHGP@*1h1J;wnMI}8n9pkZ0MsFAvNF9N`3bk-$S&{^jPS*M>jyAcT#APOED z?><6LhVSCU2N~FBeb@ak2&tjVURnLlw;=kN*k_yjq&nP{ol0ue$e#=l!h=O0d#=0y z|MX{{v}wL~sucYW44?y*ud%~Xhlkm9O{N0l;}I)o-h+?Lcv2m_15==tYGx$xD&#Ka zqZV7l8ZmQZGJ=7r6+kjHG-YZnXyj_v{Ir0`IU1twr>lOw{R}h^Ze1YX$q(kf@HUZg zf@j*)tF>VCpqEUsB;RK4siGjR+5IS?*Z}ob+w0U*R?$MSE$S-(&Y|H|4K!Rp!%S~* z!rJ>gkwUR^-sn4wqwmm}$qOpO5n3bFzbk{pzDW&KKxCa~g8u`$AA1l@_y~LK#cXT~WW)#sqJJV)pdH|-Zk zdxk195U`ZppI}0IhyF!#&7Xkm1$@7i;`s0l^as$np<;E574JyPocnF00Hw zCk6d6F_X@eZaR$(To_;I@bf?fQaJV$i*H_fg|>@MLmc#$e?YP|*g*z>w6>;(rt)k%tCs$tCTPxMfR=8b2E2K_-)ErTxTXJ&o+k3{ zjeWykfW1~CBSACLrW8xCB?{imINIOtZ!u?WR2Yri^oFt}O~twp`1e;Ezq>-kUpRue z)}hA9lQl~@u#ImkOdkgRxOCQyMhx^{J%+Wuga4W0Uj166DwURilVcwW@*DjsDR(=7eXZJrs!c4Muh-+pd^?Pl`$dQ6V4fMsra64&$zPB&jDA%UAMw zbQhjwn^$q90}i^*w^;0J>p=}Ukb56KB$wZ1Z``R&RXNpKPTo>n^8~5D`<|OFjTC8r zPGIDNRnk(<07e3(f+8BX!EmV>t1|@ysADYC;z0Rf9)Ce-48vN68z`$m7KwO}L5TNV znESrdFJURVuPbb+EpWN1Z`NHiu^!K;*@biIO<#5rdab>U9yH;xxo_nh0lvuDuehz4 z8M&z_#4@YQ&)1V)ue$DL&Xj`$J_3?m?E5l`2x7h-UlRU;8_f{@3hft=>Nm-pnz={A z+PL8({zgSIaZ?W;D6ngvzp4-$8k)bbno7H+4&T4-=NCOZ6?RgqqG= z*hZ$^%6bZaGlU{u{CFBiFDx~&xYGH2<{~!M_^4{1t&m`$RGOg4>aILN(`__c;sPv} z%SvD47IrtjCoKNZDz4jiu%a0^n@Jc>m zF1Q;r`bYpW7jZ9jEgNxf{n0a~F^i&m>x|xi$nQQ(`mj+2eiPl+S0r4O>)Cv2!H*DW zNrvuPlef{=CY2E$|Mks17BDez{Z=s8T$A2Ib!pUyp{)J%PTC-3xlEA@F^)@dz4c5$>CzMu>r%&0&m z9x^POKPJwPvQlm2A6CmB0?;uFF*BaqC9v2zBrMg?bV_=RB5rl@f9&7e_y9BnUjC6& zsSa3u=ftqofE{cMe(ukqdVz?WV#PY*zvyQY)6~l$q^Iqv#O>F zHqDOWkAkoGV3uXC5+P(h>iLm~2?tqg@Pt{G*(HIIUOl$GD>~RFuU;N0BjKA;@G2QZ z6O#$f@`2jcSD^3P@m=)2i+W?Ep`XK99`aCMhZm|ppZby-Jqowy0|P9J=;&lJQiXvh zB{?XS80G^1gRKtfbV>aYUlf(@JSrOo+?6(+qY057sdbW?sE5IH`@J&Jr^}3;7p}2T zf3JA(3;eI&HJ&X9lwm1FkJuI2fGrAJ4Wi>e!Jd4{WkXVUNK4w?KpHnE^!P!LGNSfd zwpdRB6D3no$ZmIljIQKj!u6n&`adL{4x%u)GuTE}X*hiVLZtDXiu;aRWFeW@)Io5x zki^>@^@rbWhspS$0&pk1PuDmO^=AW_|K@n!-^%)kC=soH43@HeNS5ai&=r7j~^WaQ+UZm_3+XK_fl~7*p;2dJe<#VF2 zBi^%G*joI!CsWnmcVC%l$|h#92YXP`ht5DU>}V2wK(|4s^?R`HLXv-xa<4>m>Mi+s z;XF!p<#~f{)o|Umq_bRQ!N;$30jzg;=1CY610l$!>7%n^;w*gn{gkoj+kZIcE{EZ{ zG)1p&ZW8_c5sf@onw_|8RmI}8X^^dTXKf?aU{7?}Ize_)#$Fm*?uy=|cKApG=do&B zs)4mDhxWer(^t(O+xbIaUIlw?6n4~{(~sklzinH8^r7zXY{SwjvGEQk89h63o?t9G z+@1X(qr0(KZxK{5_U?WrZs8bLe5rD^=~FKS&fV}muAyDjCLDfFkh_mv+b=El{K^!>pPc-t=rzc~hj8lD1S1Ts3-BvA-sN#{nR)~3i z?RISVI3;6%?n2WQTrjcF`5p|*dGB%|7@mvuDYD4Br=t|Z);zZiW z% z%XK;6?PB38LhIoOAkHFXcSnm@UJRBZD1MN@|3zR)#=g)$nj>6P`Cn#M)1+Zh=yibRj;_Kgs+a z*YBOM!8UGEDqJ_We5O*m<9c~_+$eJ#TJ4p%nxDn-9Zv|eKJX40gZAT@lBctBf6IRe z!?aN$e)4E-OD4N)+wgL0^-a_1?z^4l%cFXipYzlBXZ^RDDs2q(md}$n^xRKtmc8{% z&iv7M?bxu?U^K7arp{E);AbX9aS@kUKc4<*(iJ#&*bG3&;(X9PWxNiO2!iLTp*{Y73uciIs_=7a(f#R`a6O=On zRUJ)ViE;&xzQ{0E+nCW|Xwz1Hk-6PUrG=KYe<43}ov^0aoAZZG51Gz9jD5##6haD` zoObD1b^Xp4#ADZ|O^gC`=eLLOa?dobnl)>rMFSE}kRtPHIK+8(M1j)7Sm{YfHM zWZu1EfIX+n06VpyLHhU~fH-$pp15)xmr>S@!NTH5Oh6?}b*6O_^_!kPc}42?I)p#< z@6>wwcIxnnBI9UjDF3xW4~cYAHo>@5TCa>na-tFfi54slJH2$m zzYFz6G5tbg!kG?ZORgk!497<}NEpt#TRk?Wa0fW&82W3kv1x&2F;*-QK@Jy;UDvtx3Z@1Nu8`^42!pW~lh)RMzK$4}RJw zUr>N|adUn}Cg#6}efc33zEJ%LixD!ux+p9@ri5u*N3uZS)EUJczJbATEYV^Q@O2?< z(cV(y-u@VjElJ00r^T+A=dC& z-0W)xlpsB}5l+V~#x#5(V&o>9fBLlQd$8I*PwDB?yYsK?*PW39X82d3Y}H2kRQ#xM z<}WFBKdGyJ5ExNyyaStqx3&lCF8QVNLYOGLxG^aTyBxF%l@)$IdPAcVC#7HCp}Q1Q zT?wH$g|a%UDKPw|xzGf2S^E>e^v`@u!?I%c#tbxC23}2a@s}2LnTkfIzlr7WrxTT8 z(zy_E^}qL?MaNe(I})+bTVjnQH`4%Cj}zBAYW^=SSto>5ybS?leB37mv<#|b(sdV6 zh$}tc?2s6m+d5FT7p@&N{8wkBue4pg&qVfFgy@MZ?B$}!XIunzzK?Mn;u{L}gbw>z zmTMhX#Zb)kST?H=Dr`peE<6a4w3t>>YYIXZ-5DygX()p+^|*G`LT;hl1XQMsIgrY?n>JAHW7 z!RS-=p&^6Xx5^L9GF~!s`?*txJ6BwzF8?ND8d>mG$;yO~KZORyn`EHSLQ&YjnOUm5 ztQQA5EoI@mT-sg=hV*vFBtmaz<#@Lk0udvsiyc)yIQjny-c;S{a3)@zDbZGZ`S1sZ zdJ8WFijUeVr6=({^OEyYcNefK3`GgBXrtm<;enK(DF+<`@=egRGtZ`}dIrZ6vqrtx zVU*LrrgjzUiXE1DnNGyFX{E(BKJ}G%l0E9EZw6pXJ_+uKJTRq3cBt(n5jz1mISSyztm8Wa z=gJqEv1@+5R!?N}k9Xr*KrQDh@#CgCArCZMZh7~k>$5N{3HwcJ;-M7N`-XFJ`-A}I z_YFMuslN#frjQu#gM?vhk1`q{{4ML>qYyWXD$S@xXc-Xu9mRLQIzDp@fWWM;+O$FE zqac+yPlNDZ{4?Hqo9gDfzUqi)AtR8&Ve5c6r`>?WpG%2PZyfCquFOPYY3*> z2*FlaGzw52uW3<)Yd`S!c;H{-xqROZbFVbLzf@WPvA;kO#s>Fqyf$dnH*ApQ)F)ur zdyQ#oMJ=J4gftrj>(bafhnKc5ZE?9DxYyntovqOI?A4zAGmsNJ#WjM|i8FeP zf>PK?%{1P6Df6krRl&YdkpIy-)Ue1$gD<$Cz0+-(aT%hW>r!b#?jk=At7rF^Rw$po z7e4EuwOJEkS!+UGzm+}VM5&Odd1$ObpinfQ%EYC8Ip%8|w_XbaZ`B19t26p4@=w$G z1AcpiYRVgrGeVe4;O>;+Rehrt>_cJ(uiq+fIMS9tuUX2MAcc%}Y6iV)qsHo;s^*+> z4xMRBJg05xSx01z7ml5cxjd$g%BRP|XKVB~YpR)P8aW$oJ_y(SR(+tIi&qws&a8K` zf;a#8TN>9)jef8ml~%}~CtKE*P}JAAeY(@w4tZGy%-a&zfpO~EAMY5#2@47`aYyiS ziDt9n+s&=qRe1_lW&)O42<1e*NmR4!hOp&5YQ^Q<2({6gvjj z`?o^#X!ucb(W{HW`p|qYWwDJ+w=s#eVxJ+yD)AlT|D_ucG_IAwYhes(sS`_P1k%PzJHiXAQ`l%R%gm?vtN~!4;{6^A*G*F5A__j z=wsDq6hn%3gUdu~4FeKuggSBJ#Pp|{NeZD(OeDn{k2q;{#R?*?>A9Hk!K-A zagdD+v$vl9A#sLhKuPOJ#M@^?KD0^lr)W)Z#bJ+&ggnBXAm^x>E4^;s@(~ z#94)@Go^Q($@gWCx38!3Oc$VU%Q}Yh)IUPD!6wb(i+4IaJNhHdsB-j;4?ce0-zY`y zdhWe1M-GbZIaHxJS1sUYA?^qG8{a9%26>8E+PH!T#rOtfsY+Nb+W;KWQ3KGgBN)t) zO91PGx)dKA*b5SYNn*H`puxnJBj|Rp)&uGnwuG;dpsXk)SB<|OmoSD~s-M5i>5 zz>rUg+xkbms69tp3X*4vp+AhT=v9N+$ZH$KNtNljoEO z0h4+tKHv{hZP7tRXfIl#sMe;dQA9e-o1|mkE}&+jUQmf5>1Yu#CIm*LUjG>0(F$ub z)fD^5isx*RK{`dDqC^^n9xEaud7_Zc77kRRC{agkM9YZ>glhgC)}paLl;Kk&{Ti9} zw)dO3CPvl=4URH{6F3R1`Tit;d7zmyn!#{9ORc|$@Qzo&xyAoreECYjb;%hHbx*m4 zOP3X^i-VkS%LMssYZ=g62{zkxqP2J7hU=&qo^gW)NRT2iWh2_QEHswIdE+r=)IKXIR;ht?}5WsjSuG`WSjoqFzU@jTDB?wIS9##nBcgd3E@~_ zuX&Bn?^VZ}@c&apO5Ny?5r)PEKOI1Qylp|}#-fOe`MgPUWIrT3lZX7!_ie!{zJi1q z`}(P@RzGF9_n(K7PVWY5X(6U%sVI`X?xEqnER~HC;+HJ2NHO^WGZn!q+B|3b23XkI zE|BFlhyt~f1-nFbN5U7L+msdEYLYALX-E>Q=!$Nn92(kMMc-^TBak@%GEn*dC=P7T z6=G`4{uGF{exPd6UtQwKaL^!t2Ol&L(;=oc_>GQ~-jnwZDonmx|Lwqo#vjU+CXoWu zU;ZlU%7b{L1?s;1=959ZV+U)kvYGepF8zNIe02z3BV{%)N z*Pg>OnpcT?IhuTh(kaG90pSM>f~kg=z*1Lde8sD|fG4Ku;o5!ER^6upfiGJF?btV; z1_wgUBnU%Lt3qmO*W=wWf|&`Gx0{|rk1uKKcjhPjesyf03xh7C0OP5MW?Kr@?OUC2 zs^J$_yu-3aY5ahd^AER@*|r?(si#>#B(ET^pk7ldnFY2`*>i=?LH9m<8lg+D~d5wt4!c`XJv+ z-|pG|*TIB`)f=X9b}jv{Xa0zZME6m0DJ}i(W(05W@*iCz%$A!v(Vt=TWO=CQ#z;3` zN-K}u>eh>=^<_k)K$$`(CyQARtmO#Tg$Q2b)c!JZ@=lpmQs@;?_KjQbJ`n;wy^E#w z>inaD&kA|rrhR8RbCSu{Yn|MR_p`3yx*pZ6s&PHenMhdjF(cz~v?ml#sWNNkoQE9e?!h-&ZS>@_Lu;|}uMhdX;ktT@wly+<|3IKQ zNgA5-rUNeOhO4DF`Z-ToPp>VRSx=A92%Icv1!gtJU*v&=Mr+c_vr0-9i-)QDrZdB1 zpZYE{a-z~Xcod3XRWK*P)DtKQ1suMWDCZgWIxBFZjNG`i?V|m@1{DZ&wnU=&)tI1y z%jh6xY^_(T+Qwn34L7GiceNU+4|?zlHOxsTE2Tjw6YR8lA|X$D$8E8RBE=4{o21&i z_?CYZx)AiKQt{UNxR)zrXQ(>|X%D{(zZr0md>ex<8{KAGUqB+eSc!c>qFhXIefKcr7s9|M=MR77;SZm|9T$>7LTzi!&|w!P8o%eFz83$z%K_f z94LNU*i+egJ9cJe=a8BrkO z>@%DMIf9)TWS%eigARlL@RVsU?G|(MKZ#rDs^2At89YjWOi`&_G4D|OB#6%s8!GXd zFtR$Pb=(%7m~r_~H*XGaZdvgULS15|ofD$d{ApR37bZj`*<+rM`9lkfpZi4&Uy9vT z-^3O*{Oz2X&q{0O?-=g1(CA#n_#d>{#05V#LDcVnN6}zqyB<7>NpnFj}PuJH`OthU_AmjY>>JrtRr7vBTarJR`SnA_Y z%ECjDDe}FTPRQFv8n#fjL036i{`z}LRglUJR|No%pwmK9HBS3~ikbsut|`WrcNcWQ zZ>XDj{S{_hB54=PyvyHS_TiOq z<6UXsiRLEviN#OWTj?zF0Fxtvp9bIJrj3A1tE0f+2i%0gMDZZr#)|aY!D~s&-b`v9 zMqrtZm4K&nRNWy7Wc-FPbX!~=S=~OCl12o4O!y@zA&qptd8TX&<#leOU_1zOe;o~3CIh-_Qww#;}H!zQA`qP z(uHQ~W*nO92E*rgi8NMc@VB2Q7<*OJQdq+YD;h?E4YMa0J)L94g}X9nm--0#JhfQz z=Sw9<*v1dMuH+F3G_p%~i@7diBo?!bTcV*mcH)vgzGABteKi5A+L%@}(`U+}*66$a zw9?43_UOCBw9;x=2qi*BSWXLwrIrRd1+o8<52>D9^pyE78#L{4nVlw;y)L`m(&Xyk z8#Q*4e<9p(c`+<3_4DAecHaMR>v`ksr^}0P;=A*~5j1a{4mSJxJ-j`JZJN(lelBmeS8%W9bt}Uds8L}Un%>48 zA}@xf`RuPH(pYv_ywH|Ic17cUw3H6+Hs!34Pua{{&_hE!#gxtEBLN?#HBP3u5_)J^wN5t8J3Smok&z?AQ zn94Hcomo%G$HH!{DFouGT`2_h``a-VDs5Uz5Pmgxcqm(`^eXY;U$W0KCRP& zzuB9oNAzJkEV?AQ8A4`n5s8+=r?mVTe-oDrwET5_mKsBZe~|yK>1J3^0tNOR2Rw~2 z^7LYk69vD))IhTl$`TF{!gD({>F#8sPg^(%mc}C69~dXNv6@MEJtA6oFd}Cye}GBp zTB-}#&*~qkFPK^_K0FWx3AG=N9d@>i30R{-D>DY^AJy zDB6BB8TX;yvJNir`Iu!@eMB}99#0xq$@^!6|l!>=4DKOi2*X8A=moh-g?AvEYSBC zJ7rL;mOII2OLA&kQq7&ADO1n=qlh8Zzb*-Ga9r)mfY)i%qk@ zS6v@av5ympk4*I_reKEC+piK;!$YSgoifb~Vc#fcfhHFUtNp_ z)I9nSMST~3k&)iUyxJsbQ;yVf7LBovcI`#ng(n))r)K*LdHEX#w zv3U`I>ids?JP5R38EB(>-)|PMC3;5EvA%jDNA){&K{4SE!P+%27k`c^R1~C`ft!RJ zEa1AS7=b#k1)b8odFShPvlMgm!GdYS$7_&Wh##^P4L>5lN^aJ=aa zxmhi^Tf+S~DUHx@ucYi*wA1y6@aXQ9TvTKcsRUarmjqiH_~nCNIoC2@1McqRcdi!7 zzLCTH73(g$t8w?1g!yKXo* zkyxc&k-h7bfY7LahLJ$AJVFUE)Y9-J1yFzv4foLSMWnq zZ1PE3;paKG%*e+qyvwW;Aj3Y~DUpadhe9i0R*1L_%|A9`DA7B=LvK}EoLYHIYKiIo zP{v&%yf|x{qrhJ&j$%Xtb99ZJ5B~IFi`2H}(esng{N#5(Gl;m$aze-p{T-|fa?dX5 zeOJs!O+UJz8ir>6no#}Zyrw<^tTvYAZ72SGB&x#L@)ck*E(0u*1ay#4ad*w-W__` zTa$$`@-E(ws0>jr&x+{iva%38?N{+q3w?h>?13I@)faLDYHV0wjiQzJZ-|SAMK9!R zm8#h=@w^Ks(Q64&DPWl!Cl@w*;#*hv3t4JTIc)aVSMRP+aQ{JU39EF0U###lVTXv|plKILwHD=YAY8#(b*7dpL@O4+0%EhXbdeR4~xuP~mtl~FJ zLm3l{&aYu2M$RbNA3Z4v2nfU+7o%c-^n=U@(34M=bb&P;q~m18tPo9heeQ?=*>YFA zO_K1WUTCPfe4V@pj$&f36&mUSsM~9uWiDTBg@p$o3_#D3G5TtEC8t>(W3i!H8ZDf* z3tnC%@%1(WDPH7{zlwsQyu+)Qdeu*{rd4J1oaoG}wKerI4QLA`fLv8gtxBv#Dp$11lJZqF(yS{2XLuf)t;$1sB z?;7oj+;pRBtb~%4SPp8SIeD+uJ(tpULo=S1NX^Ojokia5+TF|0r1+T*Pu5%-WfkXT zZDzG(GY!^OtJ7tDG>m2iYXx1oN%M`yKFX)4YO|18aH>S92X`mC%HkzD+WCr_=UF{i zjKJVxHKs8Wd&Dt0MDN65ldr#=ntFrHxTu@Mq9QLf^9ERdi=p>)HMsh7R?&T-F!q8X zXsc0YaCJ1U13lT8We^Lw1`2|DjPPSeS}ldLK=SK-XHa#IWJkx4jRf z`GJWsQM?qn4UYOGNXwm+FLRKHM(9nS&bvp^hMV-22I8ecun4viw9W4ovB}rR1~%f`%G&<*j=PP>`UF$piO!^-rElrqi561& zw@@)KRni}>@!=ZBtoiv@EnfG$B0yq$j7!hif45m<)A%MDO}MWsf?9i z9y{bUFrspPa0HDPlQlFS^WpoQO#OL@k|rAhLoh)!>|Ouv(Bt7o6nYNg-}chqMpG0$ zxX^wKJ*lw&g_VUjE%3h`jk7CaR04V=Q~np#yjU>{cKWF>@pq97zo{^F4a^7V;zQt? z+!#9lliYr7`yKDhdH$17LJ#*Hl=XA-%B~v5B{#iKAy|sVi@4US))iUE@4}BCEbmew?j*T5q%H(cb|Y$7u=L zS|1_jW5*fLln-Bv!~*jbyfkQUU0V`l)s-9>-hV|((zx9s{1k&Vs}!`IBXc~Mb#6Q0 zClK~~en+zx4DVYFfjxnu3tc)S$)I#F%fP&!@1pf_)azMcQ;+#)lYV~<@FTOksx-kK zQ~4oyCBVL?s|ox*-nMd}Z=wwB6F<)382Us3^vVPo^dbd3Y_LN1e!$Jl z$N27v0nDSa^p;u5)ta4@?AqKTEtuiD`0iHdXu8z5MmYKZgFtfiWcUAx(22d;KmoM+ zwFC!dbj3vhDD)W@aD@JBObQbFb9m*S=yy`N$3Rl;>tG&2}Zk&33_ZY3&r{KTY-*14E`C5?CZB zn}5SzG%PEYHio`3h^y(i@qyVXiq%qKisnIAcoo>=nu2gzWZ*+o5W%!a*FT8}Tlvkp zGh!yo{!vdHQlCCK=KE%nY<+ExyzmiKPK0ipkxE`1r#4q1hPHw|Ym!(| z*O_BVFLtFQ?aE$DasSIYU*3&Mi+i__zoYrNPaZd&{sT$&tanvinF~QrvE2hz^o1uA zm||g&(Gm4Bk&58!{2b>(LtI-{QGxx{Avlrdzl)ED0 zY*^6q`5r83an8BaTCZHTTV`j1>g%wD&&-uzd&|IihF;psBGRx^CzA>~wwoy2ks?^V z*G0t-$s-;&dUN^sbUM;-x5vi@S>$uQd`8+=*hM7m)(VXv+$#0Zc5DSwhGK!Hb@mIz zR5)ewAodxVrZ8M@-j+|3?h6REC3`_lvebsb&m&l#KlO7&8b zlsvKx6b^4~%a>T}xEsk47N-*?8xjgeEvbQ=+7>MsZpWu8>}_$${-M-y=Y7J*87^PN ziSv)B)wcLkrfhht`_1j&w2Vjw75ZW6oD<|*PR)2W)$RDg=TBFf_ECPTX@6X_E&hA1 z{>3{^@J!v;L#MY8o!*Cf=mx7O&`{#D)Gg%P)t{&4M?^pRUAEuM&qy`bJ@<$Ix>)&n zin`oSJ6{z(?guX~L~xH(v*b!Z8LADMhCL`mT(@=hi%ivnWh~ovD=Lj_wHZXy^LrfZZ|++tJqyR*Z`&#@(#y za91!Ipc$pGlW|Zp3m0ti5DQD~IGI{ww5M5gco$S)7g?4;l${?ZS4SckWykm?HoW#F zH&{zRgF#W)?x3u9`$i1UoO0bYmH&%m>e_Eyd&Rmr*^Nv3V4}0<2}yad-!nMYV7b+A zep_6e$r5*$l_BY5Nj4MslRxh2EsNm^Cdkf1#zvBGFQ2UR$#62{C_gY9q*Ab_q=?YU z_0WP~qTyT3Tn|W79e>!=7jPCM=lm`zLq>ODF-<(Epq>BjZFyExjoIb5g}st(GWdDb||vyUvBs-6E%?AfGAClL=WzOepWxbYmU zZkY(v={A+E!mdhESR%3`{>~L4J$3!8hdK7>MN`EyD=v;9h5>_{G314Lk~I|*j@IRq zJwTOTU|bO>{hMhecZG}%L1GKq9ip1=C&qCz8MQ|`;0`iJKYT%yt$<8PCgHYJs3I@a z(!Kv6jz&SD5)JSEh7|xtqEAzVNM02K3i7??Mv`=*{ONvJ88d@jQFs+wQ+NTL9+MH7 zv4U=35lP9b5@ybb2VqmuK!!LJzAR(DR=9P-$s9Ws{U=my&?g0@zIgXV(8pg}ad}0` z_&YyqrH!5)H)9U9*8k#;y*YQ`l6E>TwBPKs@_ZWks|NAQiT~F$>}M@dj^JyfK(t+z zSq;>mWQ*{l&cfQ-)1?8&?%+36^VCxO{uUQ!3e8vjhgtSLTF_e*ocOoAxp#7j-nQU1 z{!dj)s!$ZZbENoU6vSRIR0FKerHXtjDd>2qeXid$6q3~uzPV#?>fsS3Oxav*rH0@HdDi1D+j5n_j#8XAh_x zlCD~>JbF){!{2FS{Uc3uj8kqMIjFxwS>xUOVRTo9v&Hs3;-NH^lOR7iPEHA|@47|R zC^8$kHK+>{0hcM!(2LdKZ%R}VnfK&dA00eVmDL*Z>!ypy)X-Y7ja{?1j>bX|JqEBA zKd8ZkYU$)zu(?3jfhn0{klJ$*^cw96+#LN*?5D8%k_I1!URJTp#%?RWiJgm+WLY+f zE$S)PNYT~n^KQ*8s#0wSOa;x9!J2iksz~5@ly?JHhugS-bV~7FQwlT<$x07*OsLbe zie{#oXQhl}?mE0{K`v7oi<^+W5scZI`#t&nv(|~>eCP|&qpCG$^JobtF-QvV`VwrV ztNv7OQu_q;d2y}XB6?JPoCIF%ES8=EbsvuULic|9UgSp;s@?y?);C5+)&=cmGO=xE zCdNdQbc~5Lv2EL$*tTs=Y}>Zk6Fcen_Pf46-@5nqs=fEqz3Md1*{e_Os(NaJtP}Ow z_wV=6#VF-!$qc}d4Fe2kzJq@Yw+J|Y>@`@`>v5t1l};@{YLGgmQu_No<^*;nhF>aZ z(6OuPuo-5at*q|w{~N}$baSvJ&=;&4Asr%82&KaC1lyaF z!oC!B$b^I8E*SEr|0l)}M$m>3Cm!1Vc!Hj+YGg2R`H|RR&I=oLkny%{@;HVb6J9mi z!RCPt?kl!8HCy{S9YYYkARY%V}6Tx*u`R_(az}8Rf^X78>7Fp;H8@g2+B_XW2unZ{x z8CH3Dk=8(5h7`9nQu*Klw2{PjU$^ib+5O}x@?S+7ZA8MqGLpysgC0b}MTHX#G1wB~ zsQ@DSxELljE>$vOonyNyLGJd+ZFPT%8b{8cuxi!g5VZIjpiSoi>EN?rcPd~ScZ7N# z`oNn-R0IzgNo(29r6;q5KKyK$0cnuMTw=pA@@%+H@1zZFOsF4I`NvufoN7~xtLekh zAwp42)iH-H!8R`E3_TxJkEr9%UU)93Mm9y$0p2}o$qOOgRN1E#%|dL+2_ast;zgEx zUQ(r&_7`_~*6e>Pq=j_wNp@mwfTXnvK~0jd(5q@>R$Z4V()LgKSx7}6H*bn%3T=pp zzog;6+8?xPM+h8-j=6_F0f(j6<9`;hCnV#em)sO0fva%*^;GS@r(6S4 zQn8JqL$jm{#i)giA?dCm4U1>1NtuFocJ5SU4ZKkRCMV!W#d6msP3~e({h?;ZUC7O1 zBnP{r;)K$I^a5cGFnm!c1tmd%Jc#dmz*)$opxiT)yl-zj2)PYzmhUoPr8D#XMQ=+! zaB&s+>PqAlJfSq-A~D2%V;BxwwEsRGiY8rXdi_rV{^1+)fAA0tc?3>G6IhMr6Rpk4X&e1&taPQFsX#1!2=;QJ8eE86TP^#@~ET z#2i(&^By~dVqGHCV+HujJPfDG$mQKOy=zQ8nsIF8Vt+xQY*`Av39F&^bhtnNclmE@ z?$X?8k(fi=Q0aeEmaP3$8~#V1N2^%YlAE8|NfS)UIs=okh+(;^!K5q~qTR-rud7xw z!M$Im!EO6+(d?RTh50`onp>N1!r6b+v`fdW!;F_^P1o8BK~=8jP$gOVfR+FiXnahp zzZGPKQIM+G#+!=06#*r5<`n^xo_aNE*v2)Zj$IXGZ1P~@8T1-4!%8JWJ-vF3By@IR zO14qf4U6mWAOCNfgN1*}(D)0EFlTTpr+_>-73X*1-mVvK6Qu@gv;_SkzaBx;yU05;%5k{{FXPr{m+CDL!!SD6HGCBn{CVdwbklxK%9$-s1<&@}e6HXkq~bkio>usC8t zDlZA{Mb5;f`ko7sopm>d@~Z|QrOg6*b^ z({wDj0?{-GU5Rb#ncwi#+^X5mWoC?j7WamEjhto*Masf8O{(FmS_bj>{P}K*DNs{oV*=1Z*wUBE>TICW>U? z;AyOKEIJ};%`SWkv39h(wiQjgBeNB(G}G=0^;bZ5qwL8_xfk;xA6sS7n+Qw%i;=mj|tnDd%|!acHO% zJ+ye=tP3*#IkH3y0*qUb(@}f#LGDmX?wsHtpwln(d4X9lx-cHgKg0_GO`X^ zhpQ@~5#Rl{e=(v_t#-DBg5zc!yy&3wb;Z`wRC9h8Qyy}K(rYk!SqF8F2+^U6#E<6* z_ebouD8pdKsTQ%8k+u9&Hi=VkBB%R0Az_!&w9U}arSa(1fb{&6AMKWGYs0jqd9B*U z)hcsq{r`bt@GfEZ&G-_b5_XyXgEEj7V;5m9wj;eRJajc14vt$fS!b>cIxU4~FQAwg zGAe(~6naJYMMb@Msk}|th@yO`gb)k~o9RqbGM_@uDZv*pyA1!`Xv?Ez_5&IjFca4* zV=Ke7rDUzz!W9gEwmK7{^&>TX$m@Pm*M26h?3j{~e*<%HGyR?aANd8pG<;=y;MQ`J z!A%!Xy2xbpSSE2X4~+F!W}bSO*JgHAcl>n_Ri=9$)s2t^uh6yX@dvv>D3LkTrL$_&BVw_4!lYILnO7$^M7ir^mc8h7OS>zxl9Q55Sh?%iq+<5&cHyX5u}G0d zEe$*^+rTM~SmP$865wbu!d9_eiAJEsvVK93A)WuAGUN$GKcNg+aw}(=Af1EV4={On z`#&}H--HU`8`h0$){Po|t5pKl%cMWgP%|Gw;izq&7!(!AFn=ek~vLK|%qq zn^;=c@Q9H6z|7F$T~j0bhX0;#o^NiOZW%OO{*Wrec)A4hsy90Z1U2ooTG{`X1hH84 z`RrjxAYLM-3R=>2+rt-?Bp{}~;tF_!Ku4MW)*211pywU|->1FOSb?{8S$Qv(*Z)zNhawB;Vk23m$)qd zp*);98>mbkYIODZ%dHxz$!mdtF7+Fb)bHgiRj+Rvhq%&ekI30Z^G^3e`lis)mE{Y#v`~YC56IB(L}d(Bz%MH4ReZoh zo9|FT?UR@jII)2{^Gul^eE{aHQG|U)69qU%ezg%~i-JhXnG$534eiAth~*B_yNIP^ zik@$HZV)_K%QAs1Hgj5n#ff@Y;)P83u=SQ@Hp}%KB>SKtN1r?TAvSB0YYc#qPl3W-j{~WI)(ePzD zVCUUidz$&@ynK*r9;}dl0D-mL@A;UU`wgdSP^pq>buG4huOq0-4n(q`fwd}u#yeR4 z`_Hh{_xqby2J^b6SlA3B3B`+}^d7z)(szl7y~jIwzmHp43cdFet+s^{9&!9s^!e4Y z3D#`_o{sulIl$m(?PHee0a}hsrURUO$q-i%^@1)@f8yE`cMx?0&;?H3wCC){cOv^$ zlhxq5hA%SKmKm6`9>a01>o1hC+BX9>L|hl|Be~nQ2+uQiJ#4pKapzQ(o_gIHt9~XA z^?y+0g^<#Q?7?rtN7UUELpL*W-fk!0_pl}Zc~@%p`7$+<7O1^rb+tM1HfJf|(eVEE zkn8)huo0bcBs+CF(esYwcRg)qbG0cgAiVi{4eIJK7CXB?-grLFN+6Qo_Wi&!l64^$ z5DU-L-dR3#Rc#UDq8)zdY5>{S);CM(RkLJUzyAw|1t>EjOODgHEYF!TsC}4&O!?f;z9*`ly9&< zZ_}u&Kxt+&>^#B-KvDtc_W{mN^nrJU0ND4(vnv7bPuVIP5);1X=S0-oy^h*~G!wK~ zoG0-4^LuT{%I6|s+rbo9!w_R3+|$w^^^G#GB<+rrbL{S9Oq~;*P6eD)OjLQec#YTF z@teM&K0aun7tYfs3HU^j^uGaZ@10seZK1|7)Au40C2S;)+ShRh;iv$n*d5Rask+~r z|HFtKFjnsos}QkK>*8e@K+S6h4np0eED`j)TyK32zUWl5Xx6{oT5ivMY4zA)n&bgl zZnv;s72g~2*2Oq=H|gwPNKUo8W3x5~|qYMeL6j%;I4-?;y3 zmFYWR7%2`Q5%?%8j+sq_cw4^sha78qe-xVQ`}R2S6grHOH9;?mFQxVSK4{56?%%m4 zb&Af}%W=U@>Q~3z+Rw+WgX!2EZ?9?Ld(&-t>U~dUTZwo>0{eeHre-6)y+pue++lq# z+>W~50_^Z!$najvU4iZE*(oh6kF*^!@ea?hn?BO&>oipUsKd$!*akjk0j{REA%fSR>5PUjeI>R;IrF!N^^7`9%PSY*1=+Kp7_VjcV7ewuI;!m10iFknnc0t#bwy^ZvuEgoWR>4LxRk=arR}MGtoGs71 zqP>i&v3#?e!Zd#L!eA$%P%};O{w%*!%;V9{4U6xUpZtC=lSWkN@nq@Z%Pk^l8{+BGY$_|h8So}wBw9HCwNB)Z=5(Iw%9YjH(!p>xbx2J)#-$-KTDG%0c}f@81ycqigP;;uhExzlyi-_Q>R*mh8OgNmrB`PPJmbAv?~J z^t6`@K=2|yAdYd-2CUI0?m2xgrM&$1Un6l(aYl-=$t8(SWS{Z!V1_+>+4gFqygJH~ z2&YYPPl-?I4Ui`gr87!ievS1fbbv@3y;L)4PB4X&k+eF07BFWm%#4HRPAk5cRpkVZPt3Z)Uf&)810EzfqZ}Ji}n! z#tAeg(n&T|(ka;;(8?xPJQ|NEDr;lZX`omtMEIf)=F?*WKWb1v_<--h1D`B; z)#{PTLrGELPn7tvzal2M!pgLgv{+IR?ycG4~#MD8qvTv`y+OWvh2K(}ignZcF8_jC)55 zZMlAi&N4li?tN;ZsP$0!nB!y1@8#%B&h?iGO|_BS4ty?tD-t(I`R&HXADR)IWqK_) zy6$K8l=_@|R1SS6Qc9O1@hZ}4pmDa;to?9A7dqGZVu#|Ow$Th>vROfWv3zKn1Br=q zrE9cDkc;$5*hMC?tW1N-XvUri*{v|zn03PtG6}SbR+qB{#kp-(&pV!f&>_6Jh}MNp za>(3D8$d+|Ti^)~V4XB)=#{pt2&|OB2Ct`#r0SKzxrQGti0I{F#N_JLZSzKcCBLK9 z#6ULa{8qcpJbYa1{1SFPutiG`F{yZq=4&!}wPk-ek3PdP8G5Pe>!>prLOjo6cp^4(9=Pz}br?pu|^*`XkDBC8Sl zeW4U!wnE-;;By7rcl;-HNb<*I!oft-!5d2;pXrL9hn?0w0IR?2DFEUM8g(0y+ua(* zi1(`Pc3|b3U%ZCU!{9-(*>Ay|O_woeF^c;!`6&E&v$s^@f0qo*%%JnzShz(6hx?tU z{LT^5D#Y@K?V;PZIR{1o{k7#Qn`ixzY{0&6-xv26;*w&9j=@!r;hg4)BZqKP-C)EU zu=9o459f{3etTSc(`2^;FqG*f-2w;7dnk`$o;>ld)%QA0i_wjWIk!i;B#U~qPCF+qxj&dGX4$ zDV9H=!l{mOFS|I3NyoXoU_wS?c&%POHN3rQ5lKO3_>5IOb*g=GSu~RAz-6t+mQzCq z!D{&Klv;z2u0Ae7YVpOiU3Dk(WqnbDO5jTR=s`1e8MQ?%+Nnu7=W_klv8@sM(2>?J z^QZQIUjccX?bi;srD6`dcy3uz8hF)?kJ#& zmEhf4!ziv;SObvfpNSDC85Wm@)wz5RR8%58n4eobEaKLIcE?Zky7GwKzT@r!R%5uO z69sYb=&;V*-teGar<(ra6-t{wvB=FK@MJoY-{1c0=c(G5bUoCieDzF$JEC^9#Q4bS zwd)J;Vt*&dczn^-JYT#ACAHsnysPSVO0^Gl_6>>LN&LV{>2bR-4{!HYeB3LP7KpfC zqWm=Rn8qYR^@G(5Z(0b_|5!-?mDxn4HS@O@{YM2E0bbc%V-ujriiUTP*y7 zH^wQ(u(Cg0FE-|n)hHrIFq}Cl1&Dttj9}|*dyW))P8UsUa4D@B51wNeIn>MK@$-5uR#r}iY?WC`_2dIDGL-&%v9*VF9zKmPeSAr8Hq zEz@PRLi)B%9x`lmJ<9Hmo=YgN*=%3rr$S)M>lT)*85X2( zEor|D@%ieop1)Wj=Y9+xuAZc_e-yM$6U4^<0*tR@u1A`RNd+C{X3n&LjYmInqh(gH zrtJ)l@_YfGo{$gr0~Hbf43^4#p{drT-}$EJ72fOzY9iqoDite!YAGjJC0ezrYZj#M zR&2jjS||t+UoBnhLj09*Vlka9ZLmqsnVW%5XueS;cPczp95o+s&ocIBGxYs4lDjdgQdcVv#5-~(SHHYpc zv_$|YjCU#RFZXW_?ceu1-xHpmI{biczwNJ5jVS$~AfnY5FO`+9rGE=M||^G+JQ_WmB<8kv^XeC(Dn+O28MDC&FR zO8z`{Fd^1JG9pM7OkB7dB)7!m&`dW&q>Sn48h-`#LKfuqxFCHl|1k~!wni|~!3bU) zY36SJz*A``-Qx_^CkgIR4L!Ca0v1XPA6%Z#y)HR)h05~64BU_DoA^zr=YmQ;!`_!3 zfWsdt6W*TPym~~khF!F-`uV+g@&W=sxZY2DRLH5h%eW}3XX9Jb?jp!l3SY9gx2I54$CHM6sJo;$46BIdw_Sm}yRH6TSc_`?WCkURvxVTpc_I25l6 zR6SGDhT=+W*C)7M%N1{mKs&+0QLn4x{gC>!eRtD?OX$vAVn0lAPdVIUfAuEY>Xrh1 zneFn&t3~g6w8Mxr`;&z1nk)d8fERD?OQZwNbLZ^~NV@bqbmoXJ*NgWPp8qMb`e$jV zP$U%7>)C3*k%l|Jw^$vCAH{n6+u(zUG4LwQ@9af8p%8B5O2?1*)qsjx;c3;oXsnHZ zA7|_h-eoY_Awzp_1W^EJO&FoB9V*xT;2j}~Uq+ytVlzu%iZH5VHwP>^r3!YY4x zQ{J|;Q{;A9IaGHudJyrmwChTb`JMbd*SyMcRMZ*M)7q}<-0JpV2nCgK3aQjSaU^pg z>UAk)Jm9Nm=Y&%vzM^FNoJ3Gq!Mf!Q$rrf6_+je&+%JCx+a5caItB}pe3&L? z>$Nk;W}&+6!O`{7KXm4I-yD}~?utT7t&7xh&t^W0XUcWGwrUpUw@5kZKDuLVJyG6W zM?Ul7^AIuJr1JFGeC`qE)}BXF)mjQ?1VZmTk8wB4(-LF&m&tetAM_Z%b@%h>wvn5e%GG_rnxc%;2kOLSm)_S4OHg1i)Qn!RX%uknADhS*($K(5daogEuqKHqr8O?Dm3c(Ur7Jnc$Ztlpom z2mKRu{dBW$>Z!l-e&X_&qU^oT+a?`c)&7L8iuPFdXZzg7!d3s^r>o9{TmH5nJ#*a6 zpZ=1Wo0YJN!k(}Sqmj6Z!k;A*Sv9ERvCioAY8T~%=Inipi|TzC8xb_~Cn!v;k|(Q# z8bC=Y4L>~z93gY#&+$)}M$SS}?J0KQb*e%Cd^AAcx%BT4P7!!NM5az{@*P3V#Z0}S z1peByCXr*nxC4;a6|xYi8)3(KuK`jViWZa?Vw7w6kWcIWsTkz2}EsWR< zyX?8RgOrD%I|cQ?-+JDc^k|Vk?_{0vy$>adqZF*W@gY?Vqm`zfOpjl!40Eiuro3w@ zVq;&3zeY%bc0+?m*@{GRM^JNiTedaqJ){Hd&0YWV@3~y|xQCq*IkImP{R!Hq*Z~S}@gx*pa$d{UkYhSBn~{p^_`H zZ<^b4{})#kLiPF362)uWpwFm??ezX|?mU(7U4%``uVP844dwY`Hm<0^6TtLY*=ci|m;B|GVU4=rm8Z17K`L1~R;dq8<^ zHXCzC1m=~a+ZCMZk6>BMo<>PwPSLk@S+tb88?OyQHs5y04zOQNFOk7~VZgI%d*vI_ znw_B}z9CJgxUn)d2SAU>&jvu0+ZbrvzD$I=g;Ag-<}4j<3qU_vGo|EZ1-tVuIXy@) zte0PN>>IXIUsg+g#ES=4q@F!=+tz7eij-V_uhrB(%0h8N$(FAxYf3UXyH85Xj9F~<2~W%XT|yG)Z&oVd-0vQkTjt)(B2NK0&_vpIt$Jo-p8TbH0#oxAd@6 zmgeq%%dy^r$CtmtGU6mtPv9=*5g z=~i=l1OHW5E>`P_nu^szb=XUTFi|R&Azs{hm#BLB997;7Fq~&$+%UpW9^z<6X9C1^ zGN+@5QFKmGZ8%DR zuSNj#@{^<5qV2yxM#geb;(&TA%CUp$N&lPnJmuJslL3v9SlZ`iwC^R3fLr{$NGr=S z49gMMRLbLM-0nH;;7d0fo7Ef$k8cX^Sj!YC1A5lF;T|0o!NL({%4oiI-)J5%$ENO_ zbjIdHrwZ^b<|+Ejx4^m0v_~^FR@eTscd^JjW z@7QTvFG{s4aY5ldjfk3DaY;hiSJlBb z1np?>u?Ar3`B`X^r^M>?5*Ful??ufr&oRo}60H6)pe zd88${xS=}GFWb;L1I|;?Z#6kjYb_Y9#wU_cFpCME3I-joK5H)k9S2+qMuFcTP~htY zF@E_wNCp6GwxRE+{zx<60OBE2zerd@oV6~J0hQ-i^|NT?bZ3ceN(-F;MNj$M|*pRX-TUh`LpJn_QyD>g$r%0{=vff zEga$*w@szx@RZ7QuAK|BwgUge`)q&O=Sl*c*_Yu$sc%`(M6q<~Wpoe@-j>yn^i*mO z=q-?EW#;yaJX{szS;Yj?I~~p`nq1N>|6xTGv+~detikdf4WV5cg9KZZJ_K7Jv$3j* zI9DZg))MygDWaK6NQ38q#@1fdN7);O-m}6c{!VNeyTa#FT=7mEO`ytyM)KseFkGk> zwk@W!qgwwoE3z4WXv5@y2F$*;F;F>MYpZRID6^#kvR8wAm%*koXz_c!Z%z|bIhR>o zSc6>OiP4H7rf3GH7W|5`=RN}jtxyG3v8k+ZvSU`aGf|MrcRD#=v+&3Rq7WZj-z-HN>;G&&#Kr)Pi? z{XlR|JUl_<>!DzUJO;?;nA$6n{G3o45{ng+m*64V1@-$2E0qXUE>qUnxA}DP zfcNMry^n#bZYzKR6qTu|*)a)7F||gUndH9McFUJb!0F_ zdWT+))YeM9-gpe>MQeWbZHDyy!HrQmvj;;f3b{?Dy*Cyvn7-w5nh^#e6%rUYNBeI8 zILL1#&e8lsuhW$uFPCl-3o^J(7;E^(BRgvpYjPKnV=TOnrn@W=Gbf-Dlwi(6U>3Om z{Hk=_B-jHTA2S0@(nwbDCcI0Ih#=dS3W=)q+a94C!+kK|P3bziS1`1$rieu?OP5Cb zmhJRM$T~8O!7*;pcYBggohp`$+R5!WSXj`Fz#x-RW#m*o#0-NB+nor`=TsscMfvh> zPej-7qb1!5?T`Zc8zyT1Us=2mvJXw`pX(LyYuNNRGnVlf{#+lb=d}jV@7FQCem4}1 z@DBqWke`x9gp5~oZ;_hTk;1qiZDI)31~7hVkx6(&Lp}FDc+eO#-zok5u0#nK61$nN zuXrT_KUhz^AU_q@kRx`NK!kN8*E;mm*ff{zh~F!o_f^)nR>IHWi1pEW1@3NngyB9V3S(T2IX@g26)Dh$^(>2K@fQPo=E;nduF-mm14T=P;hRVi z-?~Q7m315N2CwO(`$1T|y|q>tn8Asl;Nx$Izt#EubFGq`W&09ACbCi#_6P!)*~2N8 z<9AZV*?m0~lG=E3yUd+5(q3c;l5Y~6Jlv|sH;EaCgS+g$Rf!@Bez1PJiCJ*pu1iUh zj(l(e=|TQ-ltbr`zW>Net5}e5Z>YHeSWPWABisx&gQ9QgDAGbcxI4Ji(P=>4T2l_> zA}1&Ok5V!BxW6se$g%J##Mr?TAj;@02%DfYkglvFg+2Vv(pbKFPx#g1H)^B>P_r0{ zLLWo-(RXpczetd2*JZI63d~K9l_FNKfwzv)59S5FH|0R&d6bNS6=(Hz+?DI(4C}C7 zxNglSW7^-T2YyRMG)N+#`X5onMNr+?|FldPfJIK|zU20=mW7ff){Q;BboQah32(XZ zn-+oWwXsmH>tujEAf%f{IDzQ6Jk(`V{9#Wl^ks8gBONKirWqwI0Y7@{i157Wo6PPP z#Da^5^4@pC=!as!8a(Npi=l7Jo>ReOb+PuD{NA5RsEj$1tVKNusf>I7-X8QCVRPG# zI=jj_-SCV;;B-2mTTKsr^=w>{X(IwaObysq@i%iZzn!##Y~W^p2D$i~ZL*rM!v8o5 zAy2MO+qAKEGR)d`m(yRDg}`sZ!Q{>yRkDNQEfz`S*~W5L3@sV*)Y^3*&gj2k^rzme zqR<0fHxh<0tp8!*M6x*R{Yw}!X+2UlEh&X^SKr&32~m&5a$Bau?`096gHf8EC+Pew z6KbS*7yhl;pt{a71AJ+#VX?st``~xP>Pg;DJl%n%U8v5M14a_VsEeV)cWlQc)m-&NqgEPNk(SQ4pF5( z2tlIRZ@y!Gq&-!MJKnPPL_IakM1~3PM7~O-Ua7{pgL*&zwRAlf1iWJf(|WhAaR|UG zAR+ArY8L(4h1_0{$X`7j>BuHwmYICuk9^wt4n=!;2a1A`+$h4$ohLgH9NWWenk0jP z_EwZv=cgXH#^djr>cudS>lzs~VTL1G*tavw5OGV= z_SPc>+=2!#P!ug+>2vvK;XrV&w{8LZb;;SX!T_$^UR5QU71KS0y8`ndf{kVrA<3^4 z@#)D>W z`wnCrA8@-aM{x9lJfY7#3=<`h_V0v zHfqG=>xC9~xe|Q^|7k8I;&EFBb^96Omxi{xiRx78%!XerjSv);O8fep@Dr~uQvWdL zYaM+a6E6sh*`7faF-Yd0jP+4)2_kBRF*=oq+ld@piiZ%0a4Dzs_3d*=n#cDliquOd zsYV13^R&Kf1SiCh{eR6lPl6eDw789gRi{a~l|66>b+&d1*A#smW8!kZ~>Midd)?(YS|@mFJr z6GyO2PX~VrSR!B_=0;I#OIZr3cQe9B&L7CaZc@*xV1<%;YIBx!e|r1P`P-wpd5*%} zir8K#qL-}z^6P-#X~F}$JJfVoUs zr%u!*De<0fR-1Szo5iZ=rljh^FZ0VEo~ZeWic^-Ck{paOqn2+n=H&oM%Y?yAIm-kp zyQsO844S*^NFZ-y{0p}Q&Cm-$cnptBOYSUfxgGXXQFrn;)?_?_3?z{=0;A$J#&2of zk&yEDs6yiSa;(0UkZit2_;M`1nP8xVFUPiB+8ebq`SWfu_V3j4qI@GBy&`@NrUjXdV_=Y? zAcR9M?uI7rCd2L1buRT;L+S07swmVyKG8iG>}eoKhF*(Ve7GaaBZ13 zn%-&ql-9ebMY9^kD4P`1@-3dFb~PBoYe>zL39%7M6p=Tr|0ruBUK2+l#2ZiJ54<=G z^`Fy5nP=za9mJ~on-6s(s}V3M%2~55zd0QY1%x zyYJJ=Fd1!QQf4Lf9uP2I2GD_Ry5_*L$p@T-KbwhkY*A;W$mCj{ISDpskoCwyaiTK4 zVzyKUY$M%mI2~iu7C*Si#ujaY36M33KO~% zH7|VoOZpO)cuc%c1z}(tfVM{krC>`j+b@fiF&gK~p93x;eUye5q!L6_f)qnIj?@a@ zPi`x0pO#6kE{Q}bqA{w-BNvaEXoI#7{^qIH1NO?z=v-7AG(@R3NOGe;QK%(1-Nm+{ zI+yt!_pc`n9S-*{3V1~h`#PhZ)cja~kxNFhXHArtFTi(fz#{8cHMZRjiMmV9vST<_ z7_U3p2AKAS0 zq*SU43`Ci5Sm2}vPjvEH````){+L82hBo#=h{1?M=;gKz_?wf7*64s4g1q@FFPr(t z(91&S7uhp$kn`VaV6U|3muF=fEx%+*>oXDO40^X(tS7t+!lMty`vK+jh>H|u;DoX_ zBIZ&sEB4Mn!m0N2ul=tk4G1uccM-9v)pU3PHYUj4v56tV8eely6$gydBkY_C+DD(j z8BTOhbiW}UY0>zI=zhbY{53jHJ~ttyr}qf-N%u!tHZ6t5aEP+0R|@P;ANe z^stv0g|bU8d;Fy{UW*$Xzet|M|F+~HC&EQ1XCEr=9$5-wkVGh@r5>NnE+OiRR$Nzq zTYQTohlcrOqYZw1flW3xbTcSFF%#~*fL0Seggr0w4wfxy7$ng=@DDCg+<-Hko(+{P zs)i+;SnLao^~zD&UxuG!=Nf6M^3Tr?FlEo--@N+?l>IbNakwT7YOm+ANP-+}X@b8K zn;uhWP+~9)nWinPnEt>RpXk^hqw-Me{6>^IWEeVkJnFyz1ltA609In!D_W_Vb8B%F z-m-S{OIz0UN=Gv3a~suvlR-sUW)gBk%22t| z9T~S2uc>dl9zy$4770asLr{!k5Ty58`YRL`1Ox;e#208?r9@lnejf%12zPLU0l(^OXV2tr`^B9hbV1$P zU6qAPRG19>Ffe2R{5P^1{ro{hxv3hBQF0qX*hkFWBgeYA2|bO9%NE_!QvNcuWtt*D z9~@jrP=ZmQ6o;F0Mp&U$6$%R^&=4`ox|^PYNQ3u88HLL^Y-}^%i`J6Ay_WSi4_onj za=OOa0-6W^T3~iEJ>aJfkJadmt*M9DeqFuD!@3PQjWkN}S|p+`gVowfh=um{Taz!D zr!(N3rkM!s?EA+^Q<}V4sTE@A|1Bu>^j5PGz#O}{nb6MbXu^1z$UE69>r#CsW@RDt zQ(JC8@JZ01s*{cWwAZ{++8N#JdE}>oH};G1AH>93{1(Lz8fFfKxuuQK{%_r~?c^V3mPZoqE4u}}b-(ezu_#7Z^NF`iB z9=FB8u*;&d+duKj{77Swy#1k&dF$B)fDQiV@htHA)a$cOQ8Bd`yYvMnAkpE}CG;aP z6g1$Dhj0*@?saN&x+h%JnwIm93;n`Wv@5gL3uSo4yOcQA$fRUT$-bWw(yA|)zO6q! z@zLKMvM(*yueVHspJvTi4QP#G4uOZ-CGFNm*8CSi(l(CXWIB6_Q1TF>*<>Z0)`0vO z0e?`9kWwTm=Ogv%i7mlM(r;SzQ8criCsWnl?1UV`9O;UVKviF(n6Pz0)2t5~eHsdC zH`VdX4?lg3*FpMYN0rISSQSRDtvmu6k`BmMVc-bD^!Qdd*dP~k}&w5E&oVZ240##|G`H=)lX z+EVWu3p75%unKc&N1Yb%xMZ6$$bh@xFTKq$5yV6_8S7LPnbgQ{5QtR{>XF zEo>FJG&1vG7q@gQ3ctSnRm_~q)+e379qfTkpGGaLPWHD}vXrJKWY|l$rmGapS2_0( zc?nqacff}ajH)n|Ki`uIL1lX2~D= z)8ca-f`QzlxCSfR6Y9qTIqV^UGLDPw#OYDhuWIQ;iy<4VXXN{5#E2K(6E)EZggw2; zc__yOA~p|eqk0bw%BbZ=!F8|nrPJIt9?|2^`E*F(s7GYX4>H8*w?9I}knr>(Ie$wE z#93!Dh}U&;R93JU9@2Iq<$M(gy@WI`&Kie`8!x=eS^EVhsWhWre;-<}Uq z?ZSRi#xdV>PEYnH$hiS|d%7m^LtYrDu6IQd6P{EBw$v2c%8cxYgs`rqDc zcduV4^~x)f6H~t)7@u%fzUle`ZGEGOb*f;zluAtY-c!H6mHnTx&H^Z|rd!uIgS)%C zYj6ne?yiBsJvhM~g1dWgcX!v|?k>UY^4;&8``?q(Q&Y94s-Iq~->KcTYxmpT(xa{H z5%@^w0o1D^#_;Ocip)aV(uRTt&IPsovYyC0#RZyX@{*yo-=WMcK{@7?@*_-N@37&L z0E0qRI5UUXvw-F(!#_Nr0ucJ9o(oeXw`>P^7Tfmi4gj#S2YAYd zxGObZ`Li>j&lvU};-?4R=D+=c6e`}n2-O0t?<9d1C(4Z$ZDUw?P{a_ytX`gK9}FZX%w=zjxbzDgZGG}3?z$5}6nxXTgpZ?uNWA#L10A?0 z!GRlNVA%KPoy3Z{%A1Wy=L|y6)a;EZh^#`=ap25iaBRl5Zh5pT9uz{OWa#w^=&}3f zmd-56W_VeMyc2+O@xHVxoApaR1M`vqVia+Hs>6EK8(^g_|Cp4H8?|ch5pzax@USj$|I*ilb8&chQU$f&|(F2uS*5Zi0m;{V$|1 zd~D5jCcGj1EcLEVMl@z?rgGZs;HDPkWw=;QfbNqB%1ji+uw^iqccHzj0;esJ(~BFG zs_}{}tAx+?{mibCk(_y+P)oEnQGi~NS|CqedY3o=?0thvW@~&YMJ1K2Gr)K7et|}j z|M4}!U89Vqa_6>ZNKYBEzcIBA*MkBV!V);tKopd&|2(5lwvIe`sq^})R-6GxcESY_ zD!tp~PZ-QMo~T_kahDqD=0vgy)IPT3r;rVU4Piu+r8QR%x*Z@$YIyf6w>i!D;TV(y z;c14}G~t(iuo1gRl89XC6@xQ(3CCc$WblZNx)eqI9Q!*Cb>X!oa$#@gJ=x$HSW2|1 zs`n{|8qM1DRv@ffw(12ZM&^|LRYCG81^$F0?I8_wEl-QxL9hEjvY`1WaqohIUK{R* zyTF66S&WDcq=ckc4-UhowVslg2PKY+V3kDBD~l-m82q2~i&QgG7v?{5Ie>zFdnG2G z7!_@$XJw~L6hanMh=Vm=I)(m6Tl~UH1T0~PC){;4p`gWx zkI7+Ljgn92iw07JtXhrXn+fU&wS{kgJW- zSBFxVNb3jHX;5%O(STy-|YW*a)76bQ0a%8@z-d3>>^M; zAY#PAo`<;|amQ?-^si6hgB4Hk{Q%5+l1hfhsX=WOci-^UBgj3r_rciv9}Fkz3;gox zRZo-XN47P^n)NzfDrjRNHk#g4tH=SP@kF_@ZO`2(pkz|lTqoI#Ir zIfPFu>E8TE$pM3x6#C+T(PpccUl0n>!AnudbhL?XfD7J2)27eKAqR=ijb)B1-oYd% zx{Ow34I7e&CdbeT9d|g)qo|oiM@Tt%@N?}EMv&=I)vz19lv4OGV9JP9XN;h%&;iBi z4~O2)Tys&;k$}PRr|Wo zTbLdLE>iHE$t+X}kmYw!#Gt^7YAuOl4H~*=u?z7{wIl52y{M_q+85Atoh;1pe&rLQ z0`%&%#rP%u$ADlYBu^h{EG_m%ePN^urq&zxKeOHyTM3W`Ew zq=YP4k0IFaAu}^vf8uZ!I~sMgCS!bnz&c6Wbr#}aop$krBNYklwK5CrQ@qDAMaKvn zHzuA|brO~MNV1qOW^-N^KD#k*0TR#-+1QomcJu4mD|Pj|l&eV8YNV~`D;z{_dNt)0 zv`$9XBpLhe{o(ElCNGljQ1I%i`$zva|gu^%~6@`fqRkCW-PmoTN%4WgxizUVt#PRYxfE%5^@Q6TI_Aj8`m5hv(b5J=gdMu5 z%zY$q9l*M-3>Q_kpPBVT z4lIzm$ymev_nmcsk@4tiCX)N1g=j01{sIrQ#J#b1fO~8%rV{C(00EHo5@2m0e|NLf zupW`E3uqOUXF;$@j}xM5`I9o#{}S61wW=W?6O&X_@D}+^RC0uU^YEl{HZ42ro1zSx z`OfM+OV>fFBgSuXayI8W%V~16o$K$i`h0<3XHgzn1ScY%zUZja{!Z6zD&6{8IWl+B zmlZ|Lt(+;#mX-~_q^L7igE8m>1f9_H_d|M|XQHPJXl1(OOPBL1>l4>#>hX9SE^mmG z=B9h)oCOx#Aa0Y5lbw||U(MRSQVBsi+x(H(?!bbWBrOhtPyNsZU}MPmdqt+GbwFw` zEMJ{kn1=5M5>{N$Gv4gfR+l0X8Z-9G+qP9G8Nd+hXh>;?xU0PW)D1MgNXaYY%I}V@ z7NNaHl3~4A#o=toJ)l-#Rg)w27bML^KCWruZnWe`ZdU5(U8o-8i$wfl|40M7yEa&+ z*=99z8{G?m*<|IhUDmnvgn}lNan>ap?TJBT+{@|1pw@Gn@F2-Q3OYPP!`_Kd}p`5#tmuoP!kk5)%j`lk*S#TT7u7?RuBv-x_ zd)?@%x<(lq#>lGkGVMHiyuLDLO_LSi*y}xTtcRp5Q?g|0enzQa-kT58dC2ifMtgMR z!w-vl>!P+w8@erZ8~qv!jE??<8aE(STPlWU{cO$>nQr`>u~^yI2*tC|rFSjb<0)ka zIr6D>U3gV48b_G1CQLRhY1qq&n zC<5;;FE7bSiL(OQU6abtn&v3aiIBsyIyvSluu?I5sUESHO&7$n&|qNXPM#5|{GiAS!(;v#*SlUqvC-6d#a^=NgE=AJBWl7+x2}!CnFjK*iiYH}mGL41&MBN^)k7!76-}2{e|bKfzRN8l zoeha~F0hm?FkkyqTO>FCmFxC9qM?Tv3r;x6la3&zWZtRKC3#vjoISawN#swn&0JJ{ zikaPGL{ZS*UX8;^RZTPIfmT9{KB1P3+A#?tk6_Vx(1FalQl;ai9D!lgvXBTSXnRQxlU^A3Is32|)g1bWl;Ki+q9OwH0 z#vjt@57XuuH;>^@cAY!bnHq2&9tLwGPt_}%VC<&ou=^W8uKARu>TtGi`RCF;rX>Wa zPr8QqdzMptEX&gNXex{1ZfphK;}9s+`xr4zZ)S2p4t&Dd(aA^}2dj%+e;wI?ViMPM zqofW68Hf)`aYvK0)g}C_AVE!C%mTtS4(zFoCG|=Fe4Kg4$o)1=6&1mnM9= z+^O3O?q2{(O4}5(kT%(6oBOWjfeI%}YQtI@6mZsq`kmINx+}KGMW-3x8YLL?| z=2#@@q`WL_(|5%BF06XfQEqjf`KGajU=;RNEAEHR%O4by%|Dz<-9-bmcL={dBVHDl z%Hk~KzmudswxHzlUEss5XjN7}kpJ?UL+(Lwe(;qnb*~}6+$Nc~9X$1Q)jCX}9Ghnu zmu1b6I>R@wTn=E$5cpqJxvD{D8TS#H=e&q{MtRy071h{EI z$-}}lvpw}QHnYaP46?u?P`kmN`t*tW(Nw)I1N!gvpoSIO z8TL^XRe@`Q8^6d*G*?tyA9&&W`cXWum`&8`%)Mdg7xs&1+Dj+1mHhYF7#)Zu9yxQ< zf$BF~7} zaaJxGVNJ2|4xXg|)o+l|po#FrY8;D(&$Yn&Qv^P(I93wKBM`MweUB$elK|1kNLAS7 zLXnGm{^Qe@4ME=weXu?y9i;B~%Zr!MiaX?V=%;!alr4CR`q_q6Ow=m%@F2f0*Toc) znCKO|ygMI=(p{Hun2CY`#ZGkJVMgBu-cZDYfqvXa_*4orR{H2sLCPuDUFReX*E)`H z^`&6tm~wiCQ>UIQt;sTFjPpi(NF9mF-l%LPO>k@!`v|pIx7FD^qjD{f4Qq@dZB%x9 zQD1rVH?7)4*7mfL;aZp~ci-cacZ5lDO^eIU(9MXQn&@wfzBB+ra4jZ4V3Fc%1G|c` zeQN1YrdKW=Wwr!jt1<23Y7dUoI8~ElCf9xX(ZJSzP}Ry_!((?!SU^SHl)q#*c&qqU z8J=1dRTScnO!s1m%U8A-g(d9^WJx7jnlpdCoHP%+3X;c?R!sU$u%W-vzj#MKKBxr* z5wUZ0~F<>@Y+o1N^GW}3C*zFs@6d(jermDAnv;eUcY{ruOh<5DI z4{Fl~=ia&fBdY6$g5NPQ8#Zq0y~;_p5Kh`N$ZX1n{s%ErTBiLp@FSh}PiO|&){sZF zP89E9^esSocnEVnS+2?xS%s~>KN#v;h>*K2%t3@I%fhlZUXqJZzBj9v#N_TaSAc(> zlEyI$P~O8fpqv2?TbF~hMT9Cj)LkM8cjq2ZGb4oM=lxJ+)FvD6HwaXTnn%{FYYm*O zVwM^{a-r9hslY(N?)RjsEYJYyhr7KqtRPI2Hwr~Q-oLwY|8~|F3QE}JwM^D!~(0yj`)Ft_9p?~Z8FfJT~W*$a1&Aq&MrLX4LST686K z%MoxCfe~!ay#Q!}kt;F0ysNW1WkF6cWaJ5BJBrk4o zSkp zDAjVFYjyfZF4L(r&k&Ah z4cZ|Gx%#9FLT@U{MQAj^xwO)e8?N&vNh7y6SSkN<;=yU*T+!R!773}f>9lGm5B^8d zp^}#tHMVLyOQ73}WW8KF`e35iPvZLs(vBDmoK)wzT;w zP8&9bRRPat%9RJ{3&y;WkQ6k5T?5?u4LHK7fg91`gf#gp*UZ5+q&c@~{_tH&J)1Q< z-gu~;neVpUKk-ndT7SRINBp|0Tc|{qLP9@Nka>2!q{X&0C7IRGwca!Bq|tqCIy0A9 zGA%HMiOg(gLg5p}a6=8&5FTvis9CNg8e`;fm2w{QHZZ9?z6*J}oiX@naVTiY1GAxR z;kclSS*gFTcTb_07r6cvfhn*8&Ec;}X$_Lt6nWBj@TZVz#B1#=y(e7KP~f2jF>`YR#`cNQtMn5f`eq z{zNV7sA|XQTWA$8f@|TjGBwI@1LjS<}O57?D5hRgB4w;iM(+&dPfCt}E zy>pW`DiF^{hR|Y?3^^vF4cAQYaqq3t@v%kz_Po9COHjuEH-<1$dMNcTNMZNLcj(pQ zI~{U@Pm(*TeCFiH3hJ&OzR2T?R62Py`_lG20nc8=~;p08XxKP=zsx?Z;T{w;doV>| zqQ(pD?Js{i&+)v#)0=!89T2o@WKQ0oN_B?a0Lvm4T126ZWh0Uh4#A1wAq&e&bBd{J zZRh%ZR|J6}wWvqiQoO80m(EQ!rZ7Jd?8m?L2g2)5krC2Dg|2rQId+k6(^k48P?p zf=$>FqkQMRa9n%xT?953%}BBCa<>O3rP3+54op_%Ja;3V~XEO$(4nApyBW{d3&fJwLng$iAE(`ry&Y#_eS6`?&ULo)vpbBt)nM%p{z z>><$k6*)Z;t{w<#+5&r=N84ZyG(*F|XWLBv;!*}?U`ap?Lw0||w@Rpiep`sMkANFw#uN*HVLF&!JL3*bD9Mos& zMY5b*qgFu}7xy&}KId6YngjIR+YfCejgi)WUj&}jj+m^a609nZT3x4qwzh(e_ZAF) za8Mqp`7H@M7Gjmp1_r9q`hHyvA;I%KL&xw!(G-@?@hgn8H1LtxnqdvuQ|>KX8i7a2 zF3*RiX7$ro_TzJ7bCi*1T=^|Ja36FZ6|G73`@(!Q1D?bo7s#`I5>ny@6Qn%jK?3G& zA02M&Dhx!}>SE4k7!Mb^^b9fu?`#U>xa9=F#SkREDC68%(fA~)A~)AUkV=wmz5KqWW^z#yb8YmYyit#<4uwQx5_Aq&aSB)yCY=aiuz%9&T%9CA?f{YRQCJ|gNAeaY`-*rQv7b`W)=$4 zh^mdHiozX{Gm3UPG2ZYl#}tdvkJ3b{S~`qMPCb1I=1B0Z8t_H)!=I+_8)NS2!FyC8 zzVpl#iVen7W)oBMx%kcpuNh4@_ov~>Q>{K?9h278kc#V!zIFbmTyB=MgaB)IZQFZp zi1`v~IXnLx36jeJe4u0kt-7QTOH%~FX5V;5q>C(-aq@vS0YoNY&o}~b#|#s4b@Hxl zlxMs3EoOJ1AUG)CXAm3QYU%p9q+)zT(0vn)k%|u2>B!g;4_7L*4vY{TnQP745%J^q z;s854rol5{GiLF5%3nY-?hV|KKtL-dm-{!KwDq@s`*eR)z_~>5t$8 z@-zMWzI4q3*(9O=da0Cnip!whIggllo0b)I8IZw_206JWGajzOYb>%hn!=T}k>#Md zi#L-Q=H534{lo!_oz9=GrxX(eSVqY37WtB6z&aQi?eBBA-^Vv%8%P^>h7t{iCpHW% z!-2}(&x#Wi5Q8z1MTy`Qv26QgYV4izu_OM3`mxw8HCK19>z&BXtoW!N0b$TJfWvcA zOA@gp3)Li{L|ac|;l*NUVaU=vaR-yvk%GYcQQoHSHW~}e_)n**dOOFMRvpWqwksc` zS39R&%XnMN?5X;ukc+^t-bdZp;_11;jHhh7&)U|5vmW&K7pTjcu{yb6PRPn$q~dw@sA-;aK=R-;_CiQS>sAYC@3c4WUt>F|; z1`dl^=21tj_?=4M+#5h0)>MyT)oFg+XwaYOgu`uB**~{~NGKDmFA4%VRRq>=fe*42 zt?iBU`(1aPlnZ&<9K%kEb86EQxK0{Hg^ z-~qzaG%YrWBxf_@C@c6KH2WUjt%dhUemP_hL6nANOUC_hvc8S;uhfS2hlY+Om}$2L z7Dd}`KBa6nZ0%MYAwz|Vy*6L21MLh9$rc@b#8R-lLN+$8 z*_WJ%qs;EYFGuZ75fFR;P$}cWf6h$Jz?p%6o-IdA(mh08y35N~2{vR=$;%*qYSBN& zCjzET;_B#j{Zv9D(TT_+7%9g1}i_L_K@;XKl18Jp^lns%XTFhpwAfF~KfKuVU+*8JaIQXat$E znv#gAz}&p)-)i&VkD= zNXxxbj6KT7>P@t&r;$P7is2@jWo4VnG{re8=yY&e3{y|>?|hJB(T2?-oX6P?Io6ef zH~_?7{TS7PiE#ORR{hMy=X|eay-V9?-Bb`hAd-yCtk1xH{U$3C;r=D;XYcFR zCJB|-g zeJ|||N&k$A2?IKA7B~(~Bb`dEUTeq}Z)E^;%DKH?#++L_>b*_ck=<^=vmy}u!lt7I zp|$llQ+J7iL9V{H+qYUwN&W69DCxdaxa{Wh5I1NU_wmWBAc6=5#Xk&-9yd)fMg-pl zGN%z8@7prfyvFmMKVy4sM~J}+A>Nj#frjXVe&dRHs*luZD&7t{WRCtt5=8W~0hrMa zf6ziwP0w}#iS@-q^jZ`yVk~ z1La@>Jw|RkSu`qehq=L=HIXW1W3WtU8JOw$u;2Yqa#)XoI|J`+Bi!jJR+df{4`SW0 z`KvrA%QZxUll0+(OR@jGmid$spC^bJWAeP7)D7tN3+SQArD{Vc>^J#7-j7S;zzC{0n81d7qdo zLP--tEmWhmWTCmQ?e%-Q8`l&_QW!PQ0%QKj8s%Uby@=uhBuaY5*KM=824aS9Xjd0% z9f8x}Ce&dL+WYwzk(-PbNirPmLxW`pomvTx_h75NVB;{(5iVuCzTo7FU>;+ew%$Su z*j8{Ea=E3qhQ2&MlrFL0NKu-^vLx`>rnuV$$cgtKJtKmT!`x0Sw~B{k`kHl#Jd$@U%-gNvz z-zBN(`;!E^x}SyPhH!?zzI0;Cg(quvc-N_zU8ug}`MhLKJZr8(IqI2E&AhCBBpUap z39;1bD3AosFEQFfYv9l9T6q9abvq)hvLQDQmAma;bn;Qd8+GomV+%`0Yj& z<4<56!5Q1Mfsh=&=s8SG>RoW+jg!5CBRR42k4L_xOXS>_Be7>+O#sG0_GKi@(YGhz z>O*PV7<*n)8vbYT5#AG27oa(!gIwwL-nxUdc%jhb#y$^JkU7ui3d6ew0Rq_!{WNOQ zb=HJJneB$-fywyD+ISM16LhrpW^X=B?H4IV*>r5kS~*2rn^X;@iRtIP{-7>>u)ks} zKoS)Zg;+*jSPIsXesl&JinWk^o!fI=yp?iK1eGsWbx}II2-EGMc%PA0nH$*`8uA|a zxZ4!qdRjm5kxOVe zs^0doQNR$I?9X-E`S7!{k8bEo%Nw;OAHTpF!;4uA(xDzVhbHvg&VZ+NIf;o(>MYl? zdTC|f>JjS^w%K6Z`5ug*ytt@5n9Sg5!GJ^e*67F)jf!|yKx6_O^?0XzGqd84!AYP~ zceDaFw^7HZ7iTbjGO(l$8TN^3Dd-2VUBxl$VVIBNYjW$)oCj z9!NTMn-CSCtNPgKyS;zWFzk+RN7!qlRTL@pHJmc`JQoV8T}z@lKtm`$MluI}GW*!k zElZS(fI-K%iR=yUm2B$1ZPaZr=G%D;Nd$n7$bjL|)!b99?I1^zlbt8bUmW!1j;ox~ zQ95!jYQ#SG^k6uM|9e8U`q)YVR$Sf0OY43IQR<^Wv8-EaE8|TGWa^exFs_ho0u5TN ziaB9=?Wfru6bv2wKX!Xl%l63BPnE17KtP~BmHMZA{sj5(|L4lo<->oI>R-s|n~}ZQ-lFU%&$Q)#pntoSr13B0_P@2Dzidi#Bmx1M@A!`v z|77{$|7!3r@M^;9n_)UjJ?2 z-%;&v?(e((Kb-R0|IdBi`-(D<|12s2LHzuDfPsK`yniYKBF1jyDkpAgspO!n#r93> kyOO1rs=O(kqdEIGc~xfxBX(^ySD-vAyR_7oZ+86u2dK&0T>t<8 literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt new file mode 100644 index 0000000..cdab9bd --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt @@ -0,0 +1,338 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:40:06 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt +| Design : top +| Device : 7z020-clg484 +| Speed File : -1 PRODUCTION 1.12 2019-11-22 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.228 0.000 0 114 -4.790 -75.447 17 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.228 0.000 0 114 -4.790 -75.447 17 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.228ns, Total Violation 0.000ns +Hold : 17 Failing Endpoints, Worst Slack -4.790ns, Total Violation -75.447ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.228ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.745ns (logic 2.311ns (48.704%) route 2.434ns (51.296%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: 0.008ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 5.433ns = ( 10.433 - 5.000 ) + Source Clock Delay (SCD): 5.628ns + Clock Pessimism Removal (CPR): 0.203ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.490 1.490 r clk_IBUF_inst/O + net (fo=2, estimated) 2.171 3.661 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 3.762 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.866 5.628 clk_IBUF_BUFG + SLICE_X112Y98 FDRE r LED_PIPE_count1_a1_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X112Y98 FDRE (Prop_fdre_C_Q) 0.518 6.146 r LED_PIPE_count1_a1_reg[1]/Q + net (fo=2, estimated) 0.619 6.765 LED_PIPE_count1_a1[1] + SLICE_X112Y98 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.637 7.402 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.402 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X112Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.519 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.519 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X112Y100 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.636 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.636 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X112Y101 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.753 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.753 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X112Y102 CARRY4 (Prop_carry4_CI_O[2]) + 0.256 8.009 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, estimated) 0.956 8.965 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + SLICE_X113Y103 LUT6 (Prop_lut6_I0_O) 0.301 9.266 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, estimated) 0.574 9.840 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + SLICE_X113Y103 LUT4 (Prop_lut4_I2_O) 0.124 9.964 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, estimated) 0.285 10.249 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X111Y103 LUT4 (Prop_lut4_I0_O) 0.124 10.373 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 10.373 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X111Y103 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + Y9 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.420 6.420 f clk_IBUF_inst/O + net (fo=2, estimated) 2.062 8.482 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.573 f clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.860 10.433 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X111Y103 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.203 10.636 + clock uncertainty -0.035 10.601 + ------------------------------------------------------------------- + required time 10.601 + arrival time -10.373 + ------------------------------------------------------------------- + slack 0.228 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -4.790ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_Leds_a0_reg[13]/R + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.292ns (logic 1.416ns (61.786%) route 0.876ns (38.214%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 7.066ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 7.066ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + F22 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + F22 IBUF (Prop_ibuf_I_O) 1.416 1.416 r reset_IBUF_inst/O + net (fo=17, estimated) 0.876 2.292 reset_IBUF + SLICE_X110Y103 FDRE r LED_PIPE_Leds_a0_reg[13]/R + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.490 1.490 r clk_IBUF_inst/O + net (fo=2, estimated) 4.773 6.263 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF + SLICE_X109Y102 LUT2 (Prop_lut2_I1_O) 0.124 6.387 r gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O + net (fo=16, estimated) 0.679 7.066 clkF_LED_PIPE_refresh_a1 + SLICE_X110Y103 FDRE r LED_PIPE_Leds_a0_reg[13]/C + clock pessimism 0.000 7.066 + clock uncertainty 0.035 7.102 + SLICE_X110Y103 FDRE (Hold_fdre_C_R) -0.020 7.082 LED_PIPE_Leds_a0_reg[13] + ------------------------------------------------------------------- + required time -7.082 + arrival time 2.292 + ------------------------------------------------------------------- + slack -4.790 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X113Y99 LED_PIPE_count1_a1_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X110Y102 LED_PIPE_Leds_a0_reg[10]/C + + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/post_route.dcp b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/post_route.dcp new file mode 100644 index 0000000000000000000000000000000000000000..1d4374e6d8d6c8406b1c4bbbda44e9b1b2c2a95c GIT binary patch literal 271301 zcmY&;V~{4mvSr)0Z5z|JzP4?1+SA?Bwr$(CZQHhO?A*7z@#6lds?5rh85Na(D)W?r z3@8{H5D*X)5G=T!GKUViY#J~SkQEpZ5ahpI6JrMkcUzmN#3{QBLA0QiJLO1?rU;~7 ztdh8^Q6?_&e&5%ygruOfJOXr9D2VWn$0qA|!3yz)t`o15X}*XwzUC1)w$@6hsS|%X z>HY&FHdX|#H${bb*4xi&S+H7SZu%2{GCFZiR=#?hR;T=0$Cb5slbt2cC$;W#V)|ccbNj#i3&UTq^_C;Gs`X0F z-ZrNfuH3ATiy@}4?RNTkOyRre%&6&O2HwI=umVf=?{yYhY+fue1LGLHF1J-HwR$&jA3&n?f>-kc z6PzG4rX|2Hip-z;&XF)vW7zYW&I_=Lymn#PAPpjz7|VW^rn6hJiF6RAO_&MIxr28g zrLq&f3K1c3Wd_@R!$KZ?eikmRZH+M2V?}v`D*RXW$k{{Zd~kt)9{!F0WY5{&fx*GZ1R5DwOzTWbP)o4g+K)V0&*RJv4U7y7SaYn%@GSXSLs(j)od@jfI^O-; z`y}gO`_J#0lg+f7Hm8&6FMIKsy8?!s+)lWy9aG!q=PQBaX|2$ogHNIMgb9BnMS~CY z!?l2l@hMbof&f6Nb-jZ>=E;s-aEXGAx zcBbQ>sRpPEg>LtxfY@b7T-N?o>Y-3-Km}zF1Tq`hDp>gqf(r~P7o;j;73e5;AIY^JfIG!eaZwvgTU{I8Hbe;O0>tL(q=zWAU|CF= z%ip?cq4hI(4(-zYHQ-n~a1E5WMv^s=i-=#AvQGFt0)^!{>RXq3%B}jcvnY0C>H{x* z(Y%GI9nVB}s2C`y&w1jbN6l{Vxz!E4RCfW^!;w1)3ypZxgT#nFLSN$c(*_r&v?tt;>Jem+$EK_+H0K@S=!UPJipbXU^d z7PlTG82ykg3k|Lj@EP;(Z);EcZ+ccsgIBf~@@UW*MkSVYbIFWmMC+OF)o%69=JuY1 z)uNoNxqK|<0V%=bsw;R$_w$sSI=e0jCV>_+$2{NZnh(>_g#9Gb0Vw6)5qSeR=26=Z zI}+K!gp0H9o`Ka`(ewUQgvu9UnXEW)w$0PdTe078CE6is8+f+0ECML1U+lTHtneNkT@bp6JH;F`8 zjc!sMev!O)j5|^XdUiVSj{fDPfx1)=*K4e{C^p7t@c4pQvBO%_l@S(gu7vPQd^-#c z`Yp;Ux~DVZx$t_Zxb>{ItLw?z{CqVA@VM-*%9J>v_~V5+VK#=Xqz&5Anvu~|hBt;q zT>c`ESoN8Nzoa&;8CXR9LFg;PLT5i({@3s{qnRP!#QqS*D*mt@Sbv%NhmVIzixUaF zX)%etlO`QP+dPu|!$pWL2`*w=sUSZkVgU=ms`Q5M9f(Vx4o@pMo3-?* zq;jUvfHBNq-|7Pr*MaBknRMtwHJ4eaK$LE=8ES`uz8p_1TB-=di~h_G8~F}9>CbDT zg6T#7zU2MmNz`98K|WZ78w~__S9#szOw9cnr%tr{BWec7m?;v$=UdN}OI9E23s;iV zj9c}UWKoVXN7lvd7Zsp6ZZl*lB!nr^*ImwXglPtg-iZQEL=MAX0*SQF(Ihp?MkBqt zvma+DRtF;N`{=Ia6$)F)Ka7=q``uK0x02s)_%h4r;*nuD>~d|bMnhkrDviZ6_|vY+ zUc(0oPS{ACDYOoQw9i8R)Su??L^*P1C-@hu^#`d$Z~qWCbjMuOB3nPd`~-+2hN}og`36H$jfS^jo7YO!>ecf{j7I zKUi|_9fU@K>BCnyQZ54rZ($OJ$|Xott1C)cGPOEn zkI4($=BkV{%WvA#Q z#}vzosoah_McD>y7--<=!KnWpmlEeF8*hr!%VIAjbEm`pQ!BP~%8q|&%k{z(SX+b6 zJj;~i!)9~uWzflyN|TBfjjKzXUvnKqes{p!a*jV!R@_3nnKAWVoy^cu0Tj)}u+1_Q zm%BQZ(wXnE1VUqk)tbD&jqCK3ec*}P%h=t=-7$QLTYdCxpn1f$TxS%(f^=>UWDgEp zB}^@O8IRCyW9J{4i%}*t68m>%k?XY0Mh`?JU;?%zR{fw>UKPfG-zSO~0jkzoRHT%i zh6}Al&e&bhd0R{7ch^X#mu)Aq^{R!%<*poD#R#UBqETI*VgM1y1&L>~MvuDfYLA<7 zrW|P8T4elc^IA&OIn+g>nBWp@aXCtN2CGj`(hzO+=WlkPYxj!nOOJ4-v<>R$yrTn= zivbwkBjtPv&xp4HsH!QrEBxacipF`tcsOS^ApnSPAT@+8SUSCWE?bm~kf1Z?`@?lb z=rv5Mt=W8qtEZqgMMq%6bqV?qPxx)ZihiEgrqWqd*%(Sm)OYi{^oP_?y9e_&sub=o zdq;l7Mt5Y^p2Irk2}L!=By=LBFJ7Q&4H>fcrbboM*Ccc4Fak^?T))tzxJws}V9dI^=$KsmKsg zEmJ(a!P^L(T{=LcCcZ-)LdJ{_22Fuv&4BwvJSq)sO!=fEArvF-L?Cp1rbFD%s8^eT~TJ3 zV^)z6sy3F?R8(wQRYLOXav@BR>6J|LD9Zye+Z{BztI2+FcnFVeF&~|CCS0!TA&~_? zb<~&?%7p~&Pc3Ry_ASW76tJu*PFUTS_O>D^0alu}O`3KMkor9+Pu*`$qgdQJ;l({5 zMo{j9mlf$-fuA?1+>sW9-c+W0+Ysh$zK11LXggbIJMFFEZ~Um#41=eP0%!oA@uPoYm8ERT3#yi9{8PT346@ z2OhmmD zuy_+#q;y5+LijM-Nd>eP_x$I_snDEula}s?4Zf(0Bml@mZKa48TVvuoS&(WNcKYJ5 zf_4(!b^zYcWHb{8{73+C4jzFX-g>y}Q&ptiK9}1ImK%gL>tga@}3w_$?|aGF4n*37(ZAP&B@Vyhm^ zSN=f1xU0pk_!8s>6`R3d$8W3E{ygxZ^4!SHMyJ0TwLBa43L?YBj#y2_A5pwqFus35t`2U6&lTwG@nd#ZI(Kp>E;lipiPA5F%*_raj}1rC4^AS(S*B_07`XN@ z4_isF@Le7gsMGy9rAOb{b7&c(0FXC?ccxw4zLkEHzV5bCDeTRZqw61cVRq&J@jH?x zmH{%JosrjP0+`*!ta@WJR)!lltx7hBu6{&X+){&G1a4aJK+g-$#bDg#X$hF&4G<*- zD=IR-^?l{*a2NtX1)%rUM@dBfNtx20@ zjh#R4Ar;0Unlq^SqA5h`oJ#P;+7#jeiVmTc8euGkv{ytb4YLB^*@h1<)5w=pUMg$P zA7K^^m8ZjA7wzHXs&k})SNJ>kgnCm~tO}a3^(M|ppgCF5(a_TslGQ8NA~1f*shP#a zdXiT3d|_KU!AvdQoA+ia?+qQtWA_;YK?99fV`xvLU+5bzIFJcoO_-`5v?y;|s@bxe zslC}9tOk&klU%Frkm#*z7a-DAq(9*LSMFWKac{O<3xOi=wFUTWTspTotE^?}*a^q% zf~hom9z}s$$P_dOX;!Mdk)sePzF!ql3Fd!lKknea(>zceuW!C8Ctcz=+QU=BxLfc` zuxzu};0@Cyeh}=!60*hM4Z|gVTpz%ua4@y7g}Mrxb*tcs;|mlL2;lJt;GncDp}IMK z#6a0WW$tc9t9V#C=@Ub$(Lg9;CAQcAyO z>%GSMdDiC!5FUGYfCiHjB;9-%d*LyloEq-yuq#MIShe$6%Ao;Qu?Iq;xERao$8Jc* zd)fv?X?b0Ki=x{`wf>4V{XbG+nGtE}t6mcIR(BlVOrGPlEC3 zqv2FOO*2YOM&$B(8uPQZjh~D@wq4O+Ps`+$yeBmI9@_QEdqdR7^@)4K4EPB;7SI^_ zcr#eAcOtlew0nt3~ z!qozU5SfSHZ+?OiNfBqSDu|YNequ#9k|yUOFTL68;Q2)F_*BO%W5sg$jU8y^!7+(1 zwnCK6tBcho<6Xd?zHa6eDp}h-v9Tp-V4V;gv33aZQ@!w-nGYWuWzWmqtW0O8L21`2 zYe~EGhcN>EBSzUVv%GlugIiW%zD)gst|enZxOEi$8sz+pOCU zrYx6V6D$F-pHGMrEPqAJ1K*jEZs;~=S^^f(NC7gS+B zg2fD8eVX*V11hqmObXT^Lm;5)OX!+|)EVqytL)H0ct!AcRj9e*;ji4Y11hRPXL+6tQA+w>Z>1)vaV*S03%=`r?rNGd1DM zj)bR7xutG0C)8{8_N(wv-uFDY7&04~a!L2<|p#_*c!fa^Ilm zr(V7-=b=Ivi-6LllffvsCi%Sc*-+!_jM;$FPA;OJhdP-jwwVk;QGNn!F~)*l>~>BO z{tqNx3c{Q!Zz2YUNg_c5v#ubGpMDZ$9wUy0U!rL3n&{K@I}-$Mz5P8){oyzPiK`t6 zS2aocyNnZ$zW0)}9^LvFjkHPd?8Q@zt+9g7Uq3TBZ&V5>rfO&v%m-URgiXNIB}WU} z&lo*D)~$W(s3&>!rE*t`{`zv;AAL7%jfRf%T}nDfAgIU0v17W5DIe|`SO*XCrd-1c z1+@xkZ5}jb9zTv5oXfUIT942(>fqy7nhBEfLH|FtoZN1l*!GDfZs8J!{w1;NKcdnm zs0UxU8<5eLSu2jgd4C|Wnh9F4GuHBTTVvgQqhu+oX5mthsnjbrhoVzfF zlYRKy^=U~4LguR%im=to*q?B*_-f0ZL@yBeuWO@rcYhu4qU)KN`>rX5q4=0aEXQidfbJHBWW0<%OXvn>{1UBm5)ARCyBpj@uAwmW^7% zJR)o6IK>yyfVPY9t|6%llGSQv)xjqA0%55IU3pnCCsXzVhrBD-sGN$^DuKva6Dvdx z(TQcJkUq%TQb2R_WmuZNz5p6Nw2nn*DCZ)IyNju8CQ)b{V2}og1 zJ9>6IN-IcITw`O()j0ZehSduk$ftu@F~}H|!yLQoO-4_|^OkK$>@Mi8t4DNwOMHFQ z$5vk00GrAn_$B!=562e0Qie58{^nu?KBXcFM@E5cdpq9)uTnAyTO5jcuR{F znSL$nDZIVu@M;Iw!bb(E{>3!Sg$Su*45z;o2m<(5(kN2A=A#wd9B6162w^s9)s4AT z9B0xXoJMwNKj9OKNdt;97VNsX5_A|AY&=DAw_Iy9#(ECoBWlH}%gZa$)dE(6%3&+9n$jZZk zQxQSs2V?Tl#9xZ2yn#K^R3_%UjjX!cx!DZ}PaP zjQDof#yANz*wcJg-KDmGf;V^}^_3Ta$ahY#^&fk!<_*VsoUUoxogCV}jU!f2{lT9KnWnsQ?uF>KSUDrgSw7?IXB$Ge4Z&r=T>bL9 z*JDAi!^W~lj;cl6E8-ops?q$WjuTt6KiH}qorPkwr4}h()>KrMGbP4xqVcy-hDdpd zp3_MsmTnlAkenZM7nJX<*?ZM>ad}jzzaa)Re9P!zFF0EwzlAk~|GP3#`1;bM4Ygu! z$vIUX>!!nOJ>Ehj1WcCf>hK_-6H5}=hJfH_?KC2jCojs=wHd!#8y^vK>{qqysXp=^ zv3z%wOe3ha>YnEdLw&)gY;~P;$?w+qkn+gM!3$KZn_tuh2`b!6LNm$v6QT#^*0Tv5 z?voTl3o1Nvn-KK1x6fgnIrFZnzLnq4Qc$T{-H!VX+gC80>7$|?J07G7y9lh~RW#L{ zz;8|!ncc`S=V2Of^;Ra-ZVLxU`o>yq^Q)Jb%9(N6D?>vsOR}AgNA_gQ2vwT_lwy4v;Zh$@@0&|J3!M>UxStrNLoEmED1<6g}+R-R8(!q})6DbNejqi&2Nsc)cicm=8&&v|FwM=YBaF@{b z)BXp$eQwp57EN0y>_$5HQWdBk%sKO%^HR$xv2?mu^ktJHic}$d8y36TF5fRZ#2Gbm z*=2_HAh=F$J0P7wZ#Q4{M1e&5OV+w2Al-wI#U$_x;bkBd7WgucuF9h7q zJPQ3Oh`-5D+i%_L3T`}uBB3!^ho8E26vuS(u3vyX^9Cr*QHOdwKM6)3D{7oUdr7y3 z4qgUeqArO{!fSAkZ@keQv|3iH{6 zZhtaZz?5@OK!A}B+46WyA>I@U5*O3j21a?iOiO<==pd=}_6g&y9HRU_ z1pa9ky(ixj)nVe8Oj9-k?crLO+1chhqN&N>-bcVX$_X03p$$k-vl6+nRiY(~k|^5} z3tGQzvCJC14{@YIDPwiAig)pMem`?Q z^dY35hx16s3gi4lBa8R9!wpm36b>@=JbR07X@Bg;ylQ(W2Wk%f_% z5QV)s;M2%7k$-2JbXR)02m@?je}6ar!mj06yMWG+T2SFyBnzHSDztv~;HZSF**r5d zX@+qUURYplgHR^+Cxn5D4cJ#B2aPd_3B|~3J|3B{YSlF@k%z?JczM})VSQd- z*VAjGlrr9g_n(cg&A3X|u0hmmYEoaB;*YALR?JYRm69M7IAg=|Vf(}O_h;;4Cg<IBTy)m6?V^ueCkD zf2*!KmmmsN$W0xMjHMeQEAZLCUhIP#rv5Nd{YicY!{nYY?Q;%>j$P8c-Mz9y{!QlK zKM5NripF(WfHOa9Q5-Yd;w~i>p)x(VTrzYcu#>a_EP@x&8LKo;9?*MpvKAEXzn6)x zOBJltQ#v+0EUy@1<~SFLbDPt+;HLAq!FDA!gM92b9AjUeVgKLvg98pPt^x-H^vLnQ z9Hyg*;gQys{l+qS4IYnWwLtuKYt%p^G*6}Fhk;C@i4?;uaIstKzJ{mIOmJAuKSn-%1kbHbjO6F{B91B(mf_$+ zM)#SX(>I^fZ71HdrbEhy;YXuS_f|u-a?xQ8oryv#d=nV(jsUp5366FU`Ti68Jnomt zM=kU%A|C0C)>l$CVp9jwEsn0nWCmIYDS_n}^eJg|mj=hI^9aZMrNe+T;)TJ??XR1U zxqShHx|{k$<`rU}E5gc%v8O$s5x0-x1a8dX)7I6xRo2NLLRk}5cQ>+$!8!N}Y>sX% z2F~XdkKnr(pj#Gi-uN}F-ElQjb%vW7wUvsF3qXKqq(KL01$_u(^91A$1t-?8+Pl&+52o^BOr0ogwo_{A^*Bqx5unnuBs9(e6>e! za$Xzv#^EWNo*;N=cYUnsxRXMT4Fyr8oK^>1IUx`M-$+IEt@n||kjRRjt!vu(^+m`j zrGC7(Tc|l8;M|wD$%u3(mhDO#I!V^iPk-}4s&z9`9S6?2Xk0Gc@rN`DaUxWYm}KRW znr)FdZCyGWh1fKkeich(K9*^Hf+3ZOv+9pCN_WEX+UKbtq~tIc0)v&QZ9JctxSHZQ z#oH?g$OE(g`CM3Z+7stgEhzBIhj%>!%G&xs9`~KD`c%)>{^ep#6I_Wh;6U6>xbT{M zM>)gEtIo`>-C_yeSQ|!hkG+Wl3-XKER9i8JM%F!EP0f+6r5X|eUBY<50=_8u?n6JW zx9Mw~VD?$WpvpI9#Q%+VnJaCRjKci~n2BPq#Q2Wim$_jfI9}OwHXJz6<8X0-9^;Wf8eM`Haj-Sgrm**X zhAN5t^KE6VOy!_#Q-v3VUE18`+R?nJq{XFydV}s0LOSVoI!NkBPQw7rf3!-+4vDGH zM2hCn^ZWj7;%SBas+O}tVrywcG9vr7-H=Y-4y$?LIeN?Px~!+)>F#7f{0p6NCCAfj zJiNfuuV?<~<07?M?hBd~s?NGJxWv8s_5#hrWk3Oe9@4CNIGO@|qD;UFDyL+&$+3V! z1bi3rwESPW&5Hfm{#y2G=~6(q(rkt6m}F6HBaN;313(i%z1y%TC#y1HebtkkB9=h- ztzC~o)g4hBK7^8qFceE-Es3%;@u(8S&E{kwC~3WXmi)&AS|5;)jo}N2FKwUh4xy{v z!lUpGR>I=mU86@D9ZrcUJ2cpE+Fs1lTj&kAm2tqzSlXgjc9G0T%{H3#Gbl#b?l996 zV)djCp-QK9)yX$pP399yaDa2T`zFDIS`|ed8nSZ&qmyWt5`C3F!`k+|`D>$n8*@!-ZIwU!Ren-y+Pd3-2lxj_uC-bSJs#1!_*W37Wdt7!hXCgYa+rnI9n}qUCr0c=<>G6wyFy_St3+S zRKOG5v+OlTh=HUPhFLbWCEe`L*9ctajW4nxY%Z9~2>DBNka&KIy}2|DYjvH{BTTN9 z^)ukOuAsV*ZozX7a68W+{S2P~p`|dH&hn*2Rf<2LTdvxJ=5d;%vf zMpPvi#S-+L(Z@Cyfm|KU5+%wbL!qvd>tUd!fj|6$tQ?CZXL~%D$cv^TA!TrA_P}j| z6@z)55q3)|5mVZKCKma0nGpahHE3SAT_{k7ugxw1M(ld-TZbdC#^T(G0pJIO61BOh zUg2cs4RiTdNVZ@c@S9Lw{dYq12L3rCKkW=o;J`GwRqcRlmcNfdVFehtpPvLAM zA!tSCNsIwpBlHg-ZzI3eQX?dME{kxM&zk65BRT$5frijTP`sv zY|)@YQ4QbbPmQl0V07RQ0nV)HuWq{LV2DRvpvVmNJ$q{B1w;vxyV<`#ARzmtSv*(aWQ z%{!_*NirRGp%(eTBQZ_Syb>qIs3dZmsXje%woIhrK16nr+&o2o^R>x6k|FA+m>8olt6mz`K}H2K7#Bf)aI~5|_~H zfquKOrkn?+*&G#3YJnKW&j0S)73A)MTf#-7E=egEu}_X{7KYAEteHI&%LD7%7HVl7 zgeW+@=@nG1g+qvr(_3@c{U~TyJmW=7O_&Q$d%!;aoO5xXreuG7=IHOXYm61#t&jkB zJ_c_M5cI=(PNsygN{mBqx&?w(>_<813Bl-x6Fav9-rB!>!(EaD`o)qL^afor6~D zZQe09cx8@lR7W28uf7(YG+&uP0j}%CWKx^Vq!T0b2d4jCMc3`s3A^(UYnjsPxpu4E zjl8bGA>j23B>lJV{;oHRql`BL3emu2WCG?51KiZ-jxq+9#2Qz@7mh?xI|68Pq|Y?FG>>KnrhXS560~)7tx&{ zp=jQ_*?ZoKsoKzJ7ymQNR-P?%dZ77?C?T0AvFL4^KSm?Lsr?Y`87WSiodMgI)zU$yZYt-L1;&>MFG$ z&N;M;vs^y;rn6UtRz^ki<+YH~P>Xm7cAbD>4$*@MXf`QQLexS^UC9qnHil$ztL!1C z?6(?@ks$p-qJBiz3r}MsV?5}0rysfCY69;7#2Ti8mXDx8R{=!>`pzFSx-Y#~Pna!w zR1t1e^i@Ch?D&LJVs7BO`&Z1;%ibG`0Su~WT}#bZQk%3@%OB8**}-t{U!!EY?bsez z9D_!Co2P59b)t-)W-wciJXq$Ur_~x;S)vYsxjom2ru`Fe@8~a$FQ&gJxG%gvx{q!` z4gv%ZZvs)p?iBs{Ua9oKs5I1Xq*vq7cO#@enT6fRCBrdY7ray+|N3MB5eqQU1=4## z`2cWWi0PsX;2ZD~z~UYx2jWJ^zEeB8XoK8O!D--xE@7S4G+epd<}NcSr5WP8C0|8g zrkr=)2?Zr`cvMP;oLMFg(tZV)y)_CMHFAe;5cTr;tx3eK>l~(acaGe&Vd31 zw8R4RkAwWbpC_Fx3>{3Dw0xX5mRwd6$luOr$2>glV@^I*yeS1yWL)tep@?Ma=}EN3 z>qUgER1&cE;~^ybYlO?F8*5!{A;}vRmWwNEldAa4aSJwx*mw>e9n@T#uM@8;am^bq z-i>uNdDa!1T{pU3x@x-Lz3*0re3l_AJq2DKuKx<$bj-}jh6(NpWT&Lyr3!HPd^S%G zZdRqe&#mE}unes!H>^x%XLb-Nx9$yZ$WQ9KJ*rTwq~MPp#^6uHdayVdT_0|SX&*;l zYT(0wfn@Cd^tvY2GgeD*BdxM_slvXcIB8)SBYzKDo9~2H?f;nnFs0EQm)u7gRdx2T z&0#S_QMe0=Tff~6?-evWjDVZ7@XMZGjtw+O9Ha136%4%>a!~%sh)k*&h;nSwC_hAW zy^U>@q+}l(7}jB23NP}AmoTX;H??5NJicOCTYNH4cS>Wc4-mu8egincy8o)2O5mm7 zakhXA2Q^usS(h1_o?cvyk+9|RF8N@0dTK(T1t%Yac2S^g47uMa;n*);BB&TY zU|s;@yW7QLM`x@KAgvW1jsirY=|d|UdLR-^EP?5h7qprb7QI^4W-uU;yyD!Rfh>=^ z(-s7n7cSv_JH*!v@EM3J;$QDx^lj$bG4TXzNp>TrShxOO(r8j5OWw~gDQQlY5Z8jU z>|R@=M)K%XqauI9=sJjGcw0V>W>QGEXLaKG<)TWhP7gSuwe-#g{GyqX_0Nf6^&1>} zzn1g%Lz5GTXgj~{8zbn}E!O3cI@t0GNo2Smh3f6{D!II$ADbWeFj>de92o9!S1aD+ zYMb%%ObLJhL>+!HEkk2bTt)Y*RLxI87Sj1o!Bf65q!--N#Q%}p`JD;+#Zd;5&_QhPIBQZ@Yfa4;@@ z)q@)C<=?7q7SU#;#t~C}2v?Nu^T7sg<+LcAG9aD?J>T8d${x_(3dS zF~~2`9tlg`=0|S8*p18S#pgq(n&EqaaoGJa5^yo18Isrn^%LPgCV(O`y?pLl_S3l* zHz4|OAcE9}oS&eruRyo12PaIqtIK!l{Ph~xcn*1Sumo+h)>ko)Q*jbuK<0w7WVEeT zQ>(hUVyQPUdl02%S*Wlkk;qol!IULY%w*eZmpZNdv+sqYgm7(ts-AhX0^`auRuh$a_*2 zUjDxZCRF1mjg36xHB0l^uHIQT6)QB%-YU(QGz+a0;5n2h?(7Ka1O`o{LoEGPZV_46U>{jTy{#*#eq<>`*nyNjNfp@O2V!x} z8Z2GFj%5J?xsB}6DTZ8pXxqPH;vDre|32pHBPx}U@pV%<7c05zP0s82sfe6!Yw9M{?QD41_1 zHVAomWz)eo%2b=2>{U+1E=Q75jo1n(pWVBY?NIPPWFs?wk0S`JQM>l_D6)-SKYUW% zL&JCm7XY6Os1-v_038yP{R05+z~B%K(%y@}EiVUxBLNaH`Y2dqFNVLTvuO zh0-QrfCC$xq(JbWBWm3ka>oC7v%m~mwv1iq%jQ?&0uqX<~`O}o&zRJMq8R^RHnbG0p zo8yV+_O_CSh4K?-{;Un)9UfdsePu%R860c?eA1&bZ|=M8UHcTdPP(DHZAH( zKoAJ+c42&V+Ier_f>GWW!OZr$Jd1thK!pWln(iZFFPF? zIKCB+-p*5_)H?a<)-^>iqTv1!b#DUSp zzzcZOaW8eD!O(ldkrhMI@i|p~*;Db2>thRS+#s;Ns~3dq9e@U2Z-)3-RpKWc59QxlN_@ZGfopZo?*Op9Er7_uX-&zqg)d__YORK+h_;iN3o~4K&Tc zcVe@!$^uHJ1I&Mro=?b4>fVh_)IAA=UkzlgL+SvM+0lVbR5uAErS3b^4#HKoKgl-* zgg*gCqytRg2JX;&hHVZXKLHo(2>yz{g7Z1MRy++g$O0<)58fue3&wR~varYkTBr-0 z?GUv)bGtkbd~FXAYxDTs?MNWU7Q0XWCtTc@^H5%4G3Db$5f0_OhU$Fc3mxt0 z=-c=|6Ggal8{;SE|I8p_f7^Z-|08w=ljvT<`uHiAFuv5}N~V1DNBqa_=08yo>#%jN zPeKL%ZOTy1A9-KfDgX1y~K7TL&@i*5l=6g=d6*fN^Yes_gmK05p#6sB~Hxbbw-RNAz=Bo~bn+e0+&CPKY~iU+7`b-Gri< zF#h5U(9A>_ww?5qUO(K|0zKZ>qC6UDpm=N;*`5y7NZI$r!TuqW01ju?U0_#Y_Idjn9tu-X8C7b3K@O>-6Aasxb#x zFNbcKJehfy{;4f3KJL?9yu34d1lbCV!PLDG^z+H_{wX1AeG>z0dq;-dw??U4owk@4 zgvBU~!Q4X|7zq(6A)3Pz1I`CWhTC^WslJ`I%&32u0aDw|sTrNT03|&FGg?N(g=EZu z&!ZuxxIbTp;t%(?rbWzNzby&)>vXWF2oU&E*@6+NqYM?V`gesc_hxw{jbHgmA_+tp z=tehq@jSLI;BxqW5nwR>(?#?U)FyETRwAE+n%ZDt?`u;6VvwWuNX3k&U*Rwgkr_wQ zFugpH#ADzwF%GZ(#l~j~mWSbEloNmt_5pKoIu*-h_M$#@ePwen6e4(XD4kuw;s;_2 zM(=@zb)1;^y$pQeu?1<4|1TCZBV>hHlwn)-U&FuG{r+!Hv=7%y%1*zjb@Z#P{m*F zlRg8X?0d&r!6ht=YMcS-r6BOOM+c1W*!47$LznhLMPin3DVqMC50o!H7_DspQi1ZO zxH&C=r#tJ}Fws>aa+ywuHLdVYNWEw_f{b+JI2v!AJ;6|TrW*nRI`?d@7YUfn4*n9D zs0cN{MuKQV0t)|6fJu|KaOs@g)e!JIbZ%oI@KxY+!EY8ps4SZRgM!3qWQeh|=|&>1 z{K9N|>|w^6%<5TfT+qw&Cx@-!341B1rEp}hUApR1Z-nzl3qI}`BUNx25#sYL~WU1FmSC36l=#7G9vv~g4W@rxvFnen!pn!b@UUhDw=1na+|SnitSvo>tY?d z>kQ&mF|lB&H=@87($@{?3NP6(0A%Ge8j@o;u*GaitQbn~QQ!#`I7}EyuGp}8h*q;2 zwZrNitR6?Vih03}!Zlz`lm#d7IT+`{RkNO=x+Zk528x)tu-%S}dIh@=;<`p?0Eu%Y zh4q7WU&Rz`ST$i9`%c5Qg5W5BdlXeBHaZ8Dx#Bz}7MNsptHIgYZ>0k9zL6lDg@e3v z`6O9fK+^d=96p6dMd{yR{}@D7W&}xWPMBg-_PD&F61SxCbdFaf#e`t-adh#%llXFM z@ExY|Xz5x+rAOEo5td=fqXhw(%clC{ji8{l0SP{Rs>vt%_n`i210p1isGn-&Jx0F! zq8xInA%Tx!Rw`MuO7tY9I%dsmI(CE|hle}mwPBm)-$vK%B5i)y$o|CdsPE>YSix8F zqtl_G<<$*p9MDN^q?EV~e@zHdEhjna^YNp1jTjJ~%;T7dT7PvfpX&zBmf(vnb#Q7~ zZ(EfRtxL&ioUi7~0pvviT?;!>c9Ig|6&EQ)X?J9c9iOCqi7usUEl27Vv?)Zr z6gmaZj6%LrS{23rG%D6uu}|pQ-^*p7{~*~b6=md5OmnzIoNuTG6r93Z59GZNm>G*E zF-iXUA>HD^$_uFi-uH>Cm3DI4&{Wx{o)$P8`F7`Zq6rZrpnFy4Uz10mZrC`@Wy%bA zZruAPW!hR_25N$@UM`#$c70dXI=R~?j>Zoy724Wo?z2eSdDqT!S9aGbElu+;SgrC~ z+b{>zB3u>Q3othv4su(2j@=WxU*{&YTfvZvp4x_0<%$|#`0<@QBuuF0Rw0L0=Dmgjma*IPW7v@2rZR$@S zntNnA`HtRds|6{-iiaIxAASzlizwY-txTc>(l9+{`A|tw zjKq+r+WtmEIY1XIATH4Yz>a;T?VE1)yRHFAgaPBLJCFb;O<6h?>5uDf9a|k^>KauE zU*>D&4X>%%PxPjt6}Y^SrDXp*imaEwQyR91U#jUOLkNCsc_@<1W< zw8HxJc$jpSL(x+4rJ5|-`+QBy5)fbC_P zVscazurVG+jX%a_YN-$FH%FW^hgW4wKHN$cITC(TiQKiSepwlGSzFd8=}ncw>` zbx`2zULBemhtU1Cx@VK~Df?o|lR`er@gbX)CpnlRbL;im9Q0b_$#C!cR5yTf)Ab^% zW#_gcXWK)D@vJ%Q;&f`i0u?pp!@fHV2<4DU7N7g6A0>OsgDQQX~uhrgyDD2i@*<66A$XgR#szJN<_!I=|bOkp1v#CYS~vk zDJ25x5Ad_~SMBrkHhZ_b1vf0$h-O~=BMNQZ*hZS&Ul)`wqIE)%rN1I@igMbO*v$` zR~Aj{Bz$XJ#!Sk8l!i2@to~uRtrEk(VW>EJ=+}_W%33q+%1&<_=d&JKNzS+&i`k{n z&lGF`<+0$K$tuh!6 zcJIBx9pzDstm_rU4byeUzzT?0a{x>b{sUuR#&xp?V;EMLjKtfL9OJEF`luyEyC~|n zy#WmP7=)73uTSutUaDSH1AcDuUk8ObdOjbr3BEai_M2}=!gkTjIKZT-$^FGXYFg(d zqVjbP*nY9pNpQ~n2V^m?JEIvEnOfSchH}Z~|KaK_!{TU~{b3e&cefDS-Q6X)ySuwP z!4urwVR5&`2`<4Mg1bYom;1TTbI$dDKg~>cbxp}{YJ0nPHW6h``dhTff#f@%B@j-ZBe!pGlm=r>kY~JUB&dVX$KQM?S zU+Jx)w8npz_|rURkkE3n2pqk-oqVeoz)N`d{ds*XHdJ8t8db0>&KmFs^;+-eegZDJ zfud;9V+G}1IoWPE<=qk4?jGe`I+=-2exT18>W{22VjNV%ZA*}0xP|o}xhECBik|wO z(}}Fho^znkoB4^@{4}WV8m~-YZccLpL8h~8^< zZVp>NUN?e!Z}o-Lk2H4qU5_i1?ml^#XSB#|nVT6jYz5NS3AHIzBc3B%#x&_asGNE6 z@Aj%@hYW<9*{x#v#SP25f#y!~kWuD{4QhT9^vuXXZX{{qzY zzU_f~xvH*sm<7B&FImy zh>&JeE5#_qrJC0qomeV)j*vIBj^1JQPnjr|c5RjqRK?kv8)|iTj$`a8in%-?SI4pL zkX{;s)sOu>M6DmYIE1SoyD-G9AGDNwxS@fy-U+9c> zwUEx>^16 zCSE()1Cx&{N}rPCx0u-Gi=P|iKOz~4XNE#MyrgjgXNL^kuetR3t7CdkcZJ-q4LhD9 z8Q*nrK8j*KI0oG&QSpSSn8j{m=;PdaM;E1^B#_tiTD+cn=9z9X7`Elf*2uLWE;j>| z`}Jrn$Cp#tGy%Y$?X*)GjWxzV?8ZgFy)eWKEIVLQ7-0s!32-h9GXv)a*Z|2JVKWn? zhw>cU5Z-#-J=@{b4f#IjL})=Sm>9ub!Pa4VVQN9s!)Sof1&;)&gKUhD>aew7s$n>d zQsel?sffMV))@T0==Ry0I`CO~)@}S+XLRb!SQ}XBwtHl0C0Pd?5Feh2v_z)!#q#8V z#e+!&n}VFTA+IQ}Ft6CJ5S`Gyu)UDIh`j(_XkKu8dkilYyfBPaX^SJ>=?EG{r6UTLoIoUoq=pTN_Bdrg20 z5F#nUD6~tE9x2Kw41ADiY%G^Uc87`nluF_FwcJYTu}i9p8C`nSA3Llyn**D~8gpQL z!7$Y``M~aaX>{Nj{225Yj1q(roDjqY z8UcL;m4Pfl+S{(%2u2;j^U!Aa0neiGDVBIHsdi%Vc>|nFiH(0meoX5DED=_)Su_!W zVeKkYsr3w&KybY;uIkw}7PH9$lVIq4G%N7TVC;M>D~Q!#K-7xx%f3*FvfWEP|f~-GiWw zDC*#r5$ymiCh_+CBOb*5Fl#ydBmak^`i*HiA<)@gHqYn->LY(_t`f6nSfkVmvFQc3 zg#dsbjvtC2i60^xG8ZZrA{WL0%mC5=+yL4DU;t$RVF0rUA}sTY=kmU5UGAB5&4zwN zcD;0Y5NNq<9FpVjVG|sLB>`9lB?LbQ_zee#gUF3o>L9%!wcz>T=^;`f=z>xL{CeJ8 zy3_-rcW=+r8f!#i1z>uSwNUK9IKi`mCPCOnbahC}$Y)?4;GIDmAYvn?I+SG;LNH<& zWbnwK0T2cVZJXK&ksCQ1EEh5tJQq3_pa?zt3nl_78sXFtEJK_@ zJpf*UAwYW|k!@5bTrY5La6+hfz(_DgsUg4hSf!x(7_ZRe1Dmz>KQigA6W7%@guXWw+O^XY5%Pr#t3O zsxhsBKP|kXiR*x+1+0pr>5-_5DeQxbd2+d@1fa0A&gb0Q`%Dp8rc}t9y@<`mFTSCoDTQTe;{H z;k)}REtoS6DxIr{Z+Ng7DJ)spPULeE;&pwTi!Mi_GWouswU7?J=&}$RY~O5OL32R> zi5TMz{(@bz(TSDG3)EMQ08gfX4U-L7%j!8U?w3H`4!f4;c;L4(@><~XELI&ueHI!5 z%1a6FROfmqul~iiB%p`nGxYxHifP#&Fb%pj?3T%n^!pnDUoO0Q9Vg6X=9Z7+3t_$HnlkS3l;O7V)`AbhAkT&821Y_C@D4)={2e>{n%kp zyy~QOl2M*jIuu4b=9Rb%T?+esJMK2ndU5ftp*CXVRdLfZ)N}*+@)Y&eQq4$Zs}W7w z9vBOp)JsmNu^m0i%*#dx@_`e?5aH}(Qnf~PeJ!)ba_R7j4yR5jw-nsE-A^_ z)Ro_!$-mIBRA|X2(yFUv-0aJ$SgWKQx1ZRk>br;2*vD-k>bg@c__`y-15@4O;(;s0 z*tNigS&n+(>R1&p z`#{ip2Viax+5w?A!RYzuATfa*vRv69*?ioKhFGE!O1M2B&a3avyDqw_h(A7Ga0yZ-)bqZAW!flAgoidGR zg3(T;G79fO982OkKSa{Jsp2C<=uoG)oHL=fjZsjBGv37|6V`IK+RvX$J`vn@{!FuU z*?s;$4;Mch&VL`Sc;xBneFOdQB06*Zk_|*{FInKtt+U<3nz@~3kHWLYzV7l%jRQ~6 z!ca?+eWYP-f`gw`+sdD`vi}&RT;%=^ebYHiPon$3c<%ULJdeyOHGZ3PNJgurbw%?a z8_fDe7?uNjP0ot3Bbg7O(B<0%1Fn&?E=O3V1*%M2bDWV)?h?_M$R9!F93$TYiNi#x z(NPFz1P|^KeV60WYOF z)*&mUNtBOY3tXI4uLn*!L{vY3Ex>O zB7Gy^T7zf^dT=sEl&bkJ+;#p7clNLUg*!J5ATv^o8E0V{d4?*iGl<6u8?qc?$1bb{ zK%3Iq%&aIIwE80_+|EBJGXzk@6J*ap4HH@VB`A8wA+(MohN zFK)C}bsG>ykh=d`gRaaZDpvGf6V^g4Cc0?}u49*8Lv=`PMPjcC`AzAx25Z7}jYR24 zAY#`m5)MlcQjXi>fyxQ3Y!=#J>bHiPOyje`dA0?=4GH@h&Z!iG^7VEE0P&1j?*GKh zZ$C6O&yL`=G_QZX|vTP|etqW5)-X z2PP7?ZilXio4N-HYx7N@JIs@iOjG%i%$KR~vUt6OQ3l6y!@qO&bO;W$NjDcvje{VI zRtc;hTx945l9V1xjkGGEPWGEB>;lfRRA??}Nld^EHpc88sxrLHM%zCaeD4?UaIZ70 zvPJolBcZ6`z1W<^ih`PucsrTnJ&``==?#&-aa43yhl!LXr~_R}6T8r*7T7TsXp>GCJ zA^N%%fm0C!ta8PAfSKHnl(mF9!7y_IH?07MNT(8LqMwH2WOjujQdiBd7<3f!89Rdy z#C(Jv9^4arc3kxX#$!mnp3DCU<_?n8(acz33yxT{12-o&0}tv8)P^;FSiZdr)G&4U z%ZrUQ2;=A0h^jm&-wMK9xVzpWE9B$0KLc3UKCP_4{{-=5%KxI;_*s*MVUx%Psfx(v zCpmvmRn8f#YMJKJ{?V%Tl0BEQt)39PUIMt~UMAY&FP+E=@Pvym#%iE^iE=AzTnRQS zl73b*<@Gn%@qz)aV?daKF>SKpucJlcQgUxA^4I6+Zx+Q%hjhDYLge%%p;}-fopHT-3jW+6PqmI?&Qm5vIV@#9IaEQ2*=6@84ak{5ao0^P{qK^ikSS5I~$qO9hcKebvUo&08Uy;NOFy&_ZHAIqcifAfc$6+%pHf zV_fA!91<1EvVUsS7z!nxH6Gf?q$(6-crh0${L+3-#HzG*3~EPb*1Qt#AJPgbxoe}A zJU1XLHhfc#`Mruw*DJnHqO4CGH>a{-p7E1j^C#9=t=jX*a%9bdvQCMIQC#67ZLgvX zr?_?ZNw@N|!kD8*K+I2vm^qDt{o)n4blL2^7^nbu6g(F`DU<6rs{uxgnjdw@=`9BPK8SxD4 z0UXcSDp)ihu^bxz&n0{?qmd$E?RRDG`CPP;3F?n23dwoX8&6`rlZkZyNw#7sKDp*b zb-qad<*^uLhlz`ywUkh@D&>AZ#&8-@bW2!hzn2i&3?H`eOPbSG$0~=zSDC7n^snMB zl-CJLy3^XlDu=>nnf@;BU&L)LuM?28r#*{Rj)dk zU+eL?{^Q$BKzOdR=x}GlHDVQ`*|{+5U~-to7Xj>Se#sHE_vTawIBtvOp6xp?P;O>Jswz>N_hNw}1 zUnHNM>{)1oPNS5`^fY6mw=IUtaUD33G1k{{Q~D=IyhC)OuyZHVhRX>Z%wuVH7Kh96 z9aLj&c3MWti5-+;@vn~rm&c-1E%;|=_GZa1_;m*N@6CqI z#H#+#)IGC8(9+#qNFAzJuc~osDygoyv#Hus+gn)VU8P-I^lnCSwz;#(BD5E<&FWrV zEGis)tpY#&qDE3AKb?#^aRZoC(84AO>QH+WRh1maYilj&JrYH6qPB zAMyyg!L8|Y7Dh12Is+{mx z-gLt6EK-|~kGO{Uepa;fWR|TeaxT!tx1J(7I2HAkMqixoPOJ`5gcEc)%z}~4S&Y|g79B1dO57+9hr0#}QC&9T}(VK%CD2~{( zDAd7iG!D6Q7Q?}9FfP7hmW7M=Lh|eSkqnp5YFrD{{#3<4@JEl3p@UWMdLLWB*T2hg zg6>6)4tMPY{-5t8w$Hj*bB{R{$#Z4yNNPJIpG)#MBp*r+IjHSQmN=*#NbWnR?MSLS zsGUo4IH;XUx;y;dmP~PIn(5|zj?6@OJLFVCp;#e5)?mKx&cOfmJGkPC^@a&F9Pzvr zAA7t|@aoH4vX#U=0vSQ-eWjBwFz58iX@AMY6ev*EV*-pgo5wJU*Si##a&#&*b#Pmc zGjJuw5h;2)H5Xto@Rw^gfhp z5#7meP2h7V8y=Z12{_6%j*X5=uv0 z(~x%@Dq;%u&~lyy9he}|`ZTn?pbZ08T|p)W+jZ_sKq~&lPwtf#QJlTx+}6nR*UMkt zE|i5k;=%;vnZ-phE_L_F{m(h2;lj<;qbky!GqJrr*4jvrm7{tW@{*lfZ#XdXFt=}M z+HG38AnP6E zVvhr|pJ)gV!qj_$q9R(tUo-^*j|jAr5;}2Ox0WDw-0qs#O-te%h<75g@r(v2LALz2 zaBcqkpOmpJT$Zp?k`ybMj*o-eNLGA7gD5XMLM3R<^of4?kN_d#w>(2#F$5Fd_OJ;; zX!iO$Fz-i-I|(VG&HyRLY&|Cd(-0Z)&eRQ@Rt#h$lGdWU26A`gfEaA%H-vq(1 zoWd`!U=q4g9{Q`y$%A?4lx=PzbZ+{e&$}Kmy|Us+o%p*mJQ#SRlM+@>qx>9mre)%0 zyfxs(wtMqj2e{@Jl+C8+Qj}XwEn^2AD{FqZ(Rz~M8CNyIWq51yml)gNA zba+k8md$OUh>nj%Z(La`a-%D!_!10DRjkKai#zMT>{YeceNlS`S3pS=wL9>`jx#vr z*{1zgq*Bw!qnWyctbp=Bm4DPnjcB&~F>m24I5O*lMRyfVM2b^SvgE0f1>9k-tJ>~t zP^M<`w~4uGP~2Y3m|R=U^%HnQ!S^D+QH`^5Uv(Pi;F+|v=DpkdMdVi;7YPjwc`4ld z)Lvms__4As*WtGW*bj*? z*9nfU6nEec@EY^vqNoLtq!SE%7fPKV)6}6!37RB#RBB)~h;gAN&E2A6b3GjAN)jT5 z2w*B*C2qi*`cYznlnnr#1|28+)ns^hEuIn-pRlSU*~1_4H;bb*;Yuj@9PhGCu>Vj; zC>y0w*89K8 z848H0@nU;^pIC^(mR)6bakiMI61L!%iIw?d*<>~sR+al5X&B#h_bw2CN7?_&2ZFp} zh@U%l_sgIl-lA)f^&D2KO(o^8)cc*!YQ1QSo+pcjaj6_A5>iKmo~isQ-0ymOm84`8 zdqdSjsvy?CWmZ5; zTJl6}dv^E>9&D2UB?hG}Ws3*(h zD`R^Pu5JGyGb#LOb{Du;a&;Y%xf51B%CB4fUPv*mh%IJ3oH8-k;nh&c8*L25rOuzN z4`~m1puSQs5bT{xshx{MV#V;meg*l3e?;PEqK3lR*^bJGiK0Lr$JQw=#@>}rz;RBR ztU8E`7qjXvBzyjK?CWoeId{QHSszI&`@w`M+L$|%CE_&HYV41y^v1=4dSp~(D2Nn- zh6Pp=#IvGi$q^37&l}2TNd-b679JlZ3aXO1a}q$+u|^5qTKvd7Lq@}szWSpIX*G;t z-mqHdv^ZPoQ_cI3b>Q&Cv5bQiJs?J|%zpUT5DGUBt=GKE zJO?JGidC@SkX5mkts{2&uO;)8@)Tk1*wg6t-_bS>JsgJqr`RWkJr(Ptp?88iy{jF#E>^X>U|rqcH;{$JIq67D)bSbnfcRSSC#)4i zyTo5zxmfx3nDRgV;2w}LH>NX18iqdm;<;onk%_p?*@b$a$9@E9$32G`rak|HPD$^b zs&{#1TNlVAhI|`d z?X>+A2%0d;^~G#K4NyM_G@Za{2=Pl>E$I3>=%}sqqGCQ%<&t|hZPXP8HHV1v_pnU5L4ca>LvV@tmwSKoo#(Z zU&wQX|4}sHVTSkwf^E0;%utgAK40^X|DsT+vp&MiVSb}u5|}f1udwBx_A~0O2eB$h z&b(eH%hZ`iMt`$&QkuoQCOe3dZSPGt$&G6S1CtpZjubMvRXndmll%6@J<9e(1UISP z{S?ha*{Q_2$o(mv(G<{aofO*;uHnC0SS zhb$ldAN+zG81M;Ct-k!Z{)a&yjGF|6@X&*xL~edcWTfvDVkS<1wjcbSll3a~JBePM ztw_1AgQa?xI)@GgMjmr=H*_C;h2|*y>dFe(5!{~0LD zxJYE+>(z3?$c3V{7a}q){!R+5ziU#Hu4?8>Hfdx@ucTWLZCO-_88hms=G1j5A-R~% zOH6~&JgK@ds@Je*S8vyY@)Z*+AZNmuR4^_bax3UmkK3Zu)<3bQ0;E-a11R(8*H%ncc(HaT zVi4TWg!`#swX>&umqm*xY&vW^^qAg~c+>y=fuMX^yGrroPkgre)dwY}-yyHnlkW(^ zfrvMl9$>T*!T_+t15YKkgEJW?t+N|SHMYY8OSNBS4Pkf#H4y1a*6+jCWrs4DF1rxb zJ=K{L>H@Jmo;~gyRIyOe&Dy|^u>c7OlycW%e4 z36&l)@p_F5uxF5Z?U(9IGVTQ!_aYl_%QNqaGVe+^?+P>TN;dC`HRlJh8ceZ9)9+!? z?}?<=CzyBrH0K{=H5g(wm}DhxA@F<#9&g~sgcXfCO>-KxVdKv&UuD~G+b-SzHM!QJ zWkwq^^o3w2HpY9=9tNa3Cz=zQ;6c!vejY9Gq_l>WQ=MbY2`%xyY7NVzI!Bv#<(hW| zoAZycZjQ2U4zd!P;(bYF8Dx#n9)=yqHODih-(w%=9$+1=clL_K&1)sXwbUNwNoDC{ zoobAgNo5&gE!7^*(jG<}PdCSNrQZV|Pq)Ow(H`ET-$NhwLKyd=8}}j@_X;uhLmk&L z!gI95Gf#ESFsE;b{gSF|jHjtJte)yT>A*j&d9i`76J?%gOc=Z1iEHYPvdnL7=Kz(3 zW$LP%aA|PaE_ms1`OZ)XBcH*q>$f&9+MskM6eG0!3w!Ll;quDY{ygy4KHs}d=NZd;m~nhI-^Br2(=90=F92x_Us z;O-Qmc81@=g0n>}6K#~k2fNHsc)is5e>E2(*F(UJ=yPkWNFE$=aaBk*su&D+lF!zt zjHczXtxPAu$Hl|#4|1gekrkleuz>DEX*7z9IoFkjTLGV zZ6+|SKc15!&qfLz@L!Nx7FGqPvrQiIR?G)sw1}-D)nd_Ose4RP^>hRno7|F6r>Qce{RdunXqk5iqva0g-5hU zHc+I)K_KyWSPz$|oNs->v#)5&ijgo8w}sUq$Zd;Y_LYU{`u%28<0X89d^)H3p4ceU z&{RM)0@+4wAdpjfLx1RkSJYCNq>j9mmed>-f}L%jUD}J=CHSGCS{U^eav^#=Ka#OF zXpkXAQv=fr3}(Kz^r*2Pu{5tzJ@bb4gj?qukm1<*!xa)6kXEFn>O8FszABQ)^3Kf1 zuLG6eCw5A?jk^XWCR0K=mI6%+aJVbyuWV2WHU->$!P!x^Jlnw^8vyL6ob?edMu7Li z(I$xBt#W>MCo=C9<|fIHpc<-Hail#R2Q&M#i00A$lXO=d&!f0*Y*tvi;y0%goV4Z_ zU{1wAKGu>M7N)o|!S2GFX3S?^J!~hJJ>o?f{{$?{nFvAPP;>*W=HRP5B?}8O219N6 zzO4NM$fiD}FJB-09*I{};tLwC(GqXacc&IpOn^8VJFSioF2%A07*E7?D5l_-2~+-b z{$NFXB+D8bI6s6xvE&B&ZL5&@K>~Zcgs5&`%1O&N>vhjeqx2!DWC+ZcNoY^Mu{~+l zj*^0O(nUU^;I*J{H)sj5MF4$?D&p~Vkzo7AI#EB@8GtlSS2UTTP*)sS$*^2qRIxoO zDaN*34-P?q`Zh^g(q$^BNE+YTI)VrLy+SpZ6oh$4$V*Pq^HP+gW7gb&9Skb3_iwuT z;jF@lA}b9sdq!%Zd-d>NHQJVMgO%%525yDP9h>61SW>%K#5Son?Xww}?7W~-+5x3- zlN{|d{p)JRy;=e?KY@T3Z2I^Uw!DL#3Qflc?t&WBEGn)VEQAoRX&9%5*C%7K4Weeg%r^%1712N%E-Zh z$(@@%us;Ywv>6_j;~xL)Xqc1L(Z*Craz#J0UOG96Ap|B%Y~zZkG&^6t;5TiGEvd_w z66%M&b{QkwOQPe5V(NWLdTIaP)+J4txk;r(;comivIRk2r?juO3Q%#|4m5g+NPir+ZCkS>f;kJ29F7hzW9mSb-JMl4@@Z|4&Iq_xeeQZ;G zKiQ|iMi`6~oY%c6DXn9{Bm2MeWNnk~O!4A$u}eyk|1b`Yg!76Aj|?+C?^B_c4nC~W zvm#xF3=enRP^hE8Y|&%H{%uhLhV2sWG8r}VTmwO}-Bb4J?%~OenPe8ecIhi`pH_N>i^nKRMs1HamyN_0VV;$*XXhA}Us$>g z=(H(PE+euf#(p97tG#sT!9yNd@X{UUkUmMPTysNpDYq2}eEUf+K$?HF!SO1eC^y9+ zs}e*EX;G3d^1Gu{Hya`ibg~If$Qh)Q=2qpJPpP02Oabi_2Ija27GWnr%oVf;=@o*& zZ3a-p1;K&)p`y%a{E>m+mI;FLDC)|wPwkxLPQ|n57__Sza`;@PPz2&otws8=2&4El zk`+}%G?i9fUD5Mkvu3JG+iK8Fd3)PNhsSDQ&FL)A4rQTJt=?jPrROeE2yfzS9;TSa z7c7zqZ=zE7n9^;+TC!3mtC85)>1unHL71{qBC8R|aoZHWT|CO@*h$<((p+Y6?k1=( zG;bPYZv3*?K~|>76a2Mjbyx#_*7ab;DL?Ud5dxRA*X1AMISjujnXC-!el?zSbb+!Q zB$!Fw(2l7H*MqsJk}VkAq^Zx(l^thiG*)9-416ZI4s=YVx>`i^u^$sIy63t~>D8t9 z4_3R1FSYMvXP7c8@JhMml&vV-%X6&`>&KSj8*?snc9I>EP=C#ulEPuAp*3-+a0(TF z?3?=G%{N!h+$NIvF&f;|G#}NT(~lJL`W2b7dpis>N%pg@i9bp~t=>vRwbh+xm#Cw;#;kA55)X4Hi0+5Meg8DZj>X&aRu?Qg!w>5vpt?AMSxrnZf<8I; z-pgY`wZ!EC&dQ$3aJ4Sr{Y!2i7DR-G>2qjZ=BlNOGlL}(YFcX5u|GBp*Yuy080W#E zQ|I2x6~gs!5O-)5(-;~~?f6^Ip_9`sU_2Yk`F??CcNeN->rsyp*u2>g#3$=41^4Lf zr!6^Mn{PndrQ?=2EuwEC5eyH+Uc+(v)+a2gWI&n%UxU4ZbEABGj8Lo+ys-P1oVao@ zKR%TWWyCw!pn$5i)rGDB#ZheTWi-*aG{Q zLa6u6_RhE(kG+D`xet4%Cpxrqa3(8G+Jcb>&Ao2J302~d;0nn;hysb z4+uDS-=D23Chy(^^k?r3R>u#BRA(Bn`I>2QQtxS~sAWGl!S)`z6Jl(&)hU32Frs?) z=yw6gKuq{KOA%DfJSZ|CC(>Uq94_HJWDz}o;;^<=@uN_^b<*yi5$o!-J@Yz#LW2V- zT6+JUP7EMH9VfX($qjkx#9T^wwV}uS&uM#Gst*Ju^Ek7sWz!xV$=>#X_H&iWy(Ht( z&5PhvpT3bxh0nOv{R14bgP;+QKzy58w|SG#3nk7HE1a-i|v)s!X|79+fAA!b6$h2)r~9{UUK*GjFs#NyH#!5D2$a}s*b)fW`BesgaL-L7KQpj|>7d?2a2=Hshr{D=u9s;A7s%#g-UD@J_? zD|qQ`U)I>b@YukJxcIP6ivC#3IeDi$KcL-_-7cLJ@L#M_Cl(d@oJ)L1DcP{=aGILI zLV!0B6ajE5SW*)Ye*(`b4g)i)DzCtcdvd|{4s8Q&iNcyI-bRfN{H6Rt+K>Iy$hY(= zqb@DD!-6@#@XH#F?tTOhez4f@ek@;LUO49r=2eprZxMZ0%HOc#EmF`bMP+{78C*}C zHSB*fMW_h+>aueT7aqSNm@)S+$o(g$bnKZcVaIL zjXX{YONi5G@?%=S4{lwWu@3*R!9bxy_WzUZ}-&*KNV|ef1*D?+Q}& zr@q|iWRud6+e2>q4A)iq0jG}waR`o=eP|Op^m{7nWw+Z5a)1yn{Y1H|VfFUuZP3@X z&s^U)1h>3j!FiT@3TemaUCuQZjcr$V4U!|e3j}HBf>{tkBU9PRS$~!F-(0z`8qcpZ z?u$D99=dE}oGmA;{7yJN$r}l`91fT$4UpIT@I&^C->4q8KN)eqXWH(cPqHR*R2KqP z1(Lx8q!PWrW&MTIe~W3mnpjp^{;hO$VmJ{zU3ZUPAap z=voe^b%3=jdd0K{vRvI^I4KC*)RjFV3`M6u^v2|eIIS8M``MT7*Sr&UzKea=S z{||X@8qD;R@4wW%$glMOrM}nm(g-A}i))?{y>i?JP1oOUaxRJ@^!5IL5E=*S{5yaO zzqVF=OMY!b2ev2NW6!QeHeRZynGbzySQ%g}c@tVvRH8YItpad71WDoM2!<%%$9xKf zjNf|mPkSDd;Pb+n8?I4~weLT^zdUe4Um5)V>2~St zuE?UXvK6AA_VG}kTnrfb{ToC_bPNiJ1Egw8Z)(88Ad%5RTm^ zKeqqnnc%u}z6Tbfy+Ghvt>m;lFrjWe!#NUy9r8g5BRGfbsO~sXFE|vO&=6{xWh#Qu z7nlh_VjJ07$#tNWw*!(|7AQUUf^I^6wdA>)_3*0l+4CCqC-gd1P=|En%LROD#>2>O z%Z#`hZz=paI2VG%LbK*SuKEDS$;Bi5*YXm`UH6hAu_P`3aggCID01yzr1VY%w{HHC zy874Wbf303KwKNv`Lso?%XW?C3r5$!yzp^y7i=Q!O&LBV5pvzGc@#$dpB`SE+=_pF z9T+-%S~cg`QXd%8(&@Ic*ZVos8ere8uv3(kES864RBJ9{+k5*+*);SWt=oEZyd#-` zsNTtfyHYK=9vDzCx;4$4y{$TZdPBRqUPysoZY2AeMzy>2?aBW`kuM5kObATVyHic!E>Zt57r>x|gErQW8#FY^XVYRIqJ=BoMuk4Q;X708X;2G!{&9 zlW@FMe~9G`-vW&8a)ZEvOePjA?Qnq>XbMGbvf&Fr$g}e1`+MWk1m;T6oJy5dJj{W1oNFs zo+a6&-3oe#c{RNp6;8E>L(H)v)|w z;IRU9n6*@S#K3a&<8XCv#P&)Z@@XG&qWss8iGqZqqt#+v63MLM%y>8{{Pzfyr(qrh zf&Ny+VBx+y%@jUe^M&NmgS3Swf1wLm!>c4tfmx*wjrrm5`OQE;o)xi@^%4lguSJtL ztU%Dc0znz|-a&S@ZJ8Wu{A8kHNKTTgWF8s$=!V?B-4VC3vaY z8(r{D?vD5Y*_(=ggrAN04ybdPS$5Gqe#=~Y=)5^l8tlmS4p;baXg_y?(?nztL~F5g zs>!KPj<$DC|94GK8vpGlG*!4biCzSRmrOrUe`~08^AXC)D{>?)!tBo^XhoZYXV=;8n?7DYKtbQzV$}~ zth}N=GlY8%?o}gJbSBCcwi8utcbxqpneFX$;UynR@BY=Ae| zrp+Y%I`C=zs8H@4qsgJIkyH8z!B^oTkHVY7WiQ9Tc=HH-W#cC4}^dB zaTdldt(dAG@@O=pRPl0Ur^kdhw5#!+x3uWzSjBwpEVOSmwLdhm7swc$TS>u85XYEP z;ZBn=lJO;!>rlC3Fe|!>W9i#O=&wJPr%gd9``Io}`y)4DIH}!gj*(+mn3lNUOlAJx z?UA|}gAvgedC^Be!SS;bXObd=q=~?PAt_N~&Ni zygCd2k!R8CyG+qm@$BbEAwcy#bn<^6!2NGmj^)`2;|_~{-0{yGmyRJ2RW)%s%bK&N z{p@#jfZw>(Ix5|P6x~qs{$ZLDDNoA;NsDp)hwzwXz$qPCMPFe$hKLSJLDpCK_InAd z@$deP3nnHWKJtxodL{un@*)|{su$J~@^gW|vB{${D|db-)Tfoh5b+$u%+~7)wuo)C z*DfRIOl&gi;gl9dGV6f$%5PmH@U93MX0+IHlkJsP@FVb#S(xzBJB93r4y{pBj=boP zV4N0i@S{IQVSt~n5@_u~ET*IIq*^)BZdgxfZlHw!bKui>0%JcIh#!IzRpS0}e7|Xz z<~k9aEw?uMU7}x^9;*BE%cIF|R3{_1#;Olb~xAduFu*=FU384usp)aZ%jpr{Iq z3xTfm)=a6C^cMXU;bs@rO6OMkQoM`qEr&x*jBfFr6#62X?geb*M926Z>Pto=9g=zr z9KY*<%9`#_yo($MmB7!oVf}@0;`q5AK)6rZ$OyM$+c|apBWM<>j;WCjkCV5`PNH|M z!~XU2>k%S^dFXTb!F>_m{(4G=cGe#bh^t9Nr!KAVWxR{~7x{6(yd&wf&d>*>&qmJ~ z!PR<3PndH8zJL1sRYyA3iJB7JtH(D)bWgNC$vj5u8SU+Uzo>iiy*mBp4ixd>&-HaR z_2J_E3KB>4y78FS^HE2Byd!NB+h;P-JgLPcoyqA+=B^HrHlNHHs_Gb*AUOB5(#J;} zBSzR|oJW3k)K4+HO?p(1$1!fsa4gj`az%)XX%?F5JIIqE>fe3}W;$kmB>N8mO=SVy z!Y4uLT?EkWQ#vhhybg!Yj+Qe|O|4Q_V+VPAMB|mI@OBnF_ON|IgvQeJPJr&wr|8#X zpE}(He-WE2G?m%V0>kTw_x#jrWl-glO^(9)+^6D}7_FbYKHKd7F$SmerwA8QRK&mk zW0Me5{gyqn3;51%SK|xhc$ZJ@iHOpO{=Eg=KjXX#zA|vn?O&iViJ|$_g;7W_l3cS= z)^Mj5tLJ7(bs_3k#k;%jN2QV3P1ZA?^D+)gypIr$T6A@&{QujR7vk1JOv3>KyWIc3 zxBtA%Oc!$OU5g~qN4B=g(q#=OHJe)?8|=JmZ@^^&^n}Xkbp;I@O8TwrFeqjK4NEfn zorYYau+TFO{~uXj9TwHo{tZZXNwaiFHwa6KfYJ&`cS(1*gdnj=BIWu$aiSxNX_dRFk+C5XZm91@M%`Q{BB|AR;BI)VuIjbIl=glih9CuvXz;xW~zbkde?O(qwiEQ z;jFnF$&Xt3;hK+gS&~?Hvp60q!FO?qlcj?WYb%B<)sIh#^3*%A!={z(=*OJl%h#A* zRLKQ>p1`UNAsIXtovt(yJgF24ZOevt%CU(4g=1_qyq-_(^8JZczeDl+Ikmo&V012L z#WOg6RzRm=<4PV4&-#l}e7ATCkFn?<$@MfYWxq||5J9b^XsANj3)pm2% zE-us@oVCk7*NpaV`_7DCKPb_s4d%|D#e9-}CgHZeA%;r6l&rMqfleh)XAaR9T9X*G zAi!PQs5N0<S@oXX-=qQ$P|H0z!2(Y6tJ7E z@?&TpcA}~o)xu2DyLgW(c5^q9rlEyr=fhj8Y+>}H{ayad6D!3xS6g0a&+3Us)n>3!uSYc|9i=bmiQNAw zH}joD#&`Wx7c!mEIh-N(ydtWO(E95m1k)-tg`4JY)Gd+wz*lC&Z2Ln#<3D zN&i`9o7XFWmY4I#aRSXfyWH)_AL-i8|{C#ZR3&xUT zrBuwurTZ5`FL66?2UAh!Bkub!9qI{eS zw<533utn$vuX|L6j9<2VmZyhQP@20aMS8;@Lh34cqeiJoMJa(2Ce{=AF}(_QX}rguCu{7kvM>X9jgo8j|Qlw0^H)AfogE6Q}ot7AR(bg{wK zpj`q%I1;A}GhDwqS_m$s{cT;YV@H%^&X>dxJcSxZn#57f>8~Lpp>C9nUF{9x@Q7iG z@1cB;FJx!B+=Y56;#i@)tOyhm-^^6r7a&iK|mq?=4D!H=BfD&4xC6mENO?pfEJi4PChnfZb3cWr!<9cU3p3}aUxzX4a zWp>F9Yx!{{3=iCAkhEa#a4|V03mztMqCQaMe%(1~DB%+*aj}#`)xdd0uUUdR_Hi0* zwX1=Az_gniOP>gNC`#FB2t+X0H;;=qt|gvgIHC#bu9jE=Bv@%o7!b+UX@Dy>*Lp82 zOckQBw*Kb%o;R|=SA@uK(6?MTJtt>rLfDqP>w<-`dgcd6sRw!cMk4@sOZ6%`$M2$y z8O3G;be7oIOrRYxcC&_glink0hpBTD9YbeEaA^GAl)Yr)! z^+ac7>@3t#v_B++O+$~pdX?~;X6njHPtCB;J~}wP^R0&CjRKk)pdt#kI&m3p(>A=*Acw`Yp|e2Qfe1_ z$vyO4{DCU#{_9S8?dGGCHCNGevlpUoB>IKdZoc(GA}?8QBG)umCwP)Px|e3ec*~~8 z7D*K+y-FD?=w`765`-`;y<6iVaos46! z*PPxLrzGZn8CCaaUdLH?c3y4>BE-lH;cOqktrt>UCBj7DF^mxMoAR-E%p4(M%D)9y##eW()>^_$}b6Q_XI(MJ0k zJkwcGBL*;0`Fjbl3xXTF+RUDBrzv}zFNHELhBh*+*vXP=!l@1Y$(gNaYr(%ftT_{~ z8MQ6Y!6;)vb^e{~jSKSXOdZo(>YI1?Y$uhMIDxOPfxBf>FI7v!YmlJXcb!jgfH^(> zs2$rs%IDi^3}^d|BM35Zeml2@1TC! z+uaSgxOZJ}RHj2ewkb+(hUz4bf$2^0kUiFebD99hQj&()ip0^YHz+&|D-wkab$h!6&+XBErTxMI`t zXh=riZ!@hq0fx6un*x4@A#^HDsB`{jFcNlHo0iGzk3z(U(f2wRDiFoV(vW6eZH8XI z+BvvL-#w>if-mcc@Uk6?gOk}SXlY%;6H;SzB0O}=dN#8aJKxmM36i=a{fG^Yks!i) zTSG6HQb**ZhL*yv$rLleZ*6yF&%O~=sR5r-ssk&?wYHmr74)i@ixTwOJO~H=;4pHo z4%Lt-!m>b(IYcl`Mem!)(0+p|(UU^+)3k%34^XIMeN<%U)^<7B^%n^O)NBnfcLPx2}@Js^DVH-6eHd#HONCkdZL`uELRM$q-R3Hzg6nxtic_o*j|M==sqS-TXaRSKmVmx?ERdVlM>QqAxi3EVMMPwIWtbeTs0Jp-gQXDTkhwi`YRil z02xd`OX?wIR8Kk`_pEXyPF5{*B9q!yU6RP>ENn0C=`+wWS2lJ8#Q@*XwIfZIM@;CtBFZGqoT4*qCda#m4Mbp2VAX^KI{-QGKmw zFiDgaB})S3;HfGX;&8t*k7Wc3Slu=ZhORc40n)C3eOP z&0(#5^&N_pz{n(yu#oRj3rxJ8@i9DE zN#)grx4F}cN__K}A-%QdyK`R0Ml5*FFuC+mNKLck4 z9V~$wrXawJV!W<-n>k|r_vF;71XjDz@-DMJoXzxOmddw4wJEC5y4ly9%|FJtd%hmWxb^o6e4UU`VaZl5ANu?TM#E80~v-LEnvL>H3OxZFgAN;e*S z_w#t0yKeccGWMA3&Y2fTyl!30>7`tIEDtJ8SwI|$(pIcPq+njBD_(9Uu{h8BxW~>m zm#vg(HM|F83&QTtdL~?8XSBoG@oUeWBhh=FO+o}SVs9$UuP-~jN*b0v+xVo3#=B=< zb?QVQ;7`bJz}&ZR1>VRrvzzdMy=b^T6&v#+5o|mAti)$*m{<)@G#3WwZr#wwOwd!s zr4+v{4o7C;8aLCKipbBlAxAT4tSjMemI^K`QmA5Hh-&%Hyn$a{d+C!t6C+- z>_dX4C9@gfq^yqXuT{MtHyX|)gsL*Mer?rHvwjm`{ejQx`Xi&hlVE8T9eD`7uv?*{ zkfF7pw(_0X`p+1-5*@pfi2406b@KcWaS0daD*MmNwg&=+60R;1&Da@4lLnBiYz71; zyNKl;>6q(V{*;FFV$FfY`CtWpA`YA#I7Yp zdVS>Zse=b2UQ_2*QwwhG7$43u+8KE*T%KDyO4FLCAIdEOW=zdvr>gymqMsec>dl)r z@tn)=Yboz*syEf{x=ohaSWG@P>QwD>ES5F$AC}A+xXZgO=FY3v+39Imcj)((dAl_2 zO_f@kZSld{Ym}`kwp$b~y_#0)E2C`GbKRe^tZ8$Tb2}V4EYhhfE7H1#RnPxZUHuyT zJHs@u?#*)GY9_v*BGY1tmhijf$x0Tt9()#9Q;h`^nsnEjFJL1VEJ&U^H{oQdY47y~ z$${l+VYkWD!0qH}X>{E$iB_*+^=-}-4Y^XS!HEa!XudL= zEY-;@TDP`r4q@P%O1ui58@Hljj;gB&?uQLjiS@o*=JzeN4~vbLg~F|g|J2E!v@QPA zA**s-SOOQ4MbH2TyB*+Ydb;EzD6Xa+t&W^O!_IYA{q@1pEK_b$N}`HR04%R@5k^q; z%34uAtYmOw!Rv!7L5{TPY_X=Y7UBDFSL?{eoOc= z+9FveOn>`NZf~kO$ZBe=1T9fT%XNQN(yW_bzM4_2<~7*);4e)IvpresgR8RLIVCf;o#krrEN+?AlY%Lc+0 z2b+8GTHp9P{^(_Iy0XYEclaAu9btimXaP(w{~gGb5#>L1KEe8O6)*lN7xf2nR~1On zTtc(l;#jL~;GL*6tS1)!KZ8%a`=$1~r!yAUh^Bi*CXvu5Q~L9y12#^rneT*GHd_r&v}+PG87OU};XT{1{Tc0} zC6vzSljmH`ji+(@81uwTQSy%V_rvqA(ttjfv|(w3z3dwihretGy;4H#aI^amBrq^m z89{EGdQYc|om3}0KC~>n4kqfJ-qO6g^*A1>272{<8TV{0f~zRp)aHPg_mVGlHK2sA z)v9@()g?FhAzt5-vAv-Bq~iDH2pFSeb?kXTIM{;LISictNC_Jde$v|r2UPdrsl5j!7LQhoyDeenAhw>baO!ZDHJX#=mFGH^QTemc1i=K*5Fu)OUIyA#xuaj0 zzO3O1PIPw7oY9EM*m$_w42SviXw%AH4IQtnxCSy$0tOS+AF-{5;xK7>UR zeTrx~jtHPx>v)Lmx3g~IM_49&`Wk0v_<7eF+>YA*4d=gF)@#eorVU$g@~wIxe}$&cEWSmyTY(6{_hijQaNYQqKi zBOR}WZ@zr?wT}B3CmPu_*`X28R`ZrC;0gQtik;L+shs^sb+0WM#Ny)>K>@s=l8eDo z)Yejb-qPS{bV?82L=zMl+hJo27N?+au5a_d%vEh}uhh^XL4x|L<16M$J(;^Yw$P{Y z=9-o1)=_2*eSNxaGF^WG2>!4ifzAt0gxF?mLJ^4kEV-BDpmoTy zl8yhU;-K}|(+$As?Ri+k zE~;6%>L6sw`s#~=&@0XlHa9)1sNx>eoUP4=sinlSy$MRMV_mzhVqjOL*h#0R(g z19z;&RaI3y=jG>5oXvN0#mo17r{Dqm*1P`eXku~CT^&xp!u=@jc1$(!>#0 z#Ss&;%e(^@+xxC%1X%~wP_^F838MURSWp75ckC~d>w4d&2BlB?^A+R;tsXe5KQy@U z%!mn5w6|Pi7IjRl1a*Amx-Eo9Uhj}EZy8h43BqCze{2IpL&n_%NU>1jI(+U|JX$O5 zR;*57pS2Ra{8t{9U#2Q#Ayzx0BJHC=7Yy{Yy!w%Se$cuq<( zX0Z>SVLrdh^PW|Wt6Vq<5$;w?zc zOHq$=vqG$@Y(+D)wzJ8T=^c>`I^@JA`YaJpsWIum2T&p>xd#tHJ?!17EKPVhZLNcO zQq)KCqaIpJ1YQz2@0;ps98N?Ajvo?|rhD|`e$Lr-;R}+qp*W}+mqz+Q>OeVtS-{_w zfkmVdjZFlRMd5PGVTwy3)g33nO2IC((Vs0n&~xQa{#k7WEVCF<&EhkW=YC}=6K8I| z!6R^}H=AkC8BM%j@B%CK6@ZnAVJ-JG* zBmX!4OH+h6m&+5@(AFkDAxKlyMqU?ML5fyVXtU*yDn|#OOWf&*uf3|~FH>%Lp8`MG zktrfl5XHVrF`6V}=hbd*sGRv`;2x33EoWv|lyB~qZ;te`$kq|l+DkZ)X1aj>HL$g+ zp}O6=z?^8t)cEysHrUSYP=8Uk4l6(#>zA5_;^H7eDf}Gfg(0x$SD1y&x`2DMq&#L% zi45KLJO1EZM5)RKjDh-630aG-)e?a{AOfMnY!?62cRPrT^Jl|nxJ$?jy(ZfH>!YSt zcCLt;uC~@6>MPZ&zwT1ij!S&jZfXX1)rRs12=Zao@(HN&Qj$we)Q5gUCjX?)Zx=a| zI#<$2#H{IWMP|*|Rrs}Dy7FpJVRR-ET>((Bm}*brftbS|?Djs2QFC$iqlUJMdo^uj zl|6v%N-**TTt~Eoq-@kzq}U8SU2*+o?Li~OXtFMU8<&p)X&pB9_T~1eXsPx`?S%f*zj6utWmhK!8KJ|6Qs zUU<sCBziYoJ0Q-Z{hOK#0{?&RRNwg-5T9bdnh%nXC6;~LYWAk}Cj^)30^q4TGV zC2vevGrvt8eguM_&m(3N6zszOWwJC*omhz{Z$da_gVTk))uqn0h|I#)YssHR5~mut ziKAu=htNM!LBVLa6xEv2z>7{Qbimnesx+uLK3dN`PkJDk@SRL=cJbxHa>%B5VN@Yt zNCuomiX{-VJa^V_48^FHBMz zt01*-d56ss2h^IEh{X(|^UN1=d#dKpn`F)Oh;e`7ma(uRqO*(gMj?>sFekA#`yu+t zwui53OCasVK^_5JuGLJybA;G=$GnQzK`a7ZJYE%P`YLv`!G{X6Npn&4k%%DnoOJ5+ zLT(zvmlnS!W|(CI{DK}RYw5U%RZsA-vA6F(%Np9|%V2})NvsjQ+dUlW8)jM%2#g|& zM=$cY`;FP6QW&d4kd+P5^Gv5|pC62x&`l8=t^;tQqLmGmsW7P;@AseX@4Zg^arC`U zjGF7$jqT6hmtR}>-;Qh>NLV;kT+c;twm`v#>pgY)P;dln-q`K9+h2Z45Y+eG)Ui)# znPVP?)=VR7`Z%9}2f!WB(Sc>?V2*oo9&$_5@gt_d+`jM4`*G;3f!NKCx9#cId&e0F zXY#1N;Fxcfz1FhhX>=3ExCZq|C-b&tetHV$@=fAUn*z6!rM4wM5e zRBGYeF=ODU!7cO!AO|#&a3R8dYbFnTJZk^x*|t=Q;&M}kEnd)tvwPCyaaO4$I43-? z`*HR~J=?Iwv%9E9R&n-Da)hDD*#2)mqX;i_N#EN)^~ZDSLUkS@h>r#2ZiggsIF7O+ zFkDWt8|&jZj;e^SlbbkZBSd!A5cHaZIF5c2U*ku3hxfE}ujv~*a#q$SX)egJ9nle8 z<3-$u_4szL;aAqbX5+w%kpFM;h>7T$AmTo}=b?Me&-fIs(j!0eT)MX?}3Wp_6Z#M6#{Mz+(^CD1o*J!*?5hriC^n8LjGJZsW)}*O8mdw6_^!x<% znj!i=X)z#zGqhJ?lD$l5xAZibosSo*oi)(if#X~Z$V1`4akXnkBeX(V%=z}g#j#J{ z=jx2kWi@7X6K5nuiiONc`i<{xkjp8v9#DpuDjUP#Hr<80f*5OYY2*+Vso;<|wtSz5 zMJ-Svz502b#+KZ~`2ppT5bOM0H*G76*Ctf&MCf4G!^nbkyc*tYH<^i{o3qcmoi)69 zZs6Z(*`0sV!Sv$a-54i^g6C3HLTrMz0N7W5RjZ{)6l*ilze7JNxjl4JOQ zu#Y^Ww`_M-pMULOQKNJ5%)^oCD8o(O50`Vv()~>n&D_JVox7WR*RK+bYvQK#nZ;*< z5n@-XK@;<`yKCHyH@JG3Cy9{LV}hIOuA6JRo9nmG`*+ZLZs@&Z<0*m5>D$H|LOmZc zYq1c2@gRTk2>;KT9bvhh#!>#{(D}7xyc#a>8sd}E=`rS$ANG@92K^HT-xw$LqcrrM z0eWu+6@j!_-?&pg*{M(wX*PfIFJD{EKRsrA^hJIWi}0^IITmD#0 zO)8(0`tuG>Ppakyu~cN@<~FAe$~5;5exYMh6r6L8Nh3kPmR`r(>(-`(G^T73J4!c< zEEM~HVz`nnKi2vcGN1c~zK4WVACacM9ZGNiTipfI<{gK)Q{zp>x$X-lWsX#k)bnWenicBn z5s{d#Cg|7U+s2dI4b3=RE!O)T4I(3(_Iz59t?lKRMh^MInCP%rGh4BHLI1 z=Ro{?U{Jb;$N61lB!|_;We_Bnr&)ssF@U$5$0W=Qj5|;`jWhJE|3s`07j6i5Ao9;M z=Ie_K`LJ}!|NYRdlP!<#CC!fs(`{#WhteCD*Bbh5QXll&wl3SQ>GhxPhkot*dsvpY zfex4PquUOPE+42Em!Et$?%S?Qe4k|Tm!F=6A3t?;U;AIk`1-p8p&z?$A5TwDtP+xy zSLcUsr&oHVQ8-__8XhNMv%xI{!Uk00vZ9+t=)97Lk3wN8-Xk~q5#N*6c-3y%b)Ce)nIqBm?+DA`N+By8o zZ7SMrXl1qA5S4P;ITQXiv@6`%Z#6RQoOQTx$dfYdT*RJ#8z`iYvqe#klDm!s=iXc~ zNWsa*3&9xJ@mO52dlET}XUM-C#06AI9atmFVmv?U=bOwyw&5IlnT8s7U$(H(DzC%= z=i^j4$Vfb3<5Za@Chy@Mz1s9Q!_tshj{CTMK&Gg07Ju{s)4;gI=64FIk%TZB795kE z_`W~7+SCzMX>fjCP=fHBwBSM`uzydhQwx#hffrrvWUV_8Jyg&Fui#?zQrRSdNg2P` z=K^dDJ1FD-9MzhMJcQjhQb$aw&i@mK*jwVv>^Jhz8NAPu5noz3;f}k$MW$4 z+c)R$&@II}Uwspc_U$VGyJ^C{ag)`)75CQgypX=))&+kC=sMJf@3BT47x$)XhyMN3 zhX{ARo2_U$FR$QHk?S4FppFt|t{GCKXz1qk7A(m5lfoTwnxxzgXqrFrB-*?BH?*B4 zX*^b4Bzd#?&+0)Vp=QnX;jl>PnLjD#P`g1ZDZzcF)|}SSVsie%fa#^Td=t1uhb4E} zMBKl|No?Nq(SjiJ`qkRG{u`eq42$@|ryEwD6!Em4K4^lo{E61fx(;%m%vtjW!Q_D! zom~>n(FNSL;~si92>@FY%t)p$>LPyGL3)|j)x7p!1IHSDfRDq{c zeGPS<2>hD8zN=-@+prhoF}LmqaipwvXx+(IBCJr?jg<&uDuE{5*=xR|+``vKxs9)* zy3k8YwCfw#1qygTh)9r*N#N#Z zn|Y~(@53sMtSqns8;wP*;SatXmLTou?SF6pfZxplwBed;yip~lF{Y$&#A67yQGN)q z2k6y`{)BUz{W6X)V>Yjak#%8X!QL*6(LPUVgDB~9awaWWZiniqPYIWy0pS@aHo2wV zn5QC1++%`1(Hw*GJ5AL{^e>*ktYGbb-Ug z8W&S^gim;~3(OVX{R%!r^mUo#T@_6^?ici>N8BzhJH1oAK)9XV zlWjy$qGJ_|rBB;SSc^pzd)GAZngFCf)Exi#ZZr1+U``W^#MN5?iD zX|&-q-}se`QmArUCQ!$+Ory)qwUZgPX%e>c; zduuy-Rj+7wjoq-=wBdIApa82dzvq0N=v<%4D!efKobqUCFCO1>%pdx>h-q=bue=*a ze|P<5`Gwkch%lRSgfT}rwVWA5~ zMa~mZ`|8XPLIrd+5656C_Thu1!afytgRctKUSdcJCVRlc&&4XZduar?fy|G0ZqB5d%2HB2SBF*Hy>mLh@+`K=>9e|!>7F1&1FPN7E;c`*ah zG%>0TUTVTU=S*&Vr z4LLXk2L!Kr*G(EU*G+h)D&T%ap{NjkKqYE_(}~`Jz{XVt7cmW2ziARh{R?C;lxB2S z59~sO6YmN(a|=ZqIKU);(U(`Dn0d=B(%9=QVG!{)eox5|*JuyRmzU+t$RLJvSHfqh zEbgSZKPv}0RoTwbZQGW!HMi8in6)Za4!R#82kM`OXB5ro&4qHM9?Z&7Js6R<`6R3* ziNxLH`p;D>BKt&%T5Mc3CC&soWp{W`#@x^ag6MK_~QmgBe==u_#QzSACO zR*KB&+uZu~CKvP+&Z}(D63DCE;*4PnxG$d^3H5D0h@PkaIlAK0{k_BUSo|g-v$uyS zGp4PxR^n{1vva3dXL_4-S_r&#vq}?c0(l(zQjavmz4e{6#$OJshW&{6L4TqG#uA%6^YH zAH98opisfSVgCpylnN{!;uiwY@e%j4Ii>TmIW>WW()lBLbt)uHqfxKRWez~zog0*X zZD`W7s5!@P)1WX~*n59`F9{8ibG^WSuj;q*iG=FstnMw?`PDdp}`|5rC+R#~sjH|{UTT0D|IVTqq} zyd3XU*7RB+^bc9s%8Z?d7QfACc5k{F6ld{cYp>-3(_Sa~UpTL@U(e*jv(4->`QI+n z`<JEf**7lRHLVY{LkEp;dl@~F!Vm1sU*Q^JTPuP`yC+pW6W%@Io^F$YnO-G*C* z10sq)e_;5Q2l$o@H(M)4K4-A(8WnhnmTZfa(T_AJfb!j^-NyT*WT-N0Yo###vyHW3 zE6|iYsCQYaw$wTLO{bce>r3aI?H8UqC~$r|C<6y0IEl00so3%jgdP>5S1tbavgo&Z zQ~feDGXWER1+xoN=&OBZLB%D^xJ~{~#Dqoixgh{=P8C5Wz^wfZ2@VO` zIy{{b&=7O+=v_NW_he20w}L7N0h|R8MJK8B#pA*UKa=V7_#^sNnu1F>3?&kK4L&Dm zNWc)&`NQT~o*Y;a?xY@VfX%LxCnB{%!C(UwbDyG1`|mY{CG*?{iNN2h2PLUd0Z7Ha z6i^EIQr0R_g)TkJPS}HbA%H1;U#v2r2Ze@YD`#*KKJ=nVje^cpE;@Ub_cG~;9%|yc zvwfRI(Pd%VFNdv{=N!6FYv27vz4{vw-~DmerRkw8=7NqeR!oLwH;ypY??ORpOf33C zl$@UIwC^?zU(K^mGZWZnx4kg`ph4pX_id9a@ee~=+oD30w>vrh zejSA-POblo##UV7F_gZGG6*4QBIgI6uEcWz((u7S<0FRvqStz;x6K}ZQKI^><03J- zYX(aR&+klN3le9%Ql9obw)H@#t6F^#d8XSnKJeD#&JaICEIv3t=p08`{OqY{^~JsG z?69}T-AgL}i(yWntHO}r?}!bEr8sA(=5UZs4}3@?%eX2rYNWbdsPXf4wm8QH+K^`> zFiWX*dW6Z5;Jiayr?DbY0KJ-^t^$M<7!`RDW+Ok}eHZrSFakG$3y_Tu{v-N@Z4Gx) z68PE`7v~+Ni$2ZXw)5%4wiyr!4wPRpxb}jr+5>&SGVBiHWoR2UDMCBxZySfLa5wp0 zKfH=wCH|K?2rV!ev;_t73BGgKt^PV-Cb5F>9(4s9q&%=H+4VWn30kpv`a~`Rgd5fu<>;}kwJ%?F}MHC;N=>M zF8;rF8kYAu>~ARdsY*W?c;74HL;a#ZO$~o$uBW3oN*AC|>YCxBP?Fj*L)b8=_xZ}v zYRWmb;+|sA#z94WJm?9`^Q6MB26+)06`gejs7ef>fh%u~ z4N;Hiz(Fg##_7uI>_AO_^rtyE-_!@(Y2;IH!m48;DYt>?y9L-D9_ghd)-q={hTT25 z<%A!KVf0c!2(l(T3FRTOEwBr6A+L~<05$szKH7HsZah-5ager&2T|GWtX`9O-BgC^ zl`{u7Lo0p0@x_#WuwEwbLTStD#NzvcdX@B`s z+QYm}PN!syNKkqXq5659^IW#SjAg_li|s0h-{Tljc?OGZrE}5Gp2Wo3o9p|5e0G~y z6A2&{#2no-LJT}R@Pp$Zq*tHf-PIbI8D~cRL64)x)tY+0K2Ux(g0f%V#?_27`sYDU zP1!-uxyvK$6M4KOwdDfgj)Y9y8OL(Qx+3cMT~0u0!1^RTs4{MYyEYaGt`;u_f~kBI zewpwU1Cc|DmG1+J{l!-IX1BS2;|mP^jW6UkzUL&$+h-&rA`Bq-ia`@x@Ht8FDG=ER z2*L{<$pOkF_X9S$KfStQ2H_>DgU(21T6_N%3S?UYh6Z^6x+H<1)vWV=6PvA1M~b8_ z&)S3R*s8P02iSJNPTwFNjF1!3zpX3ZGt$Bt^Iz{hFtM3_?uFRD=9)7WP-VYKeS|u| zBmq)E40|LNkP+t)JLvST@Qh?xL>;g$q77I-zEX~b1e8DmJj9}Z#h;UuFGv8^Q{y2* zSwJw&Cihj-8A-V+VEuW&i4W`vmUm%S)d3R3K#b{RDyq8_!4#@`1>`VcXpLc|fqd zBVc_WcF#Ud0Cai=)0RCwEW_X#dCce;IqmnsGZM$H$TQL^0g~n5x{RXxaE_)iECyO_ z^kLonA4TV3su= ze82uJ^h~S!zgYez=yn1`s26Dwg=Ysj0A*3rTv9MmYb0uu59F9^;=%kcI|n(&n|RRw zyXe#>diDJ8IYWPw`-3N@JB*+(Sg-zpwPBNcNf^eSU#gqjeg&J{kA6?|o|wH}m|@%N zGYT(3rw`=4CO#==B-ZheWEd%X%79>GBc~T%!wk=9Ry}4VU-_#vrbYKY)G0^@0&o^*1q9dSO6zR_(n5Jew!}mr#s! z8pHv2*hC1XVhR_1&q!h?$!m=NA5KxoN#ox>avTWOaKdc+w<`smPEvH0%l+kXVP`+X zh`I0&G5>4x+b~K_{>IV^Mp94>5bQr9{uc183h-?0R!Bf~$OO8Ad`3b5v)`{UMwFkl@u04CWm1ZQCU#{Vy(Nc|=XYd~<)Z_Wa30qYp~{U%T=7?hT< z;0OyH4cpuq6`qm)SFkfX>buWKs%KfEBuDo+?>t~`baZbv{|`s`{=DO`BCsqE=8BY-yRZHCN#tiO#I7f{uc9!UY-7XFTYvNq-5;3 z?SnOQf7^akrJM=O_T^33loG)8UPXLh<)3_D@tsWiDEPM^_){N>)ED{Oew)Z+Bv`rJ zR&pjk3XNkVQHkJ!rZE!s+}}iv;?CofA;{;RE8~;#o#O-F zs98oz$Aas>{owYCg@sLv1n|wI!Q>dpxH?%-_9U@y^Q3s@$IF9plCpui_hc|v%BW}( zj6L@wWXJ#5r?FXqY+af^YP9;k`(b9kX{y}*$OiC=-dvRwu3rr{K_$RIHp2z0l1lQ4 z%9#c49=v0rV>Sc5CpEIXWKIY?jQE#OFRhZ9P*^?>MYk#`ws5%`SkTGwTF`U>3l7v& zIk*M`xt@H$l4TtRaMIsiGcAv&HO1sc@n4fyVPujsrorE!pRpuV%9+(i)QKmI8szdU z{C-Pk>C!8CPg>{1k^|y!`Sz=xZpd6$izC%>xv+}#nrSw2=`9aw%ryya8{~A$iE(|2 zyw_CWMcnDje$zqbIPR(p=Eo#?bKPuHa7+APJt&s++MR(nPn&1mS+6gWlz~@5!Qj;n z`X;YT{BSb(Hd0GNY~4Bkmqnyf!f<{32Jeyl8LXGvNbh0M%+usw7SN;eCLi!(YLhjD zQ*ByyGLD!zY4o}w(p|fdrEH9%_+{o<66Z3(Z9K699%<4DE?{?EYE7|uKQQ13p)pUe zwXBO=xOv1#1re!sU5aWr8RzCx<~%erFG8`=BeZtef>Ye&D=f0+tVNxrHJxADRGe!% zg}f34T8(#2=UtpI$%sOC-{JV{nm#_FK0T7;?-C@h?HOqge0=hsfm8!Uf$JuZk7SPz zu0?m`noz~_>)*@kb@4Xar(5f*%;i9T=-~Bn+jiNs{^OR0g#oWfYYJOFs{g%UE-j|L z1fG81i%!-eTI$C4h|q!Ot6i0}(5_FkJ~tCzxT2n~Qa#kF1@~bwch)(5Ibm1F!_|9% z@VNQqM6Cq%sUQngIb9bIP`{m?g*wSR6)gThS%|hyJ`$|q{OzQu2raCP5B|wX@y4YD z&0ljQSUFRlU`~_Zan>N|8&@N|;*FaUL2IcMLN=>ndz&snMi~Dp2>shh_b&hUaQ@ZI zC#*uWL#&UT?oQuMDAfsY^(?GjHKscf3{fseb1gx8dRUJCHnI@F*qk^XJgufE z;gXAXlVshQZ>)IpyRpJ?>sQaMJD+au-xKV?Ms~c#x7t+1NZmLFds_b0xJpLo`X@%8 zvx{$BF5gy^WSehMln6LVVLwi6^s8@kg?66Yo%Ff?m>a2^B@{ngZt}6`J9M9c4lGJI zjJHzu3$50QGCfe2h`_ck7R7i-V!1d%n<1ZVl%F<^>ob%m zzIMoTJy)WT88#1Ia;$uMGi)BPz_1Z;6q>q#TQX!!`k6~sKU{y2uV`Von8%8XnPbo8 zNB@J8dhPl7v&J2@9e|ZH6~yZKo%j)NNNun|&XynL>2lWEnU(KuhRCwV?XRR|9ywda z+jqq*PZ!6+>J2+;A^3DxOL1Ct$K?CqONdV0aq$$^yNUQz83sG_{i}?b#s@TwP<@-l zC1Q z0T^FS#GfkF5ar@CWbpiwRfDODz69*Z;hk`51mkpnImsj@Tz!`R0dX)IN&05_u~IE~ z7sg`{Y>;&S$KyA!K}t*F0bbV78alBtcRt-Ry~o?3nX@EZg}@%X@_&zWV*``?k%gKm z3X`;hN#=@25gK{4a4^40KFv&HT)iOp>d?uv*FoXQ;OshH08vbGAd8KKs+Q3wI;^AR zdmlHPj9D*NdO1z^wA~~)_Z44B_8+xU?1Vq$X;5A? zDwKc2_L_d6gtCr5y$uv&jmtMX`=J0x>dWD2V2^7;oOTUBO-n(jOWjEyncGrJ?ZlkDa@vpYSAd9L{2Hfl=wSM$SvSgOnN{S5N`4f1^r&T=%Dcn+#fTngM9 zoO;@;&sGbnO?vz~eJ|Y4R<{?0B?EZK!W+jA+Eoo==E#-I$Tr~E8U`^vb#g0UtIuGo z4e+4)dXwRxtE!nWY>wK`A*H%iY17DN*~n(g$Y#;VX2YmLuiCFh{X#RJ+JJ>W#o$=7 zSXV%@%zsB)v^EhP?sf@(b5W=#^cp_D9@&DukDcg@`L;A(Z&`bU!%fX0<=Z>brxxL30veb zi#J)$^Vk^+vGIEQTAc8P40`m|frWD<7|j`6xyr(+5QOIZ^9!Rz{FRc?dA&kWPJ9-z zmnmba+DL~2H%={&uCn5JmqLsR?@n!xLcN-T4K1~-ZIb27Tx12WZAgI~=gKq)mQ&jX z8dshMQS<4kCM?Z~S6_T3QSjS_R8NBnxb>W<#ASWg%$%q~opTo0;%|Dmcq+7J1&HHR z8haNJ%CWImS6mov!8~EhD?q#%&C5K&RFgX*z=ScE1h{LKJ8=}UV=Qijone-5uBk5v zGN{h=c}KVaIXfzPso-N5EWVDLVVeJip+D7DaKzItm^YGZ$8Ygb!x<9?F7#*rFtwL# z``Ff|(^)l#>ur}pv2%jNJ+WWTnO3ht@dB~m7;rhCTgRbCq1euqU%{ss@~Y$l-ZoQ$ z#%~r-W%s}xe}Sm5phWy7LbW=`hCH`~583*|H??UM+WmjkR^Fdxy!#IH6-NOSpQzEF zV`G0e+Cv}5Ka=4pM&*<`HW9ahb&6?%bFmV?*hOlBebJBkWx3i4IFkDuvDYNFPMahK zn0()nfXGfVIOmwaMamMQ2mupI19{Qc?gMm3gDR75RVYp}c;}eRij;S`yKof__i4vb z-(PtQyfJCc!%7)dcjUI0v*9O!`6T_1O`ViKZ#eUgv^h_THfeqMzZ8r$03tW1{O(^`9 z&h9Z)AVis}uL5^RC)T5IZ`1yna%5%52#5KcVP<=Ze2aH55@187q@q!Qgn{h=^G&=-AWkEOUS*PwwuRf zLXQnwOL@C?{_)mxOOjw5p}5}>;NTK4Ub5UKn~rTb99J;P|opTc2gT;y5(m_I!|G(=wh}1&zdWUS+q4 zY-xIw{@vS8{yAGwUv)GxA$!BK$nTyr^TS?007Xuc^ubki@(qnv7AAKJYcd2$f8<49 z^mTlW_WiYs*u_Gdp8U_MXea>=);YdnRqsoB^35{$fe^O^lI=Gzzlv{&ZFXM&CdCQ5 z=uM5Yk+OF#FTFV)7a>Ax0Dn%hxg92$^V&w!@8AMat6Z>x5Lz`TVtR2l9!{y|Kce?A$dg;e%%fSu+6b=Fqu8KkDCkg%uWleJuXPzAX&2s4 z@Jsld9q*O^H6ql-om~v7;+Pi#Dhtgn5^1#hDsbKFJryKN~;opL@^wSpus_7B)2(YN0Y3c+1be&xd)m_jpVasQ1Cey{A?rwi3gopy;~i)EH)&zUDx=K1X{Et^hq$yoz%$R z%9)f8?3c+&<}04iy@??+;_Um%2ps)EZCm@O8O`0!qg%+>&Z}F;=m$+)tSkG%Q$2tN zo6D>9ihvR1ari{Qa0+UDBVbyub^MNAarS-S2ck7_XWXM5Og=^49FEoGZ&x(B{Hk7@ zv+`V`eHZBI=#H;x_5vdech@=iLvwFO^YpvVB!l@IUYqpvic$)J9`1idXLccxjdtg# z?zFOOuj2SRe&9C}aEe;tfADT=XQBDL<5`Y)sSOi;qhKI_4B12Qk^NjubK5#>=Y&Mc zQBv;&6P!wz#zkmP6;d$BMio*tcz{I^$Q>7c0usv+q{*Xg(xYvXl(5QU2v4gdAzm-z zeTijR3wz^1tpzwYf{T^2(DD@B{jzksC3H=C zE%u8haggC6my(u|IVl!%@=jdN+LN|~k~WSFeS9xr@Fo^CPI9k}=Qn_mqLJYQ6&ho^ zU6Ytpo7+&hjb~`6M_+$XU}$Dla%;9u&Z0esMvkrn2RNsEeW|?&O-b$>{t5~cL-f#b z*tLwC&6?ryb(Cdl?8_HELY2|t)b9vq7SesK4vb(gjmV`1ZAbeCz4> zk%+=dk+g&9J)5?^K`8Gd?l)8sfb>H{m; z@xa$e{Pei7!^H!Uba#)`!Ihk}{vDY3k|r-Ok`Px`M&+jRS#hs3}#G~&2I{3rdI*LC!%2CRZtl@MzQI%=uu6603JgFGw;VL3ueG}ageg|#FkGw>-kD$ zYXWOU0XSR?Bm?`GUj})V77^KP5CC5Ug&uHR5*hCzVFiH#OqY5Of9(-b)Z^1>nz)_#JL@GKZjmv_+Ua4J!??t7kuoqg zhmHKL$)d-DZb#_J1uq=VxB8n0cRP4h{YT%=@y6r$ye~eUj_~7$_lNWfD{sjSZc0YM zmnEYs!ueR;9eHut<^!B)b!6NLm5n^BaGuOO(go&BC82~KqLodJ@*st*iI)q^z;MUF z!+hS6T}xoOSR|xEjO!dQqqg;#-V7$OcptzDRgo~bEGy#E{N3+uMT=4 zvjjjXb)rX}7fM5NEKo)gnq@*NI41HWMa1n1nM8ROG{}n0uD&X=cOleQ>Z(>lbkXkx zoJYdoDVJAvircEn%k45Fi*qxd6eZk|dhI~vU^HX1QeiY>lhPFIwrgN`Hu*cO^u-}Q zWZeR17RRqJ$g6T^mUyT!7UP&mPJCQpQjKV%bi=K$0_4Q_Op_6Id)g*n946p|Rv__D zYV}-yMeXgCL`m$7ZpT zEE&fq@c#<3Q;e;NCBJC@d7=^H5ZlDnD1Jhm;0lR*BAt(He&RfTSbL7PQ7)}f{W*_W4kuQ;L`0zH|JH%uhB z|3F6syeTW;VlF=?dCLG@=hOmM2y1~`!6mS`U?oK{C9Htuh8< zb*x*VKG#UQ38(uUif$LISjE5A+JrHbARq&J6)1jCStEe~Cw&n#o%NW^q0tUVNGm`% z2ad2KFQJw1^Sd~0yLUdu#JubZ_XuXB9sg9U-+TX)?fFJ)Iq3O*D>aW6ebh}XG(5ud z$#i;Xl7t+GP0NtG2w>Ih`8|4cXtI?ahmF9TyQt`+f~c`1c2b}~Fiy=UCqm6=>%B@Y za!m>MJ=;9;XHOy89pIf8hY`2-5U>YqXcqStgNAFWgwQiCe`ki?uv*b*46327Y|@~; zC{~kg(3r0wRe#|?CYJAsb5T%`xIUEMk;T#GDX>Sbnfhm6zoHnsGw^ACX-vhg2aowU8odGTZDaq>^h^BI=O373eFr9_F=YNiQyayVvua19_hRpdK$s@F+o!nk64&qpjlXXCH$Lw zb>-DIXzSEZ%uBDLOA3qMbhjj$693Jj@h#CG{S4T50p$Lv>0RZsaG8iWU0}+`*z#`R z7fbewC%&`Qy`ofXw#9Z&0SafQcACKDnmQ+)%BP*DR4&jPi=8ZnOj9_W1#EBA_nrpEm`>y&P2 zM^`Oh&w#-``DtUgki18K_3SW>+_Hi$i{KUOV@TdDk-JpXwQEKc%cUF=0L-wPJ z@2Q+XC%)GAtPYpE3*et>=99E8@7Go-Z+Q0|KU_RHY0>MxDgubeEW3_=4Sk9CAvO#3 zQM?B;ypheTH0G13qT7I^bcM<8t?7PFR|>i`l(j2fWcNTzhvr%wfIQOKc0DVB%q+Yw zaxM9;e4z{P_lCi;-(&iFq|7~<0S;=U31LoP&n}h){{h)Q#H73d0fsuW8+HLwUiG9~#~KGHGn80sOQIohjgO_(;9aA(HTJac9o z0oHf-0h98BmeZK!Kjd~VQRSp6UmJ_lU6-4fE6t`&SyNsk3*+wwa2-fSGw+hPEzs!( zKJpvs$iL1IjC|RjGEU^vP{n?Iw58L(yA-fbUdArQ#Q$m|y>pkskx2&r8J!H^E`JAV zX|U6}AxT6bB*#4wMvrDyNf5r?*o$v?Vf;8!g``k%tuqb9t9|3wVxHBV!wP)(kW{-p{6>yrG*$LjL=2dmjTbTw_>%6Y1zAN3+j^wFKc>hE;Mmb8Mz>{&a;@e4i&65A2;Np(yO4REQWoHMgBjTKG7TM==_i zIK z#zd;Q`U;EVi={ZCEEyF=1+42=RU|viZpwLZnut+WG4F~)|EH7eCf6oT1}WI3}dfiaoBwc42_n>pTcxxO5}s<{Z| zRKX(Ei9=-eO-`t`SSiBe_Yt;u-5%FrJ$isNXtn}daL>nYB{z%r%zKf=OtYtQS~b^K z{4A4~&1{jRv(05d7l&D@2F*Ol+jy4?^Te3I0kC;>(zTX#dURPpjEl=Q45s**#3;YPRdw}aWW6EIHtCB-(Hz%r0 zfmEUi9ad^NqMQ*THw{@OYCr?FKk3~SN>dd%QFTYgBU*Qg;a{D2?yE8@8tmZEF=|)1 z6^<{fUzm0Z>s}m*>#sOeEo|dD$BOcX#PhA8Tk_P{o$sDPfV(|MvB*Ike@muFE;_hh z*MgN_xaYjKEZ8e@sk#&QLxUVjH0lCgzlQ-!$* z&?6STSTE!g{}0HG926Zyg%&L{BOm`TjOm0qrg&odCLcYQV zotM1(r0V@?{5T+(>?M(~MH1kwXni>hKfX!{DiLL^Lj*g=QFxok>*F9cHf$A1Nf3u6 zR`QFNE0A3Rej9UXFz(m4%W{FBoV4D`F~=)kFbtz&)Q||w zcm+x@3(YQWs#ni|tHJTHzKdlpol$H~Fcf624!etm{auB%2jZ+(_@7J8bC5%kOl{KKZ zE!*!|+|Nx0n;IA&*7;(lG1+21eSU2@MR?b|N09+GeMvJHm3Uz@{q6(Rd)Lz!p{|sX z4wzK*jw^n0N`>?E7t!jC^Na`?u)yl_LTC!nUfS74&53In)OwQ0!X&R-?LWshdbK+t zcb?bpn8Y5Zh`0@jxeZq({)govjAKP9; zX0JXe%Sh3R?jtUHUj0(EKYHz7maZT`aA>4{6dLe>$QZx1ao)Mfa0Z z023n>n|eq(HMS|Og5m1{bRNz4n;Zoud5=t!_M1qXRM#MOsJZAjv$`L1!(LYaT7+tT zd(!1p-J1|kIOhc`8h1?@-t%3zwHPA zH`h)1ue|<~E%kq);QvrzelpN}o>i4gX`D?g;?+QgXVj<9(AN369wu&89_`QVZ&*cS z+o!-8nh=P9$hq+do*bG0dLq6-KvF)U=VL`&J48&>-x^^<{VnT7tXEDKYlm*f(sTR1 ze7@7r-m&8hwYhCd&+BUrGF0In*4_F1+&Y@V=bUWn?owu-3qYhbEsKiu-yv{y{c^At z;*g9Cdp)bl)wlbIYKeLxYU77$aDo1BQ+A*8K!>m56+Hv)fqwzFX#t30PcK# z&!@QytFq>2xzN#f8;r<5!2G$sbJN@rkz*aH>&R#+ZpH3s&fBX&hBLYD7Xa>KV5eXE zvtfANfhfk#K6`L=fdr2}?KCW=HWvtsa6Qi#s?~i2#YQob%=%MM+7mwXqQY z9OM|zRUHA<;4ZGyL^*D%rt8?@Z1OLjd5c4cpFa2fCG_G_II&3Uw|rE(Tq#3Wp4D}X zR%-yDH~!|jo@op?GbnbPaL&nG-t#U23J+lcr{n-=Dc#LT|I*zLHtawP4g}X_X?%vix(2v* zlV<-W>pjEY54P+{ykv+3Yam+6@T>Q8X*L`LSmNpACC4lA)?}vn(ztHx5ipEW8z(kLYdl^)I z{O9{0L9OK?)4sQ?bDW!iR-xHRI}?YTYBW*TgPFxP%2>BTqXP2bCJntp7QjXB$6CUz zUGoAqXTgbpMSxDBY+o8{vE3$AMDF)!ne}=k7ZP+G$H;`Ty9&eyFH{>|%pqIjsR_E?n!3&m)q&0&=|PhloAp*8Sk&_HH{O&ENlO4GZ~ zDJ0Cv4X@9Hox%2|eckfb!JAvz9Bw2*Fx`Y!&8oNeIcHx8M_iU$(9go~(4zq_~7{x637 z8dkSt_9qeXNdIxbI4Z&c(W|I@E4`Y-VB*A7)qBc4M`Z|#@cRb5$Ja7%y0|`!`G8OG z#xwCoBubR(!`vz!PwD<{wa&P;lJ zb^`c9@T6Y|5z!94$J7K3hK-qzXVrQK3V`vos;BlQLE?ZH9z-R5Ty%SPW@nf+S8B>) zp#~B||3$+X{ldv=4+4D+b9)}V?UOEa*UaZ|vtV=d`)ZQ$CmTBU1YULzga-Bmo^}sN z`t}5t|9@({VtDFngB@a9v-9rtCG!S1gt1|uhahn95MeYcvvWenUMbt1ckj8hyXKE#x_9A4*PQ8&A@OnF z8!0kD@bh0ZA%j8Ma4uPuVBQ^#mup%%F~d=fbO#Ce;(!HlkHlyyuL^5wqSbf%yKIRx z2MJN{xsxfi{0D3s#sgbWB>?Nlbns0B#VgC8TBx;>jIzzu&~PFTiXlG}5|}vZKj`*kT1uv(BNZk|n zgg1ml?pW@xPG~1K7ouP)CP zPu4Ox?#mC~D%1jFG#Nb{6vxbjaIGgZlfToa3v`jl?^s0v5I=Sr5cSJmUb`zxwrP}E zDIH|Dbf#o!?$KVdl8kC~Qq^@W9gfTFWA*$v;XFIMm0$4A(jR>HOrER56k^n& z$$KB-<<}{f$l_-mc73UsiX;j!>k@ZaP0Y*?C^G?pGQT%tvKthqs^FKWpnfp@ zxy@S$u|g74W0}*pacXa3XNjREBwb^}CJr7$Z6)BnPDa4|c-x96DNhwyjl~KL+oh)* zdlgzNhsL%ytp-_&#laBnWSaTgK)g2@4Y+lsY#DpUjs;E$qAyZ8H=<1R%D5o78lMef zs=7NZ2y$>mfqM<@{NxSDelnnsyFwPXErcY#qh)| z6yal#X*yL2>zyaLOOj5`f}g{>6gXz(9dEPkkS@>(rg054xl}6nO7cbFMNNW2G}(ID zTV`UU?U!j}vatG>*W#-bgQxAIro+x?Ksn`q7`8_EP%aHjCBm1W7*?ZXsA66`3oF1( zf_PSZw(>+l3_Ldd?3{YXsMXF$&OwTelt_r46dGfWen-y{{|V2>1s;ob9c4W!Z4X}J z`=W|kQhzdybz|6mb25{mk#sYW^+66OH#fsi87_`YuX{w9dU@aS5 z+Mv*j?F-P{mib$VvT#>8r68U-hU1G8(5Is9Qd7ia&Iz=Ev|FH*m-u8KM_zkD&Gq~xt}? zU-3Z<(4NEEs{F~v?h@E}W(~}m(M-+%ue{A?5r2Zr;+?(8xvOj2lYqdMTS6)Q2;Ta+rZCTFA81CSUamlz|133FuIG6f}4t+I5L6)KN?K9#Nn{x4!W=$oRVY#!rUj81EJ{kSF` zU*XJtPqdRs9MG+QgwdLsu3o~#{wB}w#bs(x>hl-~6p)^tXPLXG;=8psS>PGiVju}v zkD)Ee3yfo{9^F6F8YI+wpuu6YpB_qRZt}D?<}RXS#9=FN-W!>q+s}arAw~m;a2%*r zzrteT1SB0T*$ZClVy`LYBnU``0H1<&@`6E?tT^L}eVlwzbss_~-M%HpQR{SiI(|(c z0AZHB+aROqVw==0M4cGu)?ZA$Et1U-2A$sP+~r&U{CTL4d`>x_CELn+SW{;5bHU>$ zle6op-Y(ju8=IYsJZ7_GDsz4^Z2iVfCZcGG=aN5iqF1aoC_s2v>S_bQc2nz|t^Rgx6-LXg%>c_OxXve}&2;PE7|sq4XhI>pV~ z9QUi3@zO$8l`jVz%)g-`jXS<8SM`MVaaSG}alcHUE;`-k&V1DF!C?+zhj?xvr3l5N zuHlfC&6W%(P|YybrFZgeX5wAh>Fb4jqyuzEh586aGk=-I3;f_E*{ky%Xp}T!l9R;g z68;l+1(CV5H+m+vc<~+CIUHNO;f~B(&Xxcr@otNmL`rm0;gg2ILhn;

6pIYyN4s zDr>NNW`Z<{Z}-&UBa2z8#p2QbM{#ynvZ7&Mb)~!0n(Or4Mx<1V&I@u@Zo*5dm#rz9fRh_g~qJ>-P^>2KCQ-+(B1IdjMgE)_D z<#5CT17}pLly-{rxzO-!OR~x=17Lqo4m>c5;RVaDW66X%4yKPvu@Wh?hD8J5j~v}NVaiFu;_KXfbUH|+0T7X!6BjLM#xv0Dy!rnq3Q0@aS3t9+@c8bk$( z7nmoDnaMLb8kJ&a@$4)2MTpH*+=)`G7ZXqg(B>xqz$CY>kyoL*_7uTaxb@>ABJb1M zsT}w?57mTaW~^D!5@bNK6FM-N ztk)2ZG2?Ru7Qgb$C4*@!_)*BJuBgj;voTDEX9cPm%!&HL7$2U4QdbqunO`?zpgsNo zQpX+}Knyyl*H_S*u#D?ZHiNy{A+%~N5P9QTWB;~HfyT_BtlDzp)P@`<{@-_?W$5yI zV|bf!b-i=GWrmc;VWs7pik3>8Bp#Fc!vSgz>5!BjD1*C>FB`c9OI&KB5k-nV>hj668}@kjcORS8F7@Go{Fk_QRXTxx+R(O1@7njn#EI7?sod@$_Ea0W$0o@U3p4x8W+(CWYpbkYlB$%n#OF8vc@K7~=y9Bn;DyGDPR$uym!KfO9%Xda z40iu}rS774^KG@yb_35sjZOf>D*&Pz0C5U{*o^>8%Qf2-ih~m*QVgdz3u&Tm0vS6nJ1)riv-qElNa)y?j)@TDri7hGKk0h5<7P_&nGxS$>GBp#OQ@79n54^t!j zCaZ*aWcd8?GdF?+q_sFQfuZB5CO`WkXiP!t9rAXY_z<`07UbZC)OI@mdcaj+I=7Zc z1BGx0kBh6xs$rm-Hgj%pDh5H);bOhPH4>v1d>XhgB29Ogxs2Q0)s8!@B9cGH`Ma(jGS55~0 z71SCV3CJ+rPYJqX>=tqdyf9|YjW#aR%$}&Svz}12u-5;Q9AUmFR+=k>f69`A8mxK{ zZcdC}!p)uuyp7f3O+3t;TMle$QQ*C&P5VhcSygh-y8q#`Wff!u`%d@dx=k^|6qKks z?*4}32mYyIRlYC#cBQ-%8(psyAY(FX_OhyYJUt1=Ti1Lpt7UcIb{|o#W->s^Tvm#H zb|8&+?MbXDb?3ilZ}d+O=O#yKZZ++xA|&4*`n65ou7-|N^77c?{LOstm_^Y~C!MEQ z(*j9(-q{Zfxp&o(74nMSlWUP5TNB1Ue(Yvw>8pvX{0hf);(+{d#Qgh(lfFJf}1uQPH4jqYy`{*YAc-PD_i1z%%Un`}h z1XEJlX&~de1{oQ|#2}R%WnL}=KN)S4i{t0IJ2=hOT~xW~1J_K0W0t3U9^80t)0}0W8gSED>?!R`>$yK+F_)HtVPjQ}mAD2bmjJ{S4FZO}pR9 zr&E&OXI}ny`?xpKU45<1{k?cE>p42K{W@L~ zlUvz@`h~_g8pn0g^QCcfXwJ;J$&MN2|WU5JRr7ovDj4RUtEHvfWhO zY1Okb3d`WCbNJ%Ndi!GG>SEzab4B4*k-bp?s-XI-M!tt10Y6&FX8v)Fu?N9Do4S4c zT#!9Hb?eOXuLyu6Fok;H2x1XM;D}Cw7%veU%YK_ewz&>K(}}@`2rmglWk0&yqXPNn zrViNysq_2@GObjz5Uf^vBV3)sVw4Rwdk$ojXDID9?=>|g)Pl#!QHZ$~CH>Dv#xw8W ze}ON7i&beXXWQm-n?@C9^*RBor{Cgy(aWjFplYhBJ=xin0jg>}-y?aogt1!GN3mOQ ztzQ^P)J1YbRPZ$<7We(nRB&2wzr8R*=g@VxYbgRNiLf)1pR4dq5K%i^Cqx>IUlXm6 z#yu_JN-ueNs)9f0dhVJyT$}zW+n=JMwfK}f^cdVnI<|IO^}4TwFXrYxgrmM2PSyqI ztPiSwO;0zcq65L+Eg(hyG{b4ALH3eE>`tHp&GIAIbV*>q=hC*Vc5VXsL7warLdrbL z-vuu)#2pV%OtVmTyZwzHXK{wt@K^WP&f_*QsLRBqw?5AhuD*}y{97Fzg_s0Jd;WuV z;4L;&+9#riG<7UfuG=O#bmdut_towOk|ppCS|9%%dNI$}Fl zws!PZ)Vlg25Q>UO@o2~EdpCEYw(cNjpWEf*Ut7nl6+AqVBK?>fUl|>NNu?Yr<;|J# zfpoNUKM-|DrRc>Ns$)xx=vd&24<3T{Oe4|c+9T$%B)|UZD44MsKF!>KuVvEX!n2m+ zrIb@EYy?{ktTnEva&8B%IOo8?eBe4`Sk5swp5G@s=r3{kZ7%9a0x}1~efsN{#fnoT z2Aexw9sTixA=J4i^y%{4~PIy#rs)P^qgmrA*Sq@l3W{YQs+h^@qt25kpzC7GM zKN;LRbWVutu|APyEL%R>*V!NFKCi&sF4}6xsgYYNWK_Kvn6Fl0$Jss&a?Ys*@#)nX zS7)l5C8`-+)b*5yyWEUur@6)wVpKJRM-{j36%6AB+VepLFG0ZdD;5_XyQ8|QdO*>J zQTeK_KD}l-w~h-37s2`yi!&mr)u#|kdU+XXH-8sh7b%0p+RLSftRHQw&uk&PRli9B z6vo=gEh4BnX+OrX4!R`{pPtAH&USjklsu~t2&emnMNsvZU2wk+MXD~%fE{&meiKK-)+%Y&jfkRP0 ztoSpj(0p}%p#rOYp@MnoFZr~nJ_c^Ov|XcJgxB7^%yn+*;OgzrGtrLV(YBiP4o86e zRyBzGFz5C@xF@uJ0Hvvw!WOc>hq=1d$FxTj)OMh*ttwK^)h|+Z zr~MALm}xWZ^f`!-KWu|Y@OBFv3)J7-xWTFGMcl(?8uvN%0vjT*>(p;fzB(N|6Z%x-d}jk~?`CZJZhuZA7i(GQ%>Vms>H8GD(q zxucsqQ3dz=C$)FE{o77D;$A7>cNg@xESlkBW_0;FxiWw#SAk(BKY9<;%!Uo7M+sCZp4WB>JS1$K8SQEv zgSN&3UKg&WW7scIe~<7mmY2TPaGAj9bHWRpSOzQhRfB37!vr$)&&WUg)=@OJS>4WH zQQ{6`DhFls3+ccnDAqW^hXm>n&hHr2YLMopSAW?$X?iDrxi4)Nep?XP7Kj?=G6VOt zSAJU+7HeGuSDDy*8due+{rEj74uNwb9)jg<3j_>?2^2H9xqdse4-MnrAyj@3n59S8 zLuqDCbFLuddFOavgrX#NbCqnx6=nU@rT@27+CuLyBfvth&-oQXpfgzb{3M#(F+6dZ z`bgkB)}LtsXE5vnu4eZ?d|HjR`(Uf_Q$Nym_VAwTXTh|O#XAKc5KI3yQ#e;&xJeMW zYHGYPfWm9E^yaUWVg1WX4|?-)QU5ER4)Vu$VN9c!+lb%M?LP-66iPo!-oO0VDt#s09MA|XWM^f8>8ngqMLzWiEW8X`^-A&#c zqiY!@`rZG)WNp;4uFG(I*k!-GbnM66W|vrE(m@wxfDMnkGmm{6Il@3lLE|K<2CV$? zXum3#M=1sIlS>LsCpCXAv(k^wL47%| zt)Hlb(le{Jpdu4s#6gYa=*}Lo-=aH$yqp7J#4l~D9~pbWz)HhUisna5QRC%BzKowp z_HHH-!leUO>?S(W&sHu6kRK(mYbhGt5j@mDxt}oJB4#&SN_4H%k3>7FsSi|-M0==t zak(z4WAz^%0kgCP>Y^83g%T=!M7+jfG~VEt@MTkGUZs_(E#BU%14a{kZQE(&E^Op> zx!s1mbbWP0F4i_Ok}udXjx2BZty1#lmDB^7p4Q_3M!Y40DE{NT@Q?8qzKuq-e~cfr z9xRd@i4Hsz{-Ivzw?C9R_ych$T1I_aQQDpe2iTYdx*t*9x;Fq0w3DfxW#aETh{K2P z$p$6-ki2k%5-;{fz(HRB*M7>KTB!rK2`a6cL-PD`&!i4;4X2z7Sp#TU(w`Pj(=u8U zyeyeZbWXQ`d9Udb-N6Y30<{*2v6oAoBDBS}C`xk!{lcvaUd)M0RQKzD>8ki#%h?8Oy2kSK1KtSrxvYjc58 z-ARyh)Q7Hp=%|Hm(p56^#Gm=X?YPz2i3lR%bkNFjHE7Kr*kqXeL=G_IRt&|V=gukb zLn9yu>gL28v_$A2_bG=y{u zv4r}F@sO~i9q51uXjhc?nug*r1?Ts5?kB2^$^j4E-8c)>Pm4ttmyQ>o z=!ZAkiX~r0LC{yJp&jear9LM%p7jxP#c6}J=sk|=zF!pGQ5ryP`U^^mCS>jXC~Vn4 z+AwHw)sX{{v=46oBGbm-8%TSd%=7>R|M?kv&$?kII{J%ig}53CR||%$0Z6EwapGn` zeq~qaBoJW~Aq)ex#1jW%3&Bi8Hr*UE-g<@ykCEZrJf8^Oh!<2H{oIqJZD|9%`Tf^9 zHr9iGV*Yx#ewSX1`nPP2)eAl%hb;MMhTRQ3x76?eb{=pyO?v5;_KEuhae+EeJS1nZ zhKhE)em4uZgyfvkQ|$Ki`p@g=jD*Tq7V8*3Y=eYCg8=^@d*8fc41LP6G1dz==Yt=P zUDr;Jxg8`Oi&w21TiSFB$@*OMDt-G7{fdLPTev}F1%CB z<}$oLx?z7rL&Rw)dnA-xxMf{E2a{8tdGC*Q&SsS-kN7wt5jh8vUw46bMcJ`I9C$iv zJ;+|$vm|v}#P)Q&1{12V7PGm9}L3 zlXSneY1- HYcU9J@+O*C)x19Owk`w&rdidvZ z{O5uf`saH7=PLZ?nh;W|R+IiF!z`f*gZUw)gC^1)rv2q0m(B~hOH-?Can0-GOixFS>>IFp*#ArBG;zQd|jpgSFJw(GH}Q?-p&~fTJGt0GNjI z3%8mJS|as*CZ_Y8NB_gmm$!#2S!^VvJPseUAa7@B2t{1r%rhMldSTd2%2k`dKNMQL zQ-~&>wz4KpfycZUF~=Ubs?QJ$syzVkpbP?o2+wgh%9F936quXh1r1QteUGS{E=iAd z*|WbM3r7(Mk9i3Jl0X5AN@5hz+&fm#zq}pnFBgUQ%f}#5kiVJnnsz_N-)}mecp?mU zr_C5D^|d|TRMe4TJsJpIat`ipcIMngHncs?3;0G42`>6_&!%ezMhN4$7Xn2ilter= z8@lthFj=6$m?I*!!hY_qIe31I|H19v=9#&Wa0_zm!L3Qu-e2|GU$yG!ui6xQuwg0! zdHpXB3ywOR?)5)FRWmKVSarwtZSaE3SJ|KpDcK zXf!B0YzE1&e@3u8iirpzh`BN}E8c==^sqBZ5i8-Kx%_|4I}`~MK4sPvC6@fH(Mp8> zFVv5aRk4x$7X#XSnXPo$1x4TAUB>U+$8!mqa)o{f<|wwu^jDWLhu08ws!HD)8$q9& z8&sd&5KbMAhz1uuzpeK2W%{MQ1{4u4Ms~H10D28W8wjfE$|YsG3{FK3D@zGX(o8NK zvposqwpVv>Itj!*qF(AvZjQdQx(u-*l)L~_+=ri@}Z1mm_Xz5Ev)wI1;pNWR7kwtV*REu$uOBtLSd$0=LNv zha2}9*fJlDZKeY>da}xy9-nX5c=^uShHnh|7&t<5*>IOdK&mUz&xyUU{j`9bX6%J2t&z9egf? zR5IXPUvYbrgm?xoi)nR=pGN{cr^@($z`@|@`S&m(LNH@0dBVcYR+WKt*TT%YQG>o< zVdq~CLMTEu>rmz12HKI#ihz2WP>R&~f!#?d`)~RJZ!QJt*0&|Ihw2tpG{fIlYZ0y0 zyt`%R`1=Y`-;Ad(~wu5^Og@LbK=D&z5gp!J1L`a%w6c}?z-xM@YcT6j&( z+J6zeFxK8~#T~xlR2DzfGjNf-c%WOKyn4trGQ41*Bc|SVgO)55?v$&q1JSck^RhEy zW5L&DS?hQ1pKbwCtlpVg!#S%gC*?bLv;6H97d!H$oPV zzJ1iBpsvq#!swk;QJFmO7mV4U%#Q}b#5-ih-%$@a*HBy{aSv&ducL)IPF=kS3^#-W zg6?yrf}5maMBA05B$eQo72?Pe1L2W_S?9`943yV9g^c+GJrD6Bq4krWZjbR4@aE)4 zvxW^>y9ve1O9P}>f{#DTCfOvu61;eglRPl5GQtdLrRGR0C!hMAeZZ%?H0BGhop)Xk z0aG)F@rYQYbRbO@B^eFfc?UcBVBvh^9}-|LZ?Tq$$S-d`txZFT$|a8ykO=)YGc9P1 zAwfNfJK%Wlmb_>D{`zo%wOxNe7Bz>lgEgfPD=mb0y!E#=ZH8 ze~BCWh&$qywD&%FO?0FMb!~7uoob8lR1(ys4UO_n*KZCUZ|D)ujajgC;VurN(}rWi z2FfgkkJsax?m;$+Zet$qmVVr~t>=d1b3Xgl+bqtGwoYm_B)%LOR*i|QO+Rl2K#118 z{+w3qZo@oxLj^u!Zo40p*3`|y4LfTDfcaP8#Q#A6(R>EI=3Yc1{*ZVh=jvzsI6AVe z;G1D%I~gPFk{sSJ=;>WQ6x+<>nD-ZZd z3@Oy2?iYk|?jjtPq}g;?^GOQRmKEIpp>zB|W6QEd_~+;Nj+W`~Oa97E@)zP&`zXM| zoXz;3A=l)IOW65+9C0zoy%u=|?b_yj<8x)T$uY`+oo7uQcD62MC0wvL8(w<8Y_2=K z^sVeStXKK%mec674{4Od}N&4hw*C zeroa9P9o?bh;|-D!BCU9Zx^icf}yn+3V)<@w~R_~v0Bg!D%YubKo;%W4hTjMZ3m_{RrWH`9a>WBXh9aRX=7Td=ma|)Ly zWeb(b(RCXo#h57^BE=AvM74qVGd!z+kYjv=BkqKtz{)YK%z)Ua11dqD4-;7;N?!Yq zcm3nz|F~9-vYgU8bjeosuErp(tt3!T_|62lI<^n!PY|J@*#>SFAJIz2(ejlYB^R1R zBGKxEMZ(ks$wMq>NpM}gyj_opi%JNQu*Hp`@G`0sa*)>}wW4Z4pTJa$dqXUbfkG@> zKte3T!b0$Y&)%(M#6a;rs*)c1((V3MlFWXs2zL_3tgxzqzZsos;n`9Z>4iOVVQekf zve`02%&CkG00lHh>m!2-?h=E0>NDCNxwy0!h{G~E(-edtQ8v#O1Y_|fqb$LH3HMhn z$YrBh{8^^Fk}Ia~1?O^3yZDnD`$Uc64o9hA#2rp>SoMfCHy?@d3-(-rEu(X}ETJgH*0GeqJafb>nj1_b71`aL^xyDNM$lZfK%GG%I znU$CpPJz3%A}HU9SC`;S;8ng^lhQ>qN`y3ol(V#;U=Cvy4y=k<+Z$=pN1t1UI8{Kp z{={OO$tIvQpFtcJG!b{0Sylec&V}(9jX3l_&C0f~7*>y=9SLw@Qt*8N`MDBS%DQn7 zEZ8m@XNo2UKR03W_MnYCPP$gmPzP)LDwdp3=X9U?O!>paf7ctmqL9$!L}}@Mt9C@%4Q^ zueYL~JOU!ya7QEKg`{TlL-PcJJ&OzABe1}C&`luZQCXz>SiuxQMOq-hRUF2Ci26H& zfVZONhXKbc!ccGrLY;#yA_pyZp#ESw0JSzzH_6}moyT(F!F*7_O*@*sDfUb0>Cjw3 zh4obulpTFL3dsug-<%~S#m1hGBO`h2`iZ_Fs+v&y`5=`>a&y2EV{yO=0!bH09zaS1 z(oY~Q0O`7RrXR;iTva=!qIy_Oqo2j`Kl{tF9Ah9Ung6DoG7EGPjRi;v@^2)8KGT5oDK>}9{HQ`PRm=3i*;xv7*@mGR&J6@xSk#>+> zP@yje8a|GbbTpT(AzlLb5}~n?wy$xYRv+0VNGtr$2@aHC|5#? zi(+=vynLm2BxmNjCn(kQ;`qF=W)iSU1t;i$|DBC4yYn zuO`55UK2i98zgfOb&8vxR+^w%PK3`y`rc;clpyGE!+czWUlQLnQV>Myi=DQK{%Utx z^R+~4GZKVz7Qy0u`V0J?7sLBB-(Bb1Y?_sw4;v!6HQ^8yvFK?Hap~NbxT4nB=a=8Q zBl6;m?YaTnlUKHo?F3!6MHMp{lyCmuj#!-yS?_TUh<-JU*q^ZezWe)_&@llCn&TTR z&|D@*`Xh}Rc5xUkZbh*>yAHuKTIb5sK}pz2NolsWbCjm`NH|#VO1wMkg^D8GdS7OH zs^pX5dYgY#`D?CbVm4*Oc>j$dVl`o#r6EalCPLMHQp=3f6{6iv8PSn^1+HE8A+pWR zl#!nsqb@$nuP*$*B=bhZEmIecZ{KPh7XIouw~0RC6`5DqU!KogP}H=Bj5Mz&(#%-oKPZ1|t#q zis=`{p#;F)s62Fh18JFqwkr#AUX>!gb`|``MgDQ|RVlRweoWX^ ztRknW9n{rxfvX{>!MfG`&12_~^ihS?H^F&CUt`P~CN`*rqZ z`ySmv{a-?yGU9TuCWg=u=IOHK7;#86c;*~FLh}k_k++#2hu^&G;OBC*ZQ|jb>7232 zY>5iUY%hS+Q%GhTTSOM|bDI(sH&ctppevyuBBawbRS}xq96xiF)IcSvz~c1K6LXTw zmh+1oCIXHo2M&vEc~r})U(GLB|A>+|E$TP8;m9`S4l<^<+Hm+a`rU!I$l#X~Gj@8k z2YBHuZB%T>mdp;AO3?xgnlrfgrwnCB45u*w8iyrqmP;(EeWZUDOj`_yO(ulVWQIg2 z340^X?WquGqZ zrWNfvWd6#*=ISu^brz8zS$3w67X0*n318-cpf>GuU3)viE3Fk;0QhlWv&W_w%D`!E$l_O8j+7#;1yj?+w&%D|bLwUT?Q;Qy{xEt8z zRp`^lprsF$l0d0~0GwiIF`}UPH`z|uZGPIj&^wWcN=Z3CyTYKQUu-VsTeSi$*HN) z4_bFE@PlGen!r5W814BB)^sia{y3z|S{%(y3yM(v#%X6W%HYQy67BtW!3V~&Z!GDoLt{Y|L<|P<_w)$g$76$ z0J*K$e$uli)5^VeY)aim z75N)1u>e&!dMJ^X3J69&`C?ZfCX2aDRmS5bX`P`xcTwd=!r2b8>`YjE*dn;i({uf0 zyI8+pLp_e*$nVC`CS4@Ux_v3(FPWbLTPKAN31bK+YP`x?=Lb*fNQNO*wk860O;_K2 zze5X>{pL}R*~50xDbNMqAW^#p5_>tM*jG$MAS7kP<%qj#M>&;}N@JT806vK$|AoU; zFy3SxM}E;KE9+Ge-U04o#^ZsaPhDu5?kg|5T*7#E2!#T7uJcWC5%sg9LXRH0dlB;r z#Fxu0o>#}Io;&H!;Ud4ix!&s|Ilns>ffq+YpZ3@uJ!-?+B<7W|w9|cf2fBr|KeyKmJ4JZ#+;^??Y_!FT7(}IkB0qTQ5qaj^l@yS@4aY2 zh?sl{G42Ki=mZ6H;j zC<=I!xhF*2mma?~ClS8+8VhwpE)4jqHUU*|gV$-d9saF3yKdgL`<&Zlw;kR88i0=b zf4By8{BJ1iF_u{@VF0$FTF~*j#JpF>LD4P`o-#CAauKLY8{@>IL_%gz=v}xU%#i_$ zn?a$Q!G&9o%Y`@orbomksH)U6KVCe=J%qG)qBEfTNqKiyJR%C2l1V7J&Hd5u`r>o< z&*xC*XO!C-wowZch7NzG8xc0#BH@(W8`$I=WO@;N2D$WYjY|P(L{Y$vO5X*reMorP z{I|Ek0)yaTkeL48hVt2w`L_1c2EYt~?|BVWYg+*VASPn$(9hly6 z2$}5P_STx86M6Qx>H%5t2CaPt)4L53C@TT2as*vI1glyBMCY-=Vo&B z>ddy_Oo{lt$<&e>KGV zqkxqT$ln!1P5N8=H^AT8%e4EG0K^&!{(6f4eqMxZ0SEQP36B&o^QFbH=Krx-o&LyJoZ4;u5kqr&EHNatk1x;kdPsoV z?L@k;f+-XBy#SIiKH7AMn2i)S#k=&^TwJQ_J*jl@SU|K>k z3Iv&|@qGwgj!su6+t+V+Ag;OgPQ^NX(7mpbbDJK*d6C;n6-@9|euvV#Wrh4-tEy6=j}p3r@53pAx2i{Bxj+LVW&Hy#Et} zbqpC67z%Z4qHktG#O(zbBi`G^$o#~aC(Q%bs1~zUqH#H|F3xTXiB(Pb z*o$VYp6OsuZ1LU{{t!Il6hc0)ntlmIYo(2}zBX3eXo&^lYn61|jbbDW#;Y}o*3Xv++}Zx9zDMzq`e4mvemW~h_=0L?+ zTFFBU!BTVmP1w0CjBbTFNxVWY9S&8l21b+s*%4IwOw@E|f`)eF0PTfRmmNeT)KM=~ zV-}A@Z$q$6+a_CxL{Gr_uTjRtO^(LH<54Gl^Aw zgnxbBO9|u4rq)_k2&LsNX9eY)ZyJky*9GM+5T)hPJ$UMs3MqYfNx!jgMkf9~L3tom zgy?lRlnbIXwMCOoIj2)d60BIYs|o5ppWffDAMTz%UT-rn_D8DdKeuU$isWP2_#@LM z$5dh5EJBW_tXea2u&}Wpd#V5}G?HWRZet8*@LO;bO{cdqo^trS|aOLxUtR>`L6 zU$4379H#OD&e%T?eK`tv+mq(EDWD1e%!7T@@#&x5v;RmzezS81QBom&Vt_aRfNO@e1!& zh9EL_xn=8H=UFuo`k%Uj$|pdAv%Kd1#as{8^Pki5P@?VPK|ir{baxM zhRIPzal2XKfdTG8u56|kmBqz>?Ef0Fju)~wt4aF})Uq$O;jfD$kHnwvXu3o99(;Ht zW=EV$6`F&pt%>@q_Ygj3oJ$QWt0TD`1YU4%XR?kbW8cpHkFZ!=T_|s$9xI?8tDr9b zzo9u*K|Mz6YQM6ou5Y605~IJw>8k$MK-Y9p*nPG_n=wps|Dfx!@?b#1tc{>ZD%-8! zzvg8aX=n6tSbYcFXdEJw=o}J}@Rgb8-1?j250f`5fRb>)d#1HLU(wCThQbJt zzH4vuq#FUd`B+|uiE|cdHQvX z^aoFSCdQ?JuU5cBrSnq3n9;L_pcWpnm@z0GYEg+cR) zxwJ?YsEs3sf%h?Rddp_bo;i*1`?Fucb)cUdn4TqVf5!64DJE!$Ge>a%Hf;7ATTbgp z81Yu<%;6&rp{nzjIq-r~q~_4+glum!1MG8i#UA#$7FUiuV#e27Ajh7W^Y(SVs4IJR zo7L{gJ=p4(b45fvnnrN8hU(w}&&ly{3c?a3w6T`yN)=6VKmSQ}{Q$dxssGRwt)CWH zN5*2^r8J%hk~U$zOUN2~Ai;5MfFtchmf1!TDm5X+5Cb?cSEQuk16!Zh>We+d`(A;M z7xib>UA3~{S`mF?0%Qy}<28b^VhGLJ7%BEEdE1ipDZn6Y)?%^5yjLF33^v&}kxkzF zRST^5t7ZwLZ^?F6FZ%ZTAz<%)2fD@^p@A=QaaDNb@QcHHSfAe&J}Y18QGMZd&0(zA)vMQzD6Wl-?y7lJ zIu`(w+NzBe1Gx}qvVP`U)h*MDpS7MLrZ}2g z?|2v3kQ&hLQ0fXXxQj_=>tgRG*5BvdewOFb`T_?!zMpOZG*4eJyXkn=l5uVERS8YW z2hMFOgRBR^Hx1IlmGoKx{nJPTe3HR^tl(h?@*DvxRKwc}P*m#QCh&tIf>$qwN#FyD z)W3qmjXIBF2OTFd^uVZ@;Ln5Ba}Qn;Uwg^F31ReVO1eOoJ_#~c^0P>~gekaubMt>? z+?kdK1aTSfvUcL*q4Tf)k;&-gzFs8XGD*shKs>i5IA@%iwj6W|r2savgKC8I5GMhQ zJjIg!W!_@T8GE?F1bvkWO9dkG53=|X4A%5?REHE4u%ycH(9j_%0Yc1h{n{!Q?KIGa7_2p>QH@hH6@>x}bw+Vb>l*0IbUdETJ*dJuo0V`nWM@jv zx=o?y^c_$_kTy9TOF{0wNmdLW=NHC2tS3$i0Sow{U(a8{+PCPk#`^{zd%&#fV=>N1@c0D z*zpf!RYZEkg;Ee!^Nr-b32?t~Z*3ES&!f`NwIYwss~{IP@lC;=Z8h2u!De6xvI=n^aGuaornDQEf7 zA84w}QeM_nU+W~HQ#@K4Xkt}V#4=XEmFE=<_2rbY5O6O>XojNl3|$ZwS*rwkMl zaXo;{9S_g;!;**5I^_lZycq+hyS*V8@)60~CA+vSEtW5<^dIlnuTZ*E+6E0Ai_ag& zfS8kpA_Q=2WlwiqX4@36kYf+#K1l1K(_X^#dd^qilsAydJn%aBYc{%y?xFPlumQ>> z7H5)`ftxsyo?)4L2+;Xu(0m=^nC_A!)^?$l^HUuyU2U-FsKUUdP!(AbH^a$!KSs;D zm$YV4`Zp?G-+as7QPzpZU5ZsD-(~4`|D>%pASsB5K}F> zu&l@H8~QaLlmgVJuDfn4{w1(NaE&!g#;;Sj)=e-IO_GC0@B1Yar9lvotYJrq&rL1- zR?WUO{^dW1@f6B1WEMc^oFRd`Zps%?w|d+7aPakeH~1b=o2{~E-VEm3ctBz;$zFr5 z2aX)>GWx62&AtOZ-`zjUJFjv`xNF4qTU@>@9yXI2WHU8q#wih?*aS89wS0VdQ$>X~ zG}43L9~ByFoaubB&4v!bW#_9&Lg0p=0vxJn;hGc5z)r&`AeNPsVIWY`X8+vHb>7XP zFB79)P#|xNe@P}og>Px$fAXb+Copb>bxkrVgZL%Bw7yIVWgWBFi-M1Kwr$g2a*qL% zg7iZ-A|J2uyE$G>k|zbt6$seRP4S}TTXPI8j{vYOGG!}HiVkx?$AJ%IMOEAMB{f=( zde@&{!KD<0=$XHr<;^n}Sqoq_M}kXjfer{)d2_xsR>H3y=;*k*hehcjRGS`)p`{w| zT~o&SfvdBqKZ?MVSNcaYYX}j4ql zTV5M27*KqIgDSWlDp40wD?J^rehZ%Rr7(28E6~!7Zb#rKs(ovB0E~Tgz-8$E_ z`iBN(2p-#tQl)DA=u&}suvVyFnp=mJ_xO|j={ybSXtg_H)~y-mf)r_ zzMH~bZx80e$mF?-LdbDS%)Y;+Z&&Y}f~o1IfM1+c_HDz1)U`Z4_|ki)T<2DQipcdh4lFKy zl5dS1NA4mwfS9Gr%XsE9pc^8dnH#8i)7E>{@rOe-Tnp~SLg9@zT^FEV)Z{`bTGL8f zuWIu2D|utqcrC)i5wGq>3V?T~_eT)2Zz-Iae-QfZqhR-t%+I=mHx@lN`h&;)uxFW{ zObfunBPQAdUiap%FM9U8qFn8u6+LyV(w?77Qq0}2RIVLevc7`AcpN{PB$IQj%MLJ} z*;dXLes3e^N)3hL+nh{s;V?`Uc2q-4gy*3*gA#PUyq5J24=e$#*&|04m=cEf9J<&W z5V6~j3Cle=nSc&)&t!(LC+Sx)IU+1)U=rRew#bA06NwCW&GC2!ll=md{Rty*JK7Lj z)czQ2{p`{T;Q;=KBj>13&?YvU1HJz&x{RjB0_wlJ313Th{J&wZ`{A*q4u-e()Xg20wmId>A#^PC^P2ml)*~ ziG+%&)eIkxB^KPi6x_Z8+9MP zSuW}p?@&h$RRsZxp0`_{omH7fAv0Y|Babx~-T76&ji3n~{YBlIV>nNiPVV#oA)4RB zVy5wt3%Ez*{3P8UDo>i4`UxH$Yf-;G<`F+4CE)Yn?gJ=o z@5I0RO`wpKYmFok%xBcI)(eC zM=r#dD?ZBMU3LD;&M?^TBm7r_m86-(Ma%qwHPhGP&5EF6&C`?O994FgZ5^4LjlF{7_Yk&#w&o!Tr`KYMSkI;-`c{3*ZP zad?hj@(YxVCmRwzUkYq7iL*O6UmWej3!!tMj~IGb|DdXVQ&xZ@Vdp?!mLI6xdh`7h z=oRqm>K4#8G&nrLhaLgNX8mPX@KoXSw#e)I#aE$T`EAkXZBekgJ#uOA>#@JdnPa%* z87MTvd(nHKae-y@%G1us>EUjFZ*LB<@JyUI)H+WRaQ!6L8iXOnMRltgqaJ|3=)OG; zXWIL_{?PYq$;bx}i`UGkR6Yhi+=hIT38%#G{e=whCjy~(oGjm6lgCsR(@WY|q(aZZPr#0ciY^agIkAL9&vcwa~g! zMr6Iy&mOXZ9xxa|T_mo!5zF7oi2$Li=|%#LNGzO`qJ*Q-qHtq*Llwg$^3V9W(`#L0 zKbV{2D%9K)%Whcluj_a>(NR+3*22d}7UxF<+p|m7h**!}W8tuPa51Af=F$oxD9?!& zGNR#z?|7zqZ^CDaw@QB!+OqS0T!V|Cb0o0&#gETQjK3w&iKEjZdnfxAC*EPYkM2mA zK)Vj0@|D=yjQ_^VtHlk9aM~4jHeqp4^t;kqkbyIy3t>$fNS7^eI9bNM^?^Au5ve=59i(gYr7WzEpVq25 z0au}JJ@*!nA!5KK(&|hQd~|)tO|eErmvdF^7IJtWFLO*4sF6AietPi_SLNh8b6@xIMdF~LN**QLO zgB@~irKzVd z!dg_JYaW1V4C@iBB}VjAuy?Q5HSiMBI08CxGhS5qT6W2NNxbDNAXu=e>b{(?@S}kt zTUfs4hQIlSAm=^Es_i-3ss%x9B$r2g+BuoQ@&zN$sb zc9x48ev;?34=r;u58kF5AZ9*hb>K!t=nn??f3Tw&gPGFRqnmh-v68E3LL+@G4~9)2 zGHz-vrp$pN=UxH*RsQITdL$T0(f&(}#CCc-3;{Y?fQcBHy}S%WENny{>p2=IU&sI} zWY+DT6&RhlG%kIcLIj1;o$150jgXvf5#}P{x=YXP*85FBPYjf3{2nNf8j6JJMkRp< zB@>PkzIQV7UD^0Hnm4}PO6j!cwe0p+0D-t=T7_Q~95pO~GNZ#vyfrPRAqJl z+PNutcsSQ0qCZ^Hf)o_b&)0;-xWfm&$K=Yhb`WqcF(L~AF4l|^NI`a|PP`XT00!yO zI_K^DCHe8^I{t}yWHwkG-~vtpB`?gjTMmNAPw!6OWK@pqU`DdFN~@{9XFsP4Z>?w6 z{y|N|un29+u(jKIxaDKu)Mkv{^YKH!m4v-2U)UxBjo0bk&U;)(-2QJ_9h&F-KNd5p zN~ii}IZ<#rpp5m)p=F1VBgb@~w{`8q7wJ@8)F|BzIuNTE@4U~3H864*d^DiV){JrG znu}=9q9J$JOymPz`Le|O{NJj=zKF!%0{k3uA~5$QbAm0lW({WEe}0B8{;19wp&gUV zYqf}yv8?wbH~Ku@`HU)aSk{3wTWtN+X&qw~CP=v&!<=9#uUz=>mE<6k70Eex3R-Eo ze7?sKQ!JvWKj>ad<;qA;qf+KmboK`#1KQV<9-m3mcIeR# zEh49Mp}jX)2*G%m=!F(LDfMzYL47NnV-%~P5BH28cLkd_SqjyLqGB+520yfY=MTA- zqEGXCBblI-aLrQ`1Nz@2)sdIdFjtqm#hhhS_)V9}37gFqJ!xa0lIpqC9?(@o$D*yu zk@GBeaJ6o)UvAHDZas8Im_b_H0%*ghMo`F1{w`7o3&+v$)F+U{2T^MV6}inGGQ!R` z#z9ox(FdWsTPjML)$|`g%%?xWnuCcgqkcIF@)T;f<$SrdGsN3#{Gq(`rSLM2*!;Kl z4|AFYGt=lZ7kWfmL_;hOit?jQ5KJHJd}TX2x=;?q@n!{Hs1Wcwk~?Jl^d8l8b!51v z6VY!Pf;5wr(5jp%KQQy_E$HB~N(+G^)73(UBRSt9jcA@puvp(y<~wI;Bc7@@<2%$D zzk!maD-$ciSIDD7c}SUe6+~f(76V5pVwXb$@!BIW_TfPI$!X(x1}Gcz3K9Hdy4lbs zxMo#+IEey9NR@I`QH&Ecln_2lpotC!=Ykh0mJ_;8UZtTnlhmI+H2v$sXk0j|H6l_- z^+UT$5$DVZj*M+8*$>MwV-}lt#5rpmf10(L!8kq=19D5WIlzl)1gEcTF|=nFUH~(Q zFu4}N(EuH@d)mJ*Z0I)}njA+$%K&A_!H@8r#7+EpWs1yDs6rJ484hfp)(Z5gNRv5~ z^oRqrt@5scFC2=r%z!K$2K6&*4HW(?%;4!CLu6*+_FHQxn)}u`@Yz$62-PMiyjgH$ zW~!q5)^y=e(TA3Kb4E=C&rQanjS@{!d~^tAge#7xYZhj=2qW|aGX~}t+Fhe*&5ri6 z3BC{6$vi5V%&)mxyp=w3IfeeV^4D zo9Hc{vFt9Y)N=V$uK8yQfh(4(@q6}pnA%W-zQ_E|k(v@=szYg#;!*&fe8b52JZb2G z^X~{HVT-@KJq$>wgcbOOP6IhXt(z}?|^c($-}z@w+(r7oAK7-we8 z&FO{qpM%u;_uGKi4)mWxDr&LkSbQ`0MhZ+2v?x$g5-J zQzA0-*tTNx7gIW-+@8+>{e$*v*O6m{8ze+jIu%+v%S#=^jWK_3VW&n?kR!vF#Uf7dw8~uA>2oh-L$1|cMFpotqY#*2}PV+ zvXDw$Df$3!An@yn{)K!qzryx((RjqRG>P;JCCsKWr28RJ0E$!-2U$_f#SPXykk<;z zikAJ)m$49*+xrfO0Ee)394Rj6>AVsL`mC_1;~R-Q^7_z4;BWXjb;<3PsO=N-EfxP~ zCw8+a}(?K@2k=z4W8fT)>Edxd$M()moTJ z8^35=dxa(t78gAuTq{Tz!1M(`bCdA)C*!9qwuReivnCf6O~$hEMb29iIV7-5l1%C! z52UH+%%4$2dF8W5dwZ2qK0iSG$X1eXvSSpQW_@Yd@L;Fu5T$Ly9m7T$%({Z2w2xA= z-$?edvyV%kU~U7BG?(a+o;+pWmIe{!!X8SY z*H-|1fw_!O#C$q|RB|fG@P|Vph~&#W1UG^1A^x?{)$D%^Yis2EJ!91nPbfBmU@9%H zhzva^S$P5d&jNbR77b3TT#S%!2J-zG4%DJ(7)5yp1N4B)F{s38Fu%m9n$Um9R8ZnH zj5&Nc?gvVZ(=~`-0}oppQ<=X#6|&F_zo^n!RGbI@sxWmv`LlCw~%B?<;99)l0a;JPupu?rj?< zJckWk`E_mc&H$~|FP`Q76oS!aiFn|w$I~j?HC8odi?`3}%#~_OQFQ9}g)x<$b5d`* zn-U=5VMmdvvK$*&zvsX{M-qCi4D&_+mqjyN7%j^f;pZFRPO_4fdZ*aVHNjX*U(8h= zUT-21U&st?SD(Cn53|LSosi}P4#Cf}K%+oQ{e__JNb{@a_&IZrg+q#8lt?Q+eo-v> zrQbYuvQjit5KKx*l@B(~6}@j56?%_wZ1o44M=-y*`IjcGpMoNxYoCp36& zE1V>h!2R^Hefq+u=lPC}JiRnN>gcdt*7I}6;!okN#zRBG)aSL1$}`EcqqC}nXiyf} zxX1fJ4w_|q?F3H+)m^_dpS=!l+oDx1y*7Jk2Nl=1%!>z>KNsIBPnCdnBBx2El`&B1 z;4-N^bp|TC+$QVf*A{VuBZ6qek22oB$*&#$55_4bLj18;dsAWFjD=Ezl2@e9y6V{i zktFYhO7hzeF8>!@?*Lv|v#kqv(y`I8osMm@W1Ah@wvF!Cwr$&X zI(E`4Hvg4x?|sg>|2_A4YP_RHRn5}mTJxffcY;uMK_z*B(A>0%naId@NMllID9kRP=Nk9ww)01`se;xr~@z_c*&aBbCAXz zX1NAqCA+?|Ip31Ld}CPYn;_~!18>jn%x{$H(54* z&;8N~04BFA`(J7@u=vb+NuXkFz|~t1juUnHy)Oy~*^_fe zt3-S-+ig7DY4NY_$)=&8ioezmR%eMQ|DIn(z4qR!_+F*+Wp9Bz`Hg-hkCnEG-|Co% z!BA&^8E#1JzBV7KTNap~anXFoG+`PTC+}rjt=pTKwE=IOJ1en)K6$$E&Vbp=-xoC)^GE*XreCU$5*T{T?7QB9x098I zoeW2{soOi81rJ)kCx5Ry%3dn>3j5vd?k-mB`_lYF$bW}6P8Ug_di$PpvuWQ9U<7dq zeQ*tzWw)Cl&z)q^RLy0o8&{1{$&ik^K0wfnn{5lJ>uEYZ+;A_Lp;ykgn;ft*aV%V9 znN*jxd@Q^A;}~;~J`~q0Q2X=>?i`x$g=io{BP~MXT7)7qyjg%FZN7A4yw&_?WicnO zuxIsHTrt!4*^20vVV(d4q_wJ+aKh#VoI3+m&|jjRB-*9O)?Co3nK9pP=CDt!u}(g; zHSczkpVYw>!v9_*iG8pQ%3mv228YHi5@pJ@oDA!|HTrx&nra3S1Y{!aH@iuoYb!ut z6a-r?e?hy6`Nbu83Rh|el0KIZ4TVv20L#7bYc|&?ATIPqh3F~rW|4AqEaaRj#S2^} z&%6Pd#3vybnLmw2@3?|R(gWU_QL?9i?%Qh+A)fLtztQ-P6=H~Z3R~c}c1YVXvSb4$5AAQ^<0_FeA;zU@|;uQMQ$?EJt==XwQ63XRuY#sBiNXg1_}i>em{tt zBUI~TGiHVOB!soAUksOHWWe;pm|qvH#=q{0p(Qd7(%am^66V?miL`KF(vgIm_g0@) zL>H$g8M$HzH!~_PGrAvt6CTD)Go%c|hQe;1nG&Cmtr01RjLDN+-KT zwF~n36@+b^fZeRJaTiw(sk7f2IeOr$*5r?{>2bZz%!(zX;!$`mi|gwW>$luvaep6q9z)XSVlZ7sq)*f zun%6u!>I*j2ZFd|5$X`SnZ7q#)=ny6%YN6j&<~+v=`RM#_8c>~v?5*EuZ2_i`SXq! zZdL5H*`!T}q}~#|2RCH>xoa|3{*>(KekF-k8PY+mQ$QJF4*n#8Y+6bC z5sP`=x$bg7I9I#be66Ibmabxc9W#euJoc59a|rq5H1jT_q&T9HS#&*7n)A%&;d#Xb zPf7SfjLWfU@HxO`8oRW4oX@gg^ZdP|PlM!2StbCX;VEJm-N>=IQmw-pZf@8Q|G+Va z7G1>ms(pZgK@3Q_H|t*3Jfc90SlYl#^4+qjxzncnO`(>;m)V)%80r{OJIrv4iLeg5 zH0B1X>C`-)y&N30ZfY6LTDvR#4ig=pD`KLw!=y!w&fyBUmdA^8KQ5vuXdSlu%jlHe zrWLY|->*|#=+P$hu(J=xYn-QL?+}tD6w50S>+Bq3yuxJ&uLN?i+J-3oxMSs>_LPpUuuTkG=(hUo=0Xt}m5=bh zIQ^*k+sd^JQo|(rzDxj$zyj@8^#8)~<3TYs3n9H%cQ zdHa{C_i9ZaV|e?;_0ECaDgbtipuO=};&~a7hJAW zmE&&hYGFP|NiMl)lln8vG+M!B!l5_x&nN`}H>xd;QmX!?R4pvsiNNSQK2tup5Z}Kr zzoJWanAJAHYn{`n>;G0<*n|8{yuX2uE5Kk`+Om#>@?O4SlPAF7Xk4m6m?j3A1wv#ZEnuz^KS;0Cx@*k zDyZO1-XqdD3mL-vfRIn5!t(B7Hzz*CDmA{?EcK?RFUNw$l@et4$#yCC!D6SNBU*=& zH@0k%n|KFHiu6^Cn>l6bF5XoftQ0Ul9OE_$Yr321&~kHYjj*+Ehda!Oi?9uYyZ(|L z+bT_Y|2-Rx=3TQ2LYe>})V=z`XyB^;KU^=YDrY?nd6F&(+IZ1o)L+eG_|?~x#-(Q+ z1doABN$gK=(7GAxn~XFY$h3Py*X-*_GaAmDCS<>xwv>k%JF z{nOUtH-=Hsygw(Iy|3iS(*gw5}5tOPUv`%8}`1f6P>fbsnGt zc~|2+b=X(6VbE!dlsYk6I;ioB$$htQlc!R`{-0>+*Rv+n0)t$o7DSD+gZ-mL6Q!y= zNVJTEe}@I*#l_uAccn|GyVFcb*S;pSX>d8#Jq z_ILp(v|14>RJd09J*kOVQEq4AXljxIVN!0W+Z_pga*Sa2!XJ9%hw+g&MaOR34SJ1- zi)*PZNO4X=3V1QwL+pk1&7@Tpbbz0y%4rpLD1fV+!BsZlnM!C^6njtId?6va5c?mPkR{SEW}I z(nY+Kyte+Lwi@mp9WgupxNAWi#;cT#$zS1ke{K3Ew$j~B9Z1~*$k`hHO2E%Von6%{j>P&h<(&0V|!x?(VS*v;s(7na#d$ujHkJ8l!Og!GY9a<2h z>}8Gj_OG7PS92`30565}n>?fpw1ccjhf7i9dW|N^b($2avHokAdyaBzR>AUseDO1! ztNeq?vK+F$cM%*r%ayJ?%Wb6@wovdu1pi7NY|57X^-T~lmli^+y>AT~_sM0LHbjHP+IRzTV|KAR?A-UuJ>c{Tf0fkuoR!x^wBfdAqzlJ)T-CgO=g#d|G`y zeJglYsVjEP7N}yxEJ-0}bviq;OunZip})9z+YCOaXmePhW#ZHE)IzAuobEsf!K)H7 zg=*6&b@HJy@y0->3J(W~oTvN>caa{c;UYIu$3v}4je%a3HW*toi=7lXZ9L9Vte!1h zc29qDsJEteaQABa$ZSe!aj=H_me$hB1Dd>*JnX8QvODHY7w9DP6Y&D`< zb8sfvm{X@rd8SE5@eBSB*sf74lb<5(o%s}@paLxW!Wv<;EZ>9<%s{wvWI7w&V8ANh zU!k8UlqzK(cD#8kJEh_Y!D;!Zei`@8jj?aUe%9-5eUBYI3gte+*Y~xnSJg2|4d~9j%h$s_-{UW3{}-GD z{LQ5j%>&(^9-FXsAX-~hfo+7Xd2TdC zJNs%Q_(B+R*vDwFmOw+W`~^H=62jS+kz{#1 zKbA!+v#VPh-<|chFo6%vbSEF5Ue$EBP~k5)sRO$kK3yNOc0^(p(Cp+c*TVS+6sI1l z_B_!t&OmGQpYBazn4Q@jP8uJXIfREuI!wMxE~e2-)o4hKOX~S2383cK0>M>&UA3v^ zxBE%em9b(JDfCN-Z~JhbVoF(<4Ybugd0gN51hg(6wL8FTB*ELdU%Lq|2%LfI8up8v zOU9dI!=E$Q28IDB4)KF~sE{J-IW#qu-Tc|>A#{<6lei_~7-;nd%g@RFY^18&V1-n~ zBa~5;v05?;Ing|5>inccH9i4Cgkdc~=S8tgsFvIL6czl$ePmNixi!>wSK`Vz5`uJo=4NS%F0qQaYKLJ?#&8lPd&q`3PbzYPLr z(HSaMc{EE|a}5gKC7QEj@xy6$%(?OfMp4Z^b4!ktt6ZHPc_L*dp}bJQ0q_CJZ=PE4kBqf>`n&KT|};lwy)VT&`vl?iX> zIr%HAv_mJywZ8DJW!#YB9#2l*vE0k%($LMTomB>ec2i(x7rucp4wM-H#@Ax-zi_e4 zRJG)+_YBj~{v0X@2PRd90|lq>Ozr+(!BC9|974<6oA;fAX7Lwy%qypBcQ-GOr`6F=cMoSgZXlV3`$Z^HDLHw5 zZGkZa;!ERDc+S4gI~b@_8z{$<0cdgJo4~W#BBd?V+FAhNX zl4V99D>}Bw&i0PQE=-CutiP)d8eazodit!#w55f(pIf)L6`$F<`GMslhTowIw#_7w zoe;=3g5_8@gD}OJ+~%5e$coAbt`DdZle@XgY5yXv6tHxlS`S>2oyjw*@-we8Ed)L* zepeR@&(KKXJds)cFBWd*O>wp#7@bd+;Bb0tUCiP5wYaRDkOK(C=|oQGIj=%EqCyQz zjAGxLE_Y~U| zi&{A{W+XCZ;%}YQToCBk9I_<0nPe=p9w-)L5o~Zc^6ch4^K(3}rWkKXhU2eA?i&8D z5JtDZ?7h1`~g+;)Q8&VV$6cGGLZHko%jqbiPl{ca^L z&dC|URlGeR&a~#!pEM9>C2RErcVJeO=Jvzu>FA}e_V>B>RMSGwb=_H0LG4EqWb$3* zbTRdD@83;*i02$TU#Q=`&^}Ra1YW{zYYwi>q^4h=x~{g#T$Vz9VdTrQu`eSKauxMj zP#g*3<6PZ8?cF7&AD-LHI6AUeEBefehk!A|M&Jz1+hMtFIvj*2H1;^7lMGo%fDFZR zRLjZP#T*)UDp2&g$5HfpJ}pBtqf~FYZ}GxZPBj8~S4|)+-C(TzVzm6bC9bzxnW>>> zS&lmRV6&ejERE>H7?wJwhpO7iwPQrQN9ArLBKZgJIc_KKQYIbg>*m69Zc3^u(Tl0> zipBS_{);-7(P~|u12y_AMdPsxuUFSB+vMC-j>p5@$DP;H$<4-0jhByFLuTfm2cZ^< zUs7{ZYfR9W*ib)Sj-C#l_5cT^ZcmPIqov-kgK`_gavPC}VxVy`dKFp-97A-W>I(RF zJ#+kP(^%+bN%qc%{JQ)YuFr3P*GG3BT&5Wa?}Z;{M7`uFc`JsUf)+be!)Il-wgYyD z<9lq{zDmT~ip`Y1A*OgJh^e!e75!4{rP{YsKW8;U!NCu>E+{ds3J$m^4ye?Xs{**h z^B_>AQ}K))zOg2J&ze*~xJ0=DQQXl8at(vB#qAaxKqbaUwig?KMiv)Q-gy?QfM`2@ z!S0X0o`dFC{3i6x#er4GFGR@x_Qo%yFUro3RbR`+KO|4!O39S06i`sG?KVQXvinmD zjvT+@&kio{*fPf5=Q|mr z>iW`%v#->M_tMYSn(I4k@p}aP_soK*-)~Gy;A9FWvWu8leqZ-M9*a{+Z25%Z%CflV zQ{d(*oh}tkR9>Z~F{W@(@Nuz2pdQ^q$}vY5Fcc|bGJlUs{l;aQNv@bfF9@CTV+S!a zF3n7mQ;A=v1N(t_mCJy zmSOqEq>|fEwhI!7nd$XggRt<-78K?i2wwE7L=J<}Tz{ih)2psB=EpB-{92O)BJP37SCKye&8=^}N7L`1I~} zXUz?P!3fYJMzo!90T0+zTSX*a_T0;P=-p-OWFAybS^J$Mkb)J6S!q)VSD>Zp$zst6 zTr1+q0`nXvAgG5zc`OCW%gV|2Qp*OCT5SlK&HcF0JB+~Rbjiig?*>`5#|8(BCvut4 z?}$L)_+M-AYPAXx^@x&M44)e?4MrVVeDMujvQ=hv03Z*S1)&tLfS6P1wsL1Z>`wDR z%RFs|rCEV&@i3NsWMX*tZPWB+M|;dOwIzH=nsI9Jg$KDUy-ohEH#ZNVHC?x*5>M2$ z;G57=QQuHLfA*C3+;>^WdUUhRkM0w5OuL(<6UkZ6YYEh%6Pe2CgZ-Z4KXrL|d zip47T7D^JQBi5KNt-oe9GaCZm>3^AqU%3pbdEnsTJR`C3Ze59U8V?puaa?ulFp9U~ zu?Y;}Q|c1$Ix)+JwjOoG4jTh<&Qozwsp1XSREl0-OV^xT7;=>eaZ$PCfXu7)zk6Rl zsLe<|fDdGRC=4Dm?W zj}T2K6FZ8AVac>D@G%*e)fJPZb$^CRltZZXT7>T}=ccT?gt`KwcsB>Z?}$?r{NN3h z(9F#Mv?lwAh)>fI`|=Ds3V|aW1;M}#ck*vl5g50$;guR4m`nbsL|JCwo#wP)EWS?l zIs)S+S3hSH5Jx_S{|oa`XV@>8dFuaT={`dWgJu)NuRIP$hDpw*>T3rJ%O>?uCb>_s zyqrdSvkRBfs})b@G-PpZRN;7ywWlhDtUrY0aBuoa(=_Y2ur8i?TfNN3nxpz}xb$Ms zA_;KV(fOW0wqLwv-EN+F$nrA7Uk47{2H=_~W93Dcn`k2&t#v4J;NH<74b~o(eC&>J z{0UH1VQvE_hx}*Ma|l_;8-o2$(gA+*NARGy{)dG_oO%KIYzOs51eFQPRJkj6G_nt1 z?hr=-RDyo^3j*8MP=C8oTsxKTF`N~mPHEOnrSFqL0)|N^(T53Z1mFjD&EH|dich`6 zHpo|G0y0tHM6l4l&Pogxf#IOS-9AM?2U{{`+F7giCquga(ckVLIABi~?KTKRYSffu z-Q}vP1`Pu`hXjyzgMKowRQ!9tiKUC`ycw%LZAotlMJUOn5|ygDUg1ZDEklnDnkB& zS?Chz?VdY`VyKcZmVc#dQm^<1P<97(Dfh+G&EB1v$CFh#*{ zc|gt;CE9<|VxT7NV0PSuaop8gAsakV@~?9aFl0^~buSo1p{vP@mNg zQ)Y&lhJ*N$IE&VmH`f!so<`29y9Qq+3gNUIRYih$IanW4cf~3(t`MD$5f*bO%$`}n zc&SU{5Z8({f2p>U5S`Xr__CS^K-pMm@UK`GE&0C$sLEAiJ_$^bv6|T?rjFYq1vQiy%E*kQ+`&ejxJg=jxFENlhDxvWR zz#_E`z(u`wiGg<-FkifLvisPIkac>wmCyr2}K|o>&V9R>D=K6qE21~Utms_ zar8V;4DRPk2Tn!F@M2hE0dolm;>8~46^d>_eA^C?F@1se7k@$8I_fuPOt@rv=OF|f zAz?qHWVedvY4=y9ET6n`d(B5anhc-)F9T6CbTlC3lN=-)kU=eE+_K>~hOjsPg2p)G z_s|l3ZOaIndS(H~h2R?+MAa#Uv@sOFv4!Be;pgI6OTylO_~Jyc5zRbv0$(%^;BDKu zJ3h{Ek#dMje|)?%fDCsj3XEI)E+Ac7tax*B6|!r=aRu_WRMJA6pfp089=`*J5S!h* zbJfdBDcgRmi{^|wBjyyGOX7(KZP!Y^?PDl0b=zmDlEHNYotSkPAy{06*~IOmT)#1k zLo?cl&Qr0Lh@Pbfus}duhZuA{h(M5SCRL;3yW5J>ZhgE5mvS%(jVe@=u$%I+ON~&| z(Knj~r*5wn1%*f$J5uQ|i*`?o5x-*@II@JS;`hg(^~WI^I}j`KqtL$(KbHC-zTlpg z44=t)WUjlkotL`JMWNS2Ld8nZXPI4;@5(!wjIAehu#jO3T~E5qzm4~^3fIf*S8n3j zKJKIh_+}BrIn549KTozLyxRs|n|>6640}K^6Zd3syOlO7@~nzGT%D5xR8^n7Gj!Fq z{q>qBIATXLJlF~C3~mqSeb zNpbx<@ol^SE%h1CxB6`tY^N8Z4l?8@(E#c)atgC=rk9i9A=Q{z<3}9~dboxXRit0# zsrkf!Os-pqZE9d3Cq(0G-I&jq%{3g&gc{rVdvCst0kMto=;thV69>|jhA8pCqIZfy z>Xt{(dQ9YX5?IXHdOe7eb!Z9dG4jO&3TMs!k(?n^kglf@2RRdJM%4W4@hfU(!O@epW6qiU?18yw^^XH?BA&@|h)YmZD1$NY zl0yv!y4@RU-pNGHmWIh>EN#%xbIXHzKHh9km+jtPvvXQGg$FSj%(!Z?I8l!BJ+badAc-04zsYxyb1R?8O-`iO1bYvKtqM8jQf)B zhP8w5<9TC^WGmV(4u4+8lS284YfRn6A&Zm(Bcjr2oOIqoSnzJ{a&Z$Yfq$sAzaj|6 zF3@WS%HEwuSCE{z>Y(XZ_v3T0Dr}J%j`EK&2(-95!Qg$$GSh1=O3OgD-H&~`5K-=Z zc+A_{4sE}yr`IV>th_(*LJ526NW3$L7?+tq|HFm?1fb75$7^t9(Y#x%Z^jHYCabFUr3I`Lmx~Tq+r!~(TuD`{!3)7MevMN z%3$iK(9#@$sRRApm-zGu4gKODybo?qjTx@;=zi6FT+WE<9sG(S;h_{jnP_~h!ntI;=0}ux=1I!b7 zMX?_m##)M1&`oQq)Iy}U#+}jL6u17NDbw@q zqjvI&q1zu9<)3a?Sx1k5dW#W&Z;3bP(6^mEh5U{#iI{(zcG2yxn5MqaC}kJg=tgUV z@JX$?PMtZ;B198Bq@>u((c^xq*l?_WDKO$`;?TQz{GpZ_n&g*aKK)y%v1Ge zsbaZ|n*U=L;!bSA(Y0#ZO1KckeITzr5{)qbA-}UlBn0|C{pB@cNcNiQyo!|qlV|) zBsc@d3_Eg|n&v%k+^enLzpCF|1_WVFkrZ0uf7iMBagru3xhy*hjI70L z>Gdns58##tFp6W7eRH&1HZ9fCFii5g9ib`alfvdjOY*ojo?rsh6taL`be7={VAan& zwvW%_ozwB$+2jNrEgTVDtR1W>&|g33WO-Cs_SF|paG%ODDgbFzfrJ%#9=x%bIxXrj+|#3^Q^W(hklr7#v*=GQCGTFemyas;_K~$osQVp|Yx|XPy>kyDPto zd*hztSk1W-vvEf6yqTe_2CTtmzyeaq8Qemf)f!Xq_pLo`m9<&*?ZELt*7MEiu?~oN z^xVI642Xtly1ZhDN{KY1iy7Ub>rE2(Q_rAfqMW83y(|19cW_9_k z$uk%#6lHk^WnMs+b(`UbnzSn$zGYieWjf}rKi8YSYAFU(QdiwUtPw-&s#N!d=YR;_ zEtNy{$yu}ep5+m(I>vInJGlG4c|9Aa*vK~EhbRF&DJpaJ@7Q9dwseUymv_EAW6rqa zA>`~m%rz-U4__2k3a7+uNv%g9WvVdY0 z>NbF$a1gA01RQr5;LO$Hx+Ss|^gqN1J`Lx*l2kYQJ3Z>wIe_4GvzORvq$8X>b}D#} zW)O2#Qw2M{>gr0s08{?Do#SRUw-8rFm#dmgC8kL|B&tw_oDA~`EVm(%bZ&MDWTl`P- z8{nbZtfXpYpi zi@QjOP8|Cr3De)Wv+o=td|Ta}Z$4eV6s=aY_dUerWeRaBtdezQViKxACRrIanTAR} zX}Lv$kqW%5>f*LCP^tXiD*D>hUbT0?$o;aza67q{1P=PNia$QNo@vt zyHj@CEQlCku-(*+{6y~95fwFc9u-^8!rC&!LJ*a*Q}W`nO^32mmg2H5$Fh5HS@TTH z23a^w3Qb5FiAvPUo1}uJ@TDs$MN5kt3bInEMK1-3Q$<#O)wnk0NywxEI+dI3f0At_ zHgga6nLUxP%3!iB{YGYbu1BG=Cfa+Eu}n|~i@Y$w4MOjBqGz*k!T)r);LPQ!<=?GQ zdSX8aGSR?AQ-N@fq$7fe7(I>&XnN&g5%;TIyF-S->5U$-yDvHvx&Kt3@2|*{wCfPLvt)h@iVbcDr2@Hj7cptBKr6f4#98sGa*dNK=|i#rp$Bb*!@9GCVndUAIwDqcK)7BBts>4A zK>Pg(LZ*fc&q`wS!7PB*eEu+6Uuvzvon$2rK`0$o(9E%i$Ro&oTnwpmEWwFnrA?lp z2)fDP+k|;QB`$bintr-}fP_rVq(E;8`HD%7zACDf#COakFfB!xB6bx$;m5UJbbv*7 z>~8aMX+guO`>b=*PJkqAWF0)KvZi{0bxK{M8kQK8lqOUl#>vYUIYhDpLT6C`WquqKVMB_G&P zxZTctw+l+L@0(I$qKgVCO0uw<5*?-}7paAX7#FF7_4OrNG^fkY>xU{L^XNhVY@A$| z=xF^n>-s{R-sg1_DfWQ7=E)=*u%kn3iFDcxC?VDuz|kKcS-{bX4+7xGpN}YUi@w`> zXLM3hfn*GT%!)O;1|&mpQ4hmjZFG(R&x({6G{JgDOQ=nn2? z8`39%%C?0Y6GPfu!7Pj>ZqKsqd6ZRW&kra;KnY{j@t#SmB6?LNjIRnQFZF3uj8?YRAPJAC9Y9Q9)z&!0 zt=dJYrUw|!4bd z)hrAN)xgk*V@UtnixsM|?{<11Gefg!Li$T$?bn3-S3Xy?52qIaO_QY)Sw#LP@%87? zocH{RVh}jJNe$6-z+>JVC)X+{{qWadf@q_O#c@YW19fXmgH%#zT;W2t5E5Q6bRVdl zW(j3+FpQ&S2}iLg24y0H`D`!;ZJRpqRMeUUa{rL5EJrUZKM|?s6B+70QN97GLRSKk zt(h>(2HLt?>r#Z>uW5_cEpMH7-8NMYcJ3r=>RAg8;uvA-9=KPyemv8jdK}=41bu-O zgsMUMw>kvGYryK7ry8W1l0(m;Ts}{Xm%G~%g*~7Lxcy6w-?n%|s^_f@hYA*^iA=?s z>a+yPB5-{dJ`i`IRBp{{k$!etC+3jU)wJ^Up}C%YYCPoN_rd7aTfZp&C^HO}!pYKI zgW8=NLQ7^_YiVE{DU6n;vsU&r7fzPKS=0>@3Rj@j@zW|F1#doC%U*84barT43uL7= zLFf2K#11o59UG)HCxYu_@if17cl!QmP8E~nXMUWj9%AF@wz+ zM|uN7i5*5&d2JzB2rZGVj_@L9uE=X2M|mwFmM2g${rY#Mvbhr9H4K&Ym^cYYBHMvw z_RRMv18$P|MVLe*9L|l%-}9YzJP!u@PV{23|39_(d&c%km(ijdBmds>|4=WdP$$i9 z7lfPCVt@zh1)nXTqUuVT)160GyK1$XWUbuwEQPn!j7F>yN?&S#s%m)>u^Tj3>MEMF zTuZ3F3t_b$TlSbw1NNuWUIxdyeSUOpQK}9*Ag;nBkF*^5`=|zm)>#u$h!1YO^gILP zjD!LPSSw{Ghq+NUd*>~art|fQrA~b_W~~Qnle4Z#tBqzC3wzkN&E2HHFf9aHo7_Vi zeyt@|8_tH7gPGkV;mFU#e;Mc=!861^#Wlakb{Cqkc`kt3J#YM7)|l|f9IW_XR4{-K zcHJAj>4nDXL1X2-zGlO8mSifgI71YXD>BO&o%fu^V^`yLroN*6*QmzGDUFdEdL6Hn zW4@4q^_kWdLAIDkP)1(+vb>`G z>_A+F1m4rEEs->%iYK~Kqv`*qj+(?`ihxY{9Ha3aL6(O=vvbJVKZtp)1R{?7VTV3Q zI)4RQPD2mN2sHV<%{m`)?@mIO<_R=Ee9csMa)E9LO$6}~tOL9kLq`?F#IbNi_veSq z$MVPN=1<*hoOznLvb6A~D`SpShaJoJS{JYW{F5B19&o5Bmm1`j$`gYVRQl#18jyvs?9J(3Tg{=WT{B(kJp^E^6SuP?M{7 zQKf3&kcv8&Ls_n(N)4r)fIyX>P7xdRhLKjFo_+Rkc)NWFYQ%XZKem~ zux3jnyxP@g(?}TM1B<0fFAe;W5uUn%-ZA@m($=pB2mtR|)Jg9R`f!mAb%@=uDi&@L0 zUpHbk08d!)=dR!chq&5;Kcst-Z1!atM*GT{Ml|0UM@PVr8QLYp$h~cS;$gmL(2z|+ zDRP)e!aT@GkZc`RE;anV(BG#vgq6j48e0jP7wckb+G#NN<%|_F=bY{XUZ6PT`af~{ zACw#TCl16et`(dCQrtHi?`oN~*$ZrKv{*_5Ovwn$khenF2uv}VfrNsvpOoMKl@>=F zk_$9jFo{EU8p3{ZSnon$_1motz%v2uaGW&N3UgHUkyc&F@m3w#X2R|F9FHl>ubNKs zraU2Rh)fNuzjG&3;jGDxb2vwy>)m5tOk~Wl>)&itJ7h-ZE=*e26KLLSlDdF~ZECSs z@(k6^&oy8Y`UP56*=LEhBrhuv+g|yTGT4km_&O?`bsw3tS2y|!Ng%predzxD>FODQd9W0IiEY%M%95KR_LtaW*YtD1_C#6 z=_x!K*;4apc%4Qh&DF4^VJh~)ch8tMk{;bidm0GQk zwrZ0-?K&ACOjUWqd_d3brL1{mIyS9!;|$bo*tWgN=JShghj)6u29{{$zP^!BP@zw{1q_NQo@YblDN*0X0Hn`@knefG9dTc`M~<2A!iB=4S; z@ySnr75k^$|H%HYjMP`BolBR;Zx&S+ODbxGk;TrP-_~`L=&F(nBhQRm=f|_l|BPxc zRwM{iNuKOmu&628IvQUP$Fi&4(~- zeO7Ia%Q6Oj#=Sr-l{$TTL9WV5G9RP0?nxwDiX?cvOtkRlUt>m14-Fd{@gb3PI}B>heCv-)@F_>D)TyWwDw)%Kf`_3F#>zBmto2XQ!lQ}aZRYx|b*_wf z!oU`!L({6HP2+)0&r2MPZ4Nq}Cl_FNV%XzNB;r&j%KTvUn$ zol-5YK6~NBp*JmGwKU!1Hr+e0YJ6_%%C5h12+{NgPWGxBr&eWG)y0ujM@Fuy-tvPZ zn=S%X-Lk!ZeLY=J?WBi>SeFv8xjJXM&y2X_YCYru#hY&2JN=7N;?_;%*sTF0npkfrf9eTVYX zHo7pa{jnXDdyP94ofre`{j!wkld%-d&v73r1IHb;lUWrNo&+3sEg5ji$ySlQ{q0_+ zUF1!E?;Y7d8c#WR`8v$(|I3bh2Bws?4DTXD{#0oWWC9qwZxkmR$1IF9iJuzVTaO`f z0E|<;#t{Vo#yVvOBs85BQi-~^tK)6TC#<ZV*f@kym>?6oYmy2`Fw|1AM-6p$nBsnHgqn^cvXTxfZ0e1h!(G_{keh|~%#M^sL* z9aMm3;{Rdt0qY7B_x*ZCC)Imbrz}M;Rd`odB0?Y8cUxDYL?2mqR~Mz&Nn@x^H?PKW zUq`3%95ST4R4A!8v+~);7c9>w9^bJ#yoB$&b7~^IgoSd_dPx)%$1Uf5T2AJIL>dsNedzQ!Bis^2`fz@gr5(CHFIAQ3a zk!+Fr^{$R?5jc+td(T#o#(md62ez}7ErPb59Z**SawdR*CIx5m)+DYk3i!z;4Slgh>Jyqv zXNf6w+RH>OgtAodE{E}-?DakD{SNw5G?$Y#cnO^JN{9Dz#zD0ORO(&Ne-OriVIqKu z#l%0`7Pv!!Vg6(M!tKM?LH>~TBO76?W6<%74BljQH8AVwW72W*H^{nRs;<2DUm8m4 zNH3kkE1-;e>Ad;@B?u^CKs(1vNBgl=;Jgj9s_+bhx-l$*R!gMFAn$4eIDX_u1gn=c z7%#{sOGvcGxV5`bn2xP(yo3|5u7YtGV{{&R;A>TR6V(XkPUUT_@itqk%SvM%0kp@Z zI-3F*FH37kV^z@no`222!CD2^LEB28m$eJ}{@P!fkw%Twapy*HOJC;fmjxSh{TG~j z;DOY-i7@80T;+8ykJ;*SP#@Yi(n!7KB7gYW?OvtPXbY?nm?>pD1;lZ)k$-UPADmdO zYWA+AAD3SqH-NgWOM&jB5fh;wes(b5_*VyRFMxf0^5v#4#%|vR2<)rHbTaS)z2=NOi3&csr^X)l&YK56KWl7J)(ak?TJc<&hi#mD{xH0 z`~MLSV9oEYKzQ2XS?=iDLKvg@03JC-AHe;wad)$;xF$0M+{O!VYw3;H>hj#!6{+2R z-~2-A02niU(AZx~oIalijy8Ma=(nX>*XLZY@I`&=e`Ij5_5x0ZPvG6p{k13frO@A& zN9;76#CqJ_z2|pDmhH{d%fL~Le-vS)VVQGND$$LH&5bi{-qumAxyTo_1E4qAymI%?d_78yN%6{m;ciJl0TEdes(j|g_aT8t;W}9PI92V(6gOFriVDIx z$tOaR1~qPAthopW)~MpOpzI?l2&JIx3n+f#jFOPLXKX{Kcv;KuGSh-ntX7YGI5nXZ zk9{8XPn^&I;=M9(y>u3@$~0doX+X@41&sTp!#0wLr(N*@(V0Zer2gwn>|gGe!%GF6}{d%u2R%kPsxCS;)o zb`60zam1fwLAMaRUy_jWI>LXkWka28p#DUiRa1})m8ZgiAo=PEk%j4IvJLxH`lBN= zes~1xtiz$U_*|7=Jo4$ai068bz59)E{2#^yA zufC#trRfjypcI@&_>wOM*ht$pIV%PU#`-X*!^mIbgvB@@3L3kvBYt%;(Wa=QxlY`& zygFiiEBR7~(Br3k9e#2a?#$4|_nYLGJ$exa*1$}d!+L!&uQV4Fqm!lrTYe`w0mt{74A9;2~HbzoR+3x5N^|TEUGdSD2>e)6|_0`8kuE@{N$A zk#cKdSWvoF#Lcxc=;PIyc1k_-LRgi|kcm-9!HGCw<`4fshx-92q`DDKI_SB&{IR8v z!jxiS?=EeOyEDz0zs_rViYfQ&mB%Y1ww)X>!*t}~EVgBmm z^|Pa|F5N;uDLmfp9iDh}Lm&b(DVbNEnDzpvR=$f*Xm5w5=HM|VjUgKrHla~+o;RLE3?*N(D22GI10x)^LC&^O;$J|q7+$}Wlg^WoK11|4p) z`_94R^QRd5$#cU;uUjVR`mH{5{_zYSFe5|Z%_I4;ylGU)&T&z!e{VEn^}z_ml47qzLAXL`l`~ z=PpTOv|nQT&}LjVDaoDF>on3u(i7l1kJmn|bAdF~HVEIynxU-j^6U)HdBP~N7>set zYMm^b{_V;ov*^Q{YmmWjorNQSk>icCFec&fx4DR}P3_Jmfr}o$;)_^MvNP2l;Qgj# zBcZ4 ze%V}e8(x>NWMc1OJhpk4ishIN{kT_r{B~kc-XY9G-(R40n8#hW0G5qXzAE>H+}mRA zi2QA?KrjC{E6d#gIz)WPp#EHqo7rAd-wJ4p8KA&?z!i~{c_iOo+OjW>|`fuBsiET(shO1I3mZsMM>Z7 zwq{C<$=<^vNXAQshz7X3Je3aw+ap3&GCSg?LnPFHS$7v22hQFJt-cIY47*vP?V#38 zvVLGS!e@+9DnsFc8Ek87g@P3-5PLReXjyb#tAs<>}@<^%726>RsM*3_YH{2>i_K_WqWD>u(v$*Z?t0OVJ)z4Dfot47EVl@coE;Y6I z^0~XCcd{stzMs(UOO`mkuLI|=5l%q+iC(e?tK6>>Ixnpp+zg!rw&aQg75Nmnu1^pm z>?Mkaq?^*6-ylb1DXhf5f5o<$X0nEH5;u1NdI`B(&f=LC<5SdPhO_=3P!@!!PQRMm zmIifA(i6VS33jI)Zrvq3o!n4K5xm0}tIXbA)(t+FiND*>hlXN4Z7; zT_|Q`5ix=B8tR@3v9XF%yNiV_9u5+6UudD3E*lpTVx-2y!_~?IwNf&A>K#3j78BGBf*oMiT}M}~g)_~{krL6Mqi?OD5R7jYVk)gmmVu7* zaV(|rG}HOB*(zOMt6JoTVx-iat>U)a-zBuZS9uv=iFQlF4VY+}MYUqvvK=r`-)H7!M!}s*v^E>LnU&cz82fi!iLL4{G!*^B#8!jrGH>8<%rI{KkuvJsUFql+{`@FCoXh5%&vZZirVs!{k>Q;95ZqM?Z4G$j=56l4?nix{x zS^DTXb$Emj#OY(_(q$j8>xV&pX7I_ZV)vva zjj0VsN)iU>&u92$tl^SkOI)X;=UwgjbtlhIm%S!LJpx6a*?FkE^bwTACNJ~2G0CW$ z{LGN(YBshcW=@|lV2P+(i=hch?MRyiTs6oV$~i-LogA>Uxs0N_2h7Uon^7qXwk#p| zm1*8Q0*(};26FlC6&L9B)fkBLQz>w^WQ5=(O|aM!2vaI-Fv?7aVX*V}G>KUX+9|(x zhDm*eocHeT=9rc7GBIUnHQE=m2G3cUq#0FT1~FY&Rs+{WCgJb*j_zE2+9tiABcl6l znEk>!d!w1#J|QCv&N=-Ujg2sS%I5@E%EdLt8>T=5XGg;eHZBepG(5(IDPUz>9%3L! z?_=~h)J_@PJsT9v6=84R!&A>QPBQqATFW>3D(3iXDO)NM)#;NWBu5uHA#^4g9RFjv zN0#l(zaL?R8B_JTUI9|~2L@#I@ee=9f_$T{z{7{M|d%| zn`}rNS7w%@;C@q%QMO>3k}XKTM-83gdGIrA5n61J@dRv5d2hyumm$>gzQOCP7h z+hLlq80Tp1t})UOxVQ@Dxi#92zFq{rT)0J%5@h8IkmUpgrUYtWAuCMg?shLL4>ir5 ziKt_Wl%%g!@3NC>E!+!BnYJ>Ib34?&DDGqZMEzAnQBpPs^(00r)OAkfvzII-coUN_ z%eeIw+M($pOy5+iei=pMlOd=gg1p1REH zu?AY;Uh+!1Sd*s|B{~1{q?E*5s^5cEEV*(U)Schw{Yj@H?4Z}(pM26%u zZN=f;u~W@xm9L@aj*m-eF65MJrx4BcCzLI%WblXAl9icjUTF>?ml0diYp>b9KHyIv zOs-4XmOQF-eUI>UZ0C?}k6Nb*o<pVN<=Zkq6Dx)&O97`8J9C#Ss0AFm56 zFkVqcX|M6iuS)iOlINbWw1Z2Qr)aJFp}tS%RgR_Cza|%3nZlbJUr1uXwr(+97%Ak2 zu~bCx*e{o(H+xR2#l1b>%&*43I;-GcIA@k+yopF0St70ChG9~$zaHDuMG&^f1LIkG`XOCyznHhfKLcWqjAO82cYTTQ~;V=&8#c^zuQucKx0634r2$CUbuy^8=@O*NLTUCX^cB!&NIZg?8)gAgS$8nw3;ShRP z{ksC*Hv5WXc?_i1NLDvH!!dhD99p-NiVMBU`k@{c&IhLHb8YD+zUS1DV)7LKhZ4?t zIscHVg#sG4m!_)LP@0R%FN=a%RKIRxaC!fzQ@Y*XCJ2psNOY*!-%(ryj5CUiHxvB$ zlHC6o@t{a)Js;gm#8k-J-mgSv~}D(DTrFUjh{T^D9Pfzb9PIPVHGxfekx-cEb2 z?qw*G0_f?5IQMNZPn12J?Nrw!CcoAdph<1we;dg&GMj2t_|(5ccQ-*aY{Hh%U7c4p zKH&d7$LGU=^i{O=d+vvlrUkuUOa#b@vE}^HO*{()^Hj!^MfAhYt=a<$f#?F_=tM?zJRmAPRM{vCe*HoT$QQUI}vSKa*pYtu?7C& zS|8qAdb2n8i%m>V+xP6@JkN%j6u-t|bSqlZO?MQU(r{vpTSmq!wI<}c z$MjfB_<`>bAbfV%@082Wx^9u{;yPHewREE6WV6#nqCt-7DKWd49(0QMo(UeI`_Dcgg@W6n z=5(FRT|=SoPgS91#^G?3+r0GPcc>YIq{j^m;K#95)z&cU!K(l>@0ZO%Y6$I65+SuN zbo0M}+BC1O1?WQo#5`qBal)7jfGbhe=)c(#j+bW>%GUi;1g6f&A+%||8kiw7w5bI{K>i;cvH8|!5WsKifNt0f9{Ri0Q$(wFC$P6~G#8_uLn{#Y`LE z7rpcYCv2kp;s#C_sBI;Udm@#;4FJe1Grez2&uu#U@*|Kb&`{ZE+6!-PS@>T!@2`?5 zp&@%*iX`;#sJLE*YfoxfRi-3jg4TZ}+U9Wosd&K57%cvH3*-u)QH$eX+Nob1@*pRN zJPtd_%|^<*6nv0>2Tp)y^;aMIpn=*m6IWE}6Dco@0G>fY>i`%q?Wu4`Uc~(jk_IYL zOq4Pm;24fP_kB!HBq_kFfo+sF6Hw$np!CatgrQq}A!7IZiLMU_GQh-y2q)hb)`*jm22RJdL5M(!`Dx35k&^ZC!&C_+aCYcmJqDAzhSAX&H+;aAO*oxA1sjz`|_gt@#ar! zpql@AG5bDX>TVjfW(&}i1X5}M6#9S{AE2EO!Oo1ag1uP(%j?V+FuV~%37FvYH?k6z zZ;E+&4rn`i-!9OOGk25`AvFL=#7lrxQV&2V>40;+fob}u;hrMtZBulr_aIvgKG&QE zV_k_>wFq$H!8dgSw61$W9gL@J_U<7FTk>lRVhq4A-4)Q#+bpEb=u&{dl<))M0Fm9a zlls;n+vWZGadq)=`Yr4G`hsO-mYH!!wjtGo@$gFv9{oG%YZ@K@su)UR>EPFO8K$UvrI zz@NOj^37F*|LY3X(qgg!>@0usF2ymFb5qJZ<1~aRZ3m zssVz(`WC3J4tW*g{#V~jANK-+VI+Yv0xjqY4rH5wHaB-ZWoKImR3W4!E0B#Y+hJD8 z4K#Ii5+K}Y)5BIkoVaZHFLThw5Wox0&%zHQKp_EP!UW{jqFMr_cefHEqX~Q`-06mP?LEkao z&xcMi-vg~1f;|Qo??SwtOgn$qPq?EFM{wFGXRwA zUxOUSQX=^J&Kp^@H>nR6^pEM$?gzC1?RfnnYgXU@kPHRlc41e6`OIifk;JxX62*H^ z1v;NDR5TG&mLv)h?N{8zL}yJi(J1x|N37rxX>ix?Six~$t^;08pw*5xd(8o^flsog zoGoLp&XVXr>Ap+?V^#OAlaCd^p+Xj|*R8)G1u)cTLv=FId4|RCp!KPQ*YaK|X0 zz?%kUV%pAI$>I0(0Mkrw`qBfa?r)l3OHDwzCKUXILiOG{sOTk!jBSAA+uM!!El{Bh zBK$DRK>AFo-}OLkj2i(r^)>|nX>^hUbPv&w10V=UNqRrmt69%QT1sa}{}Ir6?#=H{yBTOp1kfTzOy7q21B=k zn8{Xh$cXXJ?sk^hBDJ%H*12cF$`h)UsPJIF6kUwR$P8JHr|ZJMiuNeA=AxT@o=CK- zhHBt+$l#W>^+rIhk9@4|Z|&~Rs3XAR)Q03>>DQ$sYb1cYbgi4eViJ1i&UY~v7*WCW za`{ELa&c6Kg7jlM?WHZfRwpNE5aELk(`)F!l((gPA&cJl`$@R zVU{~s0*zIvNLI2KL-Yf3{o4`p0?hB>-D#8vB)nu)hGZEIV4OQxHY z7>kD;7GWoZNViZAKV-*~0|g2bvWPGE#=QJ?8KZ8OGr{hdm;K9L(wIb_Rt(bGD z5nysghIw)inmW#m%qu0KW4fj`Qni1aa302>Uwa&jY@g0X{k@r)us-#5{ZUMpcB~sH8!yJB2UEv_KW!r!V1>q=1MUHv@&~g2 zK<1BXY}_-x3cQVANRABCAjv+yFa5za6y0DaC~soDeAp-Yc!w3?mZUxH<{*g1;Lwa< z&unyXO4eQ2Khmf>%I5eQf6}v~{b)+@SWS`hp(b9IVBP3}c^awqAS%$=wyT{sEIn`- zoi;y0Dg^}MEo5d=4o4&mYw7?!(hintU5y~koJ}b}yogC5dO0D{4&?rcQzWlv;LqE~ zpxwA8#9k7?tW;Jr=s)pg!dtZH0g>eXDRd&IpSyAW2CI!jla`?TbwLh}JH!f2oH*9f z6u#%^^3fKDap=gA?-_dZg5NkkJ>)U~&qRjL7)&-Ocid%9^YN9J_%xwCU3ziNg_`NAcO-0tNd3&WVBusPHg`nPOp#hY2`m)){J z4a!k3%c-#-O8;F<77ot>`>aMkt|Apdyxm0FB2U^=pM-3udVuORMPT3GfucgDdz zXs-hKVIm~8TcvmP+j{xVsopx~jVj`OL~WOQ1@wI#!>j^?)gDBsC;E* zQyrJ>Qb`+*l7Sd91NsnMYOaH{7xVJ>0!JPWy6iZlQESSudV|S= z<8PeH)qCkUjt)($9243~!royhN{6!-RG5EehbbI!*P{Z25V$k z$hkqdGtn!X##0Z#kJpv(_5?EbG^d&BDooc>?=NCxq%-r1*~BMN(=QqTNSm_yv5tn| z*D`Nor3)+Wfu-+QFO`Fj3$H7+haLf+udDb^bUys7J!~*pu^T{vj2taH;k+IrN`R^YE$^fX*pZmzs>>)2T|2>K3-45XyVom9q>brTFA zdVSW)+Ut_N+F_mbH&RLQXv!*kis5=ODZziGn7GHayA4X(WxdXk9?{RToYI+l9{4u< zt3GR&^)PR@Wq~Vb24|#8PwATh&#P`$?U7t(HLZ`a%4I{IWmlf1p$bPe?ZHw?hLcv> zHmkI8{dJYyYtd4Po(=be$#oVNWKG#ajBn`=Z{Sk5c^khPxSYvs{|t~V+}mdVTK0e_ zM0@50+}-E%Nv^W9JQ<(8t`{%X)b{#3I-01ir^Qiu6d<2%rF`@PlbT9iT3=Z*-#!G@ z@P*L`UgPI{>I(&f`W3TQc>S@>wErw_;X?_l_?4VV_^v@E+=PxU`e+(LSUrB9>9==r z6C~_Be*MeG=oa5(V*k@cr-VDO#qSI!*9Y$Z?ziyn!+-v9Pw*T-Cdt2g%9?!kIjFBn z?c6ijctJSRzOR``S#Z8&WqkID5czukf?zUZQopX$S=41YkZss`z5eV#7-YA##}jD3 z6+oEaB-r4#HfTh6wy6v3Iu;N*yGNHhh}sJa}gEvZStWDU^Fm!&CWl z8_7u_XgIPF{(K_YE~-?p=>YEO2hPC3X&607QIaWsIJhT}&N!SE!;S^zQPZBUD;{tX zN$YBjxfFt%X(^QliBjx2iq4jDO1_b{Nin4!7NcR)$e%Q}2(PetSY;-YbbCJxN3(<5 z5{#C5doTh++#$Fs z4DRg91ro~Yn~EQw`@-T0!QGDcZ|iJvwS#e>7CQD1xDOq;Y**5@36!%a!#QQBOx^=f9tKlU)BayD$s zV1QG{nZIFFY;0^CAe;5*5F40IHc_N_l8&hQl5g_xWc+fZu^6Hr6pWNeAC)bQ!og>v zt3R=k@y~Fhk5VX5yhVz=MKVPJkx2t+5ayWdWKGHB3E*nA%_w+iH-~~T^(B-9@MMQd z`PP`C^Nhk2Fp{*JoX??f=av`eGUhwubot;i&0d@OXOkN zOOh1*2_nM40&-Dg$3x2imDesd?R^F$wu}meH9MrJ1#YLPw`e9x@U4)um0%IFk_=r39-~!xF{KI4>}N^UMtn`AKl%hoe=7U5CJvo0u;#P~yb4_jV66BK_OTBRJ;EqWWq?lG1#r zqWSQ@e}0wELMOp~Kf&)BAW!ceBjmpp9W`B9E-bW5&ZR=mGTvrlPP&I?{vQ20KyyvE z^5WJk#Nu){*Ts~bxn*y<+f0zbR|7M6P!Zy$VQ)&5P2eAwniRaP5kMkx+9n$p*-8^( z&j45`J%2-1(Fos}<#(XJOyD4(zeE)PoCFC1`oZM^byzMVrb*JJm9c;OxmMu2aRL_klM{jPoeTPJec`DIUWHDw#i_%e%`RKmv?vXc z15Z4Wd6U3VT(AB_#=`3TM>vt#25i;Kp=JX(NQ{l9x^>XCnz8zEM%Qa3zk_04)*pS3 zb(dJJBgpq%=?^UuazxOZJAYdcJ9k|fW=wXA0rskZjTR%pyL`7^y-7(bD8-a9{Fu)5 zs~8pfX4W+%_lISt9>YBN47IXb?YT|T+l;=YHJaL6l?L3VQDeZ2Xpw_-zMOg!O>_I^ z@uE;>{qd5fXy|dDy!p9N^aK&A(IuoH$hhle#$K9O(J5mxT>c&O>BmBglu-N`Rkmyb z1pPtPd5(xcC$V+&(Xtok|@${0h{h&q3p)_oT~-hjq~LO@93(Y z;)gSxVJT$F8u8FUnnnOfcxr&AL=TO^yv)R$g@*tobopY|r4c(HxVarQ?cZzUT+zJz zX1qmD&-|ery6-c@7ZVi4yQ>Z!N?kDqFp^LRki6D3=QKOmJiA_YLXa_Q)6!IljVSAy z6|mql;+iF-=H9OrcY1E3g2b_V_**Bbz6wNu?E6(zuMwD-KS$?NUp{DnkYq{X_!jal zq3pHmEx>q7@PikpRLsDdZ6Ay^j}Fq=GD_C=*N3JTvL8l$ac% zi`z4)P-J09|57(=C(3a(nyyO^Jdha!BLcHv|AI1i1_@u-0fJ?IMhm@l<^=cB2=#TQ z5yi{|JE0eQ8qJ(;D||Up6n#?K_IM0@!C9e58anup)mVI!zx;5b6m&8l+#RM%b}oeT zQGvh5Ly&X$_ChA%cjOOs$}K{Y^QTNjZ<0#$>p&XJpP%<%6j`{L39~ji!BdoI8j8#B zM8nSopZTtxZM}EfW*(_9W#F7hE#o-~Pg2IwX@=Qs#K$6Yz^V6llqV&)KN&w+_U8AE zNh<{Z9^DmeP?~xAnG@CM`Q8t%@MLaoui&mXbNU}QtLQC!D|Z%A-12zm8^}j`O0OU7 z^^N2z2DM9oBjn#kQ-cRHu53O8{9JZxC8l4@=`yA<16q!H?mmOdl!AyC`^R3KE}@h! zPls!%X@!|dV=JFI`e^W0ylpK&k#)@u7S*+5L)%`$uLUGGTY-MLQ#N;&>d-$M0-rno zv~*1t!V_RsOVT_ZJwL?D)3f?m5i;MN$~|vgKV<#>?g}?FfHZ^y6*B`ZUTz*aO`J(y z9psy|WD!uFwy&2LA3|&&HbV5wPyE{Q+B_J5`jWNh*5==7l8|n){(W!j?ym2|C=_8} z^7h5`b$;@8eh}za`Yd}~GnnvDQlR_H>eq=YuK>#^O9@iA8J8UEc{ix2?aQxP$HFOl z50vi|9hc$D;5ab2psg2{qM+>Cu{9Ur1Ka$G)M&F+M==?bHW?54ii|RSm#O&{$(EX0 zu*lN=4MTsPJ~A&n=kYux>O<}I_K(63I0wFFfiY4`aD~?lwZLnju_2ppXbjt-s38eR z{)AcB92z|!DWCL4Mu>AOoW|Q(N~_!De>IEJfkHC*J(61=g8d63n=ho!h>UFxk*HYP z{h77S;xo8PA=|nc77rI*hGUu^#SYfT&l+Uv$|16R(3=pH5e$^Ag5( z9^ZTCS}c=6Asxi3I*d;w=zCjNL?|@bvCa2#N_03`n;L-FKyfR1!>W~5v#C@{H_%dK0Pl3Y)3X;v}hl6%}cMwiN5n) zES(vdciKe+v>(J-XhBcj4ctGjN*W>FyEWhXy8qc8SS;As?=^vloERJzwP8Z?80-Z+ z$5`YPJrrtr+jxmX=Hd$G1Dt|26XVuZMCb8zQWw3gI?8qu7tH7Md-Yy72e?gQ+t7wo z8=g$K3@vHImap-bM%Oe6)aEqgrumF-W>eXzaw>8%@ENc-h)l~oV?%m}2t0gj2G~>R zqDF}0f*hZ!8Zk$g<%gRZR28{1bv!zfvak2O^uq08e*}mdt^k9KO0kJ)Fqx}fmx+D_?cZql*&H{He#npG zm|jJMRHEz>?se#HzeoVL4TL_&zf0h(muWf_QVxSiaWgO`@wv)E3*6$uR2^;~?JMX< zy%S}EfDx6j0w$h#WL9!}4#3t+N&zn2NY;w<5$lLY zt!}9=yhPBEVX1BdQP?OlNgJ+M_-`I4J#`{0<7Q_l`+=ioq|hGeY$hGK)+d-Y=DUHy z^jB)#fPT=M@gd0M%@A=N|G-X}4WGZlrmrv$Im&3-wIHm1;m0oqV3W_*r@>29_t%W| zHb=(vrCj!&p_`|n6%o>7>N>&Aa)0w}9UeZ`70A5xrg~VUtH3dz4nFi{^`T|$q2{v5 zj@`SfhV`|e_>;CXpWHI2xzO}|2~*CqDaNtvAl0lu>I4MqHTkd}eOj;=|C)P4{(_7_ zTsZL`xNs1AFG6s8QG>>bU1A>((TsNd&5kZvwOXkY03YB+s0 zUvJ6mX9F9+^0ZKO&gUN_KAjUD5%Oo7zJA4ejqg@nuUQ>$B8kgUpubWqPj%|L$}ELYY+RcDqE!a%9}SBYa9puYn3<$NCvd$N~tJ_o^qRE<`?Frp2`C6 z2kmp|a)%D7Yw|4kduCII)}-yNs0+x=^FPOLQgs^7dL8$bQZ7O0w0qR#V>V06?%iuu z9_;MT9*zX?@6Ida*gtxBSEe^N9?m6I8*g&Wl!qC2^xIKjv&$MH-o0mV%B3TAI->5J zKIk@Ny@*gAI`;)W>+uWB16EaC;gcySD%TimnR9ZHlV#0|;JE_CFsIZthZ6jUa|+L7 z$}%5)qt99nY}HvWQe|cG7Ae&yAFDA--NickbtrS=4;+hYrT7D8s4oWOWcXXDI(H9p zWLYnW6)RN}Sk}1Az{-Hlh%w4%)LJ7Zr~>M7nv+-ov!?sK@LQ774ksFX?eE<`3fOpu zrk5>jkJ)){@d*5o;)LWa1fpxh8X8lYg$3l@fw1&G)t70pO$YLCYF|B zUoOxy`O;bNH?1ldt|83XWQ2uf67(@)9xvjxXPFKUu4lx#am0Bt=K3B|cg#t0Im_{h zZTjWJPcWbp68_e5FpQPDL`SMm8(L@0H{XkG6&ju!6&!z{{NaeRBc8JulP^KBz)}(gtz3u=Zi<_O}&N4Srx7WHg{IHlRQDgKh++2t!>|G zBbqaLFS8?`cA_<91>Q-?iN zjdPr9Z*hb=8*^y5(OJWP(BK_}DZ&xD#tYTu1{b)6R#v9DNe0in?dw1wXja`kNk%@< z-Y;Yrn&A_D^pybet1=c&5r*M}Le7VzbywrrmMEzdx{#Chei5b&N%*!s>KdQc^&e$J zu+d9Vk|kd-p;ffwEA9YWy7iP%xfj5~kmwniue&2{IMFjXV=!H&H8Z98l@XkxqrZv? zPcTkhk5--{M4utn)i#GM(lW23&R`BuTr6EZ4(}QnQ()C=Da0OOcxp{J-#J1>my3hyxo?O63eVDH z=))LK{ue<+$YLQMMuP)+YH1w?R|~a4DP~uTI{lZ*?s%yHXQRZ{8O=>aIa2>HVaGo= zW>2-KuM(usQNs7A1da8|SkJKV=Lgi2jdIN*tQQMt@8;zExkE{lKjBez63lQWEhiao zR-p@GMw=W^cM|mIB;f%zj8*9E>B8Qu9sLxQm=RK1q&Qju=X8(jBG+cW&$imvqNwFb z)7S&fkssFyuY2C@hq+A0xTs>&)m%P;c#r!IzoSlyk>-R6^TGzWqCBn>UH9dhHk|K*y{-0QEGfn{zolG3xBP<<{-_a>!p zhfH+MGv1_~2BoF2l^Ac9&x6vAdIiAZvVl%d?fA{&#&JTnKnLhLPz*RR9D%)m3;Px` z`;BXWS^CpJ!x;!`bCo(Y;**Nhu_*2Q30gQY5<;y`ema#T{Xw)a=@GL&V7<5qsr=w(m@qlP>UcxI)$J;zkMESf~9 z?|;h`2#9CM+nHX+!$_Zbn|hE8WJ|xMImt+8Y*JycX(mKML}pTLvjX-C7%S;$+FH@4 z0#oQ$P^yz-yH=+5iOXH#Y}~fjCZFX5Wu;{|EbT4BE0l3QW=vJ!hzif_h{3b(3SDk$01Z!y???6uhaoKpb<2}udLyC=!bCPG^o^1!Et zJU$g|55SI0!KP^qTH;_cazCBP0q{;+`VH@Eg@Y`@)WbFt^(bZdUWU^WR7$RcAmVm7kFg%&I;xs??tw3{*|cZiR^0}A~BIe$q2uk1&B3kHaO zma?Wm0zgM=9PpBM4iPia(W-BlqZBEaFeS)V_PxOkg^C<+8DRlvW3(b05IjGSx}{I%i+;ve8~5V2P}ArpJda4aw+gbLDU1b8n(~DXB0iF&w~*p zCN({dd$@#=rTM=$=$fgO1)2{|ydcU~z7Dj)91%L&g6ev1k#EDV%V?wa;M%y3I3Iu5 zK7g~tV^l|Vm494Z?;QKG%|!UD$16k}AYC?;MktT89lr;_iJC0ACT8Oql!MoN=HsQ5 zgZKR63c(ZsmgccVl!KoI%oVFC2bl!KKLk@CS^a1ClG^hthk4I`0Tuz6@%T$mUa{Ql z&mH#Ys`w%^EAiBXBIm#EM=NmwG6amz@F&6aSc!jfoP|sBp^K-w@^y|mX><0VI6G1e zVwCpPc;Hdq`=p$c@%|5}BBq|ari;qIAw_nQ*bG~KjiQE0{ZG!^Qe9cV+9UQs7VTb+ zb@)>n*h)Dp(lx3kJ~Z|5xs27_2M!|9bJ239jKz~8*!Izp(Bj6ez*NL5TqfVr%Epdopw!kbr!w!sxS$q1xoOro z6qbJ=Ed%u3PPQ2fX&ZCK7$-RAs1r)*8<2>4+@fTBl5fkZNaSUEc4D~Ukck?#gy9Cq zz(LwiJk~~pQ3Iax;1u!`7tV1FLhMg_;1eh5r~MC!5;7&(5MKS$b#gtiwsaXv$k5Cd zboQCzU5#YtpXZ`)kI(yhgUb!^&OwMP1GjmZL_F8+!28L4U_=6=zwX};PP{qhvq5v~ z^H&A!LfZbFN9>B{qBYm~l2@{wU(S}AuvSF>PXKX?uknEE7V%s-Vay+?T}49R{S@ol zf=3m}PTKcupOn6I^KxUwx=E~f!88s`m(y5%SVQNVI}zO;p2wktNUKBy40{6*i|9+c z3!$@1r7$Hz3S`PsHduF_%4AQLR0829%47E;KgGoPSNiH7DkDbHL#uZYSsd^AIZ1;; zHNga-piNUN3v3E3!03(|`#E7pTPJLOkj(HswQimr7>laBEA|C}Q%)u0Nps=uOC%o! zHY@PxK6_L;AC;NnCkkdYrEg9r#)?kJcMC^AtS4H7>5GU&fUg(r_^Ev@o}PT5Zy0Vc zEudrsGayIkE|Lac4VEu&*<$i|hZvDM87>SHfji~Xy-AUhHW%*K!~yEi#alLJicPPx zp@No?xl^c-$+C5=v2{=#OAqTI}~zpOyMWCxg2nKIEVn5jJ3 zsQ8(o>hqFzA)ltfHya4!5PfFGEQnSNzP;pI10X$Ce$2pk(}9?Lxt%6m1C6rVZoau? zC$=xNjXCZUo#X-7Fg+^` zS?MA(cmx0tXXgCK#Jx#giG1I230|$*1|&yQhBu;e$h={FyKtD68JvYy5|hCg*Y9cf z3JIiY12{IOHmr)NMP01iNq&~?2RKbi7znfog-_oe9Jo*WZ7A;lb#0YqfUxaT$zAV^ z;ftS8R}SiX!M6W|7s^Q#<%BWTF!lgKU?MH z7*e*W<5po@55eGOO}?OQ9mtDLfq?Ifhje|jLF`Vm!`bW2;+)vo!39B zC$DQFBzRe@c^k=eV_DGnu{py}hmp))+^J|vTrmE~UU?uLs}d^it}h*&Pu&c0pZe`D z2_~%UT)p_VKSR_C?XjH+%>U>loy`CFBk!m8+Tgz-K~r8uoOX#1!a;%Aj{A`0k8yLc z{PF9FhMnI(t=e1)25m=1Bd>hwBKtgGf;JrL2iMQe3snetLrTLS+Q6uR+ExHomq6_b zK1xlRUeK6g3r_%t_+Uy!~N5M93f_ zWS+QLcORXjEgRbpraQ?|dNlfS(UKg9r1uE@(DH3-weOShqnbtWHzBNe`zNpdS>Z=V zvmYBwo{MfD*Yco-IED1x^?(OC@(mGenm}?y#DQtNK=5;BJFjVGdrj6W4uAjOz<~5M zTvi382$0NL%Xl3xnE^S0y$hlPZP>rvg^?p<5SET?zGbe7ponbPBwwvyHe|KE2$N7V z*^YY(c07A`z1}zXWs|HaN8Rb@AjK?c||{zVGkAu!Edt%V{`^iX^{5Q zGiCk$CE`=ZZOhAmB@@l&$YTw|Z(&xziSy=JIA)B?_D?IijBLfEsm-T(AWmawPNz!? z6Au$45O3UgXRmklr(?t$NT8jGVwQXr{5B%lUCp6C?DXODSz)Ogq&8T&KA=L=xm~lub<9}T==mMp*HiBHciU!ods8s`R=cVtiH6U z!eeAB68`ewB_?&8deQ3ZfPAr;9ik|Ew_|huTW$MR$B=s;Zy#9Li6>V$Y{O-9Zmi|f zK#QOEF{ji9n&4xO-FM1M;?D{ePo4sYcwm0TnP677aNg6R2>-7r;0^hL5{lvTbuQ`; z`Qbjoc>&CVs*(8iQ5!F_jlAKVtCQ!~El=+pR#VK)n-e1i4(~CZosN8wH4$a|Vwwy5 z@ez$m(xt5p@J7!|Sebe=H0wvC=upmpUz2BNBc<0W0YU9kLt0POX9JuJ;v?Mk+3uFE6Jc9?O(KX}2rI$-&3e|RbVJgR z9x+}eh-4WN6|4(|6dXC)ivr0eI+{GWR8p6h{Ww{Y@i2t)8jt#84W?8Z%>R)A#Jk^! zz4rE94uz-U^HBmK9pq}~8|H^pU4lqRh~&tQ4a2jJ;1{n%FY;r~3!{@3v6b|cO5;%* zo2#U~SBANI?HwD~V=2Tm>y-@z(!T(|VOK=aNP}*jf*niUx!Z2UL#ukd!a!_SH3k%! zkPTBS!wa*BJ~c~TBB{tX@7T)f8;y0q!-aKcti$^Xf@?Vl2kiHtv3gr3!ZH8l1b zgaX!ulDhoy2c`F4e$2m&PBQti-R_9$9lAD3!Z!ZIymzxJX{tbMVL-f<#ebKzHZ>O- z^oOtUtaI-}7KS3CaS>|BM7u~Wlgq1^-D9dCLq-52GK@nm*!5M*ru#v#Ie`fooE7lT zxw|9FAtmq=dxasdl<-KsiugK~-5w50>=Rgr`l-$z4a^1hIp@0gxMgx*?O`RXLhfk> z!dqL&ut#GLmA==d+GXD{^r1=pUI0QOq|K9a&6n%F_>SSJKho}+wcR4lsVa4$cEb}! z+zjf5I{SzI0mJmM80`)ONy?B9_92K~8Uw#HLJ%1^WHqb#qhrW8WcMptvOiJ5OcIE% zSCd11BM@)UjyBd5`31cKZ`Ljif3LnqCH!N7zF$e6+x5dI@Qg^b81{jo56Sn}Xgh8n z6HvvnBGF3h;AYCHL7L3xO4#DMQRkWxACErUlTfknOruc>A(PL}p-~9Mk*l((f2rcN z{kTjk0oP0(9;{$hL&`$jg44Vy*Zxg^oj|N8S8w5({ZWIoNaTk6|Kc&2CpP1pT3nY4 zL+zeQ0G?#f;eYYup%F0F*u_g)$R)D~sb&qGGz^b|Cy6&nk;xZl(KgwU$*tdtgG^2^ zk47PlOkO!pR##Dpjt{AgOg_1QW^RT|4rk3JMUG(2g+|U|&1FJfHjgIWYPa6&>+w(q z56LD8MkE2&``_Su64%XWWCqg4%&u`lk%J@>772BA|HAnsElDJxzOhS^5K17`vG=3B zd01t_CD9lrh?#Nr-`n!AV~6mHoZ>hAH4$P+M)Abo$CF?BWeE2X5slB|S!bFy4X!Uh zN$|i7ImV{gH5ibG?$asjlt$bBN`4>Of7gts=^+_5m(C7_O)Q~0^XB#H68;O`!<;~S z97UtaV&}IgRMJ3uB7=uqS^0?;?Fv`M(28JjO-jA&vw+i0iEuF`b5U(CaX_1eh86%9 zqLvbMlusR^b|mnm|1sMxC4Bme1zatEWJWQ+?GY_s6%%C#4sX74(bXb^4i7uj_wKm& z_&OgP1jJk&2iU8i{mOqXKZacw@#{3#Rw;jU7Wfyc_dG~`A19HKr5+td)sT4f)$TmJ zZj(O@3i}G<&K2Hbm%66O9;<<0P4}a`H#h5rm|~x~miWj5Y7C7U#EMUJkS<#^hUb)m z;gv_vH>Ctrj9OIW5j|>zylNt~GwM#!9@^BD$BzCrzI(#=!G6r}7g^NnMd|mKvhUn6 zmV&57OVYhlp@E~g7>*>#N56%SUt^f`g9y?=xyG9@c%E3TAj!0fQsd1rOd{9loI~nP zK{HNK^M*x)PblI2TUQusxZ;U6(YU(Dq0&L`8V#Tam%or%vcCm5%HPea|EzCGgECpY z!S#AMuF3y(^V=u^u=QQ)XybV`^KCi>I?bv#P*48L8>lD$7wap0!}^*J7P#+!7QHst zhy}Qyj3Gut0t=>bBTFT4(@-j91SURGID}jw_ii>FnlQL_is>H6XF%2lKRz|WK-Vsf zH95JTFlBT(US*DcV`KZ7(o~;XqiOC@3h@r7YWVV5h(h-YA2&cJsEsk$o+lYJ03WeD)i;4jh#())|_ z*OC+Et!_s&esms|C(sZ-UxckkzYTlhft?q9F9o}e?W(CkwRFRQ=@ zFf_E4;?TH9N5L3BcZ%Hd_P%5$E^RcQW!Vm%#8gyGf|%op-uNf!$jBM;a(Ia`TQLG$ z8fL*9K;P@p1S()FmaJ_~FZqJj!_%2OJ^&aSMk5UNm+E~|{KM~ZiUXMLq?*KmDPU|{ zq+{f$f2m#ykm}t@&Hbs8pOXSDLumS_w8CWcJ#uK$sAzcaZM)MU=G1^?-R}W3zCkR> zhzt@MrLIi8uu<@sgZ>#VXh%^fMPKl6+Vg#kE)*OBl{V z&EvY#z}coC36tf~{~fDTdNXD#ATkS>NcA(5oEPVq5HqX{d+CN@r9c}40zNAXHsj2{ zfRD}i=O4g_^9S(Z8AJaCd^FSdw$ZC11hh@O2z&k!t7^V&aIXqb#2Z!kCvSq8k(xlmyftsHg9xpbCBi$iqv? zMxXadA?%uY>rd~0r>1J-G4A$G4^tNmk*zq zGx?OLqbQhh?Au>GA?IJt7ygIyIRiN#Pb?uEkn=fu15d!j0IRX}1qu=$u#V9v+95V# z<#y~*dfK?IxM2(e(JTE?4x*JiMU$LyVg?faVm^GH{1XG$)u_-WnV6|W2TApj>w|36 zBKa1g^P{rH{O5#1EMOFxIjbi4cOj_e3N~gE{~+76=}&a{hBz^w=)z49hJF^b>I>15 z>HuFB{V@v65YR=Pdj;MR4&f3`S5HxL_4>WH>NcL20J5>q9GbKJO<~KiwO-?oiqLo8 z#Ndw=$)!BT(^tN{T8eE%zLIc@b5%p)cXR^w$CdXr~og2?{#2@`&0?rWO zq~Ta$sWwk%PYSbS#4(^RMOHH6$|)cTMErT^zl#S!#BoLfe*fpVCwuzMxX&+E9S6rX z(Dy&4B^7^u$@qf$QA0F!Lh;^_09s=4^}i$PXn?a2201nQ3aAP-$p`nkhm;_^M7FnJ z<&voa*Ceco8(kw-`c%FZ8o}N*<+iXL2kYQ`*O)y!6F?g=VpbV^w`i`5i-Y|UkB*G0 zCZS{_3lGsr9&TRsXsW=F4~Kc$u}I83vmRsJBFu}uzbfr}gib|(aTofUJd$cWwLpio z4S=K|rI@*O{KlBeXUkVXlj-NGI6f(rXuEvx;X6Z*M+@b>>n#W;#}Srbx#ez0qbU|Edz~4P*FG_`hOM)7NegnZwCj0DmDWpKG+T6XZ)<(R!9FP z8z)wO{I_&5uKt3LqVCc|VOMuxy4a#&%9gM8v0&&ytcS{Gr7cZ^Z&Y2%&Hm)>+z*GI zgW(Qnyw#~efYq$|DNr9sl>CJABRj}>FH0}vHFYRE#6xjUA0z#Xue}8|&Tde{1%g$0 zuA=jDOgLJOs#k9WnlN^SG5-}M zO%Znz5fdB#N%gL7E)xqu1+Ku0dthnuVI@KzvJ~V83|tqjn((C1Ac)d}b*L#)QN^l9 z(iv)IZ6)1%);Z*@BWoEnKGQXQsDT*3SAe|vJh;`!zhANVcY_UF66kQgt2+%6;xNoy z1;nWeH3*V9RL9K0 zZ90KzCkbwMe=Fd`FRC5GAu|b-3uNH?7sC5G@B2FJ`<%d=tJN)=RG-#;!*1ba7SOaI z2s6g)8~KZ%`#jcd7w|LA*xBQkJ1whlF{0u{^OdLt@9(4Biv(cE-+j9tG)O)lL)e>X z0KPE{CE?+2UixfK8ui>LVdg)urcM=!U6?wQnlDdbWW%OdvE&l+w?mT#9BxnMx`_6& zZbmLprE-awy^sJBV6C%_qL_q(xY56H>>*GKGrBksl_}q@P@%sQ=yH4myZy>>m}?!j zu?W-!*2k}k!dPc#2|%}F1DWUFaRKAc?FL0RMuPGA&c&P2Cz8Qhcg_>$&d1AEGW4cQ zHuC4E8`BITMCkb9T+w*H#Pr;MlLP*X@coPM{fqGZi}3xgNc{dq`2I!s{zdq_|3&!z zMfm=g2%p&A|E~$3-9HFl-(SLqst8oH{tv>J_wR(y@81Yt-v8l*4=nbd2%p106TaMk zCwxu+O!&n9ZwX({zY{);e1;u&#fEd=UJ9gnT^=K*?S{D7FD*Xp*O$ClD;WyahiGw#1E zZKqwrMRd>>FXR%ylsGeWM2Hx|SFe6azhGrnjsK(31_-l>~0&a;k<(NehNLB^AbrY1p$dl>Ma16@gFV_N? z3T1q3L{h%EhS-D-M))pY029W5a=rgex@RoV|9>Xk1N&#X*HUtPL|gD5cn|9@-ZTEA z;`?uSkBZJ%B!%PHLPLP$d%#nbYIeHm@$1*ex4-{ssv4EIlinBrk{H{H-Lev#p}W zP1p>ZMywOO3frpO?g1$9@aZ0)OZay0lE5I@#8W~LpFyyzU)k^O@&RKSZ_R}}F~itj z5WcuLe{$${$w4&KckPOAKs#-u@P;k|7l`%*BqN#~`+%_^X0S>H(Q*;Wj(sT(k`bX! zeJRG0B}hsExpMzJcJ%#Hlc4`EXzvf`<7Zz2ut|Sw<)gHpS7xh_E4fyl`}t?YsFpK> z78CXZ@&l$ouz2N8&}s1LE_-#Xh$4rcp%XGuL?eOT8W}f7Z(i&TfCU*5QKxv15h9M9lQ)3Jlh9(*1Qgzr-(nX9=h?itxZ&#t$7Tmsn$My{yApx$G`V!6KxvHbBqzh8B~rIu^_S>c&4)my)&6!nyU{`4l3lsI0P1-E*oD zJ^2PXG6%dsHxBJnqkSLD|C<50ng$c6ILMFL#_VOHs{!YEuAt(am(;X{FoYm=<-1td z7bjmuh9+BKwn7Z9I{n%@v+vX>w?mFBuZ12BsHJZ(W5~Hr30G@M_SLb9YKpS!=G{z% zLcfy@Bi=kJ$6>w#om;^<`uhJQ-cwny78tz`nOI)L^j3TTb8Eq!_eaEWP3mLHYZfAo z(|+jHsp;M+R&mnegdFwRn{I2z2oCz=`UShE!2k(n1D|?+qCo(`X4nk3APjV#P|OuC zf&?ej1YLqt2Ek&7JAzW>87KT>`lYULq`YOOZu>C-j)k3CmqEGKn)nCob=`kAXp7({ zqv7Id(R1LR5%UL7`1`}cMQ6d5R`Z3L0V%L-;FW`2kCX7AE?l$1zk7b&R|MTx;N7Qs zo*u338s)myudBCmtWrVbjlk-XC!dO3MBS%TFI#=@(}#|4-<}y7#7U!PPuQ#_PXw#? zGc1vOp{s?q-04x09sRi2D!6}Q8OcUQ*#79cFlE_xEeV?jx_-@6@*Y^5=jyD?!X_c) zI8vvgvNpg`du}cdfrjGk)C-A|csYVkb)GTYBS0?4BAG(cn*qy!?*NsMlg!JFJWuU* z77y!<#E8!T>PDA-GsPi(VF}dmtqh-i0-mp!?Y{wUD)NufGVIUoRjPLEsDs~|Cr|qx zF}us{>31o59*^s>uxkoKb&ym7 zN0m2+ytIXFdx_R{ORZE_(X)q^Zi_vi2(VcRv{2|JuwgR8a7A2i&L%j=J zd7zw25GetdCytMY&%1aJ{I`}_gJ;)IUi`XVzKdCaln27!R)5+ZoLT*CcL3DvAp{Tm zZ8K>y5m3T!Y63!(AyVe4V3PU>@dO@b@M~) z;1Kvt`933~W0I^SmImu6`78~hHG%BMMawF!O4mUk1_aK1_owL_Nu)+lrjhM}PEl+; z>_%xJvZjv2VIVC$s={#&sY47SqaWkV;d1Z?`ggJ6B9*xC5RQ0xFL06n%wufq?)2#lu^;exc4i93iTmgJAE=Lh$|YsY&}6W3V~~ zx}!u_%mhsRz}jm`plQ&zCdYIrQ3gKNxm6RW21uaK{YM}_C(aLbZ5;363S|*)u}Ccv zi#0H1TRN1x1~6ae1BMn1^)!}$7|a(33?F1WLUddLD#?rN9EE{KD( zFIk75&S1ZduX_ccQCNzZO^mYmhjjJFvIf2a5(T;!85Cwrf}hbQx!9ZoC*1Nv!cem zEAdfk3)vP(Gg#UqS+Z;-qsYOiOSd-pOT8Ug*ukHlLR@lSXTD}F8J!pPVYO!~p%a2n`?Q=73mXKV83|;L zFNP1)7bccJ240YhKFp~dzien~5d48=zpe~pun1|&E)ZidD0#}RDdU>xd;sZlq#MB! zP*oT6n-co7*YiZM6~*2*;_pn@sd*zw-Tm6uDm%r!>nCTg4|`s|3G6D^tLOV)6u521 z>DL>R*%!oA^~&*&2nTyK^U)_)mmpfaPyS@fOfamTL1Nvh0)HAW?0tDI?hxEK+%5B5 zc#!lIagOh8peZ(bVWCSSCSXl6Wr6Uh2%yB2Q^COJ=o;WVN47u6Ay}e~1z)9f(1#aC zvML_({fWt4HBJh>2_Hrv2S*Ccnz8^trvFcN-T&d_?GT9^Lc&YfP3j=s6xwSltTg|6 znJ?z8ar<1_&g!hSPY*}yO{a!qhWB)bstbM)>5U+CL+3Nu)RBXXcd1Xa@``v1wk>xrpB;J6xg@#k|D8eetfZV)oT*G3OVLmC_E>r7xI zB2+^P95}vRApc-dLiXt~FYIPKBrt~}j>fYb4)2TZc0Sg^1wIbQ+ZWKIt9RG7POLEf<}S15JDHBn;*=XcpvvHSx5Jhx5FLJ&pT`)9hZsnJBQ?Rfpc zsyD#Sts1$_|6H@pj(kag){&W`C0q3zV(>Q#tTSoLoS~a_W;R2g#ZhT8NR%HRsWoR? z2->*3wpopb0>|+oa-aC%mATtx`RnIOUPHg=lZWU=Z-FJ*@2-7{Pklp`Rt~xi(0tvt z#>hAaZ%H?90Me?$sOq0l_VStT;}?hK-JKr2Jo2zbkc`v8T_zjZ>Peg*Ic#q- zM3`e8iBSIa3Lwu<+E>kvLd=njC;>H$C=e4b?kE$;k?kbj{wVXGT1K9F7WUav+(%W5RZOI4gC5q!jm9!nN@)?E|-Y8@(q$etP7_&a; z6+pnTgu!Y&NGqU3ADpeh5bli{8X7P_^aY7I2pJuX4dCjEtjPXybL|>I^>nS z==LwjN;C#_TEDvUpRH_}ZxP*)nc;rspagtdW<2&J7cFv8B}F9D8UBovx14MEiTTa~GI11+yU@+?oq$$bc`Y z9>7=v_~!K|obuA}Ats>kPNfz7xsT16sQ4ofa@}prE)r z1ByKbJs%2CHrHjUTuNk)T!A;nt?thaZ^;y_|4qe6p}P*MCnMZ^Jega?f=RNa&>!CE zqNj+Na4G|YD-9e*@@x1r<$^S#(4^`30!YVT-GiAzG%K_bU~(3O?cNN}oh_1oWq?TD zFuJH(5y%N2cP9QyZQxn6=><4oU2$L^?CoJCA0N@YKu}k{d+g!w!;^*xxHn zPoHFHNB~NX%#bh%$8+=ik1hRi&7fGP5KMA#BYyoEf3ljaBp_9Dh8!=MS&mBw_%o|5 zsNwwl7z)lTZ?NMd1-w5EWhHVXa80FvPeTKkXkD8gKLfWmg1DC5HYS<<1erW(yK0hv z1GC?C&+__-&Bc}=9y|h>>flNo91wUux)X0QjT|5Y)y9Kz;Ib$`t>1j>r8bST;OCi( zSCIy62_iPRa60Xoyms}2C~2#O<=}lAz8o@p0^f>|F-0MCCk9hKfvuz|2+yXDi6um< zi$WkXv&X9m$S8DJk^L;;S(6@p-=njSa~eis+UtR{bq^kYFmnf{sd`ml}Cf zof)E!DleAHs$wKikAX@bZQu2@rwY6qB~F)Vodu{Sw4dUhD1=it!S&c7AiQZC{CmKTM8ni#* zheVXkb%d}&Wlq3q0#v_Y$E-UhIy-9}jXNP?)i292J`%u)DZ!7=0bfZl`Jh{hfv^RL z2RQ4K+H)evCE}P|ax}LP=+T=s;)14b_CrJB%DmX}auDbE#dWBRTN`b;QDThDS6H8p zk_iPxkXv!~7kQy|>{)jwVaI$52svq65C4sT4>$DplgJOD;8}c#2ydQ@FQzQ-;Ms1W zFiRW)R~V=jP_3yx`s@+JBEbK&uf1-R9&Y5M8i>28m#|48zewL?dj z1XA_L*JTM31VXfv7;RMS?2$l8dPJ7W4k9ptj!Gajt{sI;Dh?5>%9?yKh9|_st!A31 za*EO|l0Jn%d>00TwGv?R*rX{|HAU$-U|LBRFexh0ccAG{yNY8u>8sI%I6oI)`}Arg zR%M&&1S)`rccanQLC70tU-@`xAyySIu)RZ;g6awuTSzpFpCV{p3G$Yd{S za{uI%hU{Us%bJwi!F{f$k;jGpr_VCJTI&u@64vM}x3yqMCi_bJY z``D(Eyln`hLn)B+l+AVApY)P zd2g)r4}x5P^SQnESSGJO4F_`ywB2tv6krR7CcXFi`9U>H5M> z%Hm=7=8v{FU;&;-E##*6yPxDr)@(%$CnD=talxZ}pRjioU{$4@2%6#jWrOz&(g?@f7 zdtc{Ps%9(EA*D@%s+SQ{Q;?PKhL*DkiV$A;ww~9@VGWIxH=le0VFD;|$%NMw=-zJo z`3@$TukKt#&o)KyYH+-TEE~M_sUfTNH4&yNg7<|6Mto^ljPlfo6y2F67M3@4D4>2Z zwJJVTPQ&o+T0$a}qett8G=rV7NAn+(d|puZ?f#jFU=Mhto2$FxE{>Lh(tC2_ZEGa< zN)U29dd(!6-%Uhd1ZxWgUpTYE;HU2Ar_6^}H_E_Cs^mU*ujK4#hNNKKa>>*xw*=V# zI`J~?1hajst2dZ8#ReO2&({^QoZi46b)m^XQq0b`H`r7bsPI^NI706DP}~G}&~|+% zhzWm*M}5cnGm*T}Yv8zMB~)a72Y()Lboh(&1Se zR<>zF!gP#k-S@b-j+)}oKX3%Y6$2qrCm zCcNZqlV}D#y7)rdwe@Z5bsRNMqFW99LQ>Xk%oLy8~-cS zV$FE8Br`L`Dq%(ev78LjfHh*ASFmWXo!#?Y{P*FuV{zx(-JPrKru3dhFj)B`i~Iz> z)uItLLz2lcqVufLBKca#zDcN*O;X6I*4`AUW-^(>GO0R4jpn3$xRvbR^j!+cnr?>- z0i*O5iRuKXQPhi&%;)acGrr8=2x8+@i#zH;k?%!bQN@zD9MLQl#Kt8ZkUOR&9h5t! zIK;*{M8-$N#z#cP8hi;(A54S0ScJp}8Ukb0ZYhRuOoImo!25AO2cw-3|F->5DvEZO z299r{srSgnD}o8;|5zX)8}slb9A7FG^@^tc+u~8_`>tqdboAzRRl#oWN)$2$2e1L) zW79rSw+XQfe(|z&ITqhb`$6}ZT1SR(i6Bs zA>Vl9y2K@41DAVpM6-Yn{RXz5ILAj18lGfR+-BMA-j2xFydQD#QK^yl<)jX_p(w@1um_ru{Dfb*o zEI~oY5Ur}IG*W~Zd9W&JO<1gEO{u&iinYJB1q7kgs(w12F!XoMr6P%`?j^(qO+6lx z)@}}WWJ3LQec*$Nmf~Bfe0Ah<^0yudLn^hYlGnWZuNV3Jaicx9_h&iYag@Xotg~~^ zt_S4DbI?w+8>9O4*8|YW>|fTGuXo!}ogQ6+F~pjelpjJ~z#!gNKWCi2SC#aQx?`tw z-TGtWbfVSUsiGH-^?LJ-z5L^3!OwKqI$DmuIVaF(THreF0~@0IoyyFM71+<_N{imm zu7X&E%+CgbTWu9LJ7%xPNAI5A@Gqn?;9=)_+utrG} zZIZn$ zMAAbnpWdE;X8$&nIjPRWszY8Vd~~S`N)0PkD<6J{l1 zLda4cqZu@Y!(zYu9CIu(aFXkYmuQNAO{4bte3_`H{+U9{Jtv!X-+#taraU{Z?wLkr zF)%pO`aMw)N%BD&O3J7@hV#sV0@ZhsP3Lr$5fjYD%F?^_Bub!a%#Vw@mP$d!Nr` z6eRB0?$`H&;G1o&McFxT87jT=$S)5!;%t3Nd7pyN5SbG+q~zN(%;DX{#3?dLN&?U^ z6YcWy&=gcvdrB{rVX0)2ctUtGhL2*u(hIo6dqEg2lD=SZlRMa)gl5R~`O2u63kAx| zbxIU+&XrV90BU`%pJ1}$9-s3|#dj>!3Bs)dY3>x(K&edIU=YV5kpm8K9c=0p53|4LqF@mng~>vZg0+K6?gHOE z_}q|euabB!vsk`mWSC?mNAFrslBwy)4l^sW(T&%V2WuZ^X;$C1*KD;DW4qcW8aD2C zu0PeOr%5~IBp+`mE3L=FmAUH(JEhC*q&~BomqR+mn~AWg{5e+b3gP*UY^Xvjnq`)XKTk5fA#ZIupCUnwi*8`_{mx_E`y5FSi=oCc)-!zW zK4#^>Yj<*!>+*q&_|;-N99nO7@vR_B?L#)ZJ;d$M(eRD$TJtFNcu7+Sbt8AbcR`BP zN}i`T4;(>0?y0~h23$t5T84ir7GVh9=)oqyJI|_23UnL}ygNxQxm0%r;DpWX1bU46!xdZl*Bi)v9tpuU%Yqj%6N)P&X(i`_b)6x?KTS1 zmFX(i7l35~!38H99nK}Eln*BxyRGbIVC!qhj%)}3?mITOs~7$&@7nH~Xw>Er6*o7! zyR0?`txi1~M8FUfj4Lq;DHzb;2MePqR~8~Y^3EG#w<#Q;g-p8UhO(U$7E*ZnkzypH zH}KB)i(qV&lV@p5-x6AjOXE=AGcuNctp;45i80$vcc5UGZr@%=tw)oQp`o<8bSxNs zj>}M{3|HmLcuJhbfNCUbkwpfx+HQn2e^fzmHt|FBNhm?A5*ZljT&~iI2tOkGstUZ~ zIG@w)+=2?fqIe<`{_hZ(D{mD-HBG#-!_p%qgu6sUCytMmuOmvo=0$_BBf~9ov&nu1 z4)oVgHNCvDZ8SGEk+$%iyI&Br+g+7(L>=f6Mt1O|c{{lc&AVsK;t$0#rvS%LRQi)_ zTD<|)Czhg-WM;LF2!o3}*^Bn2)}xte6`jTi?w0bCB<4W`%T$xGW673C7Rs6yKXd2# zPhZiAqvsgDD5{S)ud0cE(9zB)aVxU(W8SN-t8gQ~xRm6Y7V+O*s}8GhU+5|Zex%_Y zJoR{bdlqM6y7EX5w=I*JK3+Mz{ai`8+P!;zoml0RcxNwKIrYW4IAYS%eqCT#>GT!2 ze*^Du?_yl>SbUGXuXb1J`1OW=w#&wCd#&@rxi%7iqwf-ZL=S z1#qglThDXd$U?NgWsA-Muxo2i_3xBl8kxRogiYsuTn!i}c(v{^L3-aXWQF#=s0JQ= zSQ@)hDms?^HBNwREQ5t37@Py(;~%MVO)z+)?)|MCw4aZ#n~%_&kMNd{u%3^gYzyyp zGjx)Lr>KzQ@6d!bwa`dE#`;?6`l$E5W$TeL@|Ac^D$&~1uCI{Q4r8@#$y~}4Ke*Wm zDz1nO){n3mb5_JBcf*)vhuSjjY#jbLqmf zs`+S{eMbK}KINRmIM@0j(r(`5nh>lVDCuhE}_%_oK=|s+`{)0%UPRl zBNsK)UP7y^YIZ1Lz3W@>j`}49T4VhVkB`)0Uv`RLXVZKa((Wrlz6u0>M|z>;Wm~Qu z{+tfn2j6P$VT?{$tz!&(zKi^Nmu+rg-2di5fxXM!3oTN9x?gd;K zDPnEGH+PV`*A(>jYAfmu*FDJ;-Kn$u?1(deN|f7GXF=2(p7W+qc}SF#ZxuOL_SczG z$O-YZh)u_8wuvpr8s_CBbFhjP4L{Waeo$iHYKh1yZ15#h?STznzvmxCh9Yc8*&DYo z@Ak54HRE4%LQTfL;52q~XjR!ZkjN+CTh&7H zzUxMsRGJ4u+oG+Ws}+9FEK{ve!8B+uQ?;s9W&4QMtXwX4&nzm}kX)x-P;Lyf?rfxy zwhgJ!7>t2GL5LOM5iN+BK%psM6@xqekEJHNPPii!{ipmTeU$B|`tR{}cud-SC5(s) zpNZy@p+vBef4_8^^%vEjKcB1~j6%OY`goIcLZ9q(c32Zld#0bSIL^8IZ9HXnfcdM< zXY<+4MCIHbF0`xj$QKK4+my+CaU>V2B4hOP{@KPv1aszXv`^v6FlgKB_GCR12D_UW z^W;F5Y}u?mZ0xj3o$RlD?;yQdIGG%7d*boLre}Rne&HE4aNVMW&i+bG0OZQBaGAt(s*SfmM}Sq*kS5P!U9Mrb6?>Nj-gd3 z*Hsc*{5@;`HtU#1#Ons#jfK%wH&-o2+mn6k_O_qNjdy>Gv#Zo;asA1RG@=~^tJf6# z^m;RN*A(qsdSC3XDa=RAJKdH$m0OGHW&$0>pY2y4o_-%rGV7h;k`cek$H-lHbuc~xB^G&DE@&^=^N4#fP0(&`Y4`de_ z9f!$|R%kQ3r=JX}dob!1dK}XzZrZ4O-9%n&TXqCjJ`#28xHm_^Rk-4NDdsPNCK$jB=IP73L|WXJL{H`s;HBHL!+Y(XeXSNJ-$FHC*>>@`8#oxD)P_-DFr|Agkmz7TwNtjTVC2hoP2mbfgDlCws`$;ve!va=Y7d$c0Uba^1W_!z^r9N zg3hoJmzSAReHo{ejw9AX@|^ECl8Nn2{F6+Tk?Ng$t-+Z3ORBuO9|c*;1_zA>`%B*E za2OYiz)MC5i#=G-ctd}oV4>(PJYP&OSqKRTsWd^;r{Z!e2u&oV+Kr)n)8m7enA#B6 zojrfD8s?!D5;}i+L>4?T$Jje_o0EY3?Yt_F#NhBeD%Kak0NSWSMrB;lb-X5apz&MA zg}i!&i(S7h?}Xw3ofp3LzJcO;_eBb@{MwKzKe_AHMOg6!;GemPtN4m5t6srCTCQ0X zf1@_yGNZ1Y^fcV~@`&c$_xt<+ny84;DxXacr+gPVOQ+uq#*0G+!R9>u{i-$j7#vpSEj^Alg zdE{xatF?C~Rg&XMOH1{&)pZtBGaSLy*D=}~IhhLpSC6SYtA{@)`*(;qvFX%UF`v!v z!G=WX7WWJ%HiVsY4vEVxiEvbiQ%~Y}WPZseGmK`)S;vIem1v_T%DB`(QO1tasn4^& zVg1y${Svr;EW*DZGMGq4B%75LXDIct`1C>h!N?~my+Nap`2O%D*qnEpy@qyBKGYo% zE7*g*7kvr&MCKv<4x?u^(y!8iGkQkm%HtuNg`^i#+>5wjYlmXXU^_nEjabZKo8pql}GL&&0+Wx8YxrTgm|L^F%D)+J>c zSOaA3!s7s>CgY2T;tw0UE7A>-oWfs^VM4^L$vJ$l;3BM4nTe+hpR&*x{iwf0F(j53 zAnJuzO3i_ip-Mv^P`OW2hLWneef?I4$(n1B{qY_&VbY`TFr@%>uNSD317L9=NVicPMj0vt{$hI%Tb{+!md3 zz?}Uka04ccnH|FgRaH7WshBmYZc-S3X*2*Qjnzcn+$k0J5>BouO)+diE&cV2v_rmh z+`5dkfO5H7zy5y3Ohuqt+Wb$M-8X!xN~e}de>ho*LnFkWGh_vton=(rZy4=L=JYh< z{$(Xyd@h5JKHB#9lK_`cA}M+yQb;uZnB@*JIHL{5E6@nsOTwE7o$sJ5tI04veF)?N zB-J3P53=+^JK$)pW|ELb4UDYzp^!fS*R&>EVi+s6o~iw#V;kXQQfS+U{#)%{#1)n((` zdrjw8(p&w7umZL0#@^$|tdexxL=jHD-w8I>7=U#lzzw(j$+1{|V4P!`gLB`J3?s&D zT$w{k%Z5p!h3n%UVBR4UKwXiU#8DBECwyc7tYvMa|^1q9hPk_ZfR44(t)e{>)2J)MjFZEq7L85p%0S<%m9pGb>EwcSxe$U;3uHVItY;;&Rjf4 zNt=v)8_CI%!>imo1aBDNE=)2SU|GEK;pj=$j~$Uco^i>k0LRLNy!la?%7YUnhNg4X ztiP9VolYZooa1?tz_N3}Xx3vnQ)UWv-g9eJS)|Py44#t~v44!sA-{tzQ-;)ln%JJ5 z{?uu~+z^7KD6Vq@&HVH;_vBp?^^32KdZb(n6X#0ASR_ZMwastb)P6xS0Q&flM#W`B z3=*+|#sn{>4ku+It1)eeN-CiwNJ2$X2b1Qd`diRvSSs8(P41$KHFB6Z@yhCT;$qnL zvuFUcdfzS2fup(Uxrh;#=qFYcC^kgH*e}dCB!CpkF9iej8l#as>QOu?+6A&xYg0|= zGbfV6UFvZCY4AAZ=Jw+w@y>&SN5^;pS}Kx4t4<_j?8k5`zx+;$KOdF;-Vc9u_@Q<# zM}kAH#E1_>&4v?B34Jk8WlJJ)Yd4V_*a zle0P$S!qu5O8ZZ@mcnUn>ZQMA?8z%+oSZ6ba~{(l{J`70=rB-k#qJ_p@yy|d)5u&^ z?9>Y9Yu%7YOK!EX<2b8J>uRgZhoT;yA^Kyqj=Kq55*{31i4qt15_tPb)xO;jG?eKD z*L7s#OSdZ|Vr@?R)R+a>=q@K>d3y@jOP8sRUjaPV&xw?_AQxNw`XZ^Yua+d*P*32s znfaM`P%F%>paPRScMoZ=VfN9Y^vo6R;NsnGxm3h{E!b zw;Pg8&^=xs59kYlR(EPGWaA5A4*n4&V`OVqp;e@* zd+?)yt`@R|C_Qy5Y^RzUahg(%Uox4h^f=Z@x#ZnPc|kYfqXldXgtkeA&ts(X8U8JZ;7nl>!W(x-w8QNT9I}-=rshLk{Q?M6*;MrF@;^a2X$7rchWJV-9G&S zV9j^b{J>&NO?;@w?*0wQSgWw}x%ToA4SJ#$TC45E+iIozHO7(wtPTtLTR4)l^?=T< zdEhn80))$DQE>uUr-LqrPlh?ZDn*kk1sK4~etalALJ%ouRo^wtZ}F4&H(y#zm)(bU zHr)2VbL4cs`OLOY!9(k$# zFEn!zvjtjT9{8v3ETdbx==6M%QXM%7{OK#=5!3=6vQ{7ITUE4{@zmDQF#-7QP-<0B zq!ETvv=XVweG6>-Z8d08Pzs2dl##7D$YNTq@c{FT)cb8V)Z#>@`5yD1sZhIhxW&s{ z=H2Fe3NOtb!cw^wis)3+sF*2I!W1*FMKXq`h=$fU^!|gZr8Nq>b3DQAGhE3S;mJO%BD=0fA>XyE%3E0Z$oC!v{ln=~0EkkO_ zpZgzoMgt;E{Xg*n_*H*@xp?^L44x0yY!Lae!%#k)Gv3jL-dc?lJcS;_t5Ghb=(g@p zGMc?Mx)P@0*fkpg#zFkMxzNg@kNYrUaijXQmn;1&C+r2=T7G=@%dy4HhC<5MAY)Z+ zI=8tnp87mBl+UwTDrW+tuNerh`K&NverpVw&a1`icsz>xrhO8PG~n=;Du>^RiX=&6AyLs{s;^Jz<zT5?w=~fLYapTUeau@hxwuBf?_&X87m%z%iLd>D+k?k zm{}%Z1NM7bVae?9w6}{dq-bSO0K294*RhO`i!$kV9)cj}_HhsAaX(B{5;!5^Qk< zqfxdCg{P78d0KWC0raL~pNLwF7;P%p0G=1Uo10Ojd0I8V|3%tcK;_kI>%uL?9g4fV zTXA=HcXxL!?k>gM-Cc?nclSam4#ger;@f+l`<;9C{{J!V9V5&+pCoG~yjiiCWX98s zIvAmVG)QOlj|P~d#qAj_$glM{H(%-nwarn=8|}@P^0{vBlOYW^=1OwDhNJ*O8#*D} zkD-O5S%$t;JWIF7Pa-mLZ&NlIN%J|&O{s!+ij2j_h1F^zQ%~~8zn+Wh{}31YC|9{p zE-q84P)pq)%a$R#ALIGiFjx9&?*D$>`MrE3(fS(TmF2(#&Nk}tbW{Esz(s5jyyxf2 zmm_KQ+8d+MV1#lU-9hGXh_Dqa<=484`VGY42MrzEPK=f|)c|e>1^)U)q*Qoo1EZzy6H4N9!$N(xbUVnhgg|JJbkO$S23wv#JS2<;#{h6=|1(_ ze$4DRQ&-EnOBPBAg^9QLIi(ftgm#)q%4mmKYg@ipp4T#+vbbw+Qqy#)<}&25_XlRo zSLtW+=F)Mp-&8_&hvq$g(_{(i$G||3J<4b(&aV+;U{Ei18Y55p{XI8aor7IJtcZKi zKEN5OZgjVQfK+*jgz;hb6r@jgN(XCy(}MYWLnI^+1yYfdfFfj)!dO1obsG~@SRvx6 zyWZ1r&p30GOxMgMPN$UtE=0ccK+IQbf(Zj0B zz0_zvC0-Y(5NfkBS6H?lfsgq=KXR6-%VVm;2Mr3UOJ1iC7U7tRKxW&ZKQUC{hxU9D zW_%tQvhgyWlvXexGbH9bWj?w;knZBh1KoQPnavS$`Ym(O?T|um#myLz=80N-q8CGn3U; zPYI7a=3m8ds?%1DHe(xIX*&ACa>OujzFV}P8hN~QB<#_m{=I1wd8y0JF;BZ)^(o#U zwyoCJ=h%lfjn#OgX|+`4E@gaK{C@p(!iQdB6SxyL9{zx|+BPip7tPYm%hZFZzd*4Ftl z{P|Zo#)+7k#ce@_Gs59VKm+xSN(NuG3Y4$y_f*G*%TN8Rz#Dir_SAZNlIQ&m*V)9F z*e7Ru-m|&hZy)h^a(1ubCo4qYtH%w3shud;fh!vgfUrI*eBB2IKZlXN0t5u!^(XuN zei-NiwEJeiC+VI;`QdO=8!(P7n-axxq3IY|tLEhceMuLlVr3d5NmSID`%BI!<5Zr$~x~AYWTgvd=q3wdGyIl}jWh69qg2|Ez`zy88$dX4e(4^2%XD z-bJDR%&sF|XO0Q-8cO<8%AH+Dw$6MOboC7rcimY{S2ZyeQD_UHX7ONJO%{=9(sSY(}`i@vALyfcGkq-v_-pRdvAoG^G=wslTU=OlS_uMGrbtGfEK+#0lmNly&%o` zS8?@FnNkX!fFuc&B9TNK5>yQ_v2md@lOiW3WmZ&@EHyK@c1w>z&PL8~;=tnA6M^MH zvrqA$_FNN_ZM*7_%+hG`Two(o5kXrtwZY3T9=+Sc>>l^+$+O;= zBAl$u^&0JE*eDoh2mETkv8 zz0aqu8x^8O6L>D6>IWpwO}gaC`|Sk+(=5Ft3;b$bPzZK>js`Ug$T6inH5-eOsIaRNMRa?rgddehWR}?HKA{@ zFdS*uQ^!9~pLp^+1jjy8dzx=}Tkt*2`ra0CcQEZ+OogJt(KBO@|2noX88=j|Y&6to zBtGgza*;!Fi(K)_GCijpwYy4VLTJo4XFSKX^i&R>C0i1I*wB5*t1P4O~$i>m{pgHbUoH%q0o&?^Pyi>x*RWSjyIKD^{1kJsg$D---cXE z_YB*t(AU&V?kDh-_C(*R9n|Z33zc1_ry4vj%S|t;yO=Fm{2#k5gUY94x3wiQL0dzE z^#!DU;PW6iM^~Jb=HeHs5II;zmY7NvshU6L$%?bhPd7@;qX~Ywzwv*+=nnk4`vU#( z3mf|;AEJF{3-k6OTnvH-Oe?vb@$u9yxgG398NXB*bYoEIe_*Yn{wWOT=1@-lDKyyW zKeYcy|JIy>Wr=O$ze8`J%RP1*&8!>A;b@{@)`EB`0< z8TffN8PN}Lo&0|q(#J*fykTm|2sUPr+NTtlXOeEMvJ4e)6y z!!$x(v&*L0NkpLA zrrB|@hX`*wQemQnPTUyLu|k*64e1!*jr#2w_A4Y>V3Q*$dwwvXV!-Ev^b08Kvxh;m zgq89K{*6`Om?Jv*gEON4dj{o{mm&<0pp=&qBnzqVKdC>9c@oT~i1Hu0`~WxL10#vu z^cQ79Hz#rWkE~gsG~W8mkZM{yA0(ROUu-@>o;V!3iNrawZ8R@M`|qViB1ZU2kXFJr zeD@!w(x_D63cqtvdoQ2^>td<-5?^l;MFwe-VFWLd5s>EyXy_|=oz&hu+BdygL}9a>=x z>zvL^>Yy@3mHD_KRg$V=a~w=jvNG2%L5rdo6vu8>$xORwjAEDSwp z6DACWZuPNw=6vruHnMh!L=dnO-hvT4rPs04%gEs*`ciHg1dJY!@QaLZsksG?y}KRH z#pFYF)V{ib(BQ=RAXk#g`nur}B1kZ7wed6&DXCb&2+|x|Xy#Z>{*-3bD&yain9$H6p#cIF?flyv6%fHFXzL(Al)@<%9uZ(g_`n8rb2Y-#VA6n?#! zAY$yMBpv$FGjLCqfvzq%WCs&N^9Zuv*0j|s{h$*}*%QdP=O$I`jp>r}lM`y=ms(^Q z|6tgq)wpu5p)eR#${3!4Z*?O_IVcBXN#7+5EN;(qOa10mbrqE4ukd^4E#tU#2yUm5nSaFx2@^rGm0KLBfUCj)`gd$X1zt0wEw?ER=D#zWzB+@? zF?qmiEhSifGoo5bu(uIX%_rD93IWA+BJGZPZ1icB@7MDZ;~q>9r5=Iw1Bk(>T7ojX zo&@qz`;^+~z{6-}Yp&zK1E-=SrUIP6V^_x3o|{4ObIg?TuD<|d7&Nq8Qcbxu*y#xB z6{TT7-)B_iGzz~Ve!9AIS%=hBn_ny`_Ypa*-vb!X)e(PtpKi+@94jB?0O_St_GqMD zVkc7Sfu&xehEnznre11AmFZ{xq=MLmbuy?ZqC0JLL9_pUuari!`SqeiTBG&j?i5$O z`KO^AT+?1~X{qXN4(Uug(a-zGA`TyjN0-)jUPY2>+6EsYOGTl4CTMv$^1UZ$UzX#2 z;M+l?YD_qOAv1KR?0uE`ey=GC;DLX!<|wNpD^R6wQJ{4G9m}|7$!ubOi#K_o%lCev zjtoZHTdJgy5lx~ODfV7r-fO{7>W)4e>+>6axTSRH_L0owLl@8FOCX=bANh>VLg~~E zMzFiB^??nWa98Vtp*IYFl-jz5*nM*9Y(}v>%gLP6?#1I(C@tiA|$^EEks8_?j*pG1~WRMEie09bMJa1XW+qBX$NGjUpu#c-~l(4 za*Lc`_somw!7Ab7t{2mFW9mhYXq4Sh*o6;{;m+f|;5PlnLq5=fF-SjbMDZQ4cr%}upvLe$wh7b>3m=} zeh#s-wxaL&33Oc>^>@7az$S5g!zhX#moW%Jz)z?6d69G!9o&y*6rF41*B5R^-~P|L z0P9W$J`v=B`%yt@ZA(3ku<#^O7O=g7GWfwfd%aEJhpuo8z0!eu!RVG6U}wh1U6|y5 z;JxyYDEj#!Iq*?>IHJ*W|2;Q2q`kZEm(&qqmtF))z6i#Er?dzb(u$fPQFPb|HDkP} z@FWXkH;%jKky;9+p0M--f^or43(D4B3yRXK&NIIrb?T){IHlgfuigi^!S1Z%PYw_H z4+Ku#=Sij`Rc4Q`>O0g$8m z70rCBztlMiyIiRD4(7`DJ~bOXXO@28iKg7D1lj^>h3=5gyBVm4-VK6LcB)|)6VQyW z{6bND(}VWiFwj6#O%rRJFDrqlH*Jw)8}+wtbKX)=2@Rrn1-hl^5~s9|F-+{ zp>bmllbcn@m8>!Z7pKSeC!=ngo({Q|OxdShoB9+*`slllyrB0O+q2+UdSLgQ-@iCN>XqL* z++E%p_2^(3vq0wbl_K(r$P;>}7YLT)`JUL}w~W0ua=npJxt`SY=xy5F?Q>;O?B^z@ zf2L}-B|Y%!fWQu5=@^nGfbmN;gvZ#{b>lr!QPE~%-owcmJc zJ%iMta;73Q_V(-U-g6p*i|As`^QIyzkT9jEjtnz~A)2KhW>}&oB=LrGhP;MMHH(6z zK~g8I71a;?{yE78qHAtQoL!a`2vIa!w2C zjW@w;m9{_>_(qwxtOzy8$db4G^f{u7FK>A<%210r%w~e1nKf{E5%yo;#s&`}SMj$)K2kK!&UfBkdBJIGQ<;tHg216MeM&0oh9ZetE}G(+5*B)F|p z_Cy%Su7iJC<~%S0`$1mS*Ng_f=84+qQjfH}2sPL&OVR8iSXcFVbwcRj>1Wo^{>B+q z|8|g$-&-5s#H`eZFIg<+V3L8Vx&MPjL_oKqpjPoK%s^jTHvT)u;>n8Dg%zzEEm|X5 zpjI$bF>|nBer@>=Z#doPkZ4sIa5ea5=h~TGaEN1E*mh8(^7+rA8$q5)U@Ap0tzX5E z?|faFm=9zu1(cBJl4%03P@oFr z^4!;;2^UO`7ptE#HsWE7BAmxRbG^^5( zvXiMatJjb`Y<6pux>O!6EI5(**fF;zCM=$*3%8Lxd&Mqh;HW0<6b9tfk^9j1O%6_7 ze)BDjA60GF)-T&DkG%~yfo8`LQ;P^^YYGUM!mPjP0N+jQF3%d#F13Qq3Wf89(mTuf z0$${>M;VOUPkpsLh#@Z#3^l9Ezo4##57{_4JkhSpWzGt~cobZ-r@3l8IRAQ7*D^_a zD(3u--?^}3n)DpxTj%zDp~yi3Od#C@k^Km|NHKf_ormBIshs(FepY0JJ~c{-9_-F_ zq(G)GW7r%$xWnThfou=Pz#)2IeyPhL&vc{w@?vCe6-@QospRLQUEy`oKbe12zS4j4 zhW%qOln*THuWx68%RtWlF zLHvmj?D}^;dl@k-xnGb+@W6;XNLNG#CRJcIL(`Hxe+}oV6-6#N(JAX+k6;0hglV(F zjP?;6UM4s5wz_t}{0UyZ@;rm|uX%1xR-?s*qs}F#`iuJhML+ySmEWlvF)rL#ZpST;Xr)Z@60>A)IXMn~ zl_pAMX&;hwx)mf&rx<@###+sm*2p6BDw&jfSCu+tU(y zSd{N(O|ttpBHS&mJD-Os72G*FzFg7ImtVByES)(+XvyFY(_#6u^1N7L%2=af1)-8c z59Z_Y7V}~h_;AR;OAGP@it@Z!2uiPy*5W3HZ*Oc`-UQY>cx`Lu7#!C1Ea)eEy|&J~ z;U0=P=We}=vbYk+&*3CIn)l~kJb8WPQ;uI9yEhR^T1Hw9uiOhAb-MX-&i3!Zc(d$E zt{#|X0_A`i#u2N>xA@Wf*XJjfx6Y2Uw;8_CX<(x&B(rw*8+&$++Xb~_$UQ1Ye_xHQ z1&+#wwXMp~%lX}t(|C5aUh$929yq)VY_%?8xsNK{`>|Ud@M1OvD3n((FYayHcQv6~ zdNKQ_c2~d!rHAe%5Un;__^$gB>$IL;93eG1txrFF{9aq%+uVHuku#@TPuAvJrT1Cr zo&;IxK9WS!|CS#KlG`rSD#I0C{`}b4aXNA8sf_8fqxDPh?_msMzy9q;nvmCK(oPtq+S`e%YdH{jT*D_)lv* z8t0%o(AIHIytF7|!27{bGY z?`m@DfLDQJ$Al&}u{mCQ5>kgUI+32Q5_urWqNmHYM61j}IE|_MrF-j@jd41(?EC|8 z{3DT7BoeEAvs!DlP*33QTkO(4K9yPkC(I2R77dH0d0B2*b!Q#-m`%E50+x%}jI35usp(B- z(i5v?4{l3WMRdOl>3{6=o$ZI$|5@l;fcNpIU%GnfDYSy6xp8MN+v^ZlO37a6fOJ!5oEoRgR@dUl}@b1LMK=CTQT{NQ^zB+C~$xm{99Q7dIhWb#R3Z7&n z%OCqS-4rpm7!y=qVr0kSgOsGI>?d`_dTXrj2??xoA5xNNQo(Brjhk2qM*I2kLeMz1 zTW11$ZRdor`eLym`D_WETmAnWfcF((L&n+?a6f|Xc?AH4^^l$GEhpbiPOg)yA9m0Y;p5F@I`Z0Ghi;*#JF4mGc8G2TUoRiD>=SQ z^xb2t^?vULy9g@{<>ZUx{$GxjiE`O4X|zhEc8kLJ4-b&U-Xhh1QC5mVy>rD5OMV(T z@Buax{z^6Qfo_@aHeQ{tA>ZGGw!eON1KmV)7txRXI&Q>NE3ysdzweQ*=L^xp6c)q@ zO_UcCz&$cGF-Cuaj&{_21A<$PQ(ctv)N|^#Kj-UfK04uwiAX6aP}mBl0gT&+_2V`P zvINk=;=n%vXU%*-5S2`iOunWvxfPGk_kLtFsrK%U8a~MUcrnC8@Tv(uAUFEmag)#9 zbbXk{t>Gpni_$m}Rw+r7(vudO+_td3_Yn`Lr_0=Y(hUS_CDWa_u2I3f}(yg|)R}?~vb9uCP5uQv> zt^Wcemf!H)2JDLWD?m8@{I z6%W+lr!B<2&5x zvIW}8Hp{ zDY?SL;IX^wpZha)tL3s+gG2;UFAwz&%%Di)DE1C;AWP$D_YNSTN#p!3pN21Xjp^#? z+N$ZuQA>|6rbKiU|zC~i_E-^VU;w$wbM4i*v zd0^v-3a_k_wzgB-v`o#aPEFKHn^=G1rG=P~H6J|aLb&ds!JiRr{u;k^MbwZ={wOgj zqQfU^@fKwnAh>yZw|3#Z&xwO@4w0sMbS-!V^$_>$H+%AGeC&zq`U zLD_PbwIh^n*HngTK>4```lyjrgIA`>6Z_a0_e~*9qU6>74q}4E3G9fmTZpkFw-A#< zHes6Xl)PDX0ZkLIYxI<#hYUFnN%B^OM?_$d%Ipv$;$Czm96VY zT}E-~+7pS-dT#o`DTGaJ`xf2P%jAhclLlIA4Vy`t+t_b-*eA=+<;@EzQSFK=-a2>M z&l74yFRu)yvNzpc%Z>)cge zIVt?Q8bdA}D<(mGonHB|}W z(jM;jd|;=^z;FrMJDh@3wP`mzd3m_^?Czec9$8QR?E8|{ZSv&F{Z?t$IzFSddaBl! z)A-`x#W5>t7L;kIGRkYDQi3?%)^cPVz#*gLqS>2STo(0JUv^GeRD_`fFF78_9fS<$ zdqQNj;7&&Zi3WijH>JFHeyQ~A77{ro1s_AfJ>vVY+DQ3TJSMqlLbb8nC;KirQ8`Cl}h}fobRkMcQ z$WgWGEc?`LqRq&0Y^|u9NPCW4(lupXG|-Vnc;Rm*&b^0;?B^LWaGXteF=1wIlvj>D zx!796{_a+Ei?&%7oXa}@wOXX-skO)?E>B??>CQeAQ zf-rriRb_fthPuZ9iT*{Y-a&$CIS?`g!cca^Ny7M*3VHh*+_osWE7x>FVq+zK{y$wFY2M#m$)Zt?W~`4TZ!_tANy>JPW`Lh1)N2{(y^PeKjFjy! zv`{vh$g7PcjTRE-a|zSMqW$@Wb4H>F&6&0u_LRT*??)9c$%>G!&gB$F7zU-+Spg#=CqFoS3Cf6E ze^qj9%@=d?n}WQB*br4dEX|ivyPJaUh1f?`z9TKc1Fe)|JBhIFR`f(#sGGl>3oX>Y zbt-F#xQec%nwMq0BWDt0BMRjyRx1Xmzdy_Vz@?)>?J0HMXnjY%!RU& z5XYDwVJ$JNt}fC{VoXy>q=n3c)=U;1tYR!BIMhc46l6Ckp^*V95VNlKJ*LJym$R$G z={8L@ZsuT9U_+~GZKM@MIMHO>284a>(+7B0{O`SIpV-Bn?L$aHC`_8B^EWw#rpNH_ zUS6FO2FU?UC7l9Mbj2zC)i*_R6>Z;XZDvbqxf_$@?MSJ_0dMa6ommnte6^b@dzCHd zcgD&&;l2t_v^Be7+VJX|rx&LsFBpv)Hu-ext%84&4&1+<`EsUwUY`eC9jp6gMI}*( z4KT9-FVk#Sual_LsD7D?by7S6Sf-Fwez!fhUJAaJgvy}^*Gx(6SY+rrleCaeSs|jN z8d+S4CIeV^uGeHSX)+(R1Oh-H7SnzD{8i$s{1;lUBdj-9uo9xBYK9l%thGHwnnR3t zXQ}I1NwB|llg$*>a5x`Y!RtJx3w`VUJ^c>|7!AXyR!Fu38j-Nf*0Bdyvq(B2go4nu zhk;huCNIsDuHQy63&o4!9$TZ^x#9JL!f=zH8O_w9hj-Tw(|U3QoDjo#l}VJ6*BVP| z{B&AUeaf$YSiC)%^pN87s~B_n3BgzJGEUK(;c4uRLAc%5p5yYKc!ko7t^XWDa=UjN z!0pCy_`ildI09b>Dwqh6s$j*(sXrm3j(r`NU?PZbf)y7A;MM2{aYet`d-xod?tb#< z^FI>1T;Bf!%I2^vT2SP?7T)3V9{PmJd2ano+;qGDe}J!rE#A5WJ|i)zePZ~!kAFr( zmw*E!mm|UCUVDA@%hlVPp%D1DRx3|UCoh^uc6E>^ONxGxCLuR1>Y&X{G~`Lv}ggx%&h=ds8Qk1^~GzSthF@N9o~q@>Cvfe$4hL#YfdR+aY*WsQF$aK z>e1>3FmZ=c%PWHZkAD~VfK_p$ zo8~7ni0X1xvo&M>aTV}^T+**Y%y8S~RG7qY+mCi8(@bAUtD+2nW--}d;zLR!(>1M0%>+c;tYf zpk(>=bjhH(TF+UIEY7}Lerd#5<+2&>K8;0-hej2Sy3gXRO6l3w5vW-k0qdH2NzR=M z_Jt=wNdIu-QbO$)`h4nKBUjvh{Hgxz@oQxVFTrs&!tj59TnO@1aOF$>NAWI7hYHH-T(8JA=Vp9{8Q$LZFMy!qvETEzLRfd-o;zV#3PxY*5#>Th1?b>Sje^6Al`>9e z)Tlzeq}HRjmZzQ;Q`BmL!5l_*GpweT^C5b5C2~Z)B*iFI9z{h^{yRwc)ZbKz7GH_h zUC9(xKguv_`Ew*c-v|!a$h9bV3A9m|*jp8Nyck z2rOX&OKAa6*jLa@qHKB!MJjbFQL#-C*qew3%>0tj0x4+06*OV<8!?0}7{g`_5sL;1 zB&kvHijw6|w4esN|Hg!-TS7|h;C#168E&g!Glu>=E-oKw345+8+RuM%CMl&I>2xPR zixZef0~gUM-=d6YR?an-0{bW9<;dl&?f9x#0G))Tk~?1t(uH+MJPZSoZqyoYL4Ecl!abY}JXMb#< z1G;kFv+l3D(bo=l?|Sjr4thYH?z8Um5y;o>8IUh=d^bJ*8d3N4uB&kn&lhpN20+)? z1)KB6hJOI2*`BW}fAZpR_rnJL6x?R|_z_!!}w2gF@3j#`X*Mw?U=w)bP*mMWtxh^`E7tl7Ex~gLjk_d;BoQjm>ij zk+z9&=UvLLLDr7LN7n*q!=Gg#7SC%sUS3}=ea>(JJF*@9v7fSL^mD62X8rpw35%fG zFVM5+qoEYPkcpczw47d9_^Rvj5ks0gJQ!w=I0LZWa-$ zUDT8t$5Sg8jtkO-YsPL4i*ji^P-G1>y~b>0sq-2PX&X?#m&?fkrA=cqpuLfTi3ZfF z^IGDk5qWxMFP*x6u50^iHzli~S!FL*XWpEzdA>DCr7t62okyF!6(|Bba<2F4$niJt z0Uf9sZ|C*T8K5sc^J%^MDN+Rri=R1_gZKBp;UA2t(obMY(AkVd+nE1kz#NT^!0;FK z`$ThpzQE}ykIQmM`!f}uG+0E9l7FP<-kYT>31dCe@GL=r0C?av_#tdQ4hH9^5^F9e zgQ7DKY8Q0%vX1YP8(^wObhV0Z{>eTaop0X7{ljCz;dxI#Zk&C6c;-SEt+;*Qto=&x z>mzdjjn}Jp=bZg_Jtg$5G9t7uCb4wq&%0s~^|P@OH*-OXXW}w6M_XdEZ>Lv-)=%!K zBDgZ!?{=0I^s;Un!iozi*G6MG%`i&7QPUrF+D`a|U;pj_`=N1~?(OVQLp41S4rYwF z-vhhz{7oS9jVbI(58UB(j9}6a(>p*VP}%)L(@ME>3=37RQFPF%O5wuZ3T266u@`HQ zQ?o$*$qEHi)MljCBC3+MtwATohXe9YYKN?N_!k3;l{6~~6a`H-AqTSgsmL8^iU=pn zFel7#r^86*eu_!h0`_mZ`&vz$`0={?)afZzvHP3E+MvK?N=3h}C-NMiW#EDYouN5BT~H-FqV#m-;!0 zI`BovNz%GAgCug@uJF=$2o zpa(PobI&kV6AE8E3b?QJH3XqFsX&?QPBwylen;9wLK<*#0 z478SXi#sFa;Rmk5P;R#7Enyk-A-PeSgv&n4UUgNC>VNui-oJm7s2dhnIt4p|Qq3<1 zzJeiK>;V>Up(|jVu7;TQ8}%JJNb;zQdyc_MAex1c%rgriBc>BVVNb-N_1=3t&l?gI zGKXCc1RNY85&3`eT}kv=)87;g^Tj57v(c(D1ZUw|Xik1Ml_7Y%|VA(1p4Qz-$N z*Nt1Hif8lmf4ZuH8qpoKx$bqwatI_47gO=2G(sJ-5a%q++5Z7N9>O(6!>XAHHOC-l z0BMm)urUQGzbOi_$|T5~mRMLSQ>v7760sSjI|uQ_SMd4?YUZ3ARBOF6htS%gU=P+H z5}VcxQfu9uLuh$NbPQ9FIz)5Q(g3m1(7X_8hE^6*5(ffQP=1HIRyi0?m6ybS8Z+ON zVR^P!6~5V(q1NnJPSq;tJ2(<3TNptph=4p;KrM8X04hcx6$7}6{6B7?@gURJ^GZ28DlR$1tAU1Lf5U>UC zTZ0;mF@qZ#!CDSt%ttUPQ5Q^srfFhIARAknT&EEzQCCbwrDH<}pyc=#7R- zmt8lPUCAIx1QEr92;v|G2#^B!i9qv&F#wdL{&A$nh3PbME4A;}xStz*Nh7P0S$Fuo317<&@8RGmAV^(G| z&ek!+IT4-MKhE~jkO*;BMmH)1nL?ITogOwoCPoQPuy$l-fgCggN5|(caeszitr->z z@^CZ(X))QP!5UF#g?su#K6OSYCcm@ERqLm)YHK_fW!5N9#=?b2!YW-@iyK4n3UndO zE{xfuK}5;*Qgk8NbKwS4=w8HhRwc-g>B=-ghMWt;<)_^Y=0kvzynbN;V*)&_aA4X z<2HQwor#U#@DVp42cN{mzkmp}BVo)&e~+^T4RI!BC?uVXOJNtHZ~#(wCd8Nx))z+) zAhdP`V5Z=KDY?w_#Cu*~P4Y%ag}-nSwy!|VOvr}Wx(Yfse9q6vk}A!}BHTEE%^Qer zGJ+({uGCQhhekSh{EY!Ya7%ZsFF)Zl{0Z?|KSo_IWIB)$YY5SkL9}1;1eEYvKPrSV z2rp`e!O^lYq%Ok<@%SZgK%pPfp`Cn{)te`@5;njUPA{k6!`ECLAuw{#Q!FZWLi44l z0Olaq2?}`X$0IPA{%=QNhK=y;evJ5v11Y3B1fv*`L=#RAT6%-9$>0> zjXl-2qgrl3OuPkGsQnaU*5*f?Ek%g45Mv>BL>{@0Djn$XH^w-6BLcl4{_ZG$Z_G~` zOyKp#($up-4q_t1!*FUGm`skl)fzpHKUq}FG9n1vNd^8$25cvT9@-8eHsT3Pdx8oa zBZ1BmLSiLFT5?9&$PY<}*1?UY9x{lP^e>B=jytE$4}&vT>0@O-B?n7Wr-qOZH-f|< z!=|Zz*GCa5MrFWQ;HW6n(fup}X=#jpf*F8?)R-iPiRVWw;)(}r!U<~bh*%&3AF47q;Rd9L0U|QJvsVw^RVj$Li5pXXByXHq z{>HP&EEoST0kA_jCX4}I4LJhHna_}d&O%7}b?TfdhV=ba$jd`s!m{P=tScp#tJ#2rv#I-`O1WP}_EX zx@zqwT%%&tSq1}!IcSSqhE$7S7G(@+wE`9b!S>gp2gKQ~aJ*QfPq$=(_SWAwg&||$ zmNOuG>ppsYCS+&ZuAL+us3UB`5M*a7ycLA}5i+j{nKz)MMHqzK!}&7U>c7r_#`MlX z(_Rg^g#x7g7_$xF6Nn49*y9>%wuTYSTNfl7v6fPf-gM(MfDX*JQYo`FZcU3dyW0-XrWe*`|D<_Ku6G&m8#c6Zb7#0-GsC)afEAY`K&Yv)Id$vESKCR4Q zrNNq^z*V}`NP1YNJTP6jI>i9bxN1xT zL-M0hL4F;k8gLW1Uj5ChC{CkeW>D970&|Oi?~sZsjd^B}Nz@gPyf7rfh|UD@5@MVmYRIcrlP9sBCo-vAmO}yk+as9Kb_Nr;YKVvhctyn)>~>Ac z0!yGj=%r^mC3IBAdRJaiw89$nZc7+cV;@r<=)-Zh~Xer#etk4uu%Y<-;V(P;?YxH}8utBRH_9@ewW>OS5l;{XjPXZGqn^kt&sIM!n%260)2)#1rZx zesIvoNjL#h*}Y9jwrm0|P@I*6pL<;Rp>tWUw@XX=r>|G+KX+Svui&4>IVzT&ZUe$OWUJnDOd!LuS$!Y8II`}>e++K!XT!z11h693!)W%WxX_Na}XMpX) zu$52Ck_V<;4Srjf@2|(l__1TL$r}7L^k-Up51WmP)%KU0$j9T8+oj;M8kr?Mm}x)3 zp&a{=CAtOOjOs45+^%L@FPn|q)%MdSmo=;7=B35cnyNKDt(KnVGr7j+wPQiM8kv?Z zG<~mc7rdwSvEHwjPc?7husyz|Z{tFzW_X_HJH8_`k9j#B#V-TQFXY*nd>7-lpQkeY zcGAY=xSd|Y&1?amHMuaPPf<$1usXh*8Bmg`1DnHgP|6)aEkMZ6C)g zE7R%3#c{H3X~a%0k(l9<#>1CngN(05)6bx3pAWC$O^kh8m-#%_U`1ChOT9)3@s%TlUX7c-&r3+df-Q>6m++5wBf2#_mt@WvgXftXuB3 zE&MOa-U2F)W?LJ66M}@`1b0cW;O@a8!8N!A8{7tmz+k~$gA-hX1qozAkl-@7ySw|> z!+X!U=iGDeTK~V+?x&vGUDZ`xwY#dis;0+)ix0p~=hDaxO_ITKo=n|xcsh1<_p_k8 z#<6SX(jRUW2aSRSyELGOyP35ygb6LoSp(si;aUq!b;=g$v&m!DMjFMsyR zSBw|7PF%yxuDaOKJmb=YFF3em_)B4gKZND_RR%cDh$p-%RZmdQHB7vcCbM2eS$v+U z`F&FJySL_d;aZFEdvCkBjUFKl?gCsTRcF%g@;=+v?8)wNX{HkzpgOzG_8RBAq*4V| z@@~9FI?UCu`S?>k8J{>`pV&+$kkW{=)V`c&#ip>_ARd%%t1_dl85OIuvs`fDXcR9s)d zFM$vQMEmx!Zxx8vqs*S}cmH$L><22Ih<!c$$aZX@7s+w#gp!c{UgboK z_wrT+1b~4c0QN%wzz73?B?17&C;$X3wRZs!KGtd3DD6AzwwCd1?a_-C(qAnDu9-Ma zqeP&$6I=LrYDdIys$9mv;^L~uPWX7*Wzek}MpJ3#-5UOt++$DmZ!eX3maq7y2@a737q#dXaPbDor|sA;m@MR+|57mw6FpCVfvPJ{Lw~=CU8{$xQ=tCO5q%H+>~H zeNJmDB)6k9G96!QA>NTco;EW1EGHLH5t+H|4| zcXtgN&26T5YaX7RI+^(vW$SXDot|*A36L~6*#<}xob1Y7zqt9qcY+0cjeFE^vQqOR zdaF4oBJ@i1z1?8^&B^M6VMVN^|M@uAxIpNg(9{R(ESrRBy0-4=t7Na1#ho_ahr8ra z){DP3qV4_eoxEm5L~yU~4<7E1W+)aO4!nHJ+*2LyFYC94bstD9f|KM-Ghh>Pwizzh zm$P@!frrSGlG21~`K{iBdU@?N%DpOC0LvfI)~GL@ZoB1W>gw0;hU?Yx$Evdjn;&S) zg{b3`rapK`zGkkeu9eoS{pPg3>EZLsHPJ!$RSPqYiR2RQG-FOBPA8+W#!o`St3ZuE zrf)WIHD5(L<|%{@7bty56q2qNG1fRHl)8bR{JjsHTzC}G%6Gm7iMK6`S00LP)H$sw zt^JsD2ltzq_#(zuBv>#D&v!G~G^gWuG>Z**di8iETB_3&VXn#F6#@(BLRIN)r ze=$*L*yek6y|dsOjj25NMEv;j@^ZqkbKtq(?Y!UJ&Yi-Ci$UZpjSMuX|?mUR9@@Q_lkX;*TPrOAS(ME-#2kUfi>yS0tE)E z5n-&B*ZG)dcdY%I`I`y>GSJr(Ur?=;#TH(2-Prs6(CYQ`zB`_()js-J(l1axT_*2f ztNHpn4->9ldgAkn{+?$O=4JubH5|}7?LrIN@vV7tsLOELfkK1V;^@M|(b~-gMcc#G z*5Ja!MsH4Ao8!*k1{pIfH!;eJMTPmi~pabuJQe|E>8qLm5B4gTzlKi!k^L?RFwY4g56YIwN4 z+A;K#LNj{Mdhk6+^1ZngnOQh%&U!eH-rQFN-F?yadzi=)Z6(*K_vzVKFz^_&JMugF zsdsBAbXWrJaJU3oJkW$4WMtVNTswIo>UVd0bu$L_Xzn2kj{LN)z(`>^PkZ9O?ju2A zY4GXT-(Y$pQQFiX>i8({1T_(pi#71-Z9&yZeVX>9hg{#slk@aH*U--koYz;qZGJZ` z+MX_#$Y*Ua?5xTQQx`32RvERIrCwO(iK{Y_K~n0d3%7sb1~b6(-ukzh zSh$Vk2sMeT#|Vd2Y-E$Gt>|eA?w?S)o4~OzT-4nnOMI=O=@?PsB_Gv4BPGwa^@noFDE8?t3}#G@8`vR9!~8qEZq(# z#TS;U^O)Ryoe$R+HJS%O3IpW!0f!@J4-#`(xP}f$aAf8F1im_vZQq>z{8)H(VhY^{H1jgJ}nxKK9$rJiA3)1u*_&}*M7J~?K z?6R|RL+^D$BKkfwT5Zx;|A(o?{o?Td&SaPykV%JVB^Fih zS0x89=6g%COs|iwvCB+at($Tqj4OkxE&iEh81urtugZaMN@F$39>s}jAGb{8YK(Ar zmDX2O@aWSq+xSuhmBF9px}}R5^<+7w7Zn(JL_VlK432G~baVbj5UDV}|@QAWT#ye3xTlg7WhDVD--cKky_s#220c*akkCG*(j zhxJyQ8d>dCueq7o31@r;5$B6B()4c$R&(WZ6Iuie@AE_ybc%cr(Dg@r0^8o{+>ZIM zRKL^dcFd|Pi^HG#J{aw-I_X2fdV6%Y6P;4dC=iT=D~D1&$sYK@#-LSr$}yvG5`MIh zcz;`IQmOVRKL2>qbM{A`zllNSckUPHzCUwT?dj54XU&aPLy}ytOT**Bl_dj+AmKthJKMe2lwjm)9{jT?NVwbtI z-e}w-DDPorD9)}nZ`?!D3+~}jo1gTsoD~L%?{*o|c8vUCEG9cw@wvVcy}#_D5Eb$B z@|!Napg_bDm#?x?Gw@@h5S z#QUm^q8fB@Rr%n3cS>vVaMGp{(>JrRaPN6MemQtSXY!DL-L+qQkad5)%G>I9b#yne z(28_Kem9>&wqtTC_bw{StS7qB3NH#nSq@>it><}o%t~_9K84>*tWKS>(`~5^x{6bu z5;{V(woY}BH80_3X_c{5ne|K656oTLImZ`7ooO9H;FpM%DJ{N*UCZD ziI(AcXN8(i6KuBWfZ@db-Auz|=GE5B#lgYD#a(Y9YunvT?}lNg%D~m)!a~St+d)02>3)Tx|)suQ6;(m1x~M?poLWi*hi z5x^g-`FtHs_mxd)VD-BX<_+aIis6AN7T19qPi9I;9fKF>%D#~_&-nau)D*&vI%)rd zEp>U%f8Nay&g|4YeYkM|@7VqPu3TPT4MvmsEJQ&rE&{uv?%NYMFnn(>+eCfu?xPo4 z$?4K>5{N#HC5#$}8{jcEF<2A3ZL;CcUUkSjbV)NAuetAAf?ky+xO5r9J=m~mjbArf zd_kmMVPVWnQy6nI!X=n4y95VJNN*u1qLp*|!pazdSrb=!)K^#2Rfx2rh9tG0qEt$( zwoS3jk4=ZnH%wmmqM`0_8627#fdGY-(*~pCG37Motr+e12qZ({g%86{EpCVOWYx1j zWI{a)qN4UIXj;RDRxV^V*`r=n##IHd#aHbEhYovuRVQ$8aKu+-a>QeWX2|VOcd49c zj7$?dQrjig)=Ss=m*&@T=aT8Yay@6U@6vl%uy1?V*|{NH+i7SL0B^*JQg7Z)L<6P8 zi6J;dVPAxo4!pA1j`s?TCf5bR<*a$Y`uX5L#LX>{(u&#m%Xh<9<>lBJyNp)0k?1@4 z3!;Yo-K$})F>b+Uac;q`iEi~oJZPNv9=5@$DkB{UD<(aT>}%gsob=@RSqexU)t~ky z&_qendvSVr{10*VVCVTkls+G!U|&GWs}_~c(AA%?V&3D(x%NHPX;z-UqU#`XK}q-t zSqS&ViqYHSkh;0OPlSkVtPA@gN8F*&f<3aP?^cU^czEgwJ%!wkv%8$>F#je>jSIZF z2#udU!jhrd8Q28-zK-R0VmX}35;*7v^54}~n=Yn2{ ziu$F$x_Mi3OJNxmBRb?rddC;&rWbR4QAm+>f43EF`0yp&mdoso+ISoI%g&yfB5^sq zNvrg`yJo-`xVs$83LW2#Gkq35Ivy7V9IZcODOg8t!cWhs?G~{aON-bGJLYW%gudAG`^a_!yRM>k8z zlvW~B#G)8Dn>MFjP2X>qg}2LP4Vt`Ur->&3pbSt*D>jpa}J9W;*l!&5-}$Nw=_@)hw?F0@((kJo8dGE4ht0j@3%lFOw)urye5y<5dzyzgQL}+0^d-ln z%0y?MK%tE?cw+PM)1(b_b0^Kc3S@Jg?p`xH9x5f3xo zmR%$d`DKZNVLN#hw%gsq4oXY5&WSd7PU_vuEWOVoz=;-0JRq z?tbF#J}YcTo+Ur!wfV7Vv_uA-9mX(O9x%uFeM-oN2Q$6D-Tb5qpy5J%tkY!#w&USE zJ5udl!-oc3Ed=NX|4GXN+8O!8#cmJ(%xtahxg3K_pvmn6irlwOlT*b=Mi_#EW57D; zAbU#AfFeICC(Oe$svXTWGn0m0BBOBQL!Yu*JmTuwk)!+F364J zbD4}#GETm0J~>tuZfw!c(;jR*S7lBppSjmaT@=IINS&}`Gw;E3Aas&p(R$M7mRWq8 z+LQIKV4koLah$H=%h_WuebrCjMzqG8cWbUb8G*`=FPLld2@m>u>Xh8CZCamgGG9jz zfN32#(}puGwnBXgq z7>d9$*c?5wV%!2@i!2c@KZet+A~_*8egd8gtU7z}nK*2@Ag^+jjjJQzB|;y#0D z-~4ug^GsOnf5P?@UUBPG2oTgYu#s}ka<)rPF!rbhZlfVQ?)+tb9~IZfK#`EYx& zLySfqgYbEz4!|h#GS5 zOFv1O)dFV0wd?FO%XLe>il(3FiJQiM*})-BU-eENnxx%m3G95$fAETnr?{~)r}H)U z12@dwq2C{!n_Tb2NFowBs~j#C^ua?#iBE{`hSP9JK;JY*O(YcRoj~%I-x5bn#aATx zrCxI>CX9ZBQw@NskD5tD;*KRuDX&O!+w#EphC51Jt3mo@I?3wqy{)Jctei+i_4^kDmpzGI5R=wokRxRTK0&t z#TKb1@wN~Ha#FB>Qcup;97SlUR@F6aNXA@=)-RG8T@Kh(KUkjGR4!Pc*^KLtvPDA# z9ntn~685W?jpFYx1P3d#!d0i-_Ale#>>C! z&48RAEMV9}Rh%=B?4%4TI{8YPM7-_2W#YpiQ$X*8u0RHERfnjBWU!7M!i)=z(3SDr z+Kid2VoPSwt>^4M?10;K8c4>Q=Bmc`g6r&f%Uqj{nZt~WV@q!K%KRhM|4lN~eFd$J z3bPjmrkw?u$SY9N+gWJvOr0mq@^fvvL+3i?_gK#D+^XH0!+*@^@6PR;9DpxC4b574 zgh>KgCHm?Zf?6d%9+6A~LlDv0FtOeITf$l7P+#4*dTbu#NPiPb5ZcR0Li#FpWh2w< zpPg_0BmJL}ARzJp|GN9#-DqW*IZr8=n82RMj|2AIy%!}Rr?W%1GaJp7#g4bmt-1Ke zjKbcW9q0h8=GBls-8=^)k4*hKuni_R)EESMkrMadv~L=9oUnv)#*CZ-n|^8iZ#S=6 z_}yI(mlw5paMef4Hl+Mz8^UpP>)?!9 z%h_MkjX`zJFRVB4$L%E7@y7?4%QAF7&{Rq)=OlgknJv!D0TBz*F+hT#)>WY)0iWX| zLSuB$_1UPu901^fYOlwbcTnJ`D^0^ z&c3anB#7bcM&fuYAd8?f)0O?!7WgmV|3j?b_Nqismp@_dVhyWBswHitA0Zxc>dTe2 ze!H3|s}ULtDZ!H0Kq+8+riK?#j0#l`GAa>g)1XR$t2g=W)$$onNlO`2@jRnOUKXPY zcUgp~2X!;WuD3RQluEnVj={6qFBR0xZ>${n09Tp-%1p6GTr!4p|3{>HM5vKe(Gxr* zBnkAQ4oWuICmp1x07ZPH*H0j*vyn56Bn{5Ib{lrH19RUqnjHn*R>1q6ku5l4h@&LW zAgBerC`fqn_E>0)Df5(s>TEy6*ouD6XV>s>1Eq)m z4PFz*{y5JH!;9*2!5i_RO+jRDAD26C1lrihHeXCMR@yrNCu6`lPIsAz`g zXpAsw976TQ#*6`H!Hf;Cz~G)s=FFB*!>ET{;mNGxY9c%_Y*ACzoO=#a3jLiwDgP_^b((=xZHppfKUepO;pqx3%oP(}be75m`K) zN+c_Vkw>GC1^I^<$m13qo|6E;00%jeNiTlsYNfV^6S5#A83*A1pBz*iG^-d0QJVq^ z7EiGS-znQh$B=a6tv+=^ntF>Qi)=+{GG}8E^|mbCwhuehwZ@14X|-KFvkc{UKX?tL zmM6&r&&u`<0@KL!hu50C4{BtkEp>j=bQ??La2sn6o3$~NdwHYQNV<+A zO|Lquy;nYnz@JiiV8fp}+i;MPGE|ZvKnxLAA8wg&rBO=_`}`E)flY)PPp2TsN=D?- zK&QLKW8u470ENGt0WW+vz)oJz18qSHT={cT+|(t9y=jP0-!g)QOPYW`&UtmCM-*a zoHny*)?K=TEb1)47%D!3BzGK2OuhB@Ycm@eoDin4F?%#AI8 z#Fh*LAfiTiPg}v8mLA+^e&Dc11DF5QbtU?7Il?SHdONo=TnXw z;{a&4xu`r_sQG#OGYD?V9UF8}9j++qy<>yi7$aS@qwR+f&0=zXr!aRA?OXIsfj_Nm z*rjl*EQ4ER^>j(vr!B#NzopTwXauUhWmMwArXi5R_@g?0Ve*gt?kX?%m_+a@^62tQ z3yLxGxP0Ru9IufyPlf=~>Vt}_OloE$bTPc*>b}2RRxW9OQ;y8qn@j$lyfWJLPMP#z zI~z3G&Vs^3A;o@3Y7b}y_eAn{oGI59Y}}(j2sW??p&&&>24?2~vgrn-`UE=Z@o1j1 z8gR?dfLn$-B48P+cz{I%%7pgc8;~Wk*CG^X2W&@I(92sRZ(lYMQNk>Wh(XNG4dj&* z$k7#Wqi@uYu2xL-E{XNXN%SO1^gtwfF(i8RBzm(Xjn6_`nrUSi>LB=d?l8H%|T>}_Cy&rO}T(_6IL z#8ZhcR3;`}R|WIe%kAFB>a+-mNr8^GRq;M|tc3H%RlfaCd2P7--2XRjz0GQ1D4mV7 zIp1gZUp#sI@s@@hj~Ex3fprvki4(2kO&~r`Rp%ZQgV`q1hQ;xDog?{Upe4%&uN!&( zxamtP7He+l*lVfs4tmwLB5p*fZGt-S9N9Y)UuO%Pv+%3_3^`cqNxEQsQ3nv^wMzKL zw-~HCcSKiA%>KODcuV$mm3_Hd!V~%nTAc`w1TP$BX=#ffOLnmTJeg`&@ns^l>z6PC z27pdJQauLM@ImH$_~r0NoUkjz8s8$!LrV+x-(N${a1Q3sglkj6X4e>hN;#- z!j*k>Kqj=-rw3@and;7SWqrPC8oVs-u%43w%1TooPW#QWuKZJf|CBc|dd+SNfzsqX>x?{EnsUI%dNU{vGt$6XwNptlJ!7RJAU?=7@4!P)exp= zqxHQ(GRH^Y4DY56)oEG33<+@pwjrCdPt*lk;&0Hsr5k>;o|c5wZ&Gf_pjudT_C{*^ zWnJ1=*^J!rZ!e40VDFNsUBj-P56Qt8)`8F};e$$_6oC>-XQ2qJ3BBRbkkY{!paw;k zU@<>8$F3982u*rc6FS}TO40`zaDTbdBUxbk!`%47QSw{cwIwOf$OfpN0u_y)13Y5~ zPu;OP7xtUg@vPQ~{9fdzTHG?nm}ed}yiN1?y@0fAk8srBe>wB^)|T>~2g{Env`q?M z45kl4Es$k4h-U*HuC!o=>HUWJ%yZrla|Q6_?or1|X%}zwg6|d`WWn{ch1uQkuzGPO zAdBGk+u{Ub8}ns4XM2l{fy2pnFCn4&67z|zDh+M{Q?<om-7{V*RG6cUM;U&~YUG5b&fYc%X-@J~QLQJEYvgV)du- z&JXwbIU>1f7Tw?t3%V)lC5 zY^gLksB!>P^?7}3+RbxP00m#d(Tg08&Gf)OftnL`0hdAyxjZ2{be=R9mI%d|DU(qi z`S_|mIdi7WLK)_~6GH?#n-%zoK`j&9%`Y+f(XLo>`Yt>}eJdVcuy}-q z8T_^EeJ?5as|`ag@P5twk;|2a&M4WatLvqV|>Hx|k7XkRCBYQFML{{7pjwQSWzPj*l$lIAfjT*xt z7~#v(UyYv}p8*OFp{I_YB0iG)^Sx!!C-wipn@0wW*2@q7_!})8Cvj>~=`2;v*BSq? zZuguBKC3|A(I**i<|%XQz?XMjImVIN?p&h_8;~R!rref{l;!^UFQMu^`nw??B~fKL z4Qk}2(vH3~lN*LgAd+C<+3=}XEh$5T|HUH)v@!Z$pJIi#`)4AaDb z5)?MEWx0EhPEqoS%}yEzL3Nqp`NSGC43Z@(bzj8uV@tqlpE_{6namN_pkVK;hayIfnEWZ2_6*T4)y7z?vX1S=BNa*gX(14l z!~4E6$>5E!Zj-jao4q8S_xehjcB@r8qCGjJU{uZC?W&ttn-gZRU5s#8#{l}bwr`V- z&~!J*mC6Q@=_J2uPZr* z;EDyWNfD zDT?QR8E+ts+4JqrQhH%YWRR>D^(${6@%wVZ8pWbk(Om5u>g*PiXRKW|s+=Lj8~nSo z+0xz^@3joyYl-KZR(4bg7i(}*aS#@}^1(4tm@2Mahv_A?hlnwo(=VfszJFE`x|Qw! z(<$^;IKbZvK#h9xUZ!Xht(58GXHhQiiEcACF@qh*Qmq8F;)|kWJ zq30NF=|)#hI4I_i{`%hz_q{I3#U~=KpJE=`6}BSVA0MRO-Y`D2cDD^4A1K@luP*4{ z&#f+0*p^ydRFik3(LFe5jp#rH1M;3VzNTNK7pvev<$D*ilLY+ki7PR?_*vu5-QfXn zQfu|mIeB!ZNJ;N~5-8IH+S@Xo%~k4v+d7FTOfM!8!#u;*8ejB1bMybQ$a07~ix?Kr z{8QC|AQW}~sTNc}o9TO<*}MI!os#B-H?X_$+R$G;+o15bCOi0g*kS)F^Qwf zyei!#Rz>ObT!JbeYFB;ROu014XFO9SNC$0y^gW5*s5e+Y>~hv+b!J;_EKla=I-Vu& zw>RANrLenb1~)IP%-lsSW|}gDWX!m=S+Vt)I_!D*x38`fNMy`x@DRc=_z}k+@imb| zMnE`?WH`Egqv(K1q0xS8%_KvL*9~CG7z+;Q2ZeRfP~!`lx~wNieZuI$0=(Tn9#I$r z&e1sl$lW5}!Hvk%GKodJQ?7U$o9E~WrJ6nDu46MAqY5#RK8r%d*6SXON z(BGirKj(#A$wl3wyZSC)1Z`9;m{{`~DmeGqSCFE>nTjFKMc?h;QE@8#|CPx79= zK6<4WFyf%{uHB3gouGnCuV=);@qN4SP&GR6!NX7i;3uG=1Cj)Qp+{~i^gyh`wpVkp z>VCB_`rv%X8TZF4#sk@E$Li#am%{0mJV29HB*$=N5w^s!oGYy|u{^Y7yxWj+#8>sX z%kZd03=qtya_!K61j%OJ+|qWjgyh;$s_@1Xkyw(_#PaV51QcX5{j|419YAG4tw6Oy zg)tFwclF396b4yZ2Wlh*C#FbSRCGv5tuwe@=}fEV2esRs^0lGn2DMkL2hWM4H|LRn zm3eo+*-d_)TTkW%^TVVI@S8A;kV?a9Zzov|kT-)YuNpQ<92BHY2t`lQ^&cxC7m8S^NN(uzgS;bcvLuv%ENZNiP+d^+1 zCjr;13`od4DU3_+cz@uADL8*s^s0 z-wIEy1{^zdySN?5v^re%=q4Q3^t$R?$h0QTuE@^hjQrD*m{ecD)D2^GvVS3m<>x+& z3&WB1iPGSVX^s5{c;tEVmze7XJ}ypO*%RZ#>(%D zmfys+JyP_7<$cr`AJ!P6+v(V^RKUG>GM+X^72a6zBp<&!5r^_b7vzu29OL|w3iQN= zxTM1baP=tX9RIjVkHu&6zF)0+`0snzbwXMd|4n{uDrx81qwo)Vo+sr(a9M_ zy?pi|1ywSG)Q#yp!h7EkA9==Awl@NKgo>GyTsEY(+g-2suUrY8k1b2f-NC4%%ErWu z@)AK-MQpJWj)`xV8U0;wps2XA%me=dKqwP&^j!Qd%UWZu=^=8S+7R?TV+pUxA@WXq z#X7#5#kU~GbErRTc^86E+%biWtQuZ}X}1Z}qA-r4nPj8Wq z;3@nlc*WQVO*5VYW}V}JS!*0JG)9{#@lUBvE^1MBJ;PLz=h0!={kzj{DAOM)iUD9P zMlmb##X&PG$;3gMRyTZ(WLwhk48k*J7?A3uoNio8RE(a&Aj7-1SwK3MkeBZC9ls#m z2~91^xQAUiD$K;6Y7kHDFNsX1lD~q5vLg2eJI^glZ)&RVrY7%gZ2WlYMM-ACQg-~h-FXD-PL;J-fP8VnFF10DifmMmZ_(g%vi6^6b%7xlu^z)a95xTt7 zKlDBv@3Q`r3J=!pVI>S0B~#O9EU`!_3(&cTWxFUIy%Y;dTLsn_($?Xy35RVs?D_*s zCmpK!N|CetxhOho^Vm{DAYUolknFKry)_K6(pfP;Jw}ehW~tFE`!ic3CcTp?!Ttq4gf1yOx@_u z82kQO!4L}~GMc3-Vnc`!e1>QK$uT7RSA^67mNSKh4z}|kz1p~iRG$yb91do1_$a0l z8%@vaNFiJfc7)`#PKemC!7ir%pa)+Ycr`+m7p!@CQy7qE7I;VRTT{<3`fJRj?=!?j^oHMJywg*v5}ag%zUs43J)Ckmx) z+Y7SwD8<*N1|NP%l-zfdMTe>1#d?wB6<^c12{WpmbkRu}J+S@L?z66MA(`;dJw-DkVr_uuImvubW0Xao= z`nYIP<;=f~=s~tPNRW?p3WPw&IQ@u^1T<3}vS9W{8pRDX5JqL#KyjHy8WoTdT0i4l z`}u)}ut&w>FTiNit55nTugrJ7Il-+&f(sED_MHQ~rW^mO+S&q4$UAC0D<9&>NhY1y zqaKJsGib&r9ui9zfR}UjhZ7n$biG=RcaKG6Fz)ikYcy8X~pN0e6IrpoS@q}s5a#`zlwym1^+-41To*}gbF8jmV zL_I?5W6y>mjT_2}xRTMh*h9U5PmC2;5)00~I_E@^UQbKNnjvUB~*XnP!*Eq?X{c)C2F0I5bHl+gSImU>zA^ z8;pAYLu#w&i?xGZ1jnUmd^dWeNF9BQdTjLOnoCW<3G*JYjy|LSAn%)maDy{N0cdM+0ds3= z{iXTz@_%{EbiE13`)SVNH;3%Wi?g0dw1v$w1o$~P=@<)29bzgf3epv3#E%aAv0@ga zH`!OxqBluV+Fo(wXxP zBIX~=TNdP1Akdrsm)wM)>eO5$&NU-UyMm%QK%44Rh0Lo4gPSLA-%D2)LD;lVJkAjkVF1& z>P8;7FGNdr|L$3xyKK`*jLQbq)_}xFMo7LOrkspsP5?hGFmy|i7y)XhaSy&E-5?As zv#!^NxOIwkCL*l|4>!G1!$#{v&vR8Np#IorLx_%qkdB@QH8QF(<|0J1;fX+k`1c17 z19whJo&ubZ=bx1Or)#VE=+{%IapvwH7~>Mu8r@CN&x6i7(^pP$6QiwhH%miuTFfUb zdH+Fx64*8eM*@YLZ3pQ8+w0I&-OL7r0*bo%d&>T@Nz)5Ezvyjg*ujF(l+SVg+RvXu z=EV;|##`pV&b;uiTJPv;P3 zc6%}*ZzVD+_+N6twgg0P!1;<3SNx4Mu1y1jFtTE`s^C!g&ZO+kDu9N=>ChvM0w||| zUC}6`>1wb*Ifp8ouB^c+DC(ES;;eC@M$jM`SVseE4o(Y60UFb@bfId1Cu2rqg8@bk zfuut$kXbPd$XfU}TUgCFVa1x9s38POf|1ssrK-{IuL&^#n)XOZ=)G7z6K;d7SRx)V z`Vr$EF%gc;DSW`DFf-hcjDR}#Wgxdn%D~LsxOiB*B2+IxU1w(_m^gi$xG87fw(&); z@&;=o!6Vi|;T#GDc0(o2kfOQXtEQ8Yg6~yz$>B&s0mozh-P^CvT!0yn{w84Sn$0A- zE9wAd2t~14OnMmTvlv!VAjn@#g^n1Q-%Sm@`sLf9qpf_}edqVEF?#TDdr;_if6-7G zJ$o=jb0G-mF;b&AcgS+KB5KC5rx&;~95wmHM~N(gXyBCBHK4>cm{_K)$-Kz%cZpSS zQ<&!aQjB!c1|hkRMSmQ7Pb7oWNE@#BXJ}kCEPP*I$m*}~ine*>R~(fJUt=_PR)V($ zcZu7kD-Wth^TB(My!oI?jZLYIaQkH+CtI)p;>N~GG>m~y^u1rcBJ`^9M!4U&D(7Ci z5F8mu1_n*|%7_A#RLwVEaqsHtgvJ$h z{WTt7d3D+yz=k?o9mh$A59wO)vVV;qqVN#oh$B8~^)W#*Qbi0$A~GM3njI#q^W>3| z!zmP7V&TIgnjmf(@b~Kjfd%1%F`PMD%bbhymarZN&K#A}fPP>XCL;{K3-jqC?SoTK za*4Yd>8WinjBjcOi`cAYkcz9vJX*psq5nR3h(7%XdX7r{FCe@b(K`Q9n8;1rLZ2S%@JYyyPBqlHtdM@q>9D@t541}=^i0&K%2B7O~Q z!!=47Dl%*THHTEvgs$#NQnXD}!~sjGo4L|zIH}~*Fqb~?@81-zBKm>MlXvmc{FHn$ zVjdxV*lV%0%lsa1Qa!?M!_ZMJ=xc$Ai{QgH_p2zcKWN+iVA{l075kZ%2UGr2ldgtU~#2 z$)$qtYrEn`n7Y6&s3ckg3Cw-AYQ6nu0v4||#DXeWR}ZT_)xJVexXI9n9y+bpK05TA znb8FHAo8b|%i^7|{p}vY-91~*R(VU$nFto#w0R!WUZ9pD-rxdNR{>OANzu0)>GCiJ z5)iB;^jl8FazZTzYbJQC4CXqiQ8S`-GO_nmTqy{hdpxvMOWZHN_IcXYb%m_R&D;Ez@F)A0UxVdDw0t1oZkXElSx4` zU})o22sHQq2t3cIhqRt4;nDxf9I9;K{8^hVVM5OYALvAqQ-C*(Y&+M_sT|wpQL>Mo z^-%T4zT?sRGxH)+Cchp{mvLJX(#`eGBKHOMvHifyXAqzM)BvNZN`5sgv~z9?)PTlY zXzRK>w38E27pdYUv{i_z?kEt}iK|MYBy0Om4=`d@7GnfP_D|pdWdOh*4q^;uHm-d~ z1Cd>V4SMow&+4406tH2-j|yJ$gIV_$=ar*@ZpL@oFD#6dOY!@EW@|F1_=}b6yg`8I z;2mm6*Y!0P!AB7^|L#tP*QbgRv&PN2$L@B9f8;fwZ9bx&a+Ac|>_lif`eY}RZ7yb1 zrPuHG)2K1+3Ydr)LfAGz1q#6P?VQ3BV6=x>w8QWdmFRp{qi(Ue?e+J-Wurv4 zkN{$}fgIiKxm_eYO>*7;JRn?8kJSl%DY;AxOz!gX) zg1#A5v9or~JEaFxPx3Wb(u+q!d#ia3qJA7X?M5b>+P9DjenZ6ry+;CGDfbA0L2tdu zC$XR=2jo6sW8EpE-7Uuh-FwdKI{1cT;b0tr5v7Y0*q@k5qp7>4f+EB zVmGk+GN=;(#EyjOEW(0+krwZg0=zKw@HBOLRizS!AnLRHCMU62PgVWz*`bYHW7@7W z@HYn2(I$`>wsW*Ij_V-Yzd>6eMCZ81|Cwn}PS4|s+CCfBKW8S=_R<9CIh3rHK=awM zUyHj#s1pm8$-~ss!aRYICzV2w&`b7PM%mykL zz)F9ZBfV<4R-gp1Ripw{Bfq{6-Buiy)R?FcI?cgb{ai(N{hXT$)I0nAwWO`K?)b}M zX6u?X>N+!9HMRWuGIUTF%*)z34ajMv=Uh!8@Y|(4gXCQXll@^Q7`j&&?6mQ#97@*k z)A4&HDV?9wSH-d~K6r`XSv|3CCEXa0UTe!r*@X`XUQ*Yc#N$jH_Uc|^I zzF5Bm0_KZMFp<^YPlv(&A8Bs^mQ}O$jjKpVBi-HIU6LXq-QC@t(k&oJmz03CbP6cl z-CdH>4d2|J^StLd?|0ts`^R;y`K`6)-ZA&g?Aa4*&Azn~)r#}F{z)Y@VLMnNV_ z&mh_P@{jlgBASjGn$MXjl^7&03>%T*~*42eDvi=9y^@YicwRlPwOn(tXPZ0nb4 zmtb|6DW_@|Vdac%l;M+V*3UWRN+YQ8+hTw^&=w7f-*uiw(ZaGk8kEP_gd{%5&AcKV zF37*`tobPku4sET@E4`O^|C$YBfPZH5fJif_GrF3ICMC@a$Yuf`(c;3#L%MYSqZEW zOn+5WYt1nT-k%)0%CINyT-&slBlv3kJ`J!#5W;F^cGvn1zSDP@is5}a)62{Jf=7}1 zq}h8#I-`fznPnn8T?|c3=QF+Teg(a=#Aia+NsjXxu+F1AZK(EENh?x^GlM@XW zzk-m*dnsU`5(C3)tulzWTY<^HSJz7Ww;T+B;zvJjEcNj6+M z!^;9h9WnA$gUmj3>z_lTalqn%fEaQCw2u&x93n>Zf*Uz?#%!16VJ5lEXjB@uAT#^U zYgCdABJS}3)Az|1-OGblf9S?r;66USG? z7G_o$ziXY}r-FphkeCVSP&y_;l1m0|SnmPNU9KP82mZtmeaZ*Wl`UuBReUT_^78Eg zwPWrS3gp1VP$_u`UyTzz{D4xs8R*esj<|xptf(VIba$I6@;SEDYo0r`tO1?aA(SA3 zA|-oY_YF`(8i))Fm3|vy4!Gc8@ET1Jluy;$ z>kx>!Kvdx_w|xHN?Jst+!v+;jqcw0Bb~=c-4iT{{>lUML0!lrFE~dQqOE--N4ZiBi zEfCc1Zc+>xsP#1pbOS{EqPZ`V(ykn-L(86ESxIjKqsZvG9_^uZ8`pT;)vWhRdePlz zY2G={wwd)PkWZ0&N%{pC0ZFQ@SC*DW{?^hFJ7_2^#q~X1n40?8D7{z@Q6pXAR;KuT zb@HxV;xjuHXzZTylh*jayK(Uw0i@%QdBbJBFPZ( z`YmNUh)ClHx9Z`wza;eeFJi#+v_?4Mzp=hxtPKXSwbxipil&iv;NRC?Vl{p6^(8-$ z){zn}7Z6mO9v%Lw95dl^b{a87?gEZI37OlysVywCi~KvoeQ~m&+8+h&VJqn5JEc-_ zJ`e@VYc0r5{t8)Zcpw=hnVp?(qe8_F6T45od0VvwvCGSLvfmzvlZZVa(WS8hgDk=? z=z#T>f(NkP!cBr0a>aS9X-_)4A6K9IYtJ~(+=wvQc-s1qU~>!B3B~rOWoi-kK+6r6 zqHMhUvONXMrg&6w?jJSDZ|y^pdk*RcyY3NaipVb@qUjOH?%WGV9kD&SrjlaTJP?P0 zxbY0!FR_&1Pa*QhwaiECn}YQ#_RX-*uz(}-fqs)={ZrSE2h-8>JNS0>*0aU5ji?#n zTsfi>;dsEggj_{mo>VXyu`h4?qbTlnC2u21ARBKn00FNtcVUfnM$>4w49=ynDR(=~ z^#e;rDa43uStgRAa)lH=OyD508wET+cuwKeLBF#b8T4-m4dp{}t=qGqm?MjNYRPai zrpJ{zw9uk5=Nn^izj`@+*LQY8dB=jYxUh$gC`sJ4(~0AQlZ#^H>=dH_UZ<`vJDp#; zNWB0ZKmHd^+%kWn8gfLy8@8ZuC?VjpwaY6^M3ea_$+S^(c03zNawjb-mV{Ed3&;{) zE}tBD7mG%7<&K(i^wkKkDItGRVBa(cU0Ei_!4F_&e^H`z+`{SoVSt)ajdmLUgL+1i znxwQebWWmgde|QCMN<)<#Q}JT1#kl(sy-e-b-XCv(%=|dV;S6- zv9bUV|=zN}bn$q$c)jbV+72;fia zh%c@~gB)bak;{S1ToE=VyV3*_k!c-n3k#{LiN60_zhxP&PgB-VOokI-Rn`g@vZfnt ztwx^KkV+0p!h~Hd0IUUMTW`^Q1jB-a154w6IEoZn*ru2$K9DCBw>{G2f7A#jBJG18 zr+3tuH^RrZ@3m=Q$5dI8y8Wv$2P7OwY@nTJ3Bnp;9O?ObH<21*BzllG;2odx23nIq zJ>*e+`}Sk%aBa?QBwKW^FO5NR{qvAe^$UDMsm zyTj}J(yPuFiNpG!=gCn+neh?OLYWaDa0?G$4?gA7nW!nH59$Ul1O0Ok1E}WR!6d^-9=1JB9ApqxsXl*aHSrl-t+vpu)TMdKA2hb47^O zW3;%deZ7t?kJlDIcCsHtb}&H(ap;4Q24fkR*Wkgg8;uuDSrB>$W8ye3fSZ6TnIh1c z2iNzz^}0*z7yeP1UGr+|G0|P(b^?ZIN;AErPjp{$?*d+T03IjQ>y4;w-I>d{wZtYjFv%grUP4jiv=xDQ5hLylrKi&Qr-1RJ*qJvos0 z&&=^$r5PV2Biq{7$kQ-L6}RG$`W`|hYp5gg2K@gcRSy(~k?$3w9#_S2>V~<`_PB~c za7rpnQ((RVig)#ZeT_f7)kpFJ^=REN+!;mjWw@tWy?ETNjz6|Uv0F3^M$rV-2HB zT(MSK2?h{N-ozC*DJ(|s4wZAu=Im}wnUWSCHdFY#thrjSy(g*RE0XTlvdW04Zmw}G zmhR_ogXIcOkn z{CM%=kZ??P!qh<`HGIw!xS1&61LyyJ>^|LjQe?@DWxOrFSIQUHrIoM$9TydE zk-;czRX5Jn&Hm?8HG)6j{8NO_J)0h8tQ|^+H5Qp@C&J(Pw|yY?a}ZHL0j2cOpSJgV zg0a2~8w(|F@<&A3*DxTOhj{~ld$5*0-tOPV)HqA zE@tsZc=l^eB-9)}11e$pF(meDK#XQ5uPBD`3kd%k#fcr4imYk*|EPNwZU_Bx89r~S z>rBY9>4y8urT;`5ZwpG^!{9F;l5;z`Bd8+3=`&wq$3BTTa&zDX`B`7dUKKb+s@N-K zbFvOHh+C0GYk!i7!)qx1M8@f;!XRO3tXj(27R?!M>f?)V_0k5J1qwIWA8|kg#*_q# zJ=PyrdP9COl|2?16%C01R|HpQX|l#S5g22&}- zIfoh{Fb9Df2;fnQbC&Vt11E%<-QP;dtthg~umwj@W&xEUwihe9fK^tg6q!*5;(-p}YFlq)G@Qw?56OGICyKX|%&xPr zTd6|*M3{Tq^SN(m)Cc4NIBki234M^UGStuNM&rRJ&QEW1nfv;3-l(cj%THKDX#^7y z3_HICLYYu6KnNF$BbL6d6@Gom*3-cTj19!uxv;G2j~{!hX1%S(;0nIZ}-GFLkP;4rhq z=2t)1-)NH-Gv*AgK9Nc)v}1b~-VOaS27!VITUvlAc7sk>rbwJYBdY;}EU^T|3?A)` zt1KE{0UV)H&&&Y98&DcVVisfXTuw=f5Q-C{xhn z0lRI`i|0$6>R{i(D_D$ryKyWN4!TL567niryi2$cw|H0K;*+8jmWYMEcSBQ%%E(AH zbxvwds;MbkLLREAIrQwAg#|AHT;7KP4G|I>J{};xg*AIh`0f1+4is=TN=g@$Zm1(0 zeD7c{Tf6ry;}r)~vh_5!Sa9J6;N3EShv`Znt2j!QKP%dZ(uG#+#chGl`^fHz3G57a zRLsucS!e5Wo{Fc5mUMCdI~|p4&X87K-LJt&@R4m;dXo^MIdTfcet>JhBbJszQjac= zObh}pZlB(wbX-}H_~5JZuE}AbLO9<4Mr5JI%xb*PidwZd;qq=AKat1o_N#mV%}kji zM~UOaCxutl1KR#=dW!kd{R5a+BATXU-(kntoS=nb6I1Aa^KJ-0%yx0$jWbze82z54 zm<{9(6R#AAOtuT;8mPVLEK3a0F+^(mf#({|x&JaUwT#XwNu5L`rRm4BqP_)6ENPW3 zrb>}wHn;FeOruxTjO|R7e?P&h;SIw(8Nsb4w{nBzxfJoypp*b-p4iOyA8W#_akf>06jrunO3 zsB&^xCOdX!JhQv#6IoGtifB?Xc9B_r<{sQ819a z6OwoR5uyJcej>2_3FZZC;8RPqr-(3xG;}zK@~j#xIQlT|Zg93dc32Cf^{dSq_Ms~w zxUH(sxd%k*$p(ES2x8t1HYK>ir6jx29-y*~ri6PWjlmXczi-F1q(FpGE39O}(f%yi zsc#>QhZ0!CdaKS)WzUkr5yS8*5mBhCBFIZ$mK8)3@ znR@~8L4EHq zVnVkjpj`T3a)xoi4e`B&7Am4D{m=GlMz{hSQ5GA70MX!&g!|4Y-!fd&q(5ZSCYM#s z7m^t__SrT?#v=6K8bjfd5a#(yvu-nGqx^kOgddI6HHX^RzF{0{cGM}Zp0O5RVE@HX zM{D_bNONplY+s4b@6H5;)lL?@K(qNui}nl~%f^i18Y--oo#_6l_&o0T!xMA9t?f}) zxVPPR0&}p%gH8J8e3tYqV2hQr@&*=l!bGeDq_>0HtprSd4{V428V4JQpehE;fr=}I+0ZrWk33+-bY+cKTStiy zJy_#{Zx4N0+=IdyI3%&~$i1TDTDp34jf~4#tEO>gt)liNz?IMz3rC{i0c;O!eyD{{ zruQ^<3ndDk`z$r;)^m5)8O49ba)B%u!io}9bI*uP9wZP#94`<;0|F}ud?1K{APa&@ zyugBaQfKJ5gAuU_Lh$O?*NihI=ys^pF=`XL>DcAN_=WJ5TruyZT3vxOrI6AZJ7rf$ z%5NytPdNCdT-M366$YlAAt^|IDO8SySmm4foy#T~P8^=pyoLX4C5glqz)0!2-}`Qs zYbfB)3r0#44^MJr8WK=x^>`Cd74jEehZQOgQHU$ks=XwedMm~EtQ4vyB2QYUVJZ)! zh+Z$wpP-b;zb7qsi5%6#>CF2%ncatu7?F*^(K|Hr{J>^T57eAb$R|_I5@D)*Jx!uZ z(OadY!>gp$s*8?i6yogBgT?qgp@>7+mt=60N&*MgmY(y zoN)4aSmmTYKMR1P2S&6a-<`*--eMf zI&zXlRQD9%&h8lVI1uK(M0H_HuV1oX^Xv@YL!vI@{-;73i4@AfMNl%} z=e{9W&>pNXQ0Feey|51;^s4Ve7NnT{9BR*h2AX7j*+ccch03W0BSy%EAC|2i-ceej z{B;4Yw$Ra9BjLYgzF~6U1aWJL9;tl}5Ox2CdFJ~_2O`qOfo3bWw~#*7z+39%q`AFS zJv3;Mkv8sWE62Z8vxTou<29)BUH&2!mQb&s$I^_ThW$fhlZ$((yla=j-bW*e-{1Ft zVzfhj6?+~Ct9l6!gHkethe)S94W1i1rspBe$_XzJJ&KYsaZVhMJ^ZEi3m*7kVpbAI zhZu7O*U=(Y(6pC#{!kd$ii6w|n0aDasv-jjY0L669rt~X4Hh`Kp~uSA{3yh4&Ufd7 zq}z1w^H6bLt7OrOODd{G)s=^#Zpg2|r3RGg)68Ii&03-b!DcNteP}&eW7{7@mOi$6 zJ$H{Z58FHb%Ju>c>8l|;fR6|O+EK~ybhB5X@wPLiQ z!2NKwSSF9XAa~&dNq@n#Ay{rFI_KvH_KaN?y1$%n^Sx8K9R=I1-Cev9#=U#s8=eoi zgeFF4WxVoeXGZYV`8^-N+&7QCc@TMWA>nbG)9jLg{4ZG zql(SJmBMYVtZPBwxFvMTACo!nor%?${_nKm#v!PeFumufx#Ot0%Z9Z{7o zn!ZaH(B-gMTwgujI-WJs8fmqU1$3udvOT4LO{h6s8n|(31N@&qE)N1JF(PlNPMunJ%GQiAFB;)WRz$C)I^cocbZ zBUj7JH!CE(a+@q2HFt+x5TDc@&lyN<1@mqSF|!0$K!e@A%JjGK;7V_5oYxp+nORUH zZp*iht3@pJ*7+I9pid)K>{jb={#QcJ0dgwO0ZuB9fpiecK==;A7}cmZitRN4FBSFp zwHr%@AMD>)z15IW&q~K&JxdG%5)n3@027D1w)yY+krju}?ShYi-NAq6>@H|P4{GlC z2@Iy3WN^H~sFk)5yNPcjtn^H2r4StRX=6KWP33)n^UW`Vt2}09SC<_TMv~ zs!UFID(Fr6siwiYQQ}~IXDxGIYrE4tcK%m)+uJ2)(P5kAw6cS1FAKXqB?_^${Kc`q ztQdvbxZh;J7I0wkqi9d979Jw=qsXc-xDu(S^6U%zPC&|RUregHRdm(s!+F8G|7O;Q zwu#x~GXD&{x8G34UPJZ;QzUJ>$tc4nnSbYyw#)VhfQ;BE1g=|_4HH`x>}vfc+@Ut# z65iNn&YRLEtqar*Dl!dv*rw%c7o08>r@nE`{8L%al>+v_1$<$JCTpVLf{&>{W%i==?dP@uQVW=KON63;l5Eq9anjlU1Gea8+n%J2QZA$qqC9&1?X2Kp|8`RFZV+|Yw3 zv~J+(xLwme1`AA{T4z7&B=o#b#{>EBj()FMSS2pB?N@NV$;7muNu_*;#A7{J#W(=y ztyGrc9gBbL+@5Gc69&`5e}HtmxTV^MP6kqeT7(!Mf{# zmPgPCY|%b}GzbFkw^frWM@$%;aS?R7Uj3Um@zagXXtXycKPl+c!UO9K z4UAEe2*Kh2rZ^|(Ub^cVzCR^9 zR(}rVv+t8-{N6bh<{AH#KLX1P>w3F!g;7^ZuYPxwUVQrpHKXpYmE_ zz^>uA*`)ftc!f#V^B(>FD9+gSMBGl2Kt^`1S1?+(UAf%rqs^R->!oq{cF_y&R(ZVK zZO2nR(RGrx&75qI&Ij8wdv69Kr*0;b%%v3Zrt)jT;@32Yf|=j=yJta0+F=J~0M8A;GhHtX5E{A8(%$Q~}k$()=b4h$V8Wpflw zrYXhqi$A=HlTlerB>Pm%$0$Lk;-Uf0oiu=K(L+BB_WBv_j{#_==0bAX*!y}Sm6uM) zxDw`P#6&75g=*eZ$?ICO8q>Fxm_?jc0j1KVyePKsa;DO`Y2_fuCYSTTZ|9m0wx1oh zBn4ZpDAOxOPA6yr3m~cqaG${TpmzNZeegNSWELD*97HNa7<9=`__DC+?atqE|1;af z2Z!l?W8>K;Cb&RBzJ(3F5A1wsH}HdOW2L<3Vay4(6r{9z4-NBX3Kan{(U`}8C7lIF z4hNAMF$5i^^F^RoX}djS_q{}p)gb-fX6SNoFn(oIU}&=HV>sR>7jnPkG{{+!o(u~2 zB-cQfJU~CU^e~t_=_uX|R_RChnux8+$XueSt6tNrZ=*sXN3;oTUj%7iEk|OhzF7!e-`G^3R&0((ES3In)H-I{okLzR1k#D_La+W{EvCy>>gi z8lvPFSE<&Iu*6YX6=(q$xeclfx(%`o24nOpc#R+o(wyN!83c46Ngd5(cNgV?;BYl5 zn?K?gAnx^Aea&pH-TepijMiN0IrDrn!oOb|{t{}n!`+~nZ3!m}R}V)IcNynf0>Yc{ zBa0hJi60D_-Kw(xfL(rzcjjXn_Byb&AMu#5Wjm;vL?^aPnmccQ8aq!d zTbJ*-%)3)V3VF{|Y_j)?F{fi8G&~U`LA`o8)vuE8DB-yvBBFTm-DZd2q2tW@PF$-L zHml&6+YZ^5)Y>R=s~|Qj?G*Pm8P(65DQ$3fSMlYf$Yj2pq*{MPsSUvfR*7d(tYh5w z{&Z^062?rh(v^`*q2pqgF*C zMcke)STyI7<(JP)Tnz1^EZGfQ@%E!1ayShw!`n=wlQq|vHORLT_0!L}OVHw(!6&1_ zG-S>wnOmPw>YX?u%b!p(ql%J`G%CoE4)6(9Fu}Ca+@qRi7_KxqKnR#^p?*uixw_+c z22uqhBpmUC^|i;g)Y5gFo9{*jv4^lX1?|O<&dL9Qd?zSrS3D1%(?DyNcRex5FieWl zL^YVAb?v2*L<6@i(yY6vYmZ)hg!Rq_O`mNs1c_>V5!c3(VHL(jY{=%8exU(`=Ysf5p+-lN86-O!4J zy{`0yK{ZT#NuEypBq%xm2b|j~gtouLlN3nZ%utDWJW+)ONA>ina}=f8LTve92Zo~r zb>of2M$uyNC1ED2)xQ(bJ;Q$tNBOZ4{{TfChSHkmJr981@BQxKDh`G8_a65?JWC@^4-+ zxR%lzV2G;hoU0}9DIg4x;$xRXVHAL65Hg^UT`ay*PGE7F?f8_vWCG!2Lldnywz0CrxhlH|>C>dhy(;lD+?DA-)7 zZ}^#%of7X6tyIk_*D`q}>cxVG|7FJ@eW8!1;gf?S{jwfn!a|=L0~BT5iQtF`it&%Q zr7M41)|Ys~BaD)o>#&VKrETv->NdY8B|_VQzT!%ebleS4;%X!Qu zTnlWX-MT5?BQ0=mwLgo=Dk76b>+pMyz$%gD4jaYtCVbtj8Br2c(i8h;Oqh)DoXWPE zCkX&yMPv9!V6BF8D!n-$XO`RFLESLFAOp`1bcf#*D(fDG6)A$699CHbBdpO+1&=^K zC%a?wH#lh>Z4Gt5?G735(!3BJx0e2|^7_fJ05MKFJ)lfqlO3hc}-Kh%jIqUC3CvDf~tywjSQ!l98YvF_i6Yx*djDcJ*K{$5TP}=*}D%2>J2#d^_M=? z^JrQx=T65Uc9u_%y{l_pJ@cEVCt5>!d~w6{n+LWQlRb*W=%X1p~iXbo%sQrDZLi&`~%~)cLZ_* zS@^pVe@BYX+S_e+Oxa<_Z8x0NZA+`zGOd@yORGr**J~7@I=sejWeZQPcBo*wp7wgr zKkyLM(D68{W}HMQbnjsoTq(%(MVPsC~(>PCV&ST+-;{ zf8$|Bd&IDrk>C6+!7cIR!%`c8c=RTTB?GL25pNh?zvzTIa!!{cDraI~Vdekvr_fCj zz7p8n9#58t|6&gnL|2`jD=2&Zi~XXv|AG94lf)Wkvibps@?(O1&_=#%Ah>ek{k`qD zGQ9cy-+u7m{T;gboj4K7Prd7X-SFZAAKepBFXG1^&!sGS)IhD#xSD=b7e4m<+;2C3 z{?Y3L+w~q|bm&Vx#>B^)>%C>-M@C$GN$*wb+m6|b;BN>0?QTxBJ}mT2_O(8%yg!4t zF|ae0v^DzZ&qckiBWTV={YnvN&fD9Dn9v4Vwbf+?(C$Q93_Hc=dMT76ODSRCusCO~yym(yAU?PC)`Po&l* zq@l!jiw3B52$8jJ{vb?$JC@iw%~5;*aM$r|zrPR)*DHJ}A0q1@t`9c?GjKKp=N=Vk zbD&8o84ipdfi$hrKmLa8U9>P*zKq`+5sV`Iw*;af8rs*Z03OGw3g&QjDI{Ws2yDG+ z(x}l1Rh5-~pXfl|#*}g|HsLFxh3<>#$Q1r4)yu^v1EWt`iQn_$QDL3d@B$OF-yvS7 zK3xfn>Vk2so(y)MnVW-`@Z3k{-32c1MF$l0TE)V6T``u)gVMXvmoGc-vhM{lucnB% z^1OwEU|DD9V^&0E(fUvTF0FovBB46^%`S-=uXg)_9CTpP*Kdx={=sMe!HEbM6t5_< zJE)&x3cc9zf87U>gkSVLTR$I;*}jaKF4rR--|MF4Y^K)i^vdCEcDuR1j_HQI#t+!C zgOh;@qKBeEVl9Ku=J-)h_I?a?9hU5AKIvAFSk$9qN}-_ddK8Ps916UcY~qDc2kJNB zb%E(&II)2TZI%KrDdP{UqfKN^QQ=FtDMqrFhdt>f%2(N0YZk}`RUaw8NA=1C$Mn*9 z%c`y#RlyU-t6U{Bpu$?-!h+cWKm2CjBBiThb2@~;?7JN)ws%jAK|9F zRfvC7JfOh6q>++q+uXz^{QJsr!-Noqo3z-+}vZ9Zb{z7V<TEq3C^`=jgtqq`m7v+2Ny7>E5V zSWMz|*oVRU)9f`Pg4=D+5n&WMaZo1(ceB#12$aJ>#qMwD@{F}Afo;v9_&FPIz_|JrC%5l1ncmYba z5GJT42A)JXOw5b6&+zOXWb0dlPS6xYgJgl1efyO^t!zpiYXM7*eY^W=z;OrkdN4v4 z0(KNO6HXeB`6OF`Fu%4dEH~xCbD8=V3HInTt5otGZ?l8uWnE&r74X37#biot%C0QX z3v3Gb#<`R-EYgaGst1+L=1hy(ZJ1K>%$ZVHW{usv-*p(rFCGiNh=1$aeMuq9h08y9 z=}geVsWjHj<7rh;7_&I4^`ed`mBqr&?M$^JuLYvVU${!yQOeHYhxQI82zU}uaVwqd zI3zE~_U3jQII>eBeCXUTmBDJRfM&LCkJOTHowI}KyZlJEFeUOD)7Bz855A6Fp5>G+ zvBwO)Y8Evb!&s~9<+ZG6tqDWi@IRII0V?P-sLl}-#Q18mWI8ZXU733*5vf@J5bcBFB&SkH@X9ND%6c>b z^k&rNm(6Gtyo<=Mx<7QL5&Kk^XEWyz>a z+;v{EHhfc~M2qJ?oiR*a!0pC5^~u{95~z)09D!ukU+Be$jGanb<3_;5p=5c)qitR= zvE)!cBHPfi;n36>{E{kWo{%Yl#be>n{&P_8x@#x`r7Te$zc!7*Nxg&mlx%OndPPaf zN9b+?4RG0;xSp|<#Wmv&ShsjK*U0!6@oZg1F6@*hS3;=Sc~K6Qi?XkA*C!fNKJv#n zahk~-?$Z~A^=9}GVuI9`=a5k$+~Cm}R%MrGLqrQR-jtKs!dE><-b}@)C;VCAbU}AR z+n?b-pR*!B!#{L8jnG6sg?^08!IA&nMiUMZ1Jto*_6*=j@h>ftUsWVdcVGn6G`}oB zHI7h6NH~JNCLh@f^+;ENJMl_@KokUmRN$6&U6_McN)^tZ!7t%)GG_zv7$TlCW$Tv~ zx;}-r@+f^nDvcGdpFJ{{{0u61s_QupO*Ph+Hs8FkSHBtkT=Yn<65Hy_QN8>1=GEX@ zH<=I2c-J$0vi1GljfiQkRGZ6DFiJ4(_Mo7xem32Rv}@{GsKIH5@$t)#bYRw?QhH=( z2h;RGK{JZ;6498GMjUJCm;a=Ho^{TyhY>LBSt?6r;xsV zok5SlImw?vi@=#{*6(W4@3Qi$j|~WAOg?7%cS^;GJ;n=(nr1O>4(X*z@5G}@vq!eV z@eE0-#&|XSJ0S5@6)e^h!whViStx`jl|2Xv`|bHsop}ajcz9GuPLm@n9)hY!rI93* zDjb^+*xeQoRC5Xvc ze+u0qQ3nOzf=vBEw?`4KWvE{r-az1lEb$diOmk2^Y8N@Pz6o!xFEq%eNqR`O>pX>S zXcnXS!7mE6gpUyb)6BfVC872N9xv>}6AX@uY#6MwX`E*NfVQp?B#gUBSli&0av^K_ z=YHp+TBPdzq1M6rjKmd~wLLY$b_4IKDWj-~n7!AIJN~dr;)uwrfqJCZFX~8XSGgz- zj{BtgO1<+I{*9$){fZwU@F^xi3$V$U1o8pJwYYWP92Ucmjs_}>CB?xio1xug zRR0ibDvGgGCp(Hy#w|g^4qMzYK8r1s;j{Yxh;d&ON4HoSmCwz5<@*02_6-Y3$?8>A z72kqiO#-tbWM-IHi1h{@e zJZul%_RhE0D?1mb?V|@~T#^Q#;Sp4Lpy&wRBfzc%X7L>_!->dp{X^||T5tb^iQxUc z)Sro-_xIR;xXK6L58pfCoz56h7zXC&aZ>8)SlR6CjEq0D?imDK`)l8QgAy`j@7}&a z?IYMcF^J=>9hVZ>D)b|UO34-Sd1Cb8W&!!EfpF*4J3EWL-zs0?V?R%?NqXxt&#z^k z*=e3%?J`a?a#=NO-50mbpTHo}tZbn2Ug$Nn@5-a9B8Zgk1H(%rS}?MVDHu>F3p{pS zWiiwINpo0~N8lnTF1WHto;g~-J;@^)DfUbAi_1vB~@0)6Kn?QzEa)ey3mu%kMG_K`sS zl81fE>5Aue`}t7dUIy$mKT4S!^78*cJ#NT<=tHwv?NCbT`l)1xIbr#GQmVc5S-w9} zPNsYAgOE9xDPEfT3=exjaBWkq%-KY<+RYT$>C?Dy2m6-a)(0KmY7o?(Gi#%r@j)9* ztaRZms0ZR(*&89*mb6E6)>p!CPpzbIx|H0uXj9NMv#q)ej_n>H~5YwI^W!gu@b`3+|{}D{M)`9F`qNO{Vs8+lA$;RV<6g?Ii zPU@XD9k%<{hdy;@(8us&*A4}jIvMO~&+z@vqSQ1(Oc^%v9yXCPvjCU2J4M6q-+rsc zs~tr@H+x7&e3Tm~zKhE3JY9NA0a!=4I1 zUfthHWu#MRQnQ6my?&SD5|Ch?Axo)Ats6cSE}Nrb6ev_vPNF@Tc}#1uHZGji+Ungq z>?9#GpAIO3NoUXHB+)!$hmy|I?e7#(RnzN`qhTU<^nCP9`E%yy!_VWDzXXW~aWm5ftalJk&UVUvZ1z`a<1FHG=CYpBG~(6OY5(&!p%(DX>WbUU7H?kq zIgHW>ED2t5?|?37fk8iQq1R%F`5`zmNURBXhJ`eJEqlLW>bAcyKMtKm|2n-r?Y_)2 zxe3@6FCte>$`shXYeLp1po{Aipoh$To2 z^>NVgy`f0e`Kx?0#M(O-BWZMl281m|V+2#9K-B!9uVFzX z$E(hj9$?Z*tIp-UGTA_Cn{#pcG<=Q5qI>_@;4Wh7BreBSc5_v;k&>Zo257!~LNi1R zlW&0^{d25cpR>y+3?jR!dUk!uIJPkJp2N*@-TLyGYPhhM-O^F)t!-g1lF7V$?Y}`m z{lh%{50}7~)A;FYjqL=}(fRd6<`H}T1^;4fv83oWhgcbFElzbi&TOV%;#v7KqGm0K zVjTDsEF%1ig2 za&^xI(>AL$NTBp_c_m?kyBH@dD>ct1O;v+J1VAPUH5~ zI(3<)|3EW&P3eo0_pWoqy9`6OjP1(kq0T%fjHfZ0A7_LB@HGVkDNK(>c2DhCqXCm9N-)Z6OubXAp9m;o7uS|CJJZB`d6 zCNO?c`IKYL>4I{7ZXYy9JAdJ)W%R-iwF_?=$QS9!#$~ z4@~(=w=|Wf5?8-VZ!PMiUBuC=YVomjj6y5_buEbcL^VqXj?yl|FzI*52gw5mDsbVeUcSES zql^sU?xJ5$xa0-}23NN$FqBP^gp|2;vp{#sW5kBdlCbqGWK8?Jd@2G?>dPS!^Jalq z)d;ybF{%8W0A6S1h(g_TyKDj<`xBHLr+L_Ap_XPpZ-EwH@9X2c`(NYJ+gKfA zp|{LVch}u@)0Z({VtnGW+Pu9V=EpCOhV_<-V;TwkoP{3D`5(*$AIueZ??&?`u5-tA zXPB8EOJoj?=YHSrjn99316A=Q!D~jTPjH`hljtJB5#<)AA~gPbxy{!XVcOmMq@O&q z8bx<`IlhR<_3`1ZTa4*VMhjZxa&syFNR+K0@K# zCaSolxqQDU)uJ>fwW!_#_3rH0e!h$7?AIakEsl)eqh+Ss?_SrdUeBvu$E(-8cNAt& zn)R&GN+B{%K|jv3{|y_qXGCPKx~}@JI_jxy*$>0#qrBF*)-&q|A*yN8-uid(TOO8C z+xBo*1i5N0`<%r9^aHGP^Qx5qa=rZCvQGtZ%;rSbbZ;S=8U0%!EsK*`nfPJVRPS0y zjrN5U>*ZHag&Oogk!9{bAB@kCIkxbqQlb@NoJOUWc)PVwt~g;lbPuU)hiafOo!TH} ze+zk*7VO#`W%5suF!1Ry59F;&s=K=+9foG?Q`x!FCBr4KDG)o-SywDFzt75ra0qiG zY=wr5-Hphn663vWe|zoGs8m!q`wyZOX0+QQdWq<^wln?Z^IvpwwSWD0FN5Wcndw5vK- z$T%g2$5oG|n;24*a+syyyrv&ikbR>W;@C6xG4b=p+mO7rhF})9&5x@2I4O=ub&_ZV zL{3O`ioZ_f9NY&u7i3s>xA1I1MwYEk$;HjTkB^aY!nZ$Bu&{-a6QUc0Vo-C%KSU40 zy?oITbxJe%X)(kEG2ls~yb1S;McF=+{4A%iVE3EZX<@ykhvUXO%kce&ImMY@H;HBC zzivL3jbF}(3F?&p@_ao_sUtjxeI8WbI-FE@eZr@wyVZZ{-7uK6=goUPGQO>LY4oPy zlKazjRocpQj2&^zUQAuma-)^ER~6>{`1t&I60@C=4Db3OEBhf4`#KRm)q+u{xR-UZ zc?=Tz!M$Tni=JHdWqOcLm?1#_0DemRBG%mDpWI%j!S%GrxH4}O#xG(lVbV2HeavR$g>eG{@`L3c{ zCa{gEd~5k#*5i_oN7rJK*#cnWJkw`_G58sV%jw;@a$g*B$1S3MIpf9fwY)0V1rM4| zaWDK1bFMZU?w8B0@Eqa~j=kbd%IF7p$>!5j;!UR1bEPZ_2{s9DE+)G0vT4$y`9&0J zS>4iU`nZM(n+6+NcHG1w1TzrCGjfE7gTKgCnmCDmRXW>lke~XMfa1!x`5`06+R30C zw7^U_koSy9GI-B4G>_BvaV15ACb@(F)4x1MQZK8m{8nJs!D@hYy}a9}Rlc%+V81Ih zg*M%hC-CDz4b`TwTk@m8fJ8<2-D}x^@rlZIi;uG_9E3LZsr6i8GMQ>g{1UKyJI*^I zP9B9y&e;uPbF_RTP@8vqr{mjgf>yO#>fboKea`l;#*gfdjJQ~gTINsbTIN}oZ5ER% zBG&)32g9+Z3ue;nTi(-T#0H6DhIA_(iUFep-x7>In|Co#^3Q3n9AXfpvKt@_UOCqcTbrbBW!&$ef$bc^>?Ee(J)iz zBN~hclucg5WnTn!AH5$gPS)@H`(xrQ4%WQ{IThV6XTl$MS`4~cxCiPlLvSxc-0rUD zlN90?#);n^K5ctwVVrQg_;q>d_wd`r`~fuR*@{9!?)S&tt+eHj!`<@asK#z z*>@)T6x$b_{!{s|UX{@&dj^LeeS56=9Y00svB!DUV38P*@ze=8DtOFAVL6L)0s|At zVQq-R0&7u}`?j)+wBC1Ey~7GtTk4EDUe?tk3aJ@TR7VNqpXyxsaL!V1B#3zUm#T2< ziL+2iGz9zYOcbZbAUu#Iwo?(tfFzU>p+f}u4SJA20rW=2z6kgY)7xMK>+2FiV6FP5 zE>5+HGNCJ?v*jnm|Hao^K-JMKi^5+*fP~=gB)Gd1B*8rdcXxLS5Ik7$jk~);@ZhrX zjeCOY4lWz{hvd9_&%Nh=Z>^f@t{&@|?yjn-s_8b&RxbPY5{|F@7di4~wM;Q^1xzI1 z3R}Fu6~Jl06+jWM%FKm?=Y_L6{o4HA`8=NPt@+(qrFhCg(_xRkF6Y;0!X2OAv7eIJ zmgVKFtqCXkX`$b29Npg>ob7bD*7#iCZX9iF!IH+w^kLkb_qz!lr{`H6r!IGitYmV;wKKA;^JvBMfuidTjALOTp(NqVhZ`GFgo#W##q;To z#=`tFFRS*8AblcEKidhqTfa6zAK%7_(Ti|TlnUka{xNIkj9*t{*1j3r-5)md2ynz# zwur)KGNpZNHKhy{cZtgTLOsGs=7^*n>{mr1a#!2@6)IBoq91f27jc!cEke5`EEG{G zH(mGKIBR@j96njMiuL2Q)QjdOb!TrfGHR9Vda#u#P)PQKP zZ3$huh4W1ssgt6&rmHWkRdA-)ev`-q8diu)SBb-t{>3T_fKzGQ$MJ1c;nKGp$F2bc zSNvU(-Wdk{?Fy_LdMGI;33_Pn3g+PO`WXwT1OT65_a|JClZvR>$fs{yV+6oZigNB3 z>{s|cun~4SFF2_;6;blp^LFFnC{+FxW<}b#zuOwov~@R9t1#5?YDaJyI{iWR2PvH! zD~?ur!H0-C6$?iY64ThHoIXwZdS2OJTyr1VMIJ(ptGO{NX?Vx0I0AQ;6vxJGvQfwv zGa|&%O9w9+4~pb{vuDb|%m!7pP{XPLsNp4R=t58K#fv&ITZ*L@!t!)#>iaI-hDA`8 zM<~7$O={M~`GBx*+s>uH$dP;U5|nj`^VYV}@}q5HqNR5Nz|t|XW@ruT&5^KEu)je%G>pniK`kVu~N|;vBTax*~`F0jshJeuV~Ae19K!d1&8D9rdkGjM?ys zAzXLgJWWZ-xOx`p(D)3GA3k5*A06Lnq zrT={6NcPwk<)=3w%(9WSb24sw=X_-fzBVU#9HG#XZ8p1pIJu-ln?^koIbsD8MLL{E z3VLyli007h_}dfRN%=|DN%2WdCHB!*MW%_=IE0Conm_U@zDR37yAW{I!e!l?F-!&V z$wJu8Ew#4gW;;dScTd%iX#OdywcxByfJ^t#nsp%bBvPQT@3~!J5=|Q+xR18v{tDq_ zd7Zp%`V7*>Xpou&FLze^#ZnKKwKcit&}h;tK$AQ*Y2j@YJBz^2w!@&aTA8yt3t)Fs z7I2F43*nz_<)3VEOdg5`A)o52IK^Qy%6}8Ce0+Hd%>w#h^B5^{q|~Gg2R${*#}KU$ zsD1XROz47^NDaZr>~kw^U?v>xGL_C8gzc~EssSDq%oI_moD|j}ldU9YE1nXw4yk3F z>D%-uMa?ojmX%XaWH|<;MnZ0Y(KIub3(^<|qBRsK~MWj!Ghei~=!cLKHbwR3ZY|wZX3% zQF42_J^RBtUwTMJsYcR!e0qHFUwsZgg2@@Z@LuWHySYj}IvJghMiCq$e<)6K{r5`TnpLmV2RUHbo@Qj61wYcCgULB%f?%n27GBf6F19 z>jL6_Em}S8ewXbV+xGi#9f?IR7)k5zw`Xb)Hx%|8A)F!KXkelGA>YtH*zXT^XU=2( zWb20vBDi2{$nh!K)mLK_Qe2#{RYJM!m@_yUKTW)!6hP<@;I2K!zdoKHY%y*hM>EUO z)=-pnD=(rFn1#fV!0|B}yatd4fF!)Q=3i~{`V-T{Y`kzvpSHGTv65IUv8Az+_^rDL zXF~)~a5h+faH|JU$0f$h*v8eyaP#~Mve!g#mIq8x!&2JzuT+42vKj1Cv)Y|cbUQtT zZTm$@(BYg2$CSi8OQczTRJA_Ss1%W5XHaTv>4dK?7lmrhy^;0PbK}fM)?XN}?TG-_ z2Y$Y3T)pf!%dcpb-(%i&Hbeta`%o$ zsKLPhAVj{Mpmu%pC*B|l0G_yC;DrE!Q_S(epEUC6oK1Wv`XT-x+v?kSwwRt@?q(oF zq`)05YFgw>>*j1$k4n?PZV^8a8WP;S<|z%JUYsien#il{CoM$;&@uY#0VMA5lzT$r zj!!v9!=`j)!VYLrJj$4TpSxBJvy^)No7>MX#X8R-&jS)Ve}-Uhk!*#9<+hz+5M<=G zImZ?Nda)3B-oImr5GB_wJ4@1wfY#gJ+~~Yej}wQ1Y>Fs6Gz|J7;S*&JG#y*JHP_S@}g^a zyT{Ae=}?dFvgHty$f&>b;2Zs;`-;-mW2Hdp-I}Xp;$!P^@u}ZjhRy@DB_8~!>+W>Z zspx9Gc)A08;P}1sThxCzJ96!~)6*wD1veF4?H!Zp3;EJLz-tS!Gm$_Dbc{ zA!p@Fhn04anQ7dJ($=yPCfp2nb!BbFVQ6JxpeJ zMcnHC0-9Gaurz`q&t(!s-SgL{MB}6$PB$(&WA*=^WFtDO@)I6=QAVZx-fe0n%ie8z zWs^&L;Q^Iy5wne5TPL?bv-Id%-Kh)9{ z=y4ZJg9{V977{+Oqrr`=UsJ}#CA6__^C5h|5}; zId=!$9#&rZ?SzeguWd7Tzwl}CS}h@u%Y4Y$dDmKY4=1>>r%uY|rVcanPM_C3>g>zy zahQa$$c_{q25;;@$H}%XHZCr}XLChejsrQ!!S@P$ksgqO>X+2BdXK%ta|*TWt_6TaBC3X0{QC@w?;*nXNI!qjYZ6a^r2 z2B;JK0f8Y3e!3f<*&EyYc?*u1Mqisn0x4}_?tf684i5tk&oUL`B|*VCf+L_`L}XW~ zM>8q5AhW5cwkP}Sd+Y0Uztm})9UE2qchHFqtx+?*zK+Bcaff-3tL1j`6H-Wq9hKh@o zQQzt+JB!oNPnl};ku|=mRxaL(iKCp}*rXcs!j+<>f{Y7cl5z&?Y*KWDeM94HQXPbS zJGPH#DsUGL+#k`r~|^xBN^*a>xt{Z>nYjDWh`g0<&J~vvS=3@ z+yssKE)IT^*z4k0!FaMX60|;ka{GE6e)AlX0(aLuRj;=@6Ho5Nos;oKUGz8s-)cEs zcc*ILonX|xX$niy%_k=i-Y8g))7yKJ7%I-ug*S?)&sp|)a{0{EqmN#PQH z#;zE2w|*q77q5I}xkS4#fAVcj?|iAghMR1O?}MNn$%BhE1accFv@uY;Jd$t z1#_!$`#;rxHfKE&o3XOMQBT)uA!O*%GUA~i;|uTEwopOq)gGahrJgh2jzsDFJC z$KgMKnFkt`+6@cEeO7 zI9)B|cH9IzFOVPq)v5^9cx>r9W!#iEe{0YG+7qHF)yAKslo{NM3W)?^%XuBY3m5`C z3AdJn!Rfw4Y!wfCT)O(TH}{C#)1l8J(BN^&klG5(FTQ=MYI4bdjvFPpWOxBqytdJ# zIIIjtn#DE86qfO`EpGj>g)mp5=pC50mjh{2OyXgv#%;E0p8pu#fSOshhkd5<_R%z! z{8^<8q^)d<6I#kS+DiW~66nC=bb;G^rUkTV&F_FdtAkBD49r>xnA^8oL}2I*MV1N# ztfO>rg?y$zIE#?y@hV9TVG-k#dL8P5r-+SHLuBW_EFZG*6weUbJyL~t4{UA%^R}7W zkCTLV6T9!aj&=hnhUO@SDxjmO!8P>llWn0sM;=%0%5IBxv(Lc#ic)Z(I!*%uSvX)m zcmo2NKgo=HJBJLMnhzCup3oF@anZ#nrH6vw3t?u(3(b3NRTK-y0;-O6Vc^1@NydLHxRcdzZt%Nyn*Am=)7OXaQ$RKEl z_a|Ev;l9qqr}w;h*zh&*^!L&;VVP90(v;4(!q?)LTGt5Qc{I-L$p&-% zVCxnwAHSKA2D@Lkli1#S2a>!w3KZotNs@-G=akVJ=cAQBPriC+$o_JN@#U04f>YM8 zQV5oXNH)J6*{tL$8O#%&=uv!`r>Vu4S|I2}A=qB}g6MN~Yv}o^5V~vwT5sbK+)2o# zzs(CkwoL!5d(6#@I^Xy>B1p_PhWd@z91$(eeN?N=Tk5^_OrHyyg7dK7Y?9IA-Ni*y zQ4)*3t@5{^FGXmw^T}6hFXh@EIh}spC;7PF)sfgOcl$<+w%WxmhooZC@jF|EJ6eUG z9DTWZrJ^f+VvH?mX_xEM!abSUs8FvsM?I`~AUZDwbFuwXD5Sfjy!7%Pz+6)SFQUY) zz4IcxcEO1r7?~g&z^mT)^2xz&Y6^G_DxBcN7i`!By{@PA0t9d7MeojpxE6Z!v=&6C z!L$`}*ca?F3|QjrkyFEBN-TNx>`L{X@6)2EhBKLImjY@>i!xjQ*TH|_AxoOQud`ta zw!1D?J!^VN=gNo~3ZZ+72}`VeS!=Dra)5v2Yg4F?&2rD4G-^@LIZdf;1}X&Va+??* zcFE>Fu~LQ=}n%!sd4P~w)|zI0NXUp+z;?Fot4)@D7EhnO)raOl)?1! zOl6!63y!_%VaqLI24Ut3dQ?Pzt5*5HEeQ(<*{69Sxx)+0r&^^oY&2@iMucGjS zL7ZvpMcb#l)$}jw;iNHGzhx%joSy5^d5JvjTX@P2gMd<{M!sic%#M;aq>>)PA&8ky zJN`v--s^NMWFEu60P?|KllWjkS<#XXeg&MX{pM|X?s#V-mvOuI16374(sZKo)Ca#@ zdV8wO$sUqU4L>Yy@ql4mgL5Au)CpLF80OPxk*vZeeLs&iiS;F57uBNEUz z5kPLD|Bz@bqI7v0Sh_#KQGN*3GJm4Ofl7CU@~b9=|0YmGm=UIwwVq8_ndMlSjntSN zhFF=25VYo`5`64G)*4merLts3XC&JWDzBw;EVGgMO`m5@oR7G_a;CUErXd(Z!ARNv zjj#K|)CyAt1~=;(fU0{le=LV`bt7yGl>?O3M2*!1n`{XNcVz!3P{-r(#?Af44R`?X zNcsTr4;S}++*u@_Yl(e7p$hMbdfjhAI`y+w*Opuc){~O_IzD^)!tO6(lZE{5t}Bkz zmweRFh;)n4NLQ{0pk!6{9eRIC{|u`#Uq8Q0brQOxj4ES5X?zATAYuiQA~T+3t8(konz1n`-?}ZSqB?C&rIry_J)IT~Q+Rl8 zNe|I!s;EpMz5I|$fwIFcb|+7g27`Q(Z`hjSsnKeRaZMzx|9>4^2t>!k7A=ZkC{jbL@<~iH2Pz$gdS>tljuE zJ0K6K!yTp|_(RbTTegR5TPPRtEN>}4|Ni~l8^iYaH|y)Kv@vGSo)A_#40TobD!_?v(uc3~zTYEZeqX zg?H^eH_>jjNpAvs%xyZ$d!R>w4mm}D;caJ!WzFw1&*i}!S=Hs`#_q?Bv4>-W9&Y|7 z;YZVyN0Y0Rum^ljKPSkKtGGVtKAd<*_{4yL&@$oW= zy%0^$_pW1HBVF5XO}u#S%DrhlsmZ7NEPMR1)=zHS`uF|P!JNw#`0Bg&+}A62zj=y_ z+XT{Gj9yaS!~5*kwu<12WVMSFop!cQHO*ZQ#gaC@yfVm3@`23hk5HboYK-06(8Bo# z_LR@;quqsyg@@L+CaFjASvH|ra-Iw4LkVE{taF~E&3H>{ejg{|=)+a<|-Sx0Ga5u>3W9&RqK&cy{ICPvn@IcKmCGE32bKd1Z7 zYPPLL)BD?@2SZu7Aa7Z-Wvsh!ATIOa0Bk>L3sYmi zF0Y#JHb-o^w(M=YKMaAf95@iQ{G4R*b(6f=BLM?nPkvqlmk@z5;r5MFh(INf0F-Yj zWUGi@_iw_rMkXrOYMd&FU!GX)69l`xVM-t0SQe^|jAopJPpVh1b@!C(Y!?!TGiJd( z)BrYo_(dEIdY!7@LJ@T(l6-l?&OOR51Rmkya0l+zQ5FX6OV}w4<0xk<&8N!_rSEvs z>BmuufP+f@lI4Wc*Y5zn$S{tB2XZ1+M=+z?VY4;>u~)@%mDi~-`ti?rGJ}LO_TQ-& z#-J_gb)}d^-0FSf!E<|Fe$B2|p!{sCr1A!Fgp0-Nh}od>WZl|5(W)%p;CT8&{HnDI z)dbh$7y9?i+}!g$DxtM@+mYRhXvzZAiXe9Lz*7_58fR+7SsVd#!IQvmIu<>L$Q4uQn4pu_&nwweOw9xh*OO5i*R-iU=ijkn_ojjuNyCf0ikS zF;~;jXJ0yoM_1EW^$98g^=1{?|7Bc6|EzDIg~sX@HjkBDft~;zWqO&aeK4JlXK`7b zz0eb2hW0vDknxG2)m~kE3bJc*Lukj6(-we}F`UoF4nfHT%;n1NYF61i}sL*wji;YJk|9|K3mc^M{Zzy;Yg6O6%+?fF1SX!`n)9}v!X^R-^BzM!(_VI z@C9Kyzj2`Q!~8mDDJSn%K8ga9twScYTlldc%|wPmS1ZIMSSB8aUS6%3Q!{4@Ab>E} zfF~_d@iU-BG6p;cR7sQKC$+g2pq>0T#U|@Eba*UzXXFVLT;oHOSMq%l)o1+r0_t1^YaXh_oR#jKz?s_kXZjqJ{RJb6bv^@p}R<4Xl;+t^zFX?&?5Rz-L~62P&Kr?}Aw+t4p^07*uhiC086QS4L}nFGx-XZ$D1(*_ zQkN0Z*`Wx|)%MEd7eZ$=+4E~o@~xi^?VAgA=`NdeAeGp#G~{t0Y`y44G%(lpFF?y* zh%X_WO%PVl@JgRACF3Z#j|rnqtxxXhcU}l5zZFu{o~?5Wu;|v=uEf@*NNQ%-f{M=# znjXurVehcJ7wld(kLUtp4ZQsHi2sjl()!b*W9k4lrYJvH?#I~CXNmGDCZ@{$nhOaj zYTOr&=FMWO2K!{slpkO6?ok*pd%N@R^^|PhW}LP!1|{3ks=PYIF6^Sq6kLCwB2&7Q zVWnJ#@^s02diue z2`?Zd6ho~5w?F(&c+t=A5Eb+a7s77>86g`APoyQUTR6r7bo8xmgci#1*Eix72p7_y zruS7g_)uN6fV7{J&WfuTbK;swoGG*xcT4V5sLph$+^5(l4Eu`PfS@+M$qO&eG(ZfO zQ@l0bZrTEijXenghZG^80zC^tL1GPuINORwxC@AbU^x761W+75vNK%KCz+m-gsJ~h zqF*C^do9ZsIuF!chxJc|^ME)7AnUOY4bkp>&M%&%a6?qM`zt!$X0pVjJVOS$i$Yq{ zYd_Xi-$jSb_0_L3D$!uEgj+43MEO-Az#KSf%h3n1i{2-`K~xT{29{+pOqB1cucb>? zJ`I4Rl9wa)3;G zmCC^Wp2~%PMk62xvPV~evK7!+B7wAsP;k9$pfK!`Qr;E#kbcIb`IZBuHu(H0-XJ13 z_a$sYr}h9F3WPq4es?mOa5!xbQ3etjWco&j_qD_Zd-g#{QK|+taStYgCBu`2svB;h3k~ zy;bi5h+n!=!ZY?82TBj2e82&WxvwHQCVeScVZ4s}s-rjWdEtBZr+?(~qz4#g*ch~@ z*svg}z*z*&sUjA(xM(bYaDWwzLx?^+TnI5gQf1>KL1Lam(9Nffl@+viV(HW?Ik#pK3yIsNRPJo* zW=qPD-!(7)hz~@4*L?OP{tWG%YofohVZ%?A5L;dxTY!Z-zyEFsaPzdM?Az9->=2Ox zR+zFgMR0r%S2Fw3mtWHLFE#e2dD3Ns_YObw@Tkql4u{Ct5sZxIL)avy``eER6<`f#YZX= z+xh-g5xGbp=2*kvT1lfS@j?l&51_K3ylfa$^hps_)-$&L)|*3D#hgjYL!tqlCAMA< zY*kGM9UalM7p0cJX87@B1b~QWXqG0YFCL2JcnJoJc}las2^PCZ@wE@TxrUwYfScAH zFLsI!vYO(v5B?PqrBp$E__R%yS}47!K+N~^fK+u_QrIm{ry;p0*Vvb)rjzt=Tn|GM<@z`35iLiq-#gy`!^eX3@HBOr~&hKk3FTY#jw*cUYUmLi+ z%E0&NIl2z&5>${O`LXQ~hW$1IaC%d3G6J)i(F3!Z3B7i_IZJpyWH9umWdC#r)WsJl ziy+;q{SeReI8^8thg@qKXk!#3k6at}o||ou8eyULO&Y!l*55*>F$6yI!1TKJV+(I~ zY+{^ekNK>xKxE$FHAes-P$}FN)7LAt!FEPDX}h=7UTw{!SNfmFqdS)JuKb*nXjZnQ z-TkJp@tFxT{u@X$E-Tth;B{*Qn5PaSzVC>?X#VQ0*DJ`9JNrZ}pQYY!re;7>Np}7P z74D;6&1UU7;w;!K)}vTB!c z#$9oFeMSgNP3_<1v81)=a+}E?lzRulHL}0Dso#{TK3ElW%5ZD;QJ2k|jB;z%$K zvTFYnW7G@z-FqKA=h$Vk&2Fmqe<(mzsI(FoX@@&Pl@2?h3ZtX~mG{OaYSd!Nsu>N* zFlvTTG1Qp+Da>f}5d9ovE#_199gQ;X?wWGd4-+aJc9qo&>!I|n9r|8#GZ80syFH3n zEOwq2pPv>T6t+2iYNfSOW)tz2I}ap(_;IQs2b6L+p*dM-p*n3QCh$UDp1E4Oqt;V~ zqd?F-<7|biti@W*b*_BBQgcRk=krsM>zMo86ht?I(w!-8xk1Wlj(q4}gk)?!=2@&w z-=Hn~uv%LwPL-ATXgYV65@p;YqZvJcsc;mZqQrut@nwP%h;`eL!1NVQSV@{93D(ex zd;D>$^wo+OQ(B3sqS`CnvY<-U4|DW6)@QFA$-NXo-R7%dCUl=4GNrifrs3I#`=gLV zB^JIbl?~Do@syGhm~Ldw_>6CM@V&e_=Z-BG$fBf)+vv)5trRaajF=t4tr%j~veB-m zY_j-iH+j06EB-@VHaG$o8;?nVSuehUE6X1@+95@gGgH$a79Kh?vTJXvk-YG#1G>qk znfz{J6GMo@=Xhv<6o|$y(Gzm2v*|`0rv97_BmvOjORbq`wF_YO5(`z~|IAv$BKED7 ze3(kp#S`mxt-5omcEqC^EpBW600wH768Avv-v0tgmoXqREY6oP(^pPUk30zjnmP~@p3iWg&k=!RWO%x)VUG*)X|||hPNl@V*vcg(3sjQ0727jrGcrwp zSx@Te)tp(9jT4U2UlWOdDzQw=5($!fQ-ia3?p@ zS!ukvct>a2nR%ZN?;`#GQe1~HSQj!`hp<$)|4@$0Uq&)+byRoTt0}ls{@|*{@~*5Z zb0%+m|H}M`#QaFq9BK){HwgZo&NJVpaU4;F1sWhQ=Hp?b9{;Mx!U%4$a?nt%R_)VQ zm1gj1r~Ry2S8mm&D&JO0QNGVy#y?Y9*;E=>x!+&L4=dF*no+WTg84eDLrt#Bazbyl zD8aNwU&yTe;;p=Pr{w{U?R{azTKxr3m^UlCxyzzqux$4TRb|o0{R)IVY4tO`T8Z+KvYU1tK(F1(W}7Al6c&TYmh_U zNWC8RH%d7muo6`vy9{eL;Sj?Ni@GIXzmm<0Y%s?acGzn^}y%KWD*Ui z5w1%seNgr5!;I!2;D4-rN%uh^WnLroYyHA!Aq>m93xB~d{8XviGDK-x)JJ)-4!#4{ zckHp0-pHb1gIDJ{*@QD7J#FHp99Y}95m?~x^Q0q!EP^!x`UWSlYyfsU_gm?h54Vwj z2nq*@MHOjyqw7&AZAC)+sQI@iKMrO4D7xerQ7Op)M~V)^E# z_R+tr$fvfKt&I%nf!dfvQEg9mQ$FuU)=d_hPKKHnV(^zDc2oY63;(;gcMtG!ns82G7UMz(E{S}v1_W%yP6j>I#$siD`m6HdFa8wMZK=a`&$cwpd5i8f-y=ctqEO@`mUSI1oqM8@l`nTisi#2L|#|3zT zse|FvHvH}E^Av9z6%2&&8D9b-<)AKS%fehIF$o6X#tSt-T_Z12N>+^8@Ti@7lUa~A zCmwJqI)Mtqv?Cum0^0>~1qw!$8dEf}KL$%{=LXTsnM9D6vQDZdCCcoiED?G*BPIaEFy$uD_u?slUm3JR!*WpYBg+Dg-!xl~*7RFM4G^B^4~;r0%Bv z;lApVG`P0vle2gYib@Q)4X#SjwEH~b?PbMixEIrs%5}k{v`aO`PDV+N5;tM3Bw&FA z?arn9Hc?Hih|aiprH9)-eee)157%YyPO?FUs0NYHWjP9m_iRX>pmon|W zxoz=0hm|vtnVXy(p*_4@`)8XKGRkP$4V*9UPrtJ3QQ>dWQ-)glVF>alQHC{j1(_N% zKS*duEQHz$G~_PB;rVeeQdFn7zBF8i!~GC6dF79$fsH{;$mc0GI`X`#x;%bVSKJP6 z5?C=#PzC?1*}bmDaZ{_irW4Go`#B(hDw|E zO)L#zX;jo{HmBNY?xPW7Yi!M-M1L)jVDfb+n@6P!qVa-x3x9&^e;jVTI{7^K7*PSqp?^E{rDj zfZmcAoN%6`dB!7c>;~mHjLqyMOX>slSmn@sT!{(y1=z0&AZRcyKlXG=2wC# z9qu(#zEgheqUO$T%_C5DkUrx&wn#9(Pwo5pBl*JJ27K>(rvV}scGNiIJ&(6eF~qX> zM;l_bzrq*q%L!TbSp72>x1Tc@B*SNUu)~`zTn?ke8R`tUH`Go?m zndqboi2S0HVG>%nd#ohv%41s23m$U0WHW!28g5SoEj7TT-2nzSO z@H6z9@W;wuoe|$m{{{VV;sdpRfL$0y6MNX{d#gxpv{W38grz-km$3bT%@mVb2px;n z@E0Ci1{U=Le!M8a=WkU;q=Nm4slbNNpXO#lGIEQ=s{DqY%Nq5|9oK*Y_Y2ga7K>3V z)-P$Cjfujg^oWa4IP(!p+}&`>BnsyE5s0l{8$l+p^o#$3NuxtW*>jWo2lj_YX@wmN zc;9&b$o&J)+fk?+`geucbmc0Gc-hA+mrCTMNH^|8zKb~pof>ap4#nEV%6Y|BA4x8o z=9R*mCTP^BEgCXtD)rZXh}se|X5=wZjjynpR~_w)a}PtS+%IwbEi17fIK}hpFbR-? zQ$*_fb#W2QsegdqR?}s%c7MHPAH8i~q;OBujAA`%rSBvg^ zu*7F<>Pg(Tm1Ja@Y4_|tDs=N&+HBiO>3yVV16FZg|*x{Zkgg4MVm}aEa%+83j%59cC*A-7wTdy@rw@{xIZ*-r!~~R zvN=}53&e*64VDI*isEBQhWGMwkN}g*<5Jg(J23%5=UfXArX)tes5Ku#f6Hh{OucQx z<@V7GTQTf*+K4oL8)<47Wm{1n#G;*?!B&(1$(fWH)JA|nzCReWczG6bj_I;0jd7Et`_7@Tu z=8nvBQ^+L{wBiPZu^X=iuqv4y>Y%$pA<6U%4GJ?vO9VlaYXK(w3B4c$!=&Yw6@(ZG z5qcuK?N&x?O7b;Z!#A;M=+&)_Z$MnZVL>(3baFQC&z5wvDSA^ISZh?uwO(V%_O&zs z(jD<$qXp;=yV+!AbAa$BAog?LgT<5$pY}&VkaD3Cs271QGn;#X! zZl-NyvfNB5Gjo-{=VGVPl_dh+1}Vd$ z=l~jRm9{;o@keeTTa5ewDeVPOJT$NX&Nv&6#9R&E+^$881WIc{so3r>VV`|Nq_K;z z*y?|2*J1)E;9#6*C&5QJ)wuSYRO?5)A>UN;AR0KfU+h8So7^atXhJJ0nmfrbl>M&v zwdf5qLDTW4bU#|1L>JK}rK=WyqE(l1`1YSJaEhJpqrbl&Z{Aaj1b%?|e%2KBd_7Uo z_*BJX3xfYSs~^9V{fBi#zgW)i#ay;Y(zZqm;CV^^sba$Qu$=@(pWr{MN}NEanTL-! z?-%3N$mgN1#nC;YZAon3l`R!_uf$s^(mSV``339eh||-_$J5rv@i(mpOH@eXYe=-( zs5Awc61?G*d@)XYK+zuXuIdu7kBAJO!ZBcQc5^7pY$n*&28)yfH~KfCX}Hoj;5i@w&yur);7*8^p} zSYFGZM3~6Ji$1@f@BHwV6PfNr76Jv$rSo5E25qkXDp4iBEnn8wi4k+MS4zxg?n0W< z?k*%Kp-BlfNpO9obWqv8RoPCZ7oX8i(Ne?eIJ`U9-Mf^_@H&2iD1(o~D$9&(GK)re z&ry=bu`r$&BAq^zbdEVRwi(Jt6_+uD#wA0Uwvj*P^2t2Fn0UP&QJVqXr(fV#w^-H* z=sT-N$oA!VF0V}qC$;Z0ZTcuvK^(u=@AArN&XB~LAvk2(9K#uv*So1o$1Z&XbCJDB zJjJh9-ek4M=9pH8X^KiTH?ScN(Kd0LalVo!#68Q4tS_luApJBtD8(@~D@%`K=>V+U z(AOgZU~Yy_3EKJwuKMFP3|_U`lMrxtrlZ`dU?x->V2fHzl4TzoiG9T!94eXIli=z9CPfOV`q@gez=jjB1M?nB#@|D1!)l*{R)ssC)?Ts8G$=P#5qrGH;msqj~ zH~D31Tj~`nRa!swT}cdPW&%{_u+?-uHC%m+E>t5mb2Sdp0ddraWo!hm5y|!>nG^xe z`J6({AACGZ(d2h+xyx3w|Pi7o2H0UIDzAP^5WhYv9bB=a{1 zp_ZLlGof&?m-9hJk;hnuhTvE>4BW;>LM+4A_!VC!4bq;@jzZA3dU~y#^Phl=e9Y?t zEvhz63&r5eH%+G^(#E|NZJMrZDR7%%YACZS(RG!r;8HEGzK&sIBL7ZAUHeiq*yh97 z2j&lzAM8H#qP7%cs76KhqH6t!jFXTsLaY##5Tu}}2~=aqOO6E$CrD*VLxWP-M^qa$*m^1LK~f|7&5 z3%UdpKtg|Gaos=UTiFrW?uHXP^n=>|z zY1n1dEb|*{f{8|qqfD8Iz*^i0PG3R5qvx6e=UOai9B-tJ8S`We*zrfNxRAE)y>o+j z9u}U>%kR|u-Jzx2hr}BF)TumeQ0+Cxiu=qGwj%rTB5TuYTmUmJ7VbChj30LV{#?SU zz!&b`3pdEC!$Pcid7A1p1p}UpAHW4>u7GN1_95 zOY(O8Lc#c^xxe;8-KX7oW=`PW6MZ<13zSRMH3R*7l*`E4+42%i>;Br=$gtVXHQj2hIE}#i6PJBz0)|r^M7uW#ULF3K_SrjsvLSfFz!|0H^L%zdClee%c=}oP z8-5Mq=i{jk0&WTdw$B1K66{91trBI>ucK1A(RRnWuCIUZw;Gv;trx#GcF59t%}q&& zW!)7bW^BYXA7dd`4o`&n)N`3uV!rQ(h@Gj68~x4ps`5})w!C$mK?y6fld6AW2%@dUcjE8zV zfV>50k?$h z=wMfSOx?gChZE-J%0rhWM!z_-lK=U##6sOYUQ1cLwK{D&*#gfko)GJ(+wPNbuI{|N zmE31yw|Hh?4=_|j#SW=)0Hp-|nRYeM5`@kMuV*o}tIg{6gdkqD#jF@SAd{f5?=`d( za=lTe1cu~xj3anP}=8|qP0DIV9h$RHr0-iOC)_CI@o*FQUECjtzye>Bl;6WRalRgVIQKkdj zAd)2wHyh*TKwOP)93X+>n&tOEHKzU@tw5a8AGkAEu&Dkgi>giQ+y5xBFluBAn6li@ z+R7D;HM9EvKhpjKDvD+M1BI2GBpSMSQ*m9jC*wfqg=YuDP+ z2S};a=!QeAV@P`ni zP$(Byu>Lz-5CBRtWJ=xwC_AZ@6jZk1BqX5VG0=kmh#-j6%0iIKO>STg*>hRM9nOJ2 zj*fZGtOXVOPzZ-#l^2C43PXmkX{b2GY`snhhw_A6Jks~V(NxoG+H5wR-T7B$+XWd1$7T4;psJ-1VICf{ig zUEbD9W7J&j?)}oxk=>K&4Sa2-C$#j$bdnV zb8RKYv{a=4)AIhh6GnlpcSpNAOui>p3sukpFIY*QfSSN*V)Y65k5+2)bPp&?Vv}z9lAjW!4#?R%MniF<^1e4qFYB()%sbYp>u+V68olcT?cdS=a;LDco82$Qt4 z2Q`t=loMWUR>=kRR9rbqLQ&rp`y9F^i>YaYh+9)WseFfhSNN{}T_qkXH2n&>M@Vm%5Gy-OG}ice&$h!2xxyExL_}H}dCjp{$v%bU`Iqv{HXL|? zcUK>gWu-+)IXM?`7cVge)?i>HfLZ1G2*#)#Ir*`QOJvl-EK%Q4U7vg8dZYYq&}r>) zEDqsPTSYA;1_n90+CsGUvZwguk?+_3fbVS8-mHr$nKc}_=J*W#67^y-?yUH;y;|zm z?J>h2KV4iQ9HN94rM-H+iL;fabRsIQZZ`;(aDH-NSy;o!x%i6S6Si3?=gDH2Tw8AI zw{L-ocvX$~>WpYo*0rRY!@S?J3M58T9&|EOZ^eF!@xC(@YsTCZFtYD3Kl|oY^U3a; zo!bHD8%yR3yk1c=yQN`JE>DAEsA1^$9> zFwj*Jr?@nqkh-LnkxT3COccVH;(pusFmGaUoO8VsAvd)|vrGha>mZM(QPbs;a3`w~$FbD%>F%T~?FtHYzMUq_oGSE6JcZ)<2oAU}nmPBjR zV!4x?>xw}D3AoX6F9tS8d!4S!xDvE0o@!Q4E=yh}YT)Z8)Ea(JukkEsyUz}b+N1kc z(vABWZ=z<{Nmkagyauur`c`!ScXXDP1n7=2xipZRJD18*{=7~fkbI#l4oTF?*(7N zWl5&P;a+yv%>WC^P6YayYo)?cs&vG4JN z*i&p6K$_3{Rnx7;E2Gx$f_(ooxl4%7rac~W2B99KFnb^OF<-!n<%!Q$xd4)4_6WevByYoQ3 zY*C{kTJg$ujNCn66o%;e*4D#uh&-cSI;y^QW`X1Wm9&XR-Je`1Ov>!Pp@uZsh%x;O zi9}Qc*-omyelygyN`AWI=tF8*JrHJ7-aS&A#-argbyQuRyQJO~cBK%mxG@zk8C$!q z>P3*_)R4f^W}B{w$-W0WCi~bR`^9tJn1UdUDF`2hwCu(6OxoyL@(~6zoUnnCgW}~) zdY-jH%Ph7S@%y+usyXo7tiKSOy*!KcdYYhTa-I`-or(Qj{`GNf$JY`$t_1wTt5DL{ zp{FA@jV`dM{(ZZW%bVb13{r1zSa@3ueK30_FLU!kl775>v^@C#A80Jo2Stzstoj58 z4+k0he`c$;FfbP<;73l-ktz`5{dm+gA#dB2b+t@v3PD4%@!2QX$)NSOv*t{+SjIm& zvLR=H;y~_R>~?G>i}9|dp@JZlz&CXQ#Fx+qgsrgmZ~55iQ-(S=8z~Pxba)`U`N8T5 z&p#d+Z8dk>AITUr?o`b9$LUi~M89X&>4Fh2M?(-M+;@_N#uOx8N26%_F6W`8Ue3U4 zB0qRXY%_@KTFvxjp*Y4;cgW}c!`HT@l)M+&8_q~axQiw#gRHt>rZj9xmAq|lfF zZdWv_wy(YzU4tuC93(H}7xC<+K1jZ-(uct!3oSBMj_u5MwP%*qjjSq-{}C=d8d<@F z9)f|STv~Up!nqnuzR^QRCLrBFzZzMZwSqt|R0Zw)(ctGcI2(S%a4j;iK*=v{AUM22 za{0G(TV=>uH1_XLut9H_YD!y|iHURnQmgu(YPG|twGPjxI2aluFLU3;(<@)70Dpbp z4XbAWK;idppWAN97@{H_<@#yzIf}8E)tJzccy~s(A6<76q!Pk7l}PT4;z23e6RJV3 zZaoxF^g7zFIfC_SS#b<{kSL4VeBZC}zHROceE$?V1^J=sErJM>f^lLvfQ=ZK9F;Qh zT&8QyJHM24X73*Mw{=mVXZ3K5o}V&W908x7cF$yFtRi8i%S=GMyyY16p0s1sONBsJ zYsm@%C9rad(*K=qHx;GQMCvir6p&llxU?o_YdUG==Ihyra_i_8Q87_JS>O6rDp@8U zKcKPs7EjsCXI4-9h6wlG=xlzZ&7$7!w{+gj3xYSW8|0(W9@o+n=6jM78Y%caOPQ%# z%d4Gh9LcTHc)G(mCSdh4)P;z?_18UjUD9~sFg~YJAq9xhS#~9nq-Ij~BGiEg!4uKF zhf17gW~9ozyL+zOI?rE(D<-JFKW8E?s#1B8h}cUbPqEG~CDb-XwEcob7?_BGd2e`h zj13;9aR8W$fsizgngw-5-LH9dvQ4BLzoq6nMrP*3t^paB2_I465+EF5G^l*TQEHj@ zgm;wDAm|tL!a$uj90E=2Zw4v!zvhWujI(D)zCXx+MfY(iYIC*I_fQhcIN`;D&!OZW zM!)6xZ<#j3H!3#SVV2Nbk^dv|Qw(o85e<*d6a6eza9Z^PZ4RKvF|W`Fpk0kw8de<; zxs&0e-+>A2Adtxya`U=UcP0rDVMXB+jb||~vp`8ES> z->l7dnrFBz)cm-o>mAfN+9gi9k*0;iU;Z@uAohT8J=Q~UCP6f}PGI4twp{}qs^$Lo zRpNk_Pxt8jT0YI^*O|`EWkgWi&LW$v`G%E!Yb2#nHH9n2Q8(Rv+@IPWL#_hLBIHFAkXw~UcUOFWU z@=BTVSn7FI>yoRBg5Q>XE6z_#;s7#(R_KoPYDa_wZ)3j7t*{E7d*D=?J0aS|61Oqe zGf-NN+YcrXP!3Luif&5W#f_r3^5LEci?FGbe!>8x7e+-jxY(2521+^Hrf>cHDP2S1!%8j#C`|A zef+U2@T$3FP&TeZs<$|Z4tI!Xn<$g|8<8mP19@FJ#v)trgC{NJ4+KeJyhSQVVZ8*& zw%pf-lf!&<6|P@9)eB={s?rSAog6bRH|f~JmmJqqbeMcQMNP3t;1?9p#V2mY~ z=s?R7cYq)X&wJ8Bq7&qxf5h37^#-IAJWkD<#yo$dR?9R$d8;#ec%0g%t~QI(zyi(G zX&$ajH&rSwrx!`-f_9C}t08K$%h5MrGtE`CJ0)ALl{4yU!Aqtbe4JNeU6M3j25-^8!iGl)6_F&bcNxq7MFJb`NCRxwY!3C!hcR>+ z2H2jEYwjjX6ZLUuyBNba>X197W+neR`QKOl3z)>hL$s%b5(Bl|xZ$yVgRRjLyi(y% zP%4;*kvWy_s=)IqYa>N;pXNPrPM?V5#RgH3n_#B@SI$3bXZuxrxi zb3pM@J__!jam3{ASW4%bAZeT~Q43aJt3FC5?N(;|C(4$M)-Ilo1_`ZVhu$eg=ptfG z2D;E7$pZ|J8~l+=`(wN9=Nl)sB1^s|K_m8~XNvTtTo<=P0K`@T&|eM%4F{P^xtf;I z0Gea+d(|D}_W%WAjNK6+R4L70%n-B}ky#CsB0G4Ae>#ZmzZxhT6c$~vCp~Oa7e~)G zgzbNL0&+gRbS>Wm@iY#Jce*Q6lQJrITT}W0&y|Cv>l42mwiw5BKX&KJsn5uLbAhzY zO=WlgCfl-dx&aXxd31$wzCeV8$;vTSiA6Q~0G~po9~MV$2_PW`mXlkKFQk}rKiEZU z`>sdZ#LnFHmIjYX@+;6lKj+Rv`b9#TXcLWcY4CQRE)#<12i+0RNedAOh!Kqs)M&HC zW|*;x6^(DzSOIVW121c0;1>+c^Z_TV7vG2$jbHF-0~+{91@hjV3G(iLh}JG%ud#_W z(P)v`e^3h-7T*w2#>ENRM?x$@ct0YZM+g<%fyqbD zU*~LmQtRKppgB^N)0lJPPGio1w)Z$Qiq?KW`jbVv^ZD*mRY*$Fr>Vpw} zaq9bUbQ-JIOIw>1WNi? zc#uW86{a3$O(`P0AK!(tHMv0sz6)V#st{u2!(btfV3NVCs|!>Uw{+nLQpGM#P9lgO z^YSiIYfku0TUlb_D{0Chy-Wv8rdg-AW(>Issp@ripEZ`-OHBUFZO>8U15&>>pHt)eG8SL}U zYfO6$wC88|S3ec0-%iiY)746d(O)Ogvx4iF3DZm)rSPF^N<16Fg^G1w(qyAOlQKDM z^3xBzuK7JobWFED=H)S&B>?wMBus4G%h0Mp&AX8!{f<>xo=N)9wT);@ zJ5y4q9clM^a1pdN9|!Ih7;HO;oa<$qRPaHbpScdzs2f^Q4o%c^Bt0(cqd|3SvT?(? zb|nq^kZSKq3n2)~mf(&Zwq2=c3UB&rpQslxP4{ctf-2)(?wDrhHT$|oP(ltAiz7!B z^Ly+~yH)9{X4)#KTT5ymp_K)T`I*xx(Dr8}Ccb#3trb@>9T*XD&FetVHF(`f9H5TL zOv?k+dwEcx*{ka>J%DCMuE`{V41(XEB0Tq<{t&y({Aq%B-jcHK>21|WG0C_66QbpS<& zVcQNmR%yHN^>kLV#q)@>75vX)w{Nv9FK{a7qoi-EI1PwkuU_Co2R5Jf7ACsBq(_1Z@gYf>JL_NQ2Ba!M8dvF_?ws;Q*px$=F*fHpcNmL`jF3?xOBT< z-$Y(RKu59SV|5=WX!F=2^}zYvGCSW07&ckhxKcmx4u78J%nsfqNm$`~C8P!BOJGX~e)TI-pUe&Y z9$x~n8q5qm#-9%3vmr11!9>yfo+4pE^#0voRaD*QY{|YP@cq%E(B0lZc|F;sB<$eh z#@~`z7gZS29b%(!XDnk_h*Wcpp8tkNbRm`G%t1)3sLbmejeBdhV^k2hu)7mc0~XH@ zgZUti1UWW9k5g6I;{QrB-jQ+SEb1s0(8=w* zYW7+Fz_YzZBBH5mjyY1Vby-k5m#7T&j6?s?03UT>kV9(axXrs2JZ;?7oB9#F;;W4c zdaV*OMB2|+jrf$LQu=P|=gO#BSMiQs&2@v**pca=Y9p)hpFnmGUGJZ;&Dhvn%_ZNe z>ULcYwtXcN%g3s8_6-xjyTD`Vp}@PV4z6FmdS`$vdnbJ~iYs@g*osrR=(D=NPA+4a z5-))BBJUf+y1VJ|w6EBZR+6WTiy!!rPkjFmPdQF5@z091<{j;eb@9EDoib3(!frCX z>r^gZUAZ)C7Hn(XZRm+N(x-W%L8Y@__Y z$(LBBT4Uz=1k>@_;~U)__~!0@Mv}XaUpFKt`Sj6$!SG#{YI%>J7Ey@Y}E} zjJaDJ7`L=s*Zu1uF$)4bClSH0rP)nuO74vm6>nuwi84b z1eA6!mi&zb>YB*?W9t-6=L{7tU|yzkJGJi?om^XHzXa5EUN}dc&C?z=ma2G}#(b+~ zd`=lzgG=c^e0`EuwS+(3$ds+3R_>uw;P{N+b5_-@*%!xw&oy( zbYfi|T*=<@^V_s1cUrBzH0^kql5_m(3>{nGc#!{PO4ZIy;&?e;SMz5$U`Sy}A9T!_ zGb0aTmiP2 ziK1niBcMw891(RqK)ajH|3%ES!=*WbEceF`Wk0sk@^W#k!qAh*Yw;$?UvN6|T0WP` zc$;Hq#dIW`>EsB1SL6cWa5^w~kY2hjW;*6lo4@F&(K4MDQ1!0>Z3X>zXT4a3ZS(hN zRcW>ZD&>kE9VOxFgf_l%gx)qb3Z>xjxgMuFg01%7#K)r$LESXU7ZOpSmmNkfl&XG0 z&e1hmBIY>Bq(azfg!Q}vWZ&0?UX|0V>2r`Y$sR#0@2Hp${Z|SGeT*J_0iw~*m8=z1 zr4OQ*s0fu$`#%J>W$bQK?%ZVwA!*23)lN>(ONKxA288IN)fna%9MOtATPR}`h z>Kjh4y1B#hkgZ01ha9`G^9gYC4@zOq=_hqPsTx?UJ2?}5y124a^~4t$NHOttU^e|P zh(<8y!A2t{*K$l^^e~5 z0jUoBLz@*d(tYl_!_*$Q=|K-#lY$ySZ6-i8n3(!#>_$~kRW81G$-yDph~ZJm&%^aM zE&*UGXB=zG#Y)Sf+3;=u-=BHqQi`{hObb^ITTH%5=D0KVHY=(tJkxhO(J7B}I*e8_o;e$UDU-4DpRFRHqoS(Ae>n`|yq$n0e~w zmcHL8Rz1@%sOtO(_pwwu(~l|_`#aSYLb(&U-h*Gpkv>Z6zO1<~{=x1lzWBh>C61!e zDsl>aJL8CmB9EI&O8Shn9ND}VMA|>nqQo6PL==6z-!bruoE&3)JJH zD)kw$=1_B3mkgN_B|yBOt+Qc_95FdXHy2pl)%=MxSL6`ao2|4$fE24x=Ijew z{0x$xl!Kxx0wWv#LL1oW3I|)Y$7L?QL?d;xUm9ikc$I!QMeT6E-k7CZ4AfiP9wo{> z^?G5zHc{7DjaAq@pO>=uNy$8s}4GKEuYtujO(@ z*7trA<(m8*N-o}w=o?II*$@|E=astq(?0cl;^s-Q@t$GT*K+RH>gOVynT=VbVp?xm zrtnIzb5>c(UruSiBV>8_YWQ^qAq#KD@ar`~mKDL*?Y3MV$jSQ#cf?Ayr-Li#z^ujg zhs%d|`%^xa7Sb~k zi6>z)!*<6ZBht3RuA-JpmsykblR;g5!YxMAh_IMBQKgH$)YIJ@tvxQT~C z{)ub#S_o`^$##SgwPJpMf61tsYGQmIY1d2(dRF!|C4z{wa^*;&v2&>HXNGFQ$$R)4 zOCiq*3wzGQEgvfI?wJtr>Lh&3)=7vwuaFhV=XhG;6;s&5>RucNG@q6E7cn5iJT^s7%`J=KDm^Qd?` zcAM7X#CiL4Qz!T0mtQ=nMzak%?j_LHJPeP_D&Sq)kfgR-FJ98h%`10SOh|2(yrOJ! zx&fh{x59-yY!7}iI#_R-7ftf&6Jc7AC2yKFq(?A?$56QkGnp{o zgUDsFQ^`%c7P^WfK$J-{Mj&UkrIJ}iS2^3@}r{Y@~51dCPA zI$QQE<9_uIQ}V4_Uz|Q*}yfg$8Gm49&{h0 zr$b6M>0e1mXoOg8!x!wdp#-JAXSZ4sNEC%qE+|IqMql9A__OY|$o=+jLouzBR zpp;vgoS6uI=fSD!3%>U`AosI2X3E!4vaw;a{X=%z`2`z;{8z z-by}!BZ4MIn4tW6HZ71xqSBDn7-QoP)8D7C;OIWA!LaUTCOCrPlcVkSZ`yR&{u@PA zN@)RjjmO_AWves$^{A+^2P_e>f-noaeY`bh~AvsWVHs_4KdaE zVKiLDHwZom4gc`qv6?dJx z0bX|lYQ2}GJ#hERGKR|Jgi-rnXd<}W1Ddc#tOmynvz!Knjr+0KXI5ZIHRKf8z*(Qp zYnpLity%jm{rTwio>S5gj>c*|? zDJMGRWUU{jnk33ai)rk9Iv10ErU@H$h#gQV4{$nTuA*+3%^&vPy8=_)y#F$kqtxA# zF0y)X!jgMb((uJ=)lEmHQaIK_rBbx0$xiKvPhHGpqf_;QQ_c@(rc&=&TNci71=BA_ z&Zc}`vnCa@lacea2H$FHI2fCF-_zcW)cag>pp#2f9-6_Sf4oApc>i-c-(0Ohh(5h` z=)X^XSXWBZ*x251qp3qEtQ^d4%V0NR`(o8%&pz|CxH4r)LnY;CqYxg;Wd+&It=d+z znNJ#Qxbf2So+PHM@sHb&AyXFJr5jftciFARetQ|ebRu3ij~|ebEYU?~cNyRYo=0($6s?gZ8{4d<1=`M+g_x?N?cs!ZnVL#E`Ve(EZ@S(7D( zp#5z8YQ9Rg(Z>JKLxmd>_5Gdl(JxA#NHA97N5!C&$My(i8qBni7s8#`$vHejwg}>e>gcNiF4uBPH#5M|r=dj&LYptmUwa3H zE}YQ#*ep_y`|D~QX~@ru;d%lGXeG~7c62!WysiX$zw%H)=|ZHOiesZ(BAZ1$SpMI_8w~qPt#d^-On=k$c%_{rXMV&g+{g}VC(fDB8 zyRl%$OM7uEyP0WH>~>ZUbN`dZ;r=ZJfjZ)*xmyQrza1xm>MI2=&cAc+N1axhIn(*n zKUiD#di`QH&+H5Qb$QoVp2j<&?P#$ssihlT-d#h{=JYuRrU&mn>~EH#cO_0Hj8<$} zPDD&FJjE37i#EyAP|pM6%{98ogwHhKm+TC-?9r16KP>@V=ivfS7LFM*zX~P|@>x+} zN=>E@Gh}Xxw{WXES)Q`3Adf_jQib1|J5kV{Cpa-g`z}M~^=OkmIZpR+ov@pzoK(o; zUgnx)OV|pXQ=E+w!-Ud;z(zkbJ3wgfFe;(WJo^2`!>?O(*Ib5%&TjEV4;&3RHoGA9 z{h{eQ>C=9T=#?{s=%QHFNi&KcI!H6U$M=FZvid-`$49~BRQReQU}}KLa+pv_8c<@h z6vxQN){L=rpW4UQCAQ$8Jku*L&%}G>HV7{8es_S;eQouSzxt<$UMMEsvuI!=%cre4 z8O$2+#&c?h+U~!UPv0S#@v|9TS-$`X)aW|i>jo&$TqCYg>jJNWXdif=#t{sd5qd#* zG+s@H!L@u#-WwAUcE~;+k%x@=WnAOa2!bVxjM6Z{iAw91J4pNKN&!w-jpOufs;*X^ ziFRiEH+oX;%o(E@$fZxoh(2cgrP)pkzef6K7rNkG{uUv!`2{fH%j4ZNq-q|Y$Z1xa zW$PHyOR0N10x&5I6T>iQh}1{OfdbNDx<2*gZ^kfot?hpQV9ngSRHlz^IVO{>qF|;( z17gR3%LW4+dJV&GUyF8v!0pf#WPR@#-MhEm!S=Y>h+NwICPAhEEzS^K*}GL@o4Z|oNVo*lxr@0UB_zCJG#LFx=uXp|z=2!K zaQ}sZz!50Ae|P+7(|mgtO7$(^GftzqcFc3Ohl;ouJyN@15n^ zq7@>S7V6D=xS%J<97l*^yhI-tlne!6PJ)EVd4SNtH#)_k|DR$cXNyi|Cg9(~I{&u& zO`DbTYdc1Rz% zgEX&}$)vG9lmVJW`~U`M#rg7AU{9q_W%KqQ6Y6%35HJ!E=f8g2O+nPP_JolreaH!k z#Jj#pK%mSRKj;5P*Y=s1*J4Y>+0U5yBNxzww3FL&%?cB`qADA^Dwuz{*CIc(TGR%x zhk)G}4%#VB6YbCBw&JmZj>ZUIOOFkf=c9f&!KvE8NMEqxE*Mq z8eRJ4{B>8h(;?oA$@ORX=y@^g?PeY*XdPF_2UvnQ55%N^rO3C0AJ(C`R?x!8b(=w0 zFlrLOcY$~pJ%#ge88iPRfYE_mG3bf|%g&b1fwj?_`~@#Ca0S4iolkTA_^aDe;@*p` z^=G7m^WKkC%GY|nrUww(a4jBqi$hQHSvyg^Vi(XsShG}*H~z`#Nn+zG0Yat?hmTDh zV?nP3>d$`pFj#w9nWzeMoK|^ImbIg&h=n6a?UqbkgXdzT8Abvh`87I|vk;8&^C{8j zE@g4(!(xIW^@K$5cy&6*2?|t!=PQns+7@|5{wl_@sf#@*5ic|EVCbnD=P8btM-2ondV z2fDeG0xj=2QXc!vUMcJt3wKfF{P~5loUn@HqCM>vpN@V^&NQC3eoVU!hATS$!jAx7 z9_Cci*T;Urp|5|%G*VAr#Fj@#eZOTj803zYgw}DSAov?;3WXg;N>%eD4g<-uN15@Vq!=zuntdom8p*80 znR(ZVop;y7mQUyTM~Ep{yG1({WTer0e2jL8$BVPu|w= zTez>bt_%hbyu?qJA`^Y3=G@=buUfbdwXS3aUy;Udh53JyAV6$j56KJa zvOXpaLY;naCr6L3hWEGxM4YeugYW~bMmu&g8gc`uAe?JHEtmniK6w+3RI6LDbV!(Y zd0W3|;oj1^5)eFaftP-EMf8Ztaa#xDhn_M3_~rN=&X5T9AyAo6gRXI() z1~1*fytnOkv!eSEOIqX3@SK16%C?lS_u^{?Yntj4wG7jS80x~ZS~q3}-3Pe;gPZ~= zS66PhI{%YU0exQDzG+9W1YjEh+8S=+OjVNalCtsYJ8|RT8UH=C`;d~Ku39L`R^oLG zN~!;f6Z%^N^GFxEte*zJnK-A}^Qqc_|A^6RrMAI1ulC%@0}$tJ$26`jLe9}r@LOWr zb$(wiQt!QA+Bc&%_nfQtsYT+USs8NqYu$WJwOQ;xhrgP!{7%v1ds0=PbroMV}!_AaRUx zXq8~#3w;I>1$-gDWv1Y#;}r9gzH+~1!{$9ca{+U;AphmbW5&{5jT4v0X9r_qw|^d7 zbjO+dpZCv}&b}dAjQoS^{`m2%O3VC7-OGT+b(ddr1p%?vYv;4P*m~r?Lwi&dKx;cE zeNC%;V!ejWiRE+G&Fj^g-IDy4xlZ~t_EOTn{w)BJRQ(gOFZfI+E*-@pS4f?5Qvqr9 zfQLIoZE`t_6IOYvPQWtJQzD7boqr^IPm8-luU{IAK&S~qc1Z!0hoz_FNf!IxSwX3g zKE)Rz6eas94@*jbOB#nYF>!3E;^-^A)^*9cd+TNOQ$MqfQVSJ%psknW4 zbWO)0%I1V^#pK}`I@pg~_bhriV`p>=b-y*kiwghEd`GLDnCqh%jX42Lf-9Ph&x6Od z*FRPJN{Vk~k_Kp-OBb>qEBo)}hJB*@(V4^;l$5gUtwf&GC!pD|)vp z)pk!Q*0R}?NArW>n_jZ_#fse7XS^)cx7w0tLU}}l^U%c>u{#5PS5}S}(Abu-Tp1^v z=#h|FIMjl(bLimjl%{&!&c_IN>>BweCeEUq09@WU+GJu_l}*_8h&oNK&|9wYPj z#SoHz6Q`YG2)CD=8M#cq>HJI66b1ebK2ps|>WmT|abw-@QK#7=xGe<&Cc2Lp566(t z68UR9W+}KjYah*7%D*)w31GMQMjLESK;PK;i4LpFS?Dfl$2`^r{+6C_VZ z)>O?(x0Hn;2k>l|PV7X0>B?~W>ODd3&hJ_~^5hqtQ2EOEs;^9im7n&3U&K1L7d~B? zvdP00?3U$oy7UA*lA{qjykGq`4-+gfK;Xvuvg-r$W;)bp1^l_Tony6G)}{ij?JN;z z9qZPQy6K*5+K6PXiR#sEd}Q$*U$ni19&X;sI`!>5aA5F1Lg;Rg{mO7VbMcUQ;FiQG zdDq73u_FC1*E!8pfDqoUp@q~_T+yOnm6wDm=#c_wUZMn}XTBQbPR`(U*r(}_(C)p} z_aUGl+Q^_Q%r3HBkJd;aFPFB4%yz`4#}dsb73a0xJ(8poZfk~v4<2OCgJ#sjw~?{E zE4c&FMHDhz@q98|>EOr*M+M(9fW< zacxxxI9Q^>#qQ&}VX3OHuHi?waw-8-CD5 zCl-%!csS>eNg96_dQRyFtH)ub5^nAG(EKiRLgfde!DXeo+uALx_x-bw@$Tmb#ysox zkFOf4GcsQtNJ+$VKf0vF+dh@@RtNB{w>r15jYp=Et92@~cb$?@nvIWfl4zF4>4c26 zHT@_66lTW2Jq!%H36|Yfh@$Ke6zp6Q^G2E?Cmb-hqIEjUL|qNA-Z;aa2;n&-L9dlK zcKm5qy?3-bJlRk^H4`e7WwkCrhfU^3kaC(_>p^fSFEOw9GIvcY2|GL2Y+9Rc zP;YJi@C%E|Ql1hnq+@Y~lSpl8G#(c2NgajteV*yb2(z+eM+YTkkQ2v(Ry4whi|!Af z8<9-Dmk&w4k$xkbB6Y-`3>2~8a=Xpo7@pL6PSPgx#(i`D^QB?)gDrC9L(SWl4&taT zH1?%zE%Et}d;Oj==lv*mPo5;5fAi{c!x=-CZ&^0=G?UoV99DDcNlTw{>m;EuUw&jX zYQdq<3VmP}bse66WOB7s|Lg{fRb5G1)e*%*T+e&*o-&aoS=&4X0e2E;#$|9P*0~|> zuJ>ARZ)+VsJr2)LHNTKzF)_PC%mW6Rd&*RQoJk#{TZ; z{`Q;OJAaDp&vO^Bllh_KMIg9~uT^bB#Nm^HFM1iONZ&^ff&n2khMi}DA=PC<5rj35 zd4Uk$-6x7*>@@kd2Tj$hzUH&SZ;k&Xz#1_Gh4Fygxg4|o51kjq=&tJrRe^qaGOB1T5yfUHIiDP zO0U($hsDJ@mpB>V#l;-IMdvlGM4{S-`wEgF1~2+m!-qHAc-F~Jd+uvWhR9axvOZrp zcq>?$cIAh@gt(kMH03!qwXp8VFG!Y^(w}@OJPQRQc|?%bd+-ZVVxZpT@Znf{4I=6T zohr;O!ZabUi%{QyREt}2dF4_lL@$g~>lU^Vn$P4n!MKJbaH4vtCBrR*P^zosH@U{v znS(mtCw4|BedRh1n(>i$YRauWsHh+GB!KkRlqtrmm3gxS!Q2Km$*mGM&BED|OwO=y zQRAm{+(wT|0|SF_KT6Vb8-1rT0raThA#+e|7sW@a5>6p4ZUg?PK!pl7yKm%?DgE$p z3#ED`F9Mb1ek%;r15mHuI?F<-#tM%JKm>r^ln2O+Rp_A(PY@| zB)0|8-~TEKit>J3#?`NJ#hX=^*LPyJsL|2VXTpb8CQ_J_-y6%k>vg*q;VFr8UEZ9zU_*%WvJM9<)m)Ra z&FW;?00Uz=!3GI8eu{jP$#+Ufb)9Qemb_{)8X>)@ZVE)nj&^<$7I{{8m!fd^I87PN zC5?EdM_HVBZ1N43)6yupOpAJXEGzTUGAX&POycOfu7=cn$SRT+jo>aQg14D;ht$Lp zI?Z6IxUUwjS8JEgs z>Cw-Wpyze2Yk#P>c9@=KJxhEJ*YWMnJ&aY`Vo92j;;Qk6+3z67ufAl^Nt7&GDqg=F z+MwSK%yKaTpL)X}hLn4}^z}#1aPx2hoL7VkgtBT7dC!4JGiDj72C1O#omkO3zpKp9 zJF5=gaQ|GeF7@{Jg7s?q%(fYs&>CMNha>7qQuRaX$!(sJd0nHNl2{lqjT_j|Sin{V z1_VN1#Gq(--(otL?AGvn+Jy|CZNY{6ot)PM(}VuIGn!o)rxw^Ji~L_y`)tKL#ObH<)Zay_JNUgkR(46O9kg_0U>g6jF_^{=gM(>&#%LPg$qhVrewOZRFP?7y zqgW>~u;R~}`_NT_t0&llta(O+%}_ebw8OE@p@xTgQK}H-X{|8%gFo=;OFZidYETaeOm4-OH`8&beIr2v%S!cGx z{=%4{4}LwZeo%k38H3>&njXy4Ax{NQ2e%w7`}bS2K8krUFJ|Vo_;}j?uMQ|W8;lHH zrUe57M5UNvL9I?qBs`c%RD}>G-!YfTdM_T&`7gO2#SHz&ff=KDjWvKd@u4WV+p|PW zSx5wT_6o~M_0%z$!+RXdJ zp2>k66ACO3vs7!ey6L!e_{UpuBlU~+rtx<55}RppQitvewnFH4<3KbWQ2m`eok<+2lrbD#oX@} zc(dNMRMr0j{Tg=vOR}D|K*4R#Ut%)4Lz4evD(eB>SS8M1NjGZh{R8KPTVgIR((C#M zW|vpC-1fcdpEO1TO{oRFxrQ`R60C{9+}H!$_!;o9yDZHDV%%Pznj5RAe*<^F*S(S% zJCJ^{G7zwjXn9h#_|8EKo-fvkmfI_yN5S4`>83tJi3m# zm&VxI-{9@ZoaH`4*UEnUfDK>zke%qO6Gw^L5W9Fpxj(vaFG=Z^c_ zvnwZw5@eLw8f-T53e|*PnN=f1FC#C@%fbYyAYa>Ypm`Vw#p($sM5y4N2)4o+Gs&u+ z$f8$H1k+dB9slaUEc~JU0H*;Z;A%1EK^SdKz@pcsf_~G8l-^kDU#O96?{9Rrtf0S65&OwRk&s}KmY-MTlDqJGEEeendZdEdhR zRqMEHaG^h*?ioq`Ap>j+ikz_#tQoT4%^&bzO+OnHJ9_D|Ewwo3-TeEamFE3l6~N;n zjA_!vq&Kq&lU_YsOu^wrPGFz!w|-;!^ok6zbLo%sm7gaA7yIdFt71n(F59mb=We&u zKMipI12clIR&c(*IVAnP=E-BneYTmE*R=!KZt3A$qGa1n3|Q5bIwu-;Kdq7>Ov2yE z3s&hxDB?i-pAOO{UTX{N3bBeG3*qu8uvZ8tjw_`l-dlwggOn?#j&OJH zi>>>sS3LX!%U9$asT<7s4JD)Y`eccE!eq^g-hPg~37Zm;@lpmkk5vp(K`+`^g`L-k z&+^I-1--X+92n+^VYS8lNZ0q=j}0Cfe$TZqd<#~#SMTb*%2HL9m3=E75$Wew;XzJw za{O?ejdyRib5vgMtHf=}?ArTP*Ocx1MZ|P+{pALtsqLQX>~kp3h)tBJCaC|qhTpdo zPHiXeaNjT&w^aK<0&R8IL7vf)!<*m&yXf+lq}lmG&0dlbXumOn63N8cV@SL` z!otT6Q#!eno!JRwX^nPd0(OH49f{Qn{H zXSo~pi&s`raio%)f6;z^@77ns!jAsX_tO4;>{jIb)?rp5U{Su9H>u7py|PJPWEZzi zV}a5C!`53sx6MRhqG=myn3h!0--`C$h*i%IyiQ42pNWMkqof-r2+j2ak+N z!NDV!b0#4?-42*Jo7mdT5G&46jcbt>JX8875}mleK)5*@x%lnxm3yh5vPpOf-t`z@ zc=JDC9XL;43J!ca?~+9MBGdh%ujHhE3XXVCl~5L#@T1QsC#(WVbna0%aK-J-hdrQ` zb#WWPA4Ega&Jv|HExLzBMYnQ11{`4<(FY%i{=5hrA!E(xxXr1h;L??`YfE0Wqg2l8 zLs3?Be-{;(H#hvx>5G<3o9l`?Tp$QC+Jf`U%_x&BTEA+`lqlC4ffIf>p!nEZt{VsW zMcs(3woD~bLMuLMeQS2pQ1W1Wo^Yg3g0_D^&wKy&ObBu#I^8lQVFd~EJ^Z_NZvKA_ zANOBK#jw=shX|2w2&RlW5&r-18^PG@?GobuSHqZ##8JG=$3FN@F^Vo7OPz?LNWz-` z9|1xf%m5JT8ZH1NV08go|6VV_m6UoSYm+ec_-=f)KHGA|mj3y9{x7*sl+=d#Soh-p zZ!u(e%29p)gVVyybcdbs923Wrc1bDjE3B(6ex3O5S8o$+$&cUl3}3C0Pw8W%+LFnx zzWAHpNhj%?o6^SsG_Z1N8Mt({?b@1F?aUk3CQX{tB)}h5wtM+`DuJzuQamQpnUPdy z`0o3xNJ}K{0$PzoO=LP5XLPhBtx9o))&GFz|3T^h;D6N?X-27qVU(j16n`OsuOpcZ zm^<}ha(VTn7OTa)TYStZOO&PH`{bLa2M8{l=R6XVjYx;RNIp`iq%%EsOQ z2cuT#M8UUNE|e3>Q{Rs!HB9Thtxqgz_?wS*VI8lhKB)P@oKJi9&kc)@cEFos4rqt# zq0jy}aAW!tOM;{5Smh@RIOkC(l=i>yr`+5J&*ML?0)lMDtXuR0{sYTV=2*kNQ|^98 zAG2*Hum1!Jc9@?Rp!y8Kq6Hr=1BNmP2Myi>an6?Atdh0IvobiXcwp9adAR+jH_~lr zqad$2N$evoaXUur}?+juLfYpO^cPgXI44dKNIae)PDEZaCIhjGiLUK+aO6wqA|4F3|K zNKPqOLb|qGx;e9eQ=JY%d2L#;d=1`WKhQ>X%!RXfm+6KUryDhJ-z?%=ClmQxTb5qK zYPXr~-2D<|S=Mci(NQFI0SHioR@GS#!Z`Mv%`{*Yf`vG+&Vde)G|-Rl4c2sSAxs)4 zhDbq%Mz)hV3%p4?Nr1?vUpqZVvJf;yfOzj=oY)Q@LO`5=5(^C;>Ke34JVT}i6Q7a! zjTg_KNr16qCvY17Wi#Q=6P}^Z^UqtB=)tR~^Dch}YXxX4W~!xWM1dXGe-0}u(?&ZY z9o!jjQzJ93GKc3B-54LicC}0CC^3z=qMbG3_7unkj7b#?nRviZ(c(YD?biyz5%wX{B!N!MpT>;*Vs>f* zMYi?Vqei$y_c|p^Yx_Q*UXyfvmtnhAx7)VeYsKNNZfjq^YoyB~#!~{WEZbQ-ij4E1 z30*=l-a{&<__~5ug;48%tNF@faY%BO#8v`TuUF}RZADTH`TX{ihlK7C?5Q!}>)NpkfjBB@DrS_i3k z$%Qxm%`=R1Z|048{KLpyl-mH0q1+tGD99u_n+1ri>K+O%D-*^!iLut52E%`o1e#yg zpfeBXNH#EC7tb%kGzKY4*u$S00eOFh&tqgZV?FztTBWQ69vh8$o)k-$+y5a2qJBqnYm=B z^&3sde}WkKX)He|Uj2s6pm~c(sMyEv;8?Q;=yL|1D;{Jg5Jsi)G-WNo(>!;pu!Y0wmki*s!KU2W-jc`-&KN_|d>4Yj_)YOfpz|yF*0l#AH8fCa z&-I@|ZNYbNB|`bkY{lC0@2U@#?yY-x@N`;wjNTM)4z|sLnjbyMy0gEU*Pn=Ck6Ec8?#qdWT9lmsu3te%1P2S zQH#rJHHwm|9OuYOI&k6Q8nW>Q$vuu{$SIJh_S{jXppUCL`b``{(iv_PEZGHWG{0-3 z?y|V(>fwi@N{IlfzU-g^S*GtL{9@xs=!E)*G-*T6o ze=YDKIq87uSr(T&$U9r>t>97i*(fD0eYIt{7Dmq_^jQ-@$U-p1-pkda0>CIyN8kBRrT)S zDO`M$TpD^=VW(@8(#c{GFiJXaA)Sw!*BzL9nrk`7OJD4YBy|#-Km;Ns8I+?ojxTdg zszW1?KOiHd;>ngAZOJOO)*GGWP907`96vzJVm_;0s$&tC{ z87UKzwE>2IoX1)l^E8OQ8T&{dv1dZCmwy3YZpT~@l9vq-+BPVasP{PINA zzvQhN<`^`CPAifGUwz3-uqn7|>v+ahW(p9$lAm3lVPyAb)&!N%0)dY~`+t%EiM;Xn z*ilsj&YR<9;{;=IcCpi7cs!mvU3=1IG-&3K=bGqi!H^UBFehA@bsQ3O?>eF2a*dpN zNn!aRJfXnDEKvR)sqC;R=L$^7%(ezTqpGq4K!PUcR<>=9?lTjDv)FgcYos&)Bw1^Z zOxfmdn%RVt^Ow8n7AL||c*6i?*F{2m@IUbX)OYLQ@kx7GWtC`kRgRQ3CP)*ElquZr zk5+@j)YT|asqktgOA`$PVpL+#5m4*&;95&|qC9l0uqj4%SLX!wQ5A|F>T}j&l5rWJ zo0Ar8W&6M0B90rzge&!r%pFkb-Uz4DYb z={ml4YN<9wSqH18lQQa?tbDI%PIQY)dK}TKW}MX#Lv@FCn^N=TsRiyLps6Ou+@$^P zD6TSeeM0TGuL!5MddXwE-`%<}v-pK$#4#7YXZhNi0N_3==SYBiD#qb}Jx=v|>qo1b za!HC5_a_m~JBvRKmOZ_&Z%U2VD$IB9wnT(v}9w<@;riVl>tAoCLLDILf3wQYvi9_?^H zd}3Jd#B2Lz-Hpp-uC7*q!~Y43L;1vgHV{h0O4DLj6_A$@XATi^p8S!W8^%jpz^}1r zHicI;-S?^A=Q7qh{EkX=4K%I=By4t%nlwwG^XsIb3z(IjAV4RR#}i z>Zjh`ZXLDYYjF~@OuAI*np1)<%w>&Mh1M-Y)RT6Vx?faHa&i31ZX`c{24vpYs9)Y< za4XDL`WOr|Pg{#A5O2;>q^d*j)f_^e!bFp1f&wQCsrQt z9%H-U9uslMw@UDf4qVVuV7?MsJL{h{oK3s`wz>J++qFGcYxrZqiU&RX2;kkWSrV}} zv6uk=n;B2*I2rzdoDY5-q)g0?X4d;!S$Q~k^f7`=-1~YD5N@l{*7JLrx#@ksUGNn0 zd)XduH|$R1@ApK->0s1!EOqpLd@ty&%Xu(=-RGLOnsC&lAPIkMkk?XRx}) z2l_1IW{;G-;2}9=3$9;zA8cYH;M<`pvH5F}kOB4ELW0oy)fDqK2R^shpkv?jouXmD z+p%PWgdM}KVK3{7&a?eMD1xr~^5D}N!Cbo_;O+J*aJWk%s_bxtW;hkA zI>-H5hGg&TgB(2fSX+5`VG{4YyU$O$(EHN=mE# zFNY5i#s~Q};%20s_))HqQhROF=3^_kS5GVdjei^Mf+_qJZ~F3|@!6(ab;yR7%sq6V zy#>!CmrpC6gz5-^^K+qtV?XcLeO_X;b)FB;7>eNMopDl{cAi;ijv-j#vvyo*=oge# z(SvfNy~=mz;Zo%ndqFIkcP`5;aAC*!S2)h8F+PEUm%R%|!H=`R-j}n8go1$QyYf~2 zB#vVdAwMwfoN7hC&UG|r#jy?R2T0e`h*|LqU#JgPs{7^duhC8MX97y8?tt^Sq8d|U zXpR+&|K3&ei)^3#4a2PJj*z(R+sja(|I7ISa^5ahf%**9AGyKU9`}nip@4??1FJz| zpv8M(9`f_-C%M7D1yt> zV6G=AZCcDURSia8j!kxcbmpm8a;ALCe@6XDLFQNqUQwhUV&r?3Fx%PDjO`bp=;p&`mz|#q{ zXwXC4|_Q{jK|(O;rO315WwXIfk2xd88bH3{(TesdBg==U!O*EFO(~|zZ>Y@`qql- z&H~(01c70>`sW}IYRA(0Dk7B+l#7th=jXlh`j3M5)#~Q@zyRN;nFYoU6wZzGe@sF? zf;*&NKW?wQdbVT(58e)Olz`WE4eeh}XD$?XRft1m*K|CbLAZ!R}1H+?tB(zTeurB|jY5cf>Ne^iIoY>RSM+ zH&oO8A6ORx8|rtWancM5-_A_`q8ul5%^f)0{fRJ(2mTe4E@LNh(YsANh(hLN66(7f zBGw}Q=zqE00NqaIo45seRO|2XorIp$CIm*c*DOCTML2%aFW=b2%_SdARC`Oo>>m4f zvE1xdd+*G5XUr$u4f6p(%O3teDL8k~WB~2rDr@QLD(&zxTcRqq_5L1g^RmV24987I z_6x{0_AiJrj6_FX0&mJc2@9#eJlAf_;S&K)r;vr-pYMjAB1VyNr|2bcWp$PQhAtT^ zoL=fsr|Vz592f0md~?~Y|2)|k%Omasc>zWLT5Qu(@B1)2NGF-#Ii31jSdIns6Pzq# zj~ek{cQy7GVMg_Tjq11A723X?1?bv(XYPtIHC@==H z#DKM6ulOuc@YKAKR>1&BoolnAZHSClq=<^LiM6*9$K9?Hk>T=E zc9Q9U?PebHcIiuY>6qr}+8Phq8qNPVi_uc623V3QbSr!Zb#cGv^=u2Dz*+rn?jktVlLkL8 z6azmn^7j|)6kW2fWPtMphc$aLz+=zk4bia%R>D9e@d9@#}pHJoB^Sig8xYOet z z&#+~>h+w#Q-b-hiJbGbplvb_-lD&fzcgpy^JNaO6eGAjx|H@?&6nj6}xVtgut6^ay zt+xjn20mSJM<5il%1ij6JMm1P$tzb}N&^kcu>Cu;3)s{->haQV@1_&^H|0~_J_UPv zw`!TSEMX0Sw~J5S@-HF1@BcP@^EbY5<8>cgIF9iH>zvQ!x9gg! z7MAQgv%}-Nvv1_ZqznL0^Im1upzU>QMZ%Yjw0Js`k~Ciy{TNgY;83FPc0RKvWpt7{ zaMX=aQUgT1(2Apdlq|PmVumSGP`5N*@?G;X0Q?nW+~{jvaLfq==lxf9 zg0y~6Y0HPu->f8*5}QJnna74JsOiGDukhcpA7m*r*1!7iY>r3fp*!QrMY!&!Cxidq z02pNk%kV@oX8uRA2mBN=OjK>&^cB(-@k=JhJwT=Ox#iV20;TWjW7D06_!cKx041_QZT=rKUw-*4sZg*|NO9|XjDq9mh)(>ToM>-xb@l`AK@|l zEi#LjOb0L$_>7#HvXx@_WPd2paF=p?P%wJFU*_LC^bDT839Xya9`J@Iw*ipZ*qerLhnkl#nNXNQdWO`_I#aVNaELYHql|UW)Gb8{Vi125oF=UOCg#3M| zzext1hnu>>Ua2@@ee@kUJ=)Gkq$}THT(6A~Fvj97=3bB@f0R>^{(x%^?YuZ~ty&TyWK6t*3mOfAp`>zjbyW>-!^4^A{|xM%i- z$Ni$y#l>)#C#wH7H-hN%1j$~VJSX8Gno|Wr4RIfQxd}SlhFuXJ3cJAKIm;h@!_rrT zu3C=_KxSAz9U2)idA#VCjM(7>+o_@1fLl+jn3e8ixEH3CsT)q$A~yPMcsHg#{d!JY zv1UFFTXFO9rj=Ywfc0E1HXtRD0}ieze##KzIP}l4OUGuKf+nMYp&x^6;B*SJL4G{e zGtMM&Adx06!jjXF`e7`M6p-h71eHnf{L2B!b5qPSZ8$UQOH|)<6W#DHz;!jKr6J*rhgScpwz}hFVh1GjRB4Ru?=v1eoTg ztDQ)DRfu1YW`aMVfx6yNT?l^M6Z{FVkx*UoenpJ*@P@CyI;7ICp!%xrICC0o`HYEx;&mSgEl=yhifd(& zVXkdbJJqf4VT8P$G!HGW#<|SArVZj7EQ@%kFGT-W<+(?EFviDk6y58WdJ&-mnCAD4&2xJ z9Jsah;cQ1A&Z)J3&^09{%PziHbZYG6ysR&3Qzbl=-uu-4yolJS2CFvHDSFy_cj&Eu zb?Hd$1GuaZn9z5<-9^;*uI78{Qz~d$8ambCo=hEI6x7rXLBn$z^cv?M-;m8Mk-nj6 zM(^i={@x^`PF24f7936H2N`B(|7hYX4`XJC$&>FZrhH5d^vSFB>Cq$BZdlm=Faga7 z*!p?kkh5o;R!rsI@SA_D{$8kiu)|~C%Gct`gxrg7c_zwShFg|$(w(=-gk6oSP#(H$N=&IB`v|5^*JCS+&&1)IGnVlfb6hF<`#;?5}SWdgQ|~Q5dYFVjQt*qe~K}FAq_au=#TE5dXPPHO0jFxndq+vYJ((Pam)gvpkhh<=&|PfGl^C*S&wke_fIrrlRHR z@n*rOvV8Y+6sLZlRkxrntjtVkqWEASahp?s|Lj$7Ud6^8@uE(3seXJp!*?vfp-S|s zeOe}uPMN5kDqAy9X`7J@60k@Lr-}SgUT{M2E=8#6=6yk!an75<=lK2==G}NC;*_Y? zw)SSm@+*+J=%Mh#nc9$$&Tq4cU^_{@hbo&n@O5cFL9 z31GyySg2L!aKsEMQGXj$+cxL$W3K<_8WR2Zt7HBI<=(*P;XQNQW?)@yU5IAG@5)Xg zYWsI$Hf6iD*W7yb4Qn5 zcgWuhQ}nqLO^{m3p=O&F_V&5;?Vq3RqGvZ}efr71wgLsQV(y5UR&qg@%3^7rdFGE@ zmyWmWgu{v#|A5{KUQnR+`r)4!DD9Y|PGZcdjfW$h`m=vo5qyUEj(lH*4$6dVxCEr* z^6D&1vka0oe}1RAzPm^^UMc5%djxZ>6%^;6V~+W31tigqXbc@~n6q(dQPTq*1b4tq z&(Ew_?J1lkAKT@Ua4>ZZ?`0uY6A{X0v?d&y^FTu^EW5G#elFG!khRK-r@ZCvD{`RsYcA;F%DhfcouEWy92Ke-1JB%Ftq92-nfXmKL+ z0|%Wf;Zbu6u`vn}A8)q9AhR_eTzcK>_o01nRowE-1JhYvycJ^S-ui%uJKk7+p!!U= z3us~nnvDKdYK{kXT|_!|@KhB~hT)kt6#2 zkk#G|xMPGD%m+i9RE~$P0aFzYAN|oKe*Y2hbn}J;cm3{XcbEY28#SiW-vL6Y>V^KB zKc9~*Jzt>pm(EY!Fa3u1+AT{vj>fUHf1Uy)Mc2+rf84+J5yN%CZNA5de?4g!@%_^F zv~Q-_9=@f>SZ!dtm!aO!N^42l$296?^^QFX^LjsJohumM^&*7V{Q64njLphw54hn- z@^78hiGEwZ61^Wkn38NJ8WW}pBP`wxRajzjZljwaP(yd|NVamW#AKmabV774J!1C&aN9ot$feyTq3LC*0)2tP|1nEXg@ z6uguFM18HkFvNS+WqMe7I0EfkpfidNp}J;!o+qcAGs=nvuo%6C?Us53{v#~d?(sTn3y z9oaPZAm;Hqu*w?am`peVwG0kCNN`tn!hmNru zp`nw2#--!GELKVV=7EH|UJURv#0APVV+E0IOhV4@C~F{s2S@#apDq}CUfYi=jl>o% zXzmFBsqEb9J=3J=0wt+Uobn0GfbQFjTzfIpm z*;s$0i7x|RO4w>U^kA!k!Gn5CM~g4UKIzBK{YcmAf7Y{O{I}okkp-p$2Ns5q{aP6Bv9hOYl7*{($x_4;(tbFQ zFg8kNb*FX%fu+}xh*9iI5h@CP*vcHq^BvP`>JSyVy~bhN?*@*+Xw%(Q;; zt}F@l0CZHNevp}`yU#EU~X_t_L z+M7S|)?fa=8-$-BlEF-Jo3udzfWLF};+7}Gh6Y>lf}aGy3vQtUg^^shzl{oEpxnzx z@1(~ka{uDWg;(Q*b`HO=kTxMUk{)fOu(_@tFnJY=&j}IHw{`JgqLY{|*vOoRzPs-L? z;tf<;20mO*j~SJHpbS;CjUY+14H8?lje&?1>!h?l^om{Pj-PSPNWt+lZ~H+BZ^}ux zxQQrpR_+lCe<>`@%xDL)KyNsQzyeF7W0h~^Axn9Az3Z9;;n~KptSD3Xyn$GYZR{*Wcwj|n;}rkWl*|X z(*tAUDJXro_*mDH;Bp9Wjj-iAEF3b+82%ePnG5|&1b zyFa7vR+ok+FzUGY2!!}3stYFq-x7)JF6Q^7EM1?lV^zc`W-Mz6GqUWM-fd zzRFly=i@7C#;ryCSx;k0z^9l#%ZGokaw41lR-dk{8r90Cc3o3|w}acD?=eIZY?w!; z|8-Km&af{|a-3HbxOXarjLNtE-%wra(fK760|mChAJkmgz7xN!YrD50TD!+7k0F7& zqSyn2RMySq+opo|?1#UhAn(2CZaDD(|Bi%RXV3Ur;oK!j5nf!q#@CkylT+Zv*Jo&I23iRP zycTc@ag`Ym5_Fl)kTw^1kei{_H7JB;9Qx4~#qwD~k+D>1y@q%G@p=8;|Ka=n%MB&k zrocDRZpT1-Nd=)18G*Gybhi9$+EE8V1381azK-svgo!;~GWG;K#t!a>0>q3;Y}byb zfOz>7jF88dHUsXC9Z5&8MRQrw%;yFH=el=w7grY2iIjAKMj5#;DXqgLbZ540)6$IE zmzJo@XXL+pZ;o2K+u8s1mV`a0R_m&%LG#YtXw> zj+D1R6F)=+qSIbon2Qz@u!+1vJ(2h1oi7fgu#lFdy`i|mv@Qh^KCAj~C}2Qc*DFE+ zmX@XFBv#N6Q10*Cdmn}i+38aoF1WSU@;tn;kEcdNmT64WGn7A)^#riP*(^dq2M&M# z=n7nul}0$3)*zZpI%hLpC6NxSsYX8fTUsm^-Ld*)vre~9<8Z+LUb8qOC&HLNM93a1 zaUK~faV@fJIHg%(p03n7dQQ7e{D>&i9%tVpnI3QWBW;%4W-f#2E?&`xHP3VD$Z2iL z3-yl$C+%S;N$M07T+Mg+TS3rz8Gxvmjk%Ajk}ttY;fisW*G&%ESZH?sm>jN?yJ}*xo_e)HW3#I45Kp!+5D#rL1Jyof*1x=_k)hYj?+m%6 zwe4HYE9FuFq1Sos}s6%?}VJF%O}M>H1+_ zO4@&gS4fUtqi|(IU-vdBJWq8sjW*m;t)i#nV*Fuv^5?A=m(g80EQ`m#L|H|<4=RfG z;Xo~&QNereETucsLYd1@t(JdcnAPEn$hK;7%)A2=DJe~82VCgMxo>g$WyZ@S{kxKo zyseC?L6I9yO;z1cG`{;C{!p~+$6qNO-Ffnc=Oy4@*lPQ&HgiBrVHN11_9}{gu66S! z2j?M>ld>r5*PR0`8UQlh^JiA(PY7$hR$vcRPEOabybV4sVw_)uB$qh5dNIg11E3aT zK_>~uS(9^ek5*;D94C$zC>$!8k;~7VXIpP!(;b(Q7XQTAyNa^>=ca*H7LFXv{Ml>7 zXYzhNwA+U_o)a8VpWfr=+!W_49d9))uI#8qLCY2Gy?~P;(9KaTG?R8bc~}PnX5qo26=aBOvXab*hg-=WwaYxMQIgs{!*Qm}-Ho74 zr-#MHjQl*JErH%F`+SUaxaSMPGPr-SrR(4jCYh~R`qx;-a)X7eDVbGCFmJsmIxV3Iq8P-ge?0|F8nX`F_X~uY z7n9Gj6+i$(cQE7KRE#A=o4oVHlV&1Xr!AX33M2M$jjOUP$28_ecP5lcYVvwVD;o&q z(-F0Zztw1CIT@`9t1Iy+brm^r4S!9uEH@Ksx=dv^FF^s%Z%SVE1|1iI(t0T^{X-d@ zQ_U8$lJ#6G^0$o7>?R9qRurEWqK>!LV4|~4ENj2dEX3;`k9JR9a9;J@Z}^C1Jqo0Q zpa)k%6dF1^an3ltlEJ%7nyknH96%*$>+X6Mo=d^5_f6jJL_<1N{Hgg{9i z{8Baso)Fmbve@K$7tscl=hfmkN_0iu0XK-^a^Y59tm%FZbX$xwa$U`_V_CbpWOD&B zZKf&!6l+B$SB_Fyd5dZsq4AGZWl1cv;@p4wp2^5(>vAJ3O6mJc79!o9BV6>stDtVAoI~S0`a&*esGBd?%Xd zsR&WCWO>$>WSWNA?7oAoU(cpO6ZmqB;n(Mh|3d}+2O?yC2^s=Edm$(s1(u_X6#`A1 zxB)>fDbx11-sw(IymM$A+pGl=J_`g2jTNadCGFQtzFvx6FK3ZYk^z`$xZAm&@X53x zyx@CTPar2k`RvkS=vP{K2<#SqR~=D~xUu+fyeCt@`O9oQVu44vAFluTW)`6&gfz272GIF>KCWT*(SdMAP z#Q3tfOUzkHhOk0~_$Pl|Mcrq0?zyfK(c7OTh)#Wh6r?pG0cqu+Jhp19*rdjU)~i6R z`tnzf{Vlh`3a0x-RXRkDAT(y=)Hz|8*L*yu{pBMa$algmX9+c}ddO`h6O)F?R252T z`)BT0V$Kl)C6$h$(Aw(O(12B=v`F1Lh1YWN&!Bl(cq(~FRn7z&7$U#q+>G05ij2It+; z#j-QZ;#!zms(8D-2q~RqvG518k>PzLI``GqCL4qGsNZTJ4r9A%FQpA&%3S$WY13yt z8qcQa&Fh&oBM6*Q#gQ;x=R~?k1^sHzHw7Zzem5`qW1(vak`Ep5@y7 z+1aJagm5JtR0f@mO023?u5%ERfIMQKo97e4L4P~MnjWO&HT&&$bqFMdl)^J~H=QC@ zbos_E0xLBmR8?7eh4V8<*jZRMF^WUA7nleO?PV2bVX7eK@Kw*zEH>8#W5NfE54D?c znqFl;sls_r`WCGOPHC2t1V$pH?7J_7b~r+3Vl@?x*W0) zDM5G-$cW5s%y$Bs({N=2FHwBb{!DcPtq@zNHS-DAG4VoSN27!^45w!)wV0yuF#7My ze8oyv6Hes5zuKThU)Nb4gYB39L7jkwLF~f9^MAhs;1>d#29TO zn@9h~>xfKoMjAGnR&iLxgP;9%WTP-T;e>MvV<3&oOT!oY4OT<(r~1rZm{0tkB`ob4 zfveHo5bYY*&`e_jN0Z2(aqFzzQ3Yxg1$S#pRajVKbsy-MPYKj70%%M~w_cDxs&7kv zwb1LODv`ovsEU83j$AliSi^uXQ~^`+aG|jpLN61(X%!=2g-CcGV!;F|m>DT~K#oh6 zjVV>a*|QXLRS*&}gm4t;{Q3gVYM5_9-di#z0{ERo>@hd4s1zFsrpK}xrF1&t#^*1J zUD?5PsA$3mYBwR9%QRUx+@V7)QiQFH-IdTz(HNmp=NEh80)mPP zZiVEJeIXnQQ=w{E)N=RV$k(6dZ*-ys$x!Qpre=gD)W2U6B%l<(Y4Q7*`th4H-r475 zB5hVeWRF-Hf)ljV#5@bfaJ~wPx~@6}6>9&kGFNZ@iYHSR0r;8=gHtxRtFp)>hqfsD z1!M2~4Z2gsID8ycQ80@f_2*qOD}i%lOfaQ2e^4`0K*=7C$e4<`=!m*j>8N;LP~<@4 zLiFnS@i!g5L3#}CC6ssgBY7e?Of#!RS<-I}Lk-V`sZt)I82FnX<~Fpy;Tq{s7ipa) z-W5j0c2i#S<{{$|!$%ow5N8S2`*lLOc!uECNM3Lh=o+R-fu8+nySR?+G>NUHgb$ra z;ZOs+$>k|u`7)%I32b^xSS9V4$b;4I2G_Oi!cT_?6GYJ1`fY2%!of<`pSAoX) zj%3k25fV}TyJIkxu;2c>24PHDfzlmoF3TweqFJ?gFdis3r627U@^)}?d`TG90^*xW zh=e6aDch!Tt(|zdR#BNMGarV8mYQ3`evurhdY+{k0UbKVrZEbD8&m!PePm~%I#R@lXOxL>n?%4n9QE|``*<_J@TD#*t_ z^#iCOsYwv@VODdh3@J1*qGwA)g{kYz+@ofY(4MS3By$reLg60j=Xat>*4pAmp&CP} z2RyZ3FyA<5b-R8K_}3sw;J(?B;Y~Zte|KCrtHx4;Msj+GE}kT!{NdtLi!&Zd7K>;M zFG;NBF#e;JNi-YwtTa_pIw92nMW+4Yl!2hT;T-x&=W_A)pqf0T!yp`uGGQsDFnbFV zSP0hvay|72S&}5X6EdWMyOmm8H4I{;^1#}!(_kSp^4XYC_|LprtEzsKGp$`L0{yBH zkpZCHdPNuA7OlR#q<_D=sXG1lmn0#G8sUrNu5$IRVURGt4_oImj&9p#>d)DH&1@DZ z0auZ8%&y(3e!fUrmR&jQ6Hf>!yOFZB6KlnsHk=@GG;`wX#lcvg{}^AoLnl8iP? z%`xHshM)#B1Qvojj*+m_kVrRzXK(Y-Tw+7Qqn0MrGb1v*-};ePQhXbHF^9BJn3Ksn zbyj(R%|7kH8=Oa*xb@m+9<%h;dR1DXM!wqG9Xfs1QipNfQ$I4d+5Iy63KhuHg!eg^ zx+5wg)n;?7@-qGmWuF$%B@ODK%zBfp;a2-@zg%(*XKfw>7QBa8JBU^(`i8b+jU4%y zNG>_X&?BQ9>>aEqtBd$dWvfmmIWd)T=Do0ES+NiR1 zrdMkRc?mc|q^8+by#`CZhQ$zAs+~4|?IjZse*VtVh(ZG$z*rX37=4;=%oaEU@zC*{ zUpPuvX8jb+iHoYmiT|ZW1)WyII!Lu9Tlsnx2^OHfVj9O1C0UE}H2CO9_+%n1;KVOb zEx9>WXJu{Eidt(08-&Pc293WC>oE}OQugnN3;|KDoe7&MM#4Rqxn$}HF~VCs@|a`O zoSkItw;2Z=3>N3#%sGG6xEMJx@SI*+Qs`H0IgSD?uKKfoX=@8-+=Q|_hb{yG_=k9$ z$e}4Z)8AN!(;Q$n4wc{UR-~ ze*L861uLHENi!G!71rQ_C{gl}Bcwqh8MJlZAPfIwiJam#z+oBbu&mV%Mj2Tvw;L^4 zsPieTLxI&%NH=Jr$%&O2FXp@q7&No96eltZ(v)AVG5rWi&7cmdRArAunHLV>aNxG7 z81SUOTp<*Sw2LpRA}#@8S10)Qv5ZmJ(Z;HDyw{TkLXI74xW64$O0A7ylHvBqQG! z9y9YFpTi;)TG-ovzd5{QJSPM-DPvx2rS?g|G&qIlxykcvu+Y$lp@b!)3WhzfI^qVC ziDj*lA$((DjySCFi{;;D1=MEJe`75SLca^OfgvWVN)l6nBXVvMshFZkA?1kBbOfXf zeaV&@iSrk+2O1L)Eb1EtvSvlB10gCvQ$2*}(4u%9bSo{&hDBXSVCu<~> zbQMm^aH8R$d+2eDw8t1?X>jYC)9B#F%tU0+F$dI#qw(-yxwjj?Rvpi#B5$3HAImna z@M2#gY7{y7y&$zlbJ5i5aA7(ka&j+dbZZ^)Eu`>bN1r3Lf0cBZ50D)6Pt0SMcMS~_ zp2piOtU)sTs*{cO>4BKS6^!KjB~8mLVi}cpB+Ie-c%*7{8Dv1j*~@+|MkA z(BF$Jimbgy+rpKhoA}b|<2_##!#44QsyB0JG0>1uRuOBslO0KV2GEzgOv235A~zLK z3n9^=|7_C?LNTBz`V_h-`j-V6==?tbZ9tO00S_q}sGX_f3{WFVf#)3qi%a+z6e+So zaG0p%X@sg3RB;nAB6^cG#}gW$0p_U`VRmtms6i2apcV`Im#IVx7hyj6%74!Cvd8C`sU^-li!G2Bs=VEu%gRkR07%j+H zL)%z31~5thhKaGDGLTWJKDEo7cnx|hw>4)P+vreu6mBrS1w1D_xmvsv7d_m0NP>wM zpzg>pQ7DK#@g&!xP#7svLmW)98ky>Z$QLy&C63bdXC7d-@R5`AN2A=yzpAM&1@Dn()NFdA95Ffv1%*}|cEd&hLuHTg7f#5l!~$tH!5 z5KK@*fHh{0ZOsd?5I}dq)u&?{&!SQZS2V`pcBJ!xT0T!@=#Ic$|GHCBX zv}yoGJJ1@`!jwbUO86H|8KPLd`?;(YkANLMSRLCu+tzbSIY@VmEQ2oGK4>J%5O;dz88BGCG=$Z=I*3!nAvV}?q zfefh%HgXwDM4ZXEiE<|R%{Y@;GJ!J#m&V3LIa6IJ^fy-upgKp52#l?uJpzM7&+68z z!D(P*i>PD3G=c(Dq^BI7UeIVtC74Fb!zXVHrOzP{{(Gf1n&C=gSz@qZo9(;`x|NDcfXq}GqS zmDachNXe>~+*?ZFm>{ayN@8k%Be6k{1SJ(uE1EsXe8V};qR-5Yw%{p03b8ke&U;&m zC<|Xjhzgo)46xSL0XZtx&0}yR6DCt2u!)CyTv|wvOTj>q#N(ozv>$z8{%iBoV9_dU z2L?;ezEHyH+1DB~+m%&VthG`u(!vZWlnPEP2pF+wm?-j4_%TEvbmI`r50orafdAA* znFaj$EWVbdwm7&eNlUzLH948JNPaEM_84l!)N8pDS72mOyA_E=q#;gD=c zZ#{&q(WDW!4pHr{pKpmiMXN46QY(@hyTy#Vkkkk!sDnP3JHe1Tq%@-yF{RD7tO;!` z^!7KcmDfqpHm|dcyv{Q6I^kCa zuT$X4>ntO$6IPyHCk6@0?|1=>57sb6$aDu;;Z`;h;c***qHTFdGrJE8yq-Fm40KRb zk?84&gJzZjx!018fI7Q;!4AT`P6iEWKhXdR!m8`#OXJwjV9_G4w$9lE z&xR<#Jb{&O%w2_bwd;3C?j(T9RxvY?4;G(T>lHv}wEOl9LvRJgxX@8tm9D@J$}kg+ z1>kaa!R<9P+Ng4}@krdf5R?g@uGd~Rj`2LE&uGZf>=uSo;R8)WE4tIXaj;V;VW@nq zkJ!gsZ$!-Pz!4%P+57eTxr8u#kG<8s;%hEkPM)Q z=(gqADHjGpueZYDU z)0s|6dO3uQ`udX`RDnIL6?bWca8eAM1TKi3lm$e_V%0#jF1At*st_Qz(Q;Ks#Ou{Y zT(DN^iWN4#(PFGQz!b9d5(HL}V|5@IpGVRKXr+F@F>de`ffngfsw;+UCrp@5@oo`P z@?kOKIb9sM)?zbZi9?F2vLQW2o|(dOd5^-pSNyM`l*!n$ z4&uYo)*ni?5Z^>xg)-(=oNZHeQG2T-Z3ETIK;4pX<&8UI}thxoIj{eImdUOOj znj)x&saOJ8(kqVa&#cpbKty|3FK=rfwTVtw<;8JClQVV?Wl+;9r zMrIbGBmVHoepq*o66Rch@IezI+0rzjo&w`}HG8MaN6w%W$CW#hHDxXw`;CcWnM;rT zKrz{jpB3?hU-Gue&WTJIkG{l{SN%=fICNmq9sQOljS}e3cQU1UGo|h%o(27IiZ~3;zdOx-l9~gr`EpqN+%lW=QkVH3Ll20t!9?wjcpd`lo;Rg zSuy^w(Gz+#Okf?M)op@^f$hejZG(|(6*d@qTe?A&5pFP1mDnJ-NH-W9wr0{4HmE9B zH`o}za`m{uq$f9M6GSexO^|MoGt6(0zE`|KbI0lhXi$TZRn2FPd^PxEFmI(@r)w*o zE@O|5+2xF~5Ac~-a{_CRt~N@|wAQn<^hj;Rqv|jgL%VBl-(c3DU|ggWWQkIcU`#>E zHz{bXK*6-tEF7(sg3a+wYbXWNp8VxTo4`6M1$B&=f;vOX2BUnJK*2Z#MkyHXG3a+k zGNK-JVH6ClcnXgtNpHiTqLhM-39P+QFzKoZ6`X*FP;JF?Y%GJIASY+yij)7UBZ^Y6F@AN(CIyq8q@Yb;9gTwN(FGLb3@saszo9k? z#>!kN7;YeGEFvWU3L!Z;}fL(H2vidun!YDATSjq%MI8U>S{q@Yb;9gTvi zkpu-fL+TWAgY-tC4UV-)QD&D%`hj$uf)p+hu;k#rrO2*$iYlQg%MdjU^?CfbTLNxzF_f$>~ArO_mePb?)rC&T8Pz&aXD z(r-XO6V5QdL3I|>9VTVEMJ>`jDjaVTC8h2%G{iO=4H>4_a1u8Q)=Q!|{*v zgwDEK8z|ncO*GGg!BMXF8_I0h5W>K)NvwmTYCy!W6pa+HWW9-{q&Kmox4gts2#JJg z>eUlXHc#wiW&`~A4SJ0lyE2Z(<*^A*J(@~ZTOY+*&fh0*AP`Ov5!luXtIe59-dMPP zTr4X;XV)+P-QWD~f(QHAk>e+hpL~0;@|+x4?;fnY_WC=gj=%KsX{gWPVClq(0bk$X zPotcA>(~qTT(;wkGtRhH{?0gO*MI!czx>?=XYTq{@7n(5EysquIO_KK&-L!;-#A~} zILj37Y5)1IaVAIIasP!ajZ?bA{)=7XjE}mP{Fizc^v^kQ?1g8uqwd!|KeRWQ~+nlW7SOVvGobVc9QF#`P+ zSof^|uf2=+fDpm_O9t)Hs27aIN$%1t&B2pGym7UJGVf=q5DqH|EEPw>mZ~1ZqNURjn|39DJewtKMz>#eu7pjXf^&f7daH2*r8+A1}Z~9iw%H|IJn!7B2Vypkq3b z$Tj~Dw=&Aajs2f@j3PSdQU4bmmE}?Qw*RdtmaZuxR{O)A|JwyBDEi5JSNdOdOgKI2 z-toWQ(lCX4$p4~4lV!q|pYT8Lm}GX;ebWDOOOs68!~SQzoBP+!w|q9@tjGMn*wS!g z_n`l?MJ?;pWVh^p)U`WNDy)BJ1aUb^I?HWgT{Z;>+EsZmF$NaZD z#*tR;g#Xr}aUwid?+n zzp|w@Oxz*=)opK981*dwKejZ{*d6d+?)Cd;$|UZ$JwG_Ve>R-X)9%lD{)P+tJL$5C zeEo6H-xywH{6FdWo5HK?>qk9*b9fab{AthMVvd?{${+Ro?V(ce_v4R(#zDL8!SceCGjYrhVnTa}7dWY$0BpJ*LH zc66J6rrRJzZqg5W{$KT42a&zq>i1sPzap(VnGH9OpM+igO3&|U?I|d`&Tn+}6hwTr z=O6E?7R-FD=hwTc1;=0S`N!HkWm%H*D{VD0<;8ya*8cU)nkF_r*Ym4w1IVJ@=a;(H zB$)a}&p+H%E$H}W&p*^vEyVhE&p*&rE$sNMo`0}yRf3Cwf26HOR&=>9+iGOCEB&~w zMwWSnFS?Kv`7mGZ`Dxn#vQqCS?*vMBLzCPKpGdclqN zn#P^uf0nFAc=INIA}$dby3D^Cmk5b2@vp@tLhx(->v4(D=NkX9xJ1ZvwLcY?2z9RV zZ^R`+oO}K0hx^m_z8$?`clY~W?bu#_6_OYI&$rp1zcS`N>VL7#{`@T$_m=Z?Xd%YBGi4r|7LsLnR)D<@qfOfTkNBs#d06??_AUBpNxbDp73vPWt55gkbkRV z6ydG?{>_^g1Wc6PkNc0ekB|%BZ}`u2REn7F@t^9b6os4BPYE--}6tmdK020 zZudu8t3)|m?>}%|*QC<(v;Jsjwe1v_@VIp|;2MGYBw-ES;tAS-*^?_JO!15fS0p?`G~C#+Um?e6n~)*%Al zihK9^q6TBp#U~dvn26SY?fU+eEe-U%ByQlVt)dgNuY1^^XdT4%A_u?^cMoE$EyQ?b zK_kP+WB#MvGYQh4_s=aD#P+n;AMYMSB;*DEk?uk4qR|&$RF*l7Y%vT zf3Uk=RL8Ua*;Y1H9LOd=;6JdSfnezw|IC60!WmEbrx!F3COzz*TF^j{bjTlG&|nH< zbzngQVXPzm$btrf=Y#&xf(9jG1_u{3n8DON+1&u)jeY)!EBY7Lp4Uh?@^O+UD=--KpA)hFI$$ug) zF0J@=|H)+ViIuy%^q=e9*uSQt<3?m0f^r%98@ud> zsg{YqVb1zl3*n{GzrU+ixP9jD?Wz@Fx!(`FYDLx_@L5-_i0VUrbYFk;-W|)S9O}N? z+g8_^yV-wldtFO8T_Swhz8w+j2Yqe_7w(ToqDfc#Ar3?1xcwN%z9KFW1YYFJxFknh zWEz)bIEu~Uk|6>d_lY|bN@fU|+`p|oXYMTj@w7I*}e|3A)j@=#p>)V`mB&YaqZ?o^v-Q~Zr zy(N#_P5wLE>sq+0{5Q9`;<3BZf2&vY?|qMb-Pye0YF7usjfKCvn;UU>eZ;SIH$YCr zqkhkV1|_af*B3M>aJBt-r?G+k5`}QLziGi3IS#@5T6P(Z5#HZCcT5X2$R2ZlM`!g2 zk)Yc;t7YoU-+o#DqWT!8CiFFbs%1g!BwL>Pdpd_?;PIBuYSA$xe`nX&qCdv|uFlS5 zB!k@gZh@6~Jlk1oDviK&!{zI{y5zwydCR+?o}1EwX~n>+f7UaqQJ&Cr`V5yZUD>O?K~gyQC1n-or=S z?dSH-UYcwiKI9yr0?VJdLKTx zw(4$?-piO~r&I$#^=(qU%xNwJ#Az--LrgP7L!0IynPyo!Um?>hYu^&pHcf#(2t4n@ zFr4pZDO$mN=SVvMXm_VnuW-Ke0dcxZCczQgqyqsn)raM+MqX=y6$ztW-vGOR}>kmR8-$h5f!f z10wed!k##=rZ{sq2p*m|ys_a{rTE|hw7`TrsyFQ9){FZWVDioVPpus|u)ezHmSsX6 zZe4Km^ioOqe!^42_eqPV*U6g+;D(EEtHQXaeIsDa1S-7I}T-N$93b+<mk7-hmUB-ClGpcbF1bq;Saz2Qz}X&=0r$JT(o^<5!XtBIJaRX|W8?}v zChh_}3b#`hvLEVsr?Mz`;z@VDR2~!$4iE7R@W|c!@ff*#@HpVklMaUtth;r2J}sP6 z@|n9SpCdQpbL{TpbK>sjvv3daS-J=LoVtf(xTn`>2|(+CAo1Yw)5i|I^ZGIB5Gv-g zAYc2JN5Yaqq=OslDre#Yu~Zc?n^z{#uB$GOFJ8nTp4!ccH%K6H_5Wj z6`Y&d+5{dRg(Z^r%_rRxvJ_geO+goMAgk{SXVRW+65B7M310=3IbKAOah!FGt2&tP zA4SJ{nl%i!?w*5D1jmj38tD$kk>Or&R!d)zjpqbRwA48L{`XOGz>L16r(dv(*V?E6(hFfBkn@6^n!+lWXCbGYq``yvH71qsG&(^JAg{9Sp>Q=DBZ1sU!BSE2D z6TfD$zJhwWE`A-k>+2a{$7P1idIo59&hSj#3f5d&eHivsOJvI1qqof65xvRbFnSxg zyMUNL$vwbvM9Eix%Qbf;W(#fsEAGddf@`q(*wTU3%$An;6y{$tIF!h&!i4sl1}n+10FfG=c*hJ z@ff?C@tC++XeE%b&Wm?_&Ri4L@Opn>5s-x#3N`0WSwwfofiT(mjobxUe#ZdM@lb;cLa4W1%ZO z7{2YJt@z|l+KMmr8ph)EmHo>aK8_*xV^{PqX?Qvu?^EJj1#gE1Z_gQ;H?QtrG3Nu> zu&-mnrYFR4J|pAoSzCKz?LgT5TeM0am+jCuVyjQfUiZ^K;_Ev?HT8|$XD<}LXf^cx zd{2g}+$6Sr7!3}G248^FA{Ob0Fj3_<*&=sH-^zPpUoon^L+*<`8G>#T3qJ>Jn(xGd z6MU!t#P?Uvp_O_~c0gB(g(s!a#_^A=96ND>=IZ=luA)N$yxZNY2iDw~1P+QD1Dg%6 z5Y0DMMHqJgIDAM9l>#{pp8#XmQ()qDDj;r`00<2EW3GkF_y_U9mRL|L6ol+5;@2fS!yfH zcImM4dwYX<@-#4qjSf|!nW`KH>?XBnYA}mX@P}I_H5l8Z%-yx}kqVSpO*7{tHQ?3w z4#pf%q(DxxhjOBZb*QY-Y?iZ0;a%p+hHpT#7UM%ADsV@al zsB)3Yn-9SG9ku1W4W>WsN@l{T{MP@9AqZgbbe8EZc^L;ytq%tMF=XRz$}>Ji3PnZ{**&YNjPOz0c3TaAJY|s-)w@2E|yD6=)^EYmR(WY!^0nB21E-@Sc}L*;tN0(y^%`_9%`sSeJT{;JqLf_( zVz2h}XlXB1i1F7D?qM%yl}DT-f_fkC)CeVy!#g>G#l2{;eek*^Ul*L0?3sG_LG`cD zR-XdD0J4k2N&%W&9rcefAD*LeuW!sva!qK@9b|(!mY3>1<}%@BNuDNdc$X)=!$QZ7 z96lVZo48Z=?K%qBXQljhi8r8Qt@PYxZJ>UH383lx?eE93aB*Pz%xcr(tQ)o?!|b8en}z%P+__Cu@K47h^*p_Mu2N2 zuE*~jmcSN@l<(R?Ixfh4nzPyYfnlrzRBZ#ck+exK(TWXim3*H`Hab(4|AjfM-bqf7 z#C^g#Py4y7G1EjFdd&kZ<(D&1iTnU(csc|C?^-Zd*bS*{LJ7q(jfMi&JA4F_asljGG735p$#+8=MZmEBM_yLKAKmq!qRCABO*MwVfe0wo~3SXJ3PI zMrmN+*x8im=!dSa;PsYkWB)S5`baI)Fq*+Gb?5NSQ@vawtM+V6U+=M zetKF8EB7q@xIow|=V3yasWVsxhe$hq_6-?}fc-qn#v5>i8ZuqP?KENDBCL=ld}e6y zvonFunQ458(dPd1!Ww0}H!G0UjY^Bw^Q@Jq(N+9+COxRzS=-=VBH-1Qwl4t(AHaLoexhp~_6Jm{Q#J$?JEYz*+ z&wqF1Nu2A$b*IrUtHCedSF4Xlk3pO1Fg>W_%vbf)(M<|{rkTc z?<(CBuBN|rF67+&p9BJktI+)Zg+%XaJ0{ZABp;GNW@IVxW@Bvq2o17!dED@GNKa5{ zmGy#<9RSlz>OoFdt!32-YrHndZ8uUF?Yf0Q_OBX)xzgy zt{OuB_paxOl!~K;yOSKKL~KcUAK?gGC#}<4We_|q*n+%BTduE@|3F;&8R8GiINqPs==YG=c>*M`LVzqs$BrjuYqZ>}lxnQ2B9!3x%B7^1=-h$nrz zTM#jJ9aZ(a^@04=6;ycNH22Ja(>f|@UV;dU=d;_=k%-wHZ9zt!8jP+=JLc{!^qseJ(wYt;$XeiVG< zYM7l)d*2hY#wuLc$q7}tBiDjT3}O-A&aeW!rb>#@2MAG~T(sA3*JO~0FI0c{+@M;4 z6R1r=$YK9k8r}ee8Gt?R`ksJ06Z5_dZ~R_{4KJpAJnT7J%TmK%-T~xXboj|(_R0HF zb$HRFT>>}G^&rs_gEIKC1G>2_%B~y(!t`cd^w@agIV^UXnCPOygMPkXrjy_V7Iz^s z=T?h*ufcxuE(EyvmKVsia)Uy%w0Oi%h^Enxp&Y_b#}x2{z7=2dVQsU%birN zdh>nR-n!A?v5?U@;v8)$-SYhrzavaF*JcG`AIk?F}wK4_xkW6&++u}@~C2)wS9!g9EOR} zV)c~$*?p?y5AS*LUQW+>jzKnw(Au5qh(Vh#;|Gw$vG6A2h!YQcOOoU_vQAkpx2xfZ3UwGf z2{pEcF)kw$s~SuL^bWE_I(A_`t23tdQf** zuVaXdS}wiKRM9QMgtJ1cF@Lw#)y18%BeagpC2bOpZ`Ph7mGEXEl~BiiZngL7jQ8HJC0 z-B8O=omNl2EC?3Dm|H^_Im}x^ym1C3oGQO_w>PDC*|A5(68CSHNaa=w0V6}zV&|D@ zlqgl}s@IdkExIiKHJ%&kFk?CoP3*cpRIh6-pNM?Vw^I(2JG!DpZ|_N-=6qu>LY?>E zjEI@UdiC=0Z`D=6&8x7*K(I@{V&#`KnSd>!`L%#!1OWtvUj>fhnFvxaD|i}OAJAa5 z&OMro5kk!Q;Y%JrL7z9Qh(MH^W@`SZRR2)#6Mx9EX_gE%^uNF0JQx)5bLLnJ ztX3?ozV_llt+05ayw6GF0F&Zu;Klm)B4a`(SBPv8r?$ivNE5ac44fuByf#EZ|6@#O zVvK?$kksHJ>Zqs6>o9y?`1wX!P3_VA|@@8B+^1`On)UNT4EgKD9jtU6zYaS zS2mp&_Onl4x$2QY9IZsTqYjuaO7uT}j)tv7J4n@Bi< zr^WU>;ozY22m3@ZGR~o62I~vI^Rp5_#}1zYG&*iov7h6a%$Oj|xCKL%b0$GiWr%uE zn6_>nCz=Cy3bvuXJxnPb`B<`aR_UE?eb!ylr+j+GG0Y~lm1k|_ShSzXF;N$HP5>O3bIdAcaCCwFByInBakW>c_{PHA5gxnSk))EM^NZ~G0+#e;cL+Ve@dhn$n-NT@w3m&ls`f4s zb0}*_a=uozwkmjMvN&^-f;w!H!0kGNk4$R~H<``;x5)=a3&!SMBWGM;&makoaJHyS z>Ta+cxM(m~vuJ;m+Q>1MqxKp+R9fdvv)c8_{1x@Y0p|5|k3y#V%tN5z$1j0vLXgE~I$Zuxt`n_?H##~ckMci2 zdYJIaFk@6xKAHQ#5A(CmzqBL~)+g51l1#-6Q&PtsGC+#@O5M@q$W_2My$#24kr=)| z@}yGUkhEZQY0S28q3bW;hR&R{@ar8T33;N^585gxOGv_=y0FV=fI|3`ZU7>9xMhNKo>>RCd9JK8~AAhX#HYL zLD0pI+Ef|JsTb!9ci!v05UW-vaYhCc8u3oRC|H>pp&y1Rh3GasRFQyUARwRz>HXT` z7891=O_QQy!FdDjr7ncTCxWe#ipQgSJwflRyRM{i3uSFGPhZE+P+Zm(9EYEl>*9hL z4UvbmB=-BhAS*va2_oI_?VvKIBHXdeXU9#JfjL-}3Vja_a>W?8Li+?VkrC7D-@6X zGF@_6&L4$@=Ntr74(xRs7!4xQArk5d6Jsu>%^h*>WQP_9KQE+0C`6goEKuDOLRsYM z507dDmzJVgfIr z6zhl$7$n;Gd=6VqC}^5%dKX({=ZRGnq{m{3d|_hr<7l~mWGI?E`>~|E9vBbGSwq`8 z)M-V}q0@jZ;y8bV?FdRL=)PE$u^muvsb8RL(#;TqbdzDeV{N#J6b%vsLTN}Rd#h;W z1ae~hwWR_-Oztnh)RgYaNyn0Y*x7R?v~Ob++# zKt?~>NfsnEYpI_lvG0bn;dmsxUgI~FNA>yVRTYVc1JOAar70SV&q+l*B<174)QMO+ zm>c27Oqiwj!3*SlTVNGHGEpaQINlk3*E}ZCD|5b8ur|3*;e3g=!#l{ARmZSSp2yXA zQI~xd=+af;K3aO*rBNj}h*FO+A*e7F^g3xjTjtZYk4Q?(*Ao|^BGyP@JaKnDA#3zx z`682jeLw1h8WdxXlox-(r!tWe)yNu(=Os3i;CK?HPmW6w=ZVy0NQm5q6Mr*>lN1iB z3X9zlaX(hQjJ{{;fVC*-v1WpTd@C@$6^Vgxfc1n@R6;R&vA>Qmw5Bb%8BT1iNNY9BC@Du2;8Cauyw zUed`i|E;84qmjwtl%eC8|FDtd_RF+XsX`E{PsLsE&)_Lyw=kfeTen?&{nk_;dMUly zswa#+8K>k7v8k}{*>-|FCRLmf1Aa6dKJ~*`J;bJBdVZ0qaZY|HTo1ty1uCEnAMh~q zg*objKztZwTyQ+;n@tm=4JO>1RH)L`Hob1Or+<(Ue-``l_8nBlV`E_ehCjYU zOD6K4T29g(%R$jF4+)GQ*(r1;y+cG$%0)*o^Acvn;@@cZ3RXE`*lFt^AG)$Ej|Ai1J?x!4KGAeu@W0hq4MsY@b01iZEq`}8 zkJd7p?V(5Z$du2pq={b1jqn=eUSdfSg6g~ebFOW{U&`Sn7V*hBeQt@xk zDd883uT4i&Lz35``R_C>a_4`<*xJD*bW(Um)ZCpzNU_>_9$^m8(|a_789_EQi{~Ux zCJD^(6EhUP3&KSBwH5_13Nzcf&YDraFmIL-zD4~EvD`4sQ2NRJ4b4V+OVE&x=tw6_ zoy0tEvN>v&Pt_N>4NX!;u-mb8wR$hGG7Z8eAR$rNUME4DeEsl7x}lH?UOE-x(wF^< zR9bFiq#$o&2aiDU=Lp2xAs4U7-qSW;jIc5hOn4jA{a+y+4*mA7Y(N$&ZuWT3Oij26 zq1x_*OMYaj26#R~=vD?rKK--}6Y8<`BzEV$?&^&o%qP#Z_er7fhs63^gjD=P`}p^` z!(^f>?r$UQN>;$^us4sNtyfN}c5lFCGfI7_9bRH<2=~hxUIWrni(#Ex2xh5#-NN3a zw!`IICDr>AL1@Sa7{WJ~oxv3PS*<9w0uGF&Js@UolSP%oZXlfDy}%M2yXDe* zugGJ#O2-X^>(HH)R3KjL*szU4OVXfhb8#Fd2h zJZtulMWF-G5z3F6!%0ss1g2mW(MZu))h7uh+urhS)Dy|d6wmU|FVM_75>}+ZW&K7U zwAR1W5H|V3M{UUSYJi7peW%PL_wqD+=xv82@h>#e*yn;)V6K-|XAOa+d8Tf3Je*&c z5GC6JteS2Zcqc??z34n+=?TH?6TDNfy@?bfRl2$5G}_iS;Y9K5tVV_ZD;rqdte!zZlva;;@-*GUXi45xl1A}+6W%M7kESzw5@Tey&?HuhkIP-9Y9Cc~ z%6DUboG$MX_dSu|6z`FG2h0F_u7-%~Y58rEvDf=%mEwOmES$Usn=#+_M|c+fulSz? zVeKK@h-(cKMJnVqAK8}HywFhH{aw@neQagGMqEbT+ZoS6?iP4jnH08#e|}^eb$Oy? z6f{6!R$e${(4|hJK-+15V!5DIQ6tpSiL6!(GOHAkMstv-8nn$#I7uZ_rba9rIR9ri ze4y5kmDZbj1Zv=1!&Q^zpm!^b4WDm(!r1X??{E^`zAb>SMhvI@vrbRAaaa-pWwJp>!o{-Zd9;smT8Q&c*auyRy*1Q*g-r%f?umbie3?*C3 zFh?S%d=L~!%J1~LhtV<2*5+NH`XH~2(A{06oMzRrf15mzSyiU&AqWfICnEZy1l{hT z6Jhm+DH!g5%ae$z$^c$u5i+abN*tYoo6ll_QIK1;)O znO3NPAe)EO?rnOq$Cg)DLT&yFDYaE5;B#Y;!80}BM$#gz8a?-kH~))rd;&gT_*A)` z-!-rXO5LJ=Rq2D<3qxvp*9CUAETFy&thkv;rq=PHkHOf39hp3TJb&*f6Bf1 z|J~ls+Ipq%U_me`>yP~p4;}GNrs698hr6~gTY?|@7b(mE>MS0>90(p?`DE<~NPzDBfdn`R5Dy08{)WW6epcY@_7p{* z(7P?C?(F~9gH<6wqd?@Ho7{4v0oQ#zqej0co*WNySK)NleZ8>LG`J-f!N>wi$(&Pp zz+DW{5Z#^k{j&Fi&oqO*HaSQcR z^xirofFP$udz9&*h*XZ>A(G6Ukn~joP=3Sk(XW)GL)P|_sWDMCp23_-CH^L4~ zyAR6vd;9o%Q19s?CqT};?r|8zE5A<=O$TAO;MFTZ1vIe}_n?l15><)9bCdzl5R2~0 zVfP?U;}FXe6@wx>N>3!T3MUoF(lH5pxKl=l&Q?}ESMKovO@oIrd;ZFdW}Fdg&>~98 zF{%T`l%jBVnKG-xDUB!G!tC}m6MJUm`N3#jb}=s>MD#{0umTI!-~oS%#ytNW%!|h3 zbDE$b-R zgNe>WdVy3(R&d|p(Fs#;nzV=Z?Tm~v?+YvXweSSG)dmyyl?}0XC2e^} zqPE@xovC-od(<(&iQ<4hzF5Uy3Qc#*+$KH0B$FIKPnJ8hv4Y#M;2G&%!U0;a1csAL z1Cp91$*yzGP_&vIe6hU2vo#`oas?;F2nawYKn}$^$S7*#lqVG)dvz@3P-LtY(J+p0 zQ&YB};CaX#YZ*Xj6j&^a_1pr=n{ag7`S7B-4TAZzY%oqp>{Pb0ANDC41F2V%X2y1O zjtAm>iA&3)T@+iVFx5|HW%I~ta@l-|@8Ri!!WtV=o|GBM`z z3^c5)A^tRq-Kt~5fmkJ)59U||0N9VbMx**wo>2S+qM`-OX_azdmU_ZAuw=IDW`rCd z3CYBA@4Vf)D`Q||8vvKu<5P0^$$VcDh`#cYwwvkz8ZD6lyv1-wIe@gEHFRO6Dm9QG z>p0=lROu`L=(aT)iAk;*!ny03I9G{frtzHyD`EHNY_h*cCb*kJH{D4w=ohwR5j^?d z#m}ihjOpW3x{*Wy(BDjr(^YWhEn(7ez|!LlAC}@i^IDjaERqdIn~|OB1->Pf8D3D| zj$5a&WV$49{+WnLPcgg7h$;b9(ExV}mmAm>(!zkF$|Rx7PEcRiFABpn80fa#y?4`d zX@tR0fl`EQ7-bMh!eoSD7*&B#*bKLM`zx`x3)X!Ol%L%2O@(XiuyauhMYq?}6vVQ! zu;?9J{{obiFCa$5&nbid{Wc z^v+^gk%$^SmS~G8%S!ZK!@Z*Cin@BQVMT~2iRdKCB8Z6K3Zg|@q6JyG-gVvg&3oOM zXXcrCp7S}s@A=Mie*etx%wU+hJ7u0&;`mpfCIQ+I{Xg!=(y z)SI7#yr?wP<*h~20>5i=N@texL0^sO@Sqy4z}c0y<4ABcN6QW0UxHE9frlkW8}OD0 zyTvt+wH&(WkJHAj9ftvXBhf)>Zfy%~MXAco)GQihpLt6dEF4wDX#B@-Fq(VL*p0(f zBJQei$9jy#9A|n{Z(&0Dha z_+H2)DQxqc5Jhp5nOTY+2)Y&=;r?h4>7pW&;Zk$bnh9X^4dseXLGtl_z- z;TnBdI#)Y;oEVj8uASH_yhV#_8;qa8;oDd)VlpW z?0I2t1HGYIW#KI(y0KCGJ+14B>EL38E$JfvroIi1|I}OKx7Uhk`VOoIjaLVO-cWta z5|!pOr|eV__dslSm)H+vm(Zj?3U>E?*H}Lnttpdd*X=+1h(CF9A%o6dYauxz8Mqe* z=AFpbTQbZz6?t^C1maB?)#=TnUM6H|9R%+8CxRfwu`{J=d~XCLghJqu*AoD@j3|aD zy9Lg|BxKK{v!cBD*$;w;0^4)sevRR!LuPpY7^_s&$}vvB-y%a88I_@mhZDRllj?EIuO+5XrWq1){ABt3g)bKMr=RY3H+z~ZO^E>>w_&!@inTORrNJVk zX#7zc>E3~2h>OtcR5Sz@f-?6$mW#VU4udqoF4&7akj%;bu!EZ7`b zU5&S#r!Nv)oLq}u-USBpZ8P!aOY40Sd1%>T-aK2^V0ev+BqMh)+?qCzjtpyHqgN$d^@pe3CRs$%|?8d9*MJ5|5WVs)t-{cu8Q0Ou4hM zx8Xrpg)ih0?7}%AN6=tP3R(_wYPxO#zjUd0yF{<@T|s?! z1xByUX!=_r#dOP*EXAM(C%A976RbbaPxV>o6=*>L`D(hdbSlM@vA%xB;0Z7%FgxQ& zs%A_&pz=@Xdev0tEA44$pR@Dak~&wambT_qFG>*ci%F&9%Hc85xi+VKCVrY@+R*eG zig-3tt1?CNKXWTEjF;-H;|f8;!>#^=wPgL#L>FT%sXB+F*kTT&!Eef{crY}Ls=X}U ztV_htJV^It?vhQGOKq|TCYkSdz&Ca_$^Lw-!p-suelw*-1^bykPX~TZSQq0bHRa%3 zvSJ^PHZ-y5yZEU&67Bv_=;b|Wl75CU4g@vrcWe*a;j|qCR*bhL0aPGBq5xaK-l)-I zU+EJi1@hFV-Lx+Rt+wI>e4Dp$l~do-@j*>dyaHDgTjrfh^AyW1bDwYxE~Q?k_ub)* zTA2;*oyBW*v;6AP_{#y+48vVcU7C;MLzf>+8%RS~-Alu4wo0n16$=uk(vw&h(pvY8-Ic6nL7g$efwl^tz*k(>NZoy3TzuexIRa3CIJRj7b zE-uH%tn^Y)#6XvYUk`4Qhg-iDE2Xp}JA)B8X8Xv7Z+^DnRZ?m_yx#1e)|FVDw;0C9 zVsZ+AU?b5y;rtKo!o@YeQ2(0sdjGTNhvIR2uR15hmEck2PD*o+q2c{{H@DR_Io9OJ znhfz!THNd?1hE%*TXOD7c<8V|zIF&VE)&HZzf^A;w@%?Nl>YLziqvJLvCxf%i7E9t z?7GOKWM40O7zD3bsnstvG4d0UJl=-Q6Oq_=ly$7&nvU$ZPKmJywicbaE?U#9*Li~MDaIb{bCmNEGQ1WE3G~V_ zZQJf2Y>Om>8H-0%!D68aR??fD-Z>$=1D#C3Hw{H-@413U=|ia;!~WEb;HXb% z0|+a+Hm&nvzm(J)%cQ=o&iQ9SbDWFb0qU&0nFIg;ofkCQIvY9#`y#yjBz+vc+)%-b zG>dgqgW`JnICEiH8K}&t>}~BQCRlCJw?jHc{UgRyh+9Tq2c?Xm4TjL#1{qkLjI{Yz zdIlpvB{PP~K-}mnLedB-ZwQ6f%DiZhX(Y$7i9)^TNqle7J*A^7ULghiQ<3oU6UKF- z5(}atn!gqK`uW>S2AC$P>XAt^MsFW8Z)0n>JZR&lQ-jv4!IxC8m*L#tu(wfYvzF7@ zGKq(o;qbloLrl$UvA(OXeh4G4E8UopAUG!d^2J&~4hej}&=cZT#p9rtJqDjLtE_qb z$EwUKn`3VZeu-MyKvTnjRIhiVtjD`^egL;BVysyZ8J|WgJk)N`1T~E49d`BYOhm9L zpxT^W`+8G{qpgy)v|tAF>@XKvlTOITgl6w z@yammkhF1Ivg!F4J9p(=f@cLc%lBr(lW@oN>oL9hn=w_VNeOi43A6 z^#5?g3GL>dsOlQ20*=}yh^XMi&}C0Zx4T7oypDRDU^2d#lCsr8t0~f4r8G&qh;F!W%_p!x1I{I3 z750+v2j>aj$hVe(z}_S$d=k$iDlu+biKBqKA*L-WMcVQ(#oxm+NZO!l)pU;d83t!$!8F600Jkm%IeM!W@_hM!%b9f-yH?rs+$0lA0o!Z-ndJ>v0S z=&bo^csr3jtMGS3-@OP)%xoHcCpIc4HlaFeP2A2S*>jyk&K?dv7by-3dQB5L0AOGK zVyFnnIpu>!U4&L$r2J*MU$GpT#M)Jf;~91S37rqC&hi`z{I?f^+_^zM+yKCM+fOgf6rP5&Ih;d5_GpKT z`ji!rbeXIG!1nURP_+?%Q~!qKD>~wh&By@AOKktM{XCRg&VM1te?6>=t2X|!?=OMN zU&;Pn!*NmG#l-lNdBH_~##~I37dyC^$Id!9w^AE&-obfRyU1Of?LRq7?*HeAbKg*p z;%pHLfPuK5k^%q`SI7a9fSWLHeIIQdSbzuA-dRgqPFl-NHQ3Qb9gcK!g32QFJ(2b> ORU};6G*DL?rt}{LQD + Ruledeck: default + Max violations: + Violations found: 6 ++-------------+------------------+-------------------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-------------+------------------+-------------------------------------------------------------+------------+ +| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | +| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | +| PDRC-153 | Warning | Gated clock check | 1 | +| PLHOLDVIO-2 | Warning | Non-Optimal connections which could lead to hold violations | 1 | +| PLIO-3 | Warning | Placement Constraints Check for IO constraints | 1 | +| ZPS7-1 | Warning | PS7 block required | 1 | ++-------------+------------------+-------------------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +NSTD-1#1 Critical Warning +Unspecified I/O Standard +8 out of 18 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: led[10], led[11], led[12], led[13], led[14], led[15], led[8], led[9]. +Related violations: + +UCIO-1#1 Critical Warning +Unconstrained Logical Port +8 out of 18 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: led[10], led[11], led[12], led[13], led[14], led[15], led[8], led[9]. +Related violations: + +PDRC-153#1 Warning +Gated clock check +Net gen_clkF_LED_PIPE_refresh_a1/CLK is a gated clock net sourced by a combinational pin gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O, cell gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PLHOLDVIO-2#1 Warning +Non-Optimal connections which could lead to hold violations +A LUT gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2 is driving clock pin of 16 cells. This could lead to large hold time violations. Involved cells are: +LED_PIPE_Leds_a0_reg[0], LED_PIPE_Leds_a0_reg[10], +LED_PIPE_Leds_a0_reg[11], LED_PIPE_Leds_a0_reg[12], +LED_PIPE_Leds_a0_reg[13], LED_PIPE_Leds_a0_reg[14], +LED_PIPE_Leds_a0_reg[15], LED_PIPE_Leds_a0_reg[1], LED_PIPE_Leds_a0_reg[2], +LED_PIPE_Leds_a0_reg[3], LED_PIPE_Leds_a0_reg[4], LED_PIPE_Leds_a0_reg[5], +LED_PIPE_Leds_a0_reg[6], LED_PIPE_Leds_a0_reg[7], LED_PIPE_Leds_a0_reg[8] + (the first 15 of 16 listed) +Related violations: + +PLIO-3#1 Warning +Placement Constraints Check for IO constraints +Partially locked IO Bus is found. Following components of the IO Bus led[15:0] are not locked: led[15] led[14] led[13] led[12] led[11] led[10] led[9] led[8] +Related violations: + +ZPS7-1#1 Warning +PS7 block required +The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +Related violations: + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_power.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_power.rpt new file mode 100644 index 0000000..f50385e --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_power.rpt @@ -0,0 +1,153 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:40:27 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_power -file ./out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_power.rpt +| Design : top +| Device : xc7z020clg484-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +--------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.129 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.021 | +| Device Static (W) | 0.108 | +| Effective TJA (C/W) | 11.5 | +| Max Ambient (C) | 83.5 | +| Junction Temperature (C) | 26.5 | +| Confidence Level | Medium | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.002 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 53200 | 0.04 | +| CARRY4 | <0.001 | 12 | 13300 | 0.09 | +| Register | <0.001 | 66 | 106400 | 0.06 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 124 | --- | --- | +| I/O | 0.019 | 18 | 200 | 9.00 | +| Static Power | 0.108 | | | | +| Total | 0.129 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.010 | 0.002 | 0.007 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.011 | 0.001 | 0.010 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.006 | 0.005 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.002 | 0.001 | 0.001 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccpint | 1.000 | 0.016 | 0.000 | 0.016 | NA | Unspecified | NA | +| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | NA | Unspecified | NA | +| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | NA | Unspecified | NA | +| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 11.5 | +| Airflow (LFM) | 250 | +| Heat Sink | none | +| ThetaSA (C/W) | 0.0 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 8to11 (8 to 11 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.021 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing.rpt new file mode 100644 index 0000000..d1de798 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing.rpt @@ -0,0 +1,119 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:40:25 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing.rpt +| Design : top +| Device : 7z020-clg484 +| Speed File : -1 PRODUCTION 1.12 2019-11-22 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Timing Report + +Startpoint Endpoint Slack(ns) +---------------------------------------------------------------------------- +LED_PIPE_count1_a1_reg[2]/C gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + 0.166 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[17]/R 4.442 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[18]/R 4.442 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[19]/R 4.442 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[20]/R 4.442 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[10]/R 4.559 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[11]/R 4.559 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[12]/R 4.559 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[9]/R 4.559 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[1]/R 4.826 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[2]/R 4.826 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[3]/R 4.826 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[4]/R 4.826 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[21]/R 4.838 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[22]/R 4.838 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[23]/R 4.838 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[24]/R 4.838 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[5]/R 5.111 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[6]/R 5.111 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[7]/R 5.111 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[8]/R 5.111 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[29]/R 5.151 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[30]/R 5.151 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[31]/R 5.151 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[0]/R 5.206 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[25]/R 5.289 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[26]/R 5.289 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[27]/R 5.289 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[28]/R 5.289 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[13]/R 5.593 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[14]/R 5.593 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[15]/R 5.593 +LED_PIPE_count1_a1_reg[20]/C LED_PIPE_count1_a1_reg[16]/R 5.593 +reset LED_PIPE_Leds_a0_reg[10]/R 7.105 +reset LED_PIPE_Leds_a0_reg[11]/R 7.105 +reset LED_PIPE_Leds_a0_reg[12]/R 7.105 +reset LED_PIPE_Leds_a0_reg[9]/R 7.105 +LED_PIPE_Leds_a0_reg[0]/C led_reg[0]/D 7.169 +LED_PIPE_Leds_a0_reg[6]/C led_reg[6]/D 7.193 +reset LED_PIPE_Leds_a0_reg[13]/R 7.195 +reset LED_PIPE_Leds_a0_reg[14]/R 7.195 +reset LED_PIPE_Leds_a0_reg[15]/R 7.195 +reset LED_PIPE_Leds_a0_reg[1]/R 7.255 +reset LED_PIPE_Leds_a0_reg[2]/R 7.255 +reset LED_PIPE_Leds_a0_reg[3]/R 7.255 +reset LED_PIPE_Leds_a0_reg[4]/R 7.255 +reset LED_PIPE_Leds_a0_reg[0]/S 7.260 +LED_PIPE_Leds_a0_reg[5]/C led_reg[5]/D 7.265 +LED_PIPE_Leds_a0_reg[7]/C led_reg[7]/D 7.280 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[30]/D 7.305 +LED_PIPE_Leds_a0_reg[8]/C led_reg[8]/D 7.312 +LED_PIPE_Leds_a0_reg[9]/C led_reg[9]/D 7.326 +reset LED_PIPE_Leds_a0_reg[5]/R 7.371 +reset LED_PIPE_Leds_a0_reg[6]/R 7.371 +reset LED_PIPE_Leds_a0_reg[7]/R 7.371 +reset LED_PIPE_Leds_a0_reg[8]/R 7.371 +LED_PIPE_Leds_a0_reg[2]/C led_reg[2]/D 7.375 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[31]/D 7.386 +LED_PIPE_Leds_a0_reg[1]/C led_reg[1]/D 7.387 +LED_PIPE_Leds_a0_reg[3]/C led_reg[3]/D 7.400 +LED_PIPE_Leds_a0_reg[4]/C led_reg[4]/D 7.402 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[29]/D 7.410 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[26]/D 7.422 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[28]/D 7.428 +LED_PIPE_Leds_a0_reg[13]/C led_reg[13]/D 7.442 +LED_PIPE_Leds_a0_reg[14]/C led_reg[14]/D 7.443 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[27]/D 7.503 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[25]/D 7.527 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[22]/D 7.539 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[24]/D 7.545 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[10]/D 7.594 +LED_PIPE_Leds_a0_reg[15]/C led_reg[15]/D 7.600 +reset LED_PIPE_rst1_a1_reg/D 7.612 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[12]/D 7.615 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[23]/D 7.620 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[21]/D 7.644 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[18]/D 7.657 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[20]/D 7.663 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[11]/D 7.689 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[9]/D 7.705 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[14]/D 7.708 +LED_PIPE_Leds_a0_reg[12]/C led_reg[12]/D 7.735 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[19]/D 7.738 +LED_PIPE_Leds_a0_reg[10]/C led_reg[10]/D 7.745 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[17]/D 7.762 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[14]/D 7.774 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[16]/D 7.780 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[15]/D 7.803 +LED_PIPE_Leds_a0_reg[11]/C led_reg[11]/D 7.813 +LED_PIPE_Leds_a0_reg[8]/C LED_PIPE_Leds_a0_reg[13]/D 7.819 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[15]/D 7.855 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[13]/D 7.879 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[10]/D 7.891 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[12]/D 7.897 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[6]/D 7.899 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[8]/D 7.920 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[11]/D 7.972 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[6]/D 7.975 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[8]/D 7.981 +LED_PIPE_Leds_a0_reg[0]/C LED_PIPE_Leds_a0_reg[7]/D 7.994 + + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing_summary.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing_summary.rpt new file mode 100644 index 0000000..d3fbf52 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing_summary.rpt @@ -0,0 +1,342 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:40:25 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_timing_summary.rpt +| Design : top +| Device : 7z020-clg484 +| Speed File : -1 PRODUCTION 1.12 2019-11-22 +--------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.166 0.000 0 114 0.056 0.000 0 114 4.500 0.000 0 67 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.166 0.000 0 114 0.056 0.000 0 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.166ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.056ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.166ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 4.807ns (logic 2.295ns (47.745%) route 2.512ns (52.255%)) + Logic Levels: 7 (CARRY4=4 LUT4=2 LUT6=1) + Clock Path Skew: 0.008ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 5.346ns = ( 10.346 - 5.000 ) + Source Clock Delay (SCD): 5.631ns + Clock Pessimism Removal (CPR): 0.294ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.490 1.490 r clk_IBUF_inst/O + net (fo=2, routed) 2.171 3.661 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 3.762 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.869 5.631 clk_IBUF_BUFG + SLICE_X112Y98 FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X112Y98 FDRE (Prop_fdre_C_Q) 0.518 6.149 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, routed) 0.552 6.701 LED_PIPE_count1_a1[2] + SLICE_X112Y98 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.358 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, routed) 0.000 7.358 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X112Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.475 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, routed) 0.001 7.476 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X112Y100 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.593 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 7.593 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X112Y101 CARRY4 (Prop_carry4_CI_O[3]) + 0.331 7.924 f LED_PIPE_count1_a1_reg[16]_i_1/O[3] + net (fo=2, routed) 1.102 9.026 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_0[3] + SLICE_X113Y103 LUT6 (Prop_lut6_I3_O) 0.307 9.333 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, routed) 0.573 9.906 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + SLICE_X113Y103 LUT4 (Prop_lut4_I2_O) 0.124 10.030 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, routed) 0.284 10.314 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X111Y103 LUT4 (Prop_lut4_I0_O) 0.124 10.438 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 10.438 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X111Y103 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + Y9 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.420 6.420 f clk_IBUF_inst/O + net (fo=2, routed) 1.972 8.392 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.483 f clk_IBUF_BUFG_inst/O + net (fo=50, routed) 1.863 10.346 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X111Y103 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.294 10.639 + clock uncertainty -0.035 10.604 + ------------------------------------------------------------------- + required time 10.604 + arrival time -10.438 + ------------------------------------------------------------------- + slack 0.166 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.056ns (arrival time - required time) + Source: LED_PIPE_count1_a1_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_count1_a1_reg[9]/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 0.539ns (logic 0.413ns (76.598%) route 0.126ns (23.402%)) + Logic Levels: 3 (CARRY4=3) + Clock Path Skew: 0.353ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.191ns + Source Clock Delay (SCD): 1.586ns + Clock Pessimism Removal (CPR): 0.252ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 0.258 0.258 r clk_IBUF_inst/O + net (fo=2, routed) 0.663 0.921 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.947 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 0.639 1.586 clk_IBUF_BUFG + SLICE_X112Y98 FDRE r LED_PIPE_count1_a1_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X112Y98 FDRE (Prop_fdre_C_Q) 0.164 1.750 r LED_PIPE_count1_a1_reg[3]/Q + net (fo=2, routed) 0.125 1.875 LED_PIPE_count1_a1[3] + SLICE_X112Y98 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.156 2.031 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, routed) 0.000 2.031 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X112Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.040 2.071 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, routed) 0.001 2.072 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X112Y100 CARRY4 (Prop_carry4_CI_O[0]) + 0.053 2.125 r LED_PIPE_count1_a1_reg[12]_i_1/O[0] + net (fo=2, routed) 0.000 2.125 LED_PIPE_count1_a1_reg[12]_i_1_n_7 + SLICE_X112Y100 FDRE r LED_PIPE_count1_a1_reg[9]/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 0.446 0.446 r clk_IBUF_inst/O + net (fo=2, routed) 0.719 1.165 clk_IBUF + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 1.194 r clk_IBUF_BUFG_inst/O + net (fo=50, routed) 0.997 2.191 clk_IBUF_BUFG + SLICE_X112Y100 FDRE r LED_PIPE_count1_a1_reg[9]/C + clock pessimism -0.252 1.939 + SLICE_X112Y100 FDRE (Hold_fdre_C_D) 0.130 2.069 LED_PIPE_count1_a1_reg[9] + ------------------------------------------------------------------- + required time -2.069 + arrival time 2.125 + ------------------------------------------------------------------- + slack 0.056 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X110Y102 LED_PIPE_Leds_a0_reg[10]/C +High Pulse Width Fast FDSE/C n/a 0.500 5.000 4.500 SLICE_X111Y100 LED_PIPE_Leds_a0_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_util.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_util.rpt new file mode 100644 index 0000000..8ec9a59 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_util.rpt @@ -0,0 +1,207 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:40:26 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_utilization -file ./out/led_counter/xc7z020clg484-1/led_counter/route/reports/post_route_util.rpt +| Design : top +| Device : 7z020clg484-1 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 19 | 0 | 53200 | 0.04 | +| LUT as Logic | 19 | 0 | 53200 | 0.04 | +| LUT as Memory | 0 | 0 | 17400 | 0.00 | +| Slice Registers | 66 | 0 | 106400 | 0.06 | +| Register as Flip Flop | 65 | 0 | 106400 | 0.06 | +| Register as Latch | 1 | 0 | 106400 | <0.01 | +| F7 Muxes | 0 | 0 | 26600 | 0.00 | +| F8 Muxes | 0 | 0 | 13300 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 1 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 64 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------------------------+------+-------+-----------+-------+ +| Slice | 27 | 0 | 13300 | 0.20 | +| SLICEL | 19 | 0 | | | +| SLICEM | 8 | 0 | | | +| LUT as Logic | 19 | 0 | 53200 | 0.04 | +| using O5 output only | 0 | | | | +| using O6 output only | 18 | | | | +| using O5 and O6 | 1 | | | | +| LUT as Memory | 0 | 0 | 17400 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 66 | 0 | 106400 | 0.06 | +| Register driven from within the Slice | 49 | | | | +| Register driven from outside the Slice | 17 | | | | +| LUT in front of the register is unused | 16 | | | | +| LUT in front of the register is used | 1 | | | | +| Unique Control Sets | 4 | | 13300 | 0.03 | ++--------------------------------------------+------+-------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 140 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 140 | 0.00 | +| RAMB18 | 0 | 0 | 280 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 220 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 18 | 10 | 200 | 9.00 | +| IOB Master Pads | 7 | | | | +| IOB Slave Pads | 8 | | | | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| Bonded IOPADs | 0 | 0 | 130 | 0.00 | +| PHY_CONTROL | 0 | 0 | 4 | 0.00 | +| PHASER_REF | 0 | 0 | 4 | 0.00 | +| OUT_FIFO | 0 | 0 | 16 | 0.00 | +| IN_FIFO | 0 | 0 | 16 | 0.00 | +| IDELAYCTRL | 0 | 0 | 4 | 0.00 | +| IBUFDS | 0 | 0 | 192 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 16 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 16 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 200 | 0.00 | +| ILOGIC | 0 | 0 | 200 | 0.00 | +| OLOGIC | 0 | 0 | 200 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 16 | 0.00 | +| MMCME2_ADV | 0 | 0 | 4 | 0.00 | +| PLLE2_ADV | 0 | 0 | 4 | 0.00 | +| BUFMRCE | 0 | 0 | 8 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 16 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 64 | Flop & Latch | +| OBUF | 16 | IO | +| CARRY4 | 12 | CarryLogic | +| LUT6 | 8 | LUT | +| LUT4 | 6 | LUT | +| LUT5 | 3 | LUT | +| LUT1 | 2 | LUT | +| IBUF | 2 | IO | +| LUT2 | 1 | LUT | +| LDCE | 1 | Flop & Latch | +| FDSE | 1 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/syn/post_synth.dcp b/examples/out/led_counter/xc7z020clg484-1/led_counter/syn/post_synth.dcp new file mode 100644 index 0000000000000000000000000000000000000000..9b3a113d59e9d03461e734114dcc262313ceec42 GIT binary patch literal 238027 zcmZ5{bC4%dlWp6!ZQGc(ZQJu}o71*!+qP}n-P5++{pNf7VmJ2vQ5A9XoXo7KtjLJU zbFZQdC>RQCBNJ>=nW$df?$cEIRX6pR(St(`_&7$SI=j>bdrdLAD^|>AljqWA%^hm-& zN^z%@jp=WP&n%S$=G)uiC-OQXZ2FM|Iyr4jQvRmjZLR~sww`_AuI_YCrgHd}8B5&f zjdLxlgcLRJw0WsyVt+P)ru$$>_vT=zIDhrv(`@JS0Kp0Z>9y158mHvIijeMMBNjy< zfiElBx%C&9=-WYc9;}oZZfT4Lwg!^R=PuzsX>*?ePq$B5`r-&R#BxyQq(o`g=u?dE z>t64FY$vpb3$cb=%-YvX?XyH{3PXX-#K?paq~|0@7jqfTjNQm{IP zXLr|c!MYn%@xQBd`>#sI{2-)uctAi0|E~X3$=S}H!OYYgkP0rVyW2A2kSgpD(Igpv zAn%ad-#xsYeZXPqfNg3|b$!hs22sj|X6dkc0Lu5?`_kR@cPEjN`y#b@=51wTeSQ4f z^rU=vn37)T>s}M9vGK=8MF+~>i-FK$y^~>bKd{5CS;jV-t89x_L2}+^dy8#8dJU)7 zYXh;F)tXjHB6I2Gd%%sEi`(53$T<$nX>kbcNtzM zJk3u$_*y;kAyIr1<%})e2DP>mQtgF@f4lB!gxhunjIIf?FNmeQq618&nCB&mQ5?)J z-2NU*@-*nd^C_FER$g8c=T=~;4ryOmfRoogOi?$YOAGPrQ}dd{zSA3Ply8?78TOO= zR&;{TV%yifr(%{si@D*xIknr?r12g5%9p@XCngKfg9L;Kt5+jeiy>!E}y>Ir`rCjgRNH6U5{!S7koKm&)S&kohiPRy|F#d zzhKL8@5<4yXYVx%jIWb8S)_JUPx zQ@{uh=As=cnWP$Zcc`Lv6-aOLJGBF0yRb@^VxxS@b%pI*Zn75(#608<8KA@V{U<-Fmz-lJRq_-@Y8s zru5dQI?7QrbB7CF;e87nhb}h9#m8Mo)ujs2N$wkzi0p!~+JTuMj>kZkJ{wHUN=dY2 zHJVS`suaB4{n?*WhcpM{RJ< z4__p_j|$9ftsbta=t45)5Yw(yr+at7(z5@2<NYqxNv%1bwC5ZT7>11m@0ckj~)Q z{k1wNcr<}ZA>^}uaC@;7#$ou$s5gJc`*t$fht?Z}@$+4x+y1&HsMU`u)lFq7mrEST z4+o!=g`f@#$pL^oTuGZoRMFYS*O{cu z)<*vB2nB0yUGZzRS;3)cB0WBpQw6X{w{t^1*bp1Y8LCVo?W6bA%EWS*2fb?}{62CV zIpv#{%*zIFZrl{up6#WmRK$+n?y{6DXM1DMz$7DJ>o2#B4Paoyxpa8)Vt1L|Lv}DN zGL^TiY^HCHfLLso(i<11T{JLnKsF=X+{tLd;H9g zJTkryW6;ul$fV+Prc@mNwWO>EKuxtZ@%8mB9=EF&Xe8ahR$7-)(z9aSw*B0af5@6f z4;K_v)+m~C&KAR$UznDu&>%CVX{7X2dd;k090;k{8uJ z_8DNBz{G8C73z{{26qfH;FQ?r92wK~IIA{K#r-AD@V9KOh~p_8DfaJO!Rvk*F#jo? z;C;}}=_9i<}q zDLU-%<9IKsYoDdJ(AVFFH~?3oVT0wl=LM(>Iq`8hB{W`4*YBC9b$R{PYJ05-tIg_F zKU)$7)Aiyxj0snZpk4vh?UkKet3oM%{x5O#>`faeDOv$ulov|Vlj}*~0A`b9t5G`N z2(=fdXK_v(dGHc3>*y^M-?KLFds6;Q*RDt$S`Z5fog{3Wey=g6rg9%NzpHEE^q;_( z$u^*fxx~d^y|;ICQj2Kree4@Tia3Rl9c=kyjxGx%EbcD*QOe|>Hbh>uz@iFSa5!j2Cia>ECqLJ6-s_cRsYSxbY*MDJ|N2VF)^^{>qJSYUZ|DH9l!%~@g! zJ*cQ%@0-}uw$#n^4%Y2)y5uK{(RhoJ#B8Ru8k6zYM?19IJ`2!??Mv7PG@4q(o=t_a z9=1VF`9UaE@$iykE2m~+&cvQz$fsDZ;dOe!Q&<+uAf6E1!_>&Xkhe*$N++4lOTs7{kDc2)JvVF{!>yGEDR9eK)uMKI83ds~>OK+vEkk@q*m6pbcE*wba9=?43z`;FE{nJ zpG>Il91U`aZno?Wkm|$0*NA`7vo=;FEoJaapMXMN6wC2q&+cpx{>H#Onx29G)nmGT zV|I4nA%15$#U_TMqQl9u9?y8((XcO z;&Hd9G8?`tQ5_NU*?+b-rn#0EBT>J}F*^yl#p{S<+*2lE`=07C5(m1uM+2R{g=$T? z1$%v!Shw{sutT81M#6f$L@>{Blv>GUXgAXl|;&*B5r}tmMy8r`tDi^c}O`_CAX^Lx8@#%hpN&u!yAXI+G3Sxezj;nKppQ2`^x)_ZT~qHe)V=h z=b6tg`-&n=!XFdexEz6(#?9jx%hEgVgM@!+(S0XA#$B}zO3xpl$eXQ`9xavDOB-7O zrE5MB&)DmT-%)q?A+j6K%CxiFzKE-EZVkCg$@t8P=KI86dD}j7`un&NP8@EtE7@|~ z(R#dpaNF%tCPXJjlwTk@+(r%DeCQe_0Le;Ruh*AJNlg~w0m6L-i#<7u3pLY}L3g`i zUdeygbGG(Pu(IcZU#V75JOwbGS`WP#UOhdDecFwL(@N=;_qEB9gm3E59+xGU{uVNw zI_+VC87s0!`32{Jv28K!9Q%#t+@nP+z%<=cz(c;|(=@zQlx-_NC}ArO>|#qs0!t1? z68XbLg)RDV8O#SCl;Cb1V`POZd_TQ9O$PztAs=23Nz;G@A2|U_hN7<_EyB!1MT>ef zt~4zo^<@gY&Nk)Wec0ZCYvKmJ-Jj>pH2l);c=Z{01>u^RDGefaVOgGFxpbBXkJ048$9IB{SaZ4hdPh2%}O^r8T^TXQ>juetl6 zfd#d10`68#J5%x{sCbS&K=PU_;(NQZC-o< zBy6=g^Y#vgb5)1&_(b4By>KH8-^TMAlzwk_LJEijC4_$q%mR34LkUH%1|R(x^M zSR54~+!V-l#W4^Z-t;1Gy4D#+Un4(8CE(5qtz)5{iDeW|N&-LO{}hJF+|A+_9D);j zvD1d-?i!cJbB?}F&6N`IA7Viaq41mEGo+_xigxyuk;uo19^d=J+#jfyjG~$o(xCGy zV|ly^Y%=Mi)_X9m{Rh)vHg@sPW-ahQ{lYz@%aOxux~~G#8i)MUQ$H0rfVWueh0(#c z-b1Q5ua(3E18<|=&Bz___6hcub6hr;8`wIen*T`_glEarE<59xeL?7qGjlJ%@No_kEpoHq)z%vzy|4v!v)>m2oTbR$KBt z|LJG>Tnpm&Sy=AJ_CuKQMeCJkNqTrl_eJ;=MQ!GVKQDeUx?Vv06!rE4{!Z(%?Q+Bg z(DFsLi9C+2WyP*F{N6Q|h%8TB2n2YmULKIdF3NgWV63WMRh&GbG~G6#u-zTA0pOPa z3ep}Ggt|Mhy*Eq~Jfai3h6G`&MsOFBJ97ai3S4A7efQUBj3b;y9Q4?OjlHUrA0$(_A!Dk`I}b_$BU+h}TFApDhzU`dvP$S4e(!3jC_?>2x2r zA4#>qOSZ^b12k-ORQ~Oy_AyWJ!ZXg+*K;lC1dvP7YwgH(th{Vwb<1?i z{y^0~G^v5No_92C?@Sz#2%!L2(eu!oRs%Q(lEDMebH_~1C|6s|G`E{zWMa9Gj~DG5 zon5?LDZjmJ?pXP+?1J}Mrt2DNYTTAK+-J)~b@LQ*zjyVvW&4&cb2~e*L)%a8f2H(X zz%VMUosqM;Y2asmXsB{Iq^e~17gt8C^7S40kiYv{MD#zrfz@Px4Z3a( z)$ z4JT*~-RmdezW|_vuyGb(@X7x*b0Ad2YXHyB)sbZPA6B z`9||#fv6_Y5t}k@;pI}JJr_RfcUUMp{ew8}JIZs7${_*{Hz1eDLil*x9`GDtQNZ)j!5Y;PRzZ0+KH=U(gOozol8*5OV zL(`Krdo{|?vMRCUT^V!5vV2y#2;i=oG+0;n`>}2d(!qe*e`-)4)jTd~rNq>UuWMk_ zG#73;$rtp6bVQt5eqj+HD{B|7tcN^X^|5B$tD39LL}~cN2QsQDydzdNkeSua8X!eg zri5n@2f7oqjlbarwiDxWR|4SlqQ=!^@HPRCx2{vyJO;l*XhOW*_P zjL~VvI#5t~Zb**F7G_hdIZ{F(!ZCs|7gz$bm!w2W>_zDP#8&y{`5eZCwD$YMEyC$P zg54Vhq$Rjn|HR-88tPX*gpXg&uVswtYCF}=w+)56RFO59@tT{oCUc$!3L!3-D8U^7 zL<`4VT11CrxEHqV7rXaUi!A@cA0XHS3Gt18Vk|NTcvi-6SJ1G$M2wrjqN|f=N(k~_ zUhA}apn-&aj4v6mJuCW2uumgKC6T2+kQRvP3NehL_mGx`J!*+#-DFBohv4pd$yc2D z3maB+2o4!P;AIDU=SI{=8DhNd{|8^Bqe^_rVkx0q6jBL<%cAQ%+AO3o>e84VS)8yf=-eeHFYkF>OH8l z7{lbX{P(QDk3O(GPES|sksXIR7MaIe0!h*UuE;s)-v7L_#@@YWNzZZCCYIBAa#?ac zIuj1>+xhNBGJ{UvLHPSnTGaGn$x|@k@g!iT8p)@Qu@%bXk(J0~c=b+gbkNwE_8L%D+`KQt&Hp zdqiF;d3Uef7K79qgO_Kp-Q;h|4RC@dmn$lSG7qyOz#`nJTs5*Md*7fg30MBc#`uo0 zO0xI)5+OZ_;jQ{?;@)Bio5B!5R%t6m7j5$V)d4wDpnpO@a3zdGO~`_*+%UK$ntt}7 ziW(TEO03jo)`EAl?TJ#jCsz~S^-W!h@QAScCYf)}D2G2AydEI0A#$h$B5^pMEqNGUFMOyp5fby!2r2V%a*eh>yQ{2D%`UFcGCB3O za(v&#obeo~5>q-crSS|%SqX8@kc2CUU;w0c^qjK+ z!-Uf)m_M{+IFpxA@ zKw=p|V|(uvliN-hAkOnZa6cBqKNg9<&NdD&J1Oz%p4d7=Y7172LP4)eoadngmy+O3a|*4z8s>O&LO>gk zrw#){-Y?I|uqkI3-vAsNjS)N`61a>XPqXzT>9at3uQ*#zhnbyPLgH|6wLCuR9f#^b zShTQ5*O19Aes7aLZv#^h{MqY&pmmhoaEiq{{`>xQ?$xmuy8 zh|{n2Q5r~r7e(aFX%|xis%nL933d{)wM_)KXtKNNYlgX`Yvk%wozNggN4dks`Tbz!-+zF=h=e@kc?#@uS zVrTyiE|}!uoJlq-VAx4*@R$X+Y=-=$E-!3X-=)(P^BRob;?!9eRMra6x&T7C3yI6- zc*EmEee{CtoR#P2WiO)wSp3mF(?EfNjgyNYpyiXV3-f6MerA@ zhaNrR=7lhdiM%sO$-p?_Bbpa5aO#zb8b(@$%&gJ1zM`wTW2xX_#&Es@Y0|HZ9V4`SsNJo@0sI!akEN6_*)!)fPHG+Ps;7g0>e z@CeBVt2bZjf!U{kfu7}&Dai*5HOrC&SUn#dHgJv`dczwkr)S`l!(-gbaQ@1JDYV8$ znyWOE+S_AaqY_q0C=!Pnvb-1D+OUw6o=^j}?y=DA8VzTh(NKm(F2?C@T+)_v9-xq`A<1U^YqQpm0E-PJ4 zk(8pX#ZmBQ1w-+CofFZOXE{4|7-)RDS^e2 zg?jz3%28i_E_=iKmK1{~pGQTKTC&f&F2qbkr@@)G9$vD&l0g9-l_-&1&3pE*4o(Fj z#pMu)F=9RIBAT?}xp0lNl$YWVVl8Sb>MRwZlxasaqmZAJp37TlHlv6Y7_ zIk~Ks7{yz$IEO3>-}uMY_}>WU^YbFJVsY>b4e8_z1E}_mv^H=FQsYdlMjfz*KolQJ zrYs^g8MlDXk-yq`$y#r@f=6EY8&6Pzk*b`os?13+B+!0$3;Pe<8V{ClXgE^E{9YH*&%kQVL~%xKohvGT*4R6TEgw-zrBLbE zD+JLOjp5SGDZ?g~BZts&wymG_ZU&lQMw@7oMR<9VwRFc}qcGzA3F5U-YqC;zKCe_s=S)OId;vwlZYn$zaKKT_9u=IAx~d*_GD zPJyJlkhk>kEp(y(DID~qaBh3zj_dD+X5R9(hYNir$m&baQf)D-9QTpAkHF}nSF;jf z-N`J&-1@iW&6c~3r^~}<;Gu42AK~z1_BQzZ<@klDJjGKnp4<~% z{$Qm^=0@%`VyW%Pw%UhAC3Dmvw^y^9jMCH4Y?&8(`0~&Hr%7sGfds1j(;{R3ua^8@ znxvJji6iXz)bx~0teX^_RFvB!W5mmp41@Hv+%&D!l*8mWBclvG%MvRmi!zlw{e;&NR_;gUXtI3HlOS99$t-TH*%lGZbb zSblW@e;r&nF1Is=E90>ZLGxvA$=iXJLg2YooO1iLEbo%(%$bQB?b4v9chQt$h_C}} ziQ0Msv|ZVZ+O`X9OYp)F(z$ZZ>2-m>V5w#UeF^zTuP^(}@MT4w7?Uxg%Qv^Gxn*-% ze&IwwdknWN873Y2`ZX|dZ&|x~(;yq@@P>HLtSb@5m1ghVyj#rr%i5%RUVBp-tQ%G+ z^VOH;u`z(4bjQJ0wC`A2darTtTHrSYCei{!F2|L*gm;0aJ!AmGzBtWgc^)twwq1}` z50iQCJa4mgaMJP2BazawLv2~nCAtN$2m&RbFP3PJ$V&~0ZZ}bbq zZC<#)Zxb^_zJ_qbvL3r*wA(LVGn~C@N@&p8A|emgT>4ZLMEY7Z%3sBq4ia60;aP;gCaS(XtBUZpPP4U^lvAh%g)&dtEaOuZ#87%SY-3!l@Ge7k&E zg4J1Q{>iZCl@Zg(iBuI^B~~9vR|P&0SQt&RcsC!1ywy!ywFQiC#HoNbZQuvVRm5O) zWLR`%O3djo_S2jh@g;aX3Kv1uj=|r?Z~3KZa}w%j*rKM~fyV7=tcfOlUEwU?y1b=D z*brJhxKTDN2I&%V6u3*d*mWggev@73c5N2i`S7TqedM(TuoS6r5J~{_-L@8Y(F>(e z%_#@6(+~26)~MWWJ&y!W*SR(@^4GmES-?`ZnjtFCaXdt+8)Lix*E43X`y+Bch?mMYeMy6piGq{X^slupK z88pDqu$ZTl4}xknyL5X<7oREAvY)GcCz=*1yGXGoQ)x+&YIu?)YzXhFyU=^;0muet zj&FZeVio(BX?yOE9)ffJA{)3O=fB#O)f7mZ|IFmYuHbi^z?S{y706ifnQz~n?wQK7 zX|~P$1+iB<=T#EVhy(F0>s7;VSG6kX)z~eMGnF#L3O`_Axd98mE2c4NPHwlyOIZTl z!kC7K@@{>wdTL#+8nT%0YHYj}H)WZ_oSxAgjPZgO*K{7s(d{Gn@qyli1xqxS|JpYg zdAgyEBz(@A#e92pcm|-L^Cmx0LfjQy1u*nU`HtQ4+GBN&AC*`@AG0VZQF_a|atlbgh6mk2Y<^^y%_#Nj#L>6XZ;4TDP? ze&KE;v}r#@6rz7016QSz<6U-Q|0M1JrVtw#aln-ciT|{G|bgGEDdZ`QN?Tw1fO&9~lTph6M-+`u}ZQak4bBH(S=WaW+|YSxKh% zxR7p$iMhPH<72nKT;r zOOmCS7;q}>*FGbTeb>r!5!-w?xf_ZclsxXtw=VH;p;wI7IYy6zj?rijYdHK|6am*lnV%Kw2 zvq)*j=fIJTKL%Iq^zdNiI$NYLo1M<<^RaceeZd3j->3d5SQl9}MadbD4|R#j+Z4~6 zwmi~?9>S^bwI6+|{VI4enh-8*OS4L~Bpn)&JR<2phX9OSenf#YDnFION8XoEZ{=z+ zig?66Ell2Dyn_f)ot=PMl~4%(zsbLc327Hw^8(F#8&sO)m3T#qUwPJ~utRQio<$ZX4qIU&Hdm2t5* zDCqK8m>P+GaG4oes)$>JHBK~)*Hu$Wa=gu-tpUgLZ-sYF&MbNaxJr$ASu6QpxNJe? zIv7Zv^g#^L#lqnCwWZg6tVb!s-@jq-$$ToXeE99-(XP2**U!h|#|75NRdTY6?$qjZq!B%w z(e{1YG@swk@Xi8((;Y2V>~HnQ#)>dct{d07O9LTN#0Q9GngbU9ZpY_VBy04?C4onI z?1NqI)5x(o(*b*}*Ww!V3Fw+q>SyPmFiriTAVTv0VhQSdv?SCeQ8ATer*M2KH=cg1 zhha!oK74Y#R-EsXYJ+o2TJHjFVotR06JxH!%aYBZT*RW5Tb?ZTR{AA0f)~nRhKN>d2~wiP8Fes1uZD0( zRFc4SoDD^A?{FiEL_J>@+a&*&hA{w@TN0IffhXRVYH~-tY8-=!*yIrW#oa|jY~zXa zDcm|(+f_tu>j+zJws~tt3#*tM$;7*>S=lWUhVPA!NQiIJ=+D z&E96QA3>fM!Nq>Wp)9a5hE=z9p99<6f&`)!7w;pcAOG?a{~+e(H_zIgUQFWf@y-6R zS13h+ee31Iu|3|-WCu|q{>ZU|d+x=)ng^oSIzQVPSJ&>7Jw7I#>x-$SutVGGfUep$ z3j*OBffM+a#dw*3GitjxJfZlDPE%{sDk!07dx2ZRPK2fSzDNq(1t`%z@Fc(gp>uLo z2qb-%)3itVJ~IPH$;h44Z{7Y{Eq5pG*;q$*zqp*C<#@GQBN+;p3=DUE@1cY*Nk*gN z)|QCATAhZ*kY6aipkj+q^6f?(k?_4%<8x2~^R(2jW#pYsPo&A-)m$ZNs$jmbnexXn z!?x~{n6MhgZN74Xe80zY&i|?ws`f{zq(QgpmN4_s>><-7>)%c72m{(M37U(>k|UzW z9Zd@2d37sHg1z>$B3JNL`%KobysWX3kppA38f-co>y*1oP*l~CJO^**RAzgT;f1MS zBXhq4V_n019eT-g>Q7(%bPkkcw&;5d!*`p9c<#E$88990!pL))hs@cS`T znqkzTFXzcpO))XN&yy!;-~|DW^h*S2ZE!$Q>U(D#yH`qvgC5Aqd=8;N-@(dMVeU2! zp{A@c=(h}6&^hFi_ZWvWwovTUJb*8ngArRa5L-|Y<3wfN{8B(~wijE3S;F zRphQn=TGvAPtF3iANjLKZT3ATz8{p&g}&vi&&BKlRSE{0Z*s0b1A4U_i%jdNrhTWbf$(;p;kxs{I+-z(dW?;7}be z?au{^>>P~Vm)s6YHa}7GxQ`!(bo?ma&?$NGUTO2NX+H|qsUX3RyC1N-sQ5R4us`$( zR5a83VlI(&;~QVT5=Sm6>&P)-BDTeY7E9;)rwi`@Bn4x%07$;#$+EC*>P9So{*F+8l%w zPWY(b;ThEy4=-Vz{?M~=>E@44rnaV!je-)_6ym*N(;OG(LAFK@QY@`3FILtqfOfNz zP=;-zwy8PYV+sDrPZ&AGTKvnK!Cd!0DcFG+3=XB&EwlRCJ7-|dL>{V6cSN6nXq;p19DOwT1yR`1thD1P@v3egF#hr*HFs7<39K4;1gI#68GsIDs|U zx%L4tBZYuiFX=}gH+TX|3aDx(p;XHL!yN3-!puIsz*`v9Ka~BbIbhc$xo)V=|Inet zy?@J}V}=GD5=|qJ&BQ$^1rRrQ{V!!!A=6;!f*i2iN4k5PYX+WGS;JZ;p?|ql{<(AL zIk#Fl2SXqH!=IB*C9dK0e^Z40i`Poo?=cIv1s3_jfjXp-Mzk+=yZMi==J4HP9&n9L zfs_NL;DOS%`PkJ75spqF>51|gV*&4bYOCS~VH^xyMA>h_$7+vC*U@U_7!0lakL_iC zTl%=P08Q|lBF@+hF^k~EKhPa3$1~iZL?N^p3l7AX4uyN7VlK3PX%S26S9JXGg9+KU zH&*2@!Pvifxe^_7vGKniTgi)0MnC6)gzv%c&9 zeRA;UC-m{D&BY^ zSkwx7m~L4Rg}ol0+;n*C;sMI7q~K@$0Z4Zanuyj>&IKcqSe|u6YrZj^2xLExSX(Yk znn(q{2;sER@fC|c@&Cyh_Qq|?i(=EksBA$%2jxH*+MF^X8OS_RFc}D*zd33IUCf-I z-jU5K7zj?he^|$|fJIF)qDkUWN7TdO&Q0geCN3=ba+Cx44?a7Ii$yJI#@OdaI!X?A>PF#%#fwApq&9W;y?&kN zXSI?!#j!DD1l0wDhhyz)T>STwm+<~N$pFFv8g<*xAt~-Q3B|cl>gC`s1o3)5|K~bL zA^XfU3|XKTB41p}2NjE~5^^zME()cif<<(9j61XC;N3L|fArYypvl?XS^NCgsa!Si z1kIz>63{ex2_b$(;x`i3;w;8HEhX>cFJ+^{IAK-2Q!o>4VVYm1q$TVn5qXQ)i-=%m zHaN7%=*#%GhmymxkdL1#4u^4JO4}5O{61;}&c6~Qpi%QJdZjZs$T*VN5Xy0rSrDWs zmrW89T9msfgaah1S&)@^DrULJ9FkZNtZJvI zS2Cf>5t{o&C0WXv!s{C6MJ2<^W6b8LI4p;v_%E^X8de> zSwVzl1pIxAKO0t-&O(*2vO(FfazQo9F7f{&Op2b~D|gBTWk>!q!Ll2YT$;)TF)$>v zBfCQUvnaBgl3ZRgBYQ%X{d-Vp{VSP^_1UY9Z%xgdR+ zB{K@)8e>4@Vz?6xG2JNeQjpq}xH8tG8HkkKL1 zv5^PHn<-7rMK#qpH*7M3?ziq(qt}5E5U1JXIamr{5jT|e@bIUQj3oc?H1}T{1Tf|C zhLyS5)vxxl&qz5_Y8h3&k@GT>A}c-3JZGDRx|F1KSYaomE9iDt`cTkmwPxs*pt6<* z@vQeGUPd9EzyBjWpUWql53v+gQAoKQntSQtCZxMbZ_oL%wTlTzs8@HT&Wo*%jr88ORn@a#{-WZhdUg47ZtMe5B9kzC7KB%310Yi`X zJUwy$?DHzfQa$-s@bl*t-&1v>S7Ddh<_d7P5XX4W-csDqUYYHA>C!;)TiYjn#BJ>3 z;qw{wcVAG%vQBLy9y2hDB`@uLABdTXPX6p0=GQ!)MQOJ^;-?<


q>7X=&Otd2`H z*cA#dfK&4XJ!X@W*riJ5#`gYk0G2xL>bj2%i~Z=OM(2i@gMvW;gDvJTsY5xACFU@y zOZr4pk{D9hq)SL+KR<{t&3fg@`Vp$EKk;{QrbA?5-_3UFvJgR2aU7d0bYN4de zyBXpDU8}sg8B+fcXf08jy|-GHqLW`G-%3j-Z!LUfyGgEIUC`13f7qb041V=KLY}RP z*#d~#e6nt)Hy8Q(yWU>jX`7CkFV9QFgEVh2#b7RGF;r43iHHzEH1M7ahlH^1n$~;B zMO+nxpDpO&<7?}i^8sZN_7m+5wVQi2GcR0CMaNc#LlOny^P%8uZ6fKMCq$_m^iBA` z=RlN8rrCZGhQFD5fPf z+e%A(fZUYng6rx+o0W-9Sga^P1Tw)Mu&n!jFk}=C3M%s-%`l?!Asj$d0#+e27AP}S ze!qCZ$&@VSwd+m#<>=+lOYccmyFoYp^#$R~752t=Ob>CDmG+?cWRqwq6E9$qX*w%= zdOcjza(Add{!>)~D!+Yt!_(|K!M3^U-$eaO1rk1kX1nNyyT@#tP>Jqo^*ku* z@Mp~Vr(}KINB1mdsz_jL?e~}6)$Q<+8)hzkG<*-sTz9KYnOoj2(q>R_wP=e7o_uRrjxOx}}9k3V$cZmXghP#*|;D-p7E*?3&(2EG9Z#1G&kPDS2S-gU)o{ub;M!)yq>MAbXX1nhNh~A_jlx+?>*fgC zg}?e)_ZTqAFW3fsSlCkF7Fo%4K@r2%t}f0uz$TqHnbf@pueR%3M~7b++>9N~TaXEm z*A+>~Y(vmdbVgtk83G7iq+SOu7K78j(uhGYE9X@-=>Bd4|33Y)>TgkMllAnG!X&pZ zhMP<*erAnMK|Ud#{<%8%0GtZUu(uM ziRW);+MV>GqgDE~xtMCo-(BIyc)^Cq_XVjn`|ioVMbZ6l=L&MkB9LGrioP0{RPT7g zuQNog2E})kl;24NW6B`K5)Dbt=lk`lfxnKfo)l;?Xq*y^3msLJQOfXA(IUK+m6gk~ zQj*wcEXc?MC$yx-dE{;dsGGT5U>g-mJg@{b4O*3tvJc^3=Q<6Xa^Mjv)giN9;LxAj z3||@s?fYXxX&E4LJzwC5x@af)jZd!*EFxosXyadl{>RY2_q& zL>VO-tA-yb8BCT>Jpn)Pf?uQ+Hp^I>A5a^gi2u7wy{Ksw-~XFZgCYD+mvS>TA5NC3 z8DN4FJF#Pz%F|JqTg&qu1yxBFFZRlMH;gs%sT5SC^~oY-Fy_c zMf=){nObp}W%(6xea;QLyX%}n<*jEC-8boq@xAFL^!CD*6RdDT%<@6_AeOy5@8jAN z`*rC}__O{d0MT^ogKrsT*t)0xbI9T)CB+4kav!jALVhyxq;uAo{DJHcR|jWwJR?2}bz>L!>+sVu|e>FwbMQv-iVI_jH@R3Gn# zT$|&mC>ylJ6sj^-&W22FrRk699z463$|x~xJe$)vGu5RO(BLZPmuR}%L~pWMa@NWK z*5L_S)tq$GWx`f;RO(GnB!78eGV|>}ESzVPD;8gwKx7A;WTi@~qZZ4j+OxYwF^E0-_ zOjQa+CkN;}%PmzoWt~k-T1#S2%#rF3MluzL%gztGrHXQ^)74G9&52tZqRuz4v%8y} ztND7^^3B09jz}m;5u-kPm8x;jf6P_GM@dv&;KX8ykL>9-Tz~>jH@;Ow6TqY(lgY}9 z2+6Wl6ABW*B%hVWfs!BnO$C$Tl%*IY5fsOfk{|#4<)gtaN$?6J6DKECbxd{?x-?w% zm4Qq}>gVs%58m(+z!TrQjq?h#aq96}o5$`X_w=RnjV3QuigHzQFOtI_Q5GEd?uc7aV5J}qI;YI?f+biH>$&0an{qsGKzl^ZvWqGQUD|n^`nDLN^|{M$ zYSmG^QiCqZ%Xi4rBeryJIU7{7sjDmV)8)kkhI%K9)MX)g~te_|pwdG@4z& z`5~J%&^d-zpgZ(~{=*r89?z0>knxg^JwSfMKr>iomWy1RdYs-)>v7G`U?==C5KStJW;b4 zj(1%*fbIYA^_F3AG|m2SkRZX`U4y$jf#4F{A-KD{y9al7ceh}{o#5{7y8GYczMtou z^L}`_nysqts_NfV6+3Lt_DnO0ZfSxvHwD!Xobp10e3L48orouhDX&G2XsxhjC~^vg z8v6CwXd1N&4_QVWJmj6EUkv-3!MjtmRMb7=D;09(hC&BMTO-8?27ZHE0E7a?g;VEQ z8r*Vo)d6aDT7^-~x$-pUtfoO7ZiInfx%_+2x;PEZmN*$&(S}8|qq$oMP!a8Tp}60m zL=+yRtCW$27wyST7IC`9B~pTaUaSXNpAZQEv$0eHM1E`rCK7!^6uHM7579OCJ}?`3 zZ0F|Af;7m>9%XGOcxZVs8jLL8#IUnbi4u%Jl08Ni%W6tmZu%-8W+JUuW;g zG(V&$%Q-EZKEY?Q^SlXBlfR0?@hgw+@AygGBVc&g2@)LPQ4>!DfGt;w=9C}lCUK?0 zX+C>6c{}2Xn>tx>aC=Y$G!`2ubDmb7Ur-S8%yuwAS(#0I3W7Hf;tVWA3X#qg_0?En zhUpnt%y-7li$;K1tFNoe+ii@Ut=T$i_{CO~!%T}{od}OFYh^=xbo?|ISRr(($U@KK z@T1Sgj%APttz+2c1B?4|AY``TDN~a2FNunINd&VJs{6XT=ug>jwG353SiH&uNtq#I zKRUi4=(a36zsZ{M9n4gSfS+z;zRCR}09z0Yx$&sVv#o&`iLN5F@51ceRY5>1Y#kl_ zqEVL0+X!Vtnk za|3!rivAr_`yt0UaGDLS%r!6g6O#z>@!R@aq|RgC2scd&t1>VqJ&tIRW_1?UC^`%m~rWH`Iem5CB+}Jw#3<$lpCbq zIkVbv^K8`JjXbWm6@O(}Wp!`_L9UTc$+BFa4^Pk>^S2i8aptI`EbQcm@+M_yG$Lf% z5LA|vfnP--S!wajwNoiOr$UslI#Pi{m0-IzI|nX>xXX{zhxbCjdj&w0Bw6S7ys{h*^WA4Oa=mE{aZZ(s0*e;Qxj+MB zzj>m5Qz_Ubwc*$2wpbQI!W7F<@=aM&6;tG(7CLl!hu&A3M_B0ca$88q2v+UgNBFkI z_UQ8TJt}_<_I=dzykoE`h$VgJO9;ePAy0|+X6M}Um}mb$2D>=0n#2#q5GsWB{$%iO zQlFMDA!6El6`|gsarAS488X;Kfkp1fJqy*TTYf!M$GTf+tgT62F_24Ba%f_~ytr8) z7rdS*UawAX?kHy%xE)kqIaf9B(6VlvqlJAWu|T(98Z-e_gPozx<@-(C|)YJQzh zj&k>TY}28!cKux7ykU{sZWAlD%75~*SfPb|yS)bZ(fm4hyvS?Tcga7+l<5+(9nnf< z(?WJv5s;mV^6;gB;9#DM;;CP))OLX_hjq#%VesQ{$CF>%qcDq%K;Lj`W=fi&jC4TR z4fnYf<$e?E{<4N`RvX6=-Xqv<9Z33ZmeqEqMLTW+)#-xB<-(z5$^e^6$P10nJJmR; z4Lk2WZF!h$cqn%|ZVWbO_=nZ7S6jFKy4fl`t2hP9ryluBJ31e4mE%fI|DruYA_*D{OpyLF*0+lYB?XDFSR^$vQO86!Kq zFcuirDVNpP%VewH7Yf|yJ+nDAuNfz6p9igm9@quWCQMRWh$a%LlFkT?)axKerP16G z5r(7Qv9qHY(}L>_ya!EFg0iN@v$nR>dyit1@+w3;T!z9eq@ckH1myy{cLbq@j)EK8 z$7kyNW{4PfotbomrcB)3IL4OcVU7jmt)vSD!k0wkQshk!O{c#%C|YuyU9k4HPbIE? zpI7>Whd@X6WwJ-cG%}kybMm2`j3RR-2Zc*GfVH%NME_j_-p22mH^~J?l5U1Us#wQLox<)VsH|R*Z+b zs~uB`xz*vFz1&L==eHE4Oz~HvaK#SQP5iIm+%!4e`ZR~?t2K;2^2)(aYEyW|OBoaL ziW9v8qRS@BaAk_9EO+X##uQY*7Pt)p%kF;2@;#u!o}`x^@*G+-C1tmT59`th>qx#V zR;Ti87s)Z5jJcg;quC2H;mRB?VP=kh>fKAN(_@%%RQrfIMaT6F5w{&?uSe1sthy_2 zD4SULYap0x$h!#ARaW0tpu(f=j7OXAfd-bS24;sOXKYf&WAq7RKhf|gGq(uI%4@*A zBw+nSTF@ijf1@JogzhyX9E0mYIx&_aoQh_d>!2O2J7hTMEe?bOj(`G*z8vEdoiD``j=6(k=}5&M^=8@zAAiz6Ppaws{!r6r2r!u)b=X@5RsvlKBbK+~s#;?U-zRlj;} zh`J^+>*}6q_m8;yOiek`Tkk z_(@5Qw`>wYDu3-OdU3E_^35lHQ6F9Kw!N_VES5wB$oZJS?uSw0R_Y24+URCrfJ(HFsg7MsCCah)_CNr0@ zwvB`Nw!u%ktJX6B0^zuEy!!;*GBcHRD=4Vu%jUt#%WKUmBsWpsUD!?PA6la(5#}Ad zvt)}TJw!XFL{~Sjw2|wF`Kp3@3u`KEjl7l837dI84FxS?4FnGs&fE>Je_S?VF2^vM z%hGkEgd~?-iP6U~wE)R~o(o#bpZg3|2>&u(K6itfn%q;!eyf#mg~)0H9G){@U}fT} zZxEiHWZp5v`HrsE9TI$3>Sh=AS&&rUrnijNll)KuAw;DV+yeh(3AR#vED`+0N22Z|vn~W==Lt&;Xv0Htl2aW3 zDT+$uyc&A;zsPsuX0kPet4`#PC#zPSBnhRwI(k~YBI!~df22%7*z68ik#4xnB6f!-OT0Z(p*S)*MLY)&jp96B@6y7!; z*dF!V*dM=Lz*>m-%pLYdv$&i`y6cQZa#;DMnclc>g6!g{wUhU~0 zV3$RUj6RiyX)Acco0mgg>vge&z7gg?{wtM z32d=F_5_a|?x{f>oO+!MHGIol+0+|1diumAQoI+=A_d7%@2<^`Poi`~4od;IlTI$i zo(CEk?L^i2e4h738?FC#DdJ!?|2+k*U!98`L73`Z8_J-|yoZ-78`IY1MO(f__B9}_v zYINPB*KNQ1ftdTL#9qe8js=O{7nI?bL+R~jqm{nbDkA$zUw=p+)w9NP<`PTwCsg`vhB#i!oBr&i5xPgLwt86ug_^X8H-r1n)jm9Xb2G_@VYoV!C zQGXk6YaSbJn`Gt}Mf_xNe1w-&7R27WN`9r$mn*NY{rU}rFl+d-t^anjW3b=!OKra) zD9Z%@iU|hAMHu!?`A=Lj480}5b1}5wt%+zyl6LZfD>m}YwBm0ljSm~_>1Gm5Zu&{4I%iR9>aGb#8ia z4!`_>6rd$!hB#ye;TNR$&-q)6Q>JT_{*S=Yi0yv|$bzwCL&|!e;Xy#Bq_$XhiV?<} zKnIqS*bkS5_BSJfj35hzxE<(!l7&TnmB!RL61Smh5Fs+Dt%27DQaGV}`qlF!Kes1c^PvYLq>%ZaEOzRM znvCh5>_;T-5_=Y!%=ga@^|lBmOfkw6K8CQVaK(YKjoDd%Qo3t#CX#71SBhZbq)7}^NDY@K-N%Y8YS zt^@HIZK^4O!rLT+o#t}UflQGsH8F;;_d%a+&qY#4<{M~1@M=NO*OVz)2uS2xBW=Vi zX7EUn!o>;E_z%*a9(HoRF9PVuD}5%%t`SP9V~Kj|O@$EJV@>U|5BmF$u=wnR(@uw` z9PLf*39)j-H$)3f>M}*ncUw6}&AH z%|MHxOr*4XqwqV$ka>)RA8kr*GgeKC2^1lbz~Flt7@}>cB4VNRz3iiH}-R*X_r3^LxEtt zon34Binv0l#?!t9^jj2;g)1P@L_(|D(`Y4Z`gAWHy2MySn-r+orcHN>VXgLCzB~V{ zXXRar9&RCzYnt

TGswym}ML1z6(O7t^^DdP^9#L4vMjlr}H~cvl z%wTC-SHpVYOeI>vi{GsxXfH0I+*u3Kj?;zojD-)f$sCh8w&hP6`*S(40VYA*tl0DB z+DUVj%d7HYm43vqC3ze2Sx2y%9jeduQh}lK2+hIREgQJ{0TWw*$+8h5ymynuh1;K?5U8kej=%o%jFX_JEoAHQK!q# zS;88#1&Z%(bP&GKYokP`5?E~an$Qj~!4x3v+>bhE_x`l)&z>kG__UjFq~a=59AA8L{ds^O=bLW9){s*(s{bPyS^U?vl&LN!s| zT!8ED*V9+HJMn^=L|_LgQX zGJ{YiJ{VGDdHI89MLh9`J=g13uTL^Nhe`#v@?ddwr-I@?vFqd{&bx&<_xiFuk=f9Q zryE1c@0OR2ANMS*&X$a+Uvc`26x-@ftsnTs2_4|zNTW;GIZwOA+k=WL$Wm0e-L zR&!ut51Y0P@-W$DMEn^ ztkE;XI6pjfuhKW}#Yzhqw?>4)nGOfEJ*JRw)eAEayxo z9-Gu1E!FvU4g-}ii8f)rDr20h(jRj;lwE5wt;{@rK7C>|bhU>Fzvd*xtfM4DB@i(D zwUL91`Dx(F1t}z%OB=~viorR_v4FmlIE$KM<6|-AG>rkYkD;qO)=DGKQusz!kv)l7 z=ZfvsT+B!DLuAifz%A)F@h7!oerl)uu&%-f9x%RB3Sm>~J}1L#+@L9J2jVkX<}dvV z`a)j*LU$VlL~V=@(@gTSm}QK%0m>awzDgNapDQ37Y`nl{wB$UG)m){X%s!8waxS%0@`=N#64iZ}n!6#(6n|-as|(Ih@6F3?0}Wcw zq&b!lDQD~T3Rd_@9+#apd5-3p_xlwCCsc)!%qc$GcU{Pmg+7GNlcXxg>9DrGrF8kV z4=a6Nc)rtST`+4lmbcX@cWpT%;xe4l)Y4^caytaaeAtuDUixyfL$L zkYuM|)n59hxv2YbKU_qziGp>bCU=cq!Nt=wN2-`DJWi&oG`Mq>e&ed4g60=yd_oDz z{SVb8I)&_tICUW-Ba87*Ls{Sjr#!n%4@2?0(d)#+JZFlEX*>1cO*+v$br|#AVqf;d zQK}G&y{zP}cx32N_`T9K3S(tiJD>@QzgSIc^0k%TKXklzHt=q+p7&iM%43;1GYz~z z*`l)kj8u|_FYXIEoft3e5*9s1+;9z1{Bfp4d-|ncTC?-wYR8#B%lI?DgwQXZou~AX z;i!Asr>GtE?Rl0Ix1Sr!0<6_bv+Fpr3m#Pz1a(-=Q&DeCPRUI*v*&cjE@4ic)I9;! zTI~&<((U}D1dK;Dg_blT&WyIXXVJn$j={sQkDwuz2DK0L7-Jk}g7z+Kgix-Bv{P)^ ze8o}!pgj3kGTzeE0OLMyy5X>zu`bnutEPc*{KJkU272^o%<626uG)p#h!!W$qw1<5 zA6T`DHj}XGC8>`-mY|)%FUA=+Scog89d$d?LKYaxgm_ZpM^ zM5D>IVaERKu`+e~Ia>kD*|M*+)ge-b8*X<0M@EAtoLdF>!2-H`sb722mg=#~!|nG7 zsxLw|xR&%=(H4E3$vdzZai2-={mMVyev6<|7Rok4rhNw7`Dbv_GE5=9v>N;zZ`f@0 z_%&IgQse#?1%l1|J{Q|r>!zox3*Y+5W9db;7Mjh2*vEr~zS>^cLuG~a6@BNV<6n?Y z%e=43#Dm~8plw{{bUpgRpO&x(ndK zI*)nPx>FdIU8oA~WYwe?@o8T95aoU10Kv)Kf{BuEUlEi_UrS2r9G;XBrxod@Wjwf_ zFWWECM8=8Z`mrz}C{73yL9&UQGpz;FK^ZoUUY#m#p54;s!Ipk6x=sx&tx5~jQDkYe z2kDn~Gdi8}{3%J?)opRFkYBQ%&s(rDC2F-lmbWqDC< zGV@~Vq!&G@=TLF#}Ody3K_ zZeNUr(mnoKRl)%LxR{TIHVR&kh=ral&sP*^s8A9phj~VUZH$ znmE=?NNm1_(YQ!}a6`waflDY2bQc(B%W>;qdU=L=VLttS9E@)*zl0h-3#2(^%53cs zZ%2J9(=xrFq2oT&a+k39p3x`UP#xS^X0|>c4BTUi4gZr;4@WiTFxlRE$Z^+MY0~ls z{L|HlW`S=j9u9IJ_sfv#P>X%6?D~T;Sm|v@aUvQ5eST)?>`;o>&Z_hEl{!CIHgJia zkB-(%O!>~#;~|(3-hC?HDL9ZYo-T7sP>^<3GN4VA8=UjQP&U?TI#TZR)01W|uU$Y) zi%7fGmUh+>UMN<&26v}lh*tCp!!L6=R_+|>2`J~%@K^S9qS3XZQoVT}w0AkVJsQz; zl-+-o_T{)c6wLVLa5z@L-#v9u+c%=M7esq*KJ8k3vnK?eCW}oOH;*Iw-Zrh%keZVy zWTF%TOYS_W;3?I(pdw-gQosc%Xo$Y2&FF|_A>Y((C4N=Tna?4%RuRVZx(Pc;1cvgN z7s>w+`Xiks%0!oiHkK2^3AlfGkSs2*^i#$~)Q^sBFbzPyNqzZ(%zEBV=%9>2H6SvO zcM)!4ciU`9VoO5;hj19NX6HmZIim3G32j7VppBFhe?5ZrTS)@yUx@=>3AALJWg%(B zRUk5egMt?`I(|f{+OHfnagQi#)9k|I&zAaCKA$(%aEnqj)$bINt_;GV z=QPJM`G5gz-YSTZWB4ubGb#$N5rdWS&l}lN`1V0^gd?Xz;oyaZurn^`qvt52g}~876-fBG0%c&;Y6VO88y>L|n#FO9w9{`d#!m8CbNOdJ z=Mnkr(AKU~%!`I+I8lL?MS(^r` z*KLZVm@@1pr>E!;vG%h(A2-ROU2}-KgiEFuM^-+pUCRna5BcUx>Da2YHOR?mP1zPm zeCl1Yip@@J)7xUR6MDLpe7PKpsS`fBK*V3U5Im(e21m4g6DO_=$)2lpLcH*iCyQY| zqxo6&Vj%uzFF+lZ^~ARR+5wHj7oA;?dUWk!Xs_7VX5J0-kA^d_;ydd{v_nU)tsmKY zh89!von?O72T$a2^W;Q{X%&(cK^fLlBT58{?!ZwQQ~;S5L!K@JfOOg`6DgZ9_M$&p7mj zoSlR^5wkHD^oDcuDZm|UA~y%c;vS#b)7r8s)W_CWgJjsb+{g41)2`bBRMKemY7aO7 zI<*O|K=w5E-1|x_=gM-OQ9Q7W@v~`<#aoNTHJ4sE)tl^IN>)=R3`=`4QbUz99v3N>^Xc<) zt(NL?jYp7q$zOAo$Duz0)vAgo&6%^Y;F^MXmpN?GAI}SQG%kavG$2>)rSs}!kNclN z$T>?>S8fd%X_|URykn(?q?$p)xXMFd1#BDre|7(lz*F7!}j7z4cx;l9(%Tp^Rdd8vyI<>$S3adrb+7#VXEsjGyJvb0Rd%^3EsWvE`nGMH*;ydG#!VmLDyzxv!#B*y-ID z3kPLGt4*uUDAN8Nb+-O!nY5Jtk94xw5NNSDTM3)2py7P_TiEy~yL1Dkx`>gn50VrA zsR6sC`6A?>P$iv}{t49|fA56Hnu|Wh<1BwQLE+Mc{##KEl=K&>U`>@L9FB1Rb(#FK z{}HFcLhYpz*7d7D=)=MO$q$Wm_P)P)Ia#VJcPW}mXKFz&HRUe_<>g->iv|S$u?Yap z8xTFui3>yLHlk zlGF9SQaFFHkw7^RmONsteV3*O-n-P~R!|`ba=$s|W;!9Xr==$W$o< zmZ$l)I`{VXv=O4K_q$>0+)7|!O-zO@pv92SJ5;QkQERcP8?3NLvq!*g`s60UGh5yEeP!` zn2Q*dm4b9z>S6-kn>IW;jHqZbn3?wnFss9WK|kWIrpz^lma*Kf#&J3>?UfdpcKg#8 zVV612Csen(_plhufXJ@PNI#ODzW0P*HkKXi;G5{c_(U81(D{eqHTFD(uanPH;$636 zB*X_V<63lL8^r}kA)=b6YKpe8- za*LA#C9v{tyb7kf3YE1gXz3Gy8*8#5w7B)ucQ_h{z;LGY)d>?NtLF#hPs4$ny4!PG zCUS#0$6D5Jz?#mct)=c6YS30ivY)uxz8u4-Q$A=~pWVR|I%On(E}{>CYdsj%KXsw= z4om;W^AH}l4BnKp@|}?#x10q&{eO1Dp|H`hH$Xvr=muc?&xQ&kW0SKk*;r>u2f{^u z(oow+8Kh8jNwgq}r6E6fw;)e}3#_j4a?i~cX&pVsigHiQ5Jl{G2#YOd^Eft=Q!KO7 zB47YmHT)P8Bt85x9K=mO9DPwy${J2RM=~p^ypI?kiaR?ix@6wSMK;e=B2oOGA9t3^ z=e}6qo83(ybWJGH`%J9w<)dCKaLW|{K=K7X`rN$$kN{UbFWW@1yMsjUM@YVJ137O` zM1ZSU-#6$U;A+qNfZ$tJ4{%rTd1kP-TU|#6Yrv^4i=q4NI_K@m6}Yt{KeW*LvbsCC zxiY@_>M01=1@6t2)G$;7GKheCu8hSCCL1;!SiV=USbFbAu8bGJEqR8J^+5~Z>cG?L z?ZeK+@pHh9j|a2%8Mu#qUH39z;DfdmgU=yV59j59UlnN*z*pOvqr<&Nr-q>!E5%j5 zCMERr0}|FI-b04@8p19mbEx$9p~!o5n%~u;G53QDbNBoFoCdfd?$~M_kp^fpOFg$< z&)fGG+W@W*$lJlkA^V<9+0}b&!fM?O-_~64Zq758IU6EP4&5{CZXbbl-MEpCb(iI{ z;(La9`Jbof3m-@8vmZ~_`GTQrVSsNh649S1tcTJPrs$T(UNb`dE-Uu5$JfK>khXIs zJYcST*1r17K`ITn<}Ioy+~GTD>j?<-WO5C=U3a~6#jdWqtSH3lK*!q<>N3{U#LCP6 z&~L{sF<(Jib;m+?XzeDkL&Pc3QD7OxuARF+ev*M~^2Sy@ov`3kTt#|Jsfk6RI^f9D zJK&}^?jVvV9yq>kI?1#iX-m} zp33|E8oB$LQnGtGhStQtJnBM(%PHF@;(~Q)yCDeAyu_t#b@*QUJIXWRN2)nmTJ8_? zuB-=P#temx*SY=m>wC9}WEK@2>>sz=FAtGRhr4sPmI@r#!t5R9*^h<_S|hL958Ed< z562wgLHuu$iPO$Pon1r{*1c&{g)Zf@V@MZ2{&VpBF2b4@?F2 zb4Gq}40s4euhKiuh936dJ%yegN>387wP;z7j`z31-^ot@+CIJ?V2w@5jlT@uMt@;^ z6NU0Xql>r0mFP249l)=oQ@@_rcJq9`XE7_*S0!4?MHzJeV zJAKV~B?HQi_J3Hl2|O`vUd~tzMdDnl`@mA6a&%&VTjISIjrl zMNMVG4a{B|h-gp5ADnM&TT zZ0U$|CNZ0zaKzs3Q#)T07GN+X^*`Y1+UySl(&#+RSzLDX)BrYXh{TkhS-2k9nTh48bJU{Oo1d+SWigyoTm zG@x#ik3c?j>yt#CCCl_Zx>Mgg)^LqpjcsfS8|9KxsV|z9SUj zZScKI*Lo0`w7})X^9g^-SL@B1fZ_w@*0l9O(YgS3jXuh8*f|>l9&2hN!;h!>b-U?N zW-AE<{OGK?eel%ZUeb-ULjyP~{Ivo3KM9{xfG>0=GEUF(^#kVGyLumG7JG@n{ z_UdeB))yeUYirw37xY9bGc7zp^2z}}Fw83+cnT}WG9JHYYARBu)_jV)!Tk1eN5SqO z4aF9RZh#1T3vRF0cZ!A~u8=AhIJWwc>^CwR^eYE7C0CXXb`&4GfPghDMGi`*%Jf5e zagxHw0?YQ98OJ&lJwiozC$i#LR6{{LI*XO)*RxUdw8~;~hsO#7{*Y^sbNQA&9!cJg zEs+t&ixQnHML1!HM4--ur2`gk1Zs9Rqx=-(p0%qmQXd7R?H9-1gPrGtr>krpQm!Ta z<2m#w3b*uWS%XjX@x3wHqdwWt=>?p^Rf1t?k0)w^&-cFXr$jTr_g{kVz#XDZx5t?Y zQzGxX65x#*uz|=9-u?P1kq9su3%J_38W03*Z@zAWP9TC^t$;w_3+S{#1l-sJ?lOr% zXSBVI$}>D&$1(t}zLHeGB@(?~Yy$8$VZ?O2?nbF{9P&VJiM%;veP0-T_lbOY1ptdB zc~rW-OkM=&FGRlM3qJT3fP)U;Inld3aLN<}Mf&aSnsf907D&R^^J-OJ;{b9XrAbJpNy$t23=d#!kSp4M&Iif@;`X9$pdXO5LmghKr#ev>kUD^OqM zAMN*&J&|7r1sG@wDJ?51swVInAEA1~KHo~A;e85=-Xd%EOT9^XzUG5_nk?CT8;$jO z6x;-0%|HT7*!+E8)(0U4G-UKQfbbkwvSU!)u-1MvoOmPODDm8uR(h$L5jtPXtn}wr zdVwz$vrw6B;AQo7{(ALRTPe8+OfM!78o!Jna+*1==vScx-a(q{AWeYfEYv|xAJm2h z#}(qrhXPxUxFQ*jtMf%Dfd=cXRly{L@hXHjq8<`!{a4oIUaDjSFYy0P`y^)x(POpR z>*_;;m#T^PBn~4__k{jG-qshPd;3htt+#4cIj$-|v%-UBy#*?)^d5lR*=liIS(`ybb^jJhX8GM))5LLg z+KlkFB5w>)u?Pj&?D@UidvZEc=Rf;9=bg<{A}5@m+{b5C^n2E|pw8p}q9rODJzcC3)_?4~(tucUM_cfZu|z zbH2}~oAAZJ45D~RpG+;Fy&N{+g%e2melHJLV5t^(Z4j(*xWNMwblDYU-7aOkn!Y@` zdHA|}dvz75LEd?M>!n*C7`vT2J+D2#>U#6cA$qPN*T`ME+=AW7`u}^Gd-pZIA3PkTZD{V!kO~ ze@gZHA@Qz>_1>B9zRKi8Yhu17z08(fNw353Kg~ddpa^V!_xj#qReGAIN0wOLs(;*-0sC9bJiYa80)EqIlU!$*SYC%R0JFR?OcP zq9E2b^qBIfLqAi-78fhO+(g}`tnaVZg0!T!x8wJ*8Md9mPjQ;^Lovx4m_msJ*!*X2 zDNZpx#9Xg<@YGTXFd5~-50o_DKA>TIe#uTB@}?SH&gmNhz;is9*47CI&iEZu9Jhpp z*f2`^LXmx)m!6G98o{_vxNDM*X-X-q(a~(_W#9Z<{men?Y0urb%r6;^sQ%6?Ik)N6v}jc)FX1kS^)Gd$ISx*=&||I0G0$6>A|p zx202bI-ddfY$o++NYV1Ss~p|}vWcpWyf!v(3l=h`UNa^d6K*#!5^xM`tAPP~buc=|aG z=;o<~+{0LN7S$PHi;nMK4#zbbi%+R|Oegc5bwD7i3Yz{9N6f0rm+;AORI}(Md8e2m z^Q^xFx?Owd=zOrY7>>Q;$<3WBv$~sS2DmosOc-4+szl987d%TK6}_{<)viqaHRV$g z9xv{CqNg(TdXX5@a6T>}GoJxA$5n8kUa*LBx6t3VwUW!D_rF^$kKTV9wYs_saXRv0 zll9a7u@KO4wVXSzDjjX*9};0{xsW-nIst3_U!pZr&OK0-&bsywfjWKQa_`Ukv zAF9E3mW5P9{OtyjIdNtCB89Q7SG7RR`K*%1C3&btHKvMUVUgh%va4lyM;*i7fRm-7TWU4GA40MRWv==mK6;0@P30g2vrB`iLqk z>`tpL2Zdh)1qgWu^NI0mfE#Rt`ENeiF&fR@UGNn3Hh<~LwO$HUn=MpY&e~FkUKL7p zEuWQ$dO1hlq?( zULArRAl7khlx&b^!MDsxX9dQa0M?NOj|cuqt2dirKm_$n40e$2>Nrn}V_W9Ted(8R7k(=)@*~ zKs=}xY{MeBMr7HBh$Ss=ntmm#gn{P9!3>FnD20bp`q}r}PqYmbqiFLF^0*+Yol%Ak z3zBeV3xt;MTqFh8A)5mLfr0$Ux^7lFNH!DbwLu(?he0>rPbjZyou4^x0Cc2J(TJ3G z`vv!t0jIiG`=(#?a$;JBPY>%g=667k)4^HTVSWF_CiwMSxdzEr4Uv;;?Z_^1z`eeb zz-HG@D|D3`KPR5E)vbMlH1ZL8PeSlzg8VnR_frYe)chHCX8p!?teJcB`-?c&L28V;v$r%I7raM;# z$0m*%IwY|(jV+0fPnPz@kUX*As~jP>C;1KWC{tX?nE0;U$Q%Wtd;3ok`4ldBxxHi5 z!#h$0`9w#Lc<54Do~{wmdCDx^J>l|^GaizrLL({mD31OzC)pQO$-P~*;S|?IL58>C`q>_R1!P>IIMG&Mw1NKJ& z7Gm0kKA~l0)mbiN^`mS^w8%=)LX_J3pX{pmg37>cL2&n9CI?g^y7yCV@?4<5tIo|# zkjTN`Iiq|}E~I5x`ht>6tX;F#BIbY8?y^A%oqxLGoPi%!Z8=OoSozj0_WP9ZW(Cu@ z#&g6}>qpl`o><|Zu1~S_pYCLASdP-h{j*2${~_9GLu{s}h3#L2lz;cD;$Wf)t!GkC zPlSX2LmYm7kd;C|I{4ymBli!Pl!aQ)#{r6x}{{0leg zN|(67CC9hMF+i^#Dh;NL#lz7|2~|$Ew})5{qyFq$0UG6)pt?c*n;{nESdXQC{aXeM zC2z;sUVXtBB11<&`M62gU^)DE0934l@&zo4zbUKZq zue)H0=6w1r{QK4i`wL5IC2>c9wjgsjz}p=@OG<9Fzce3aPu-J;TPqKrGL|Rqvc!6F z*0wVl$v|w%_GXel(uW?FSu}y^B`V7|x5uY9cHg@O@XemJjbGq$p<*i7Y5{alW?R;3 z=w2LlFZmjMZKp9MN*nUy`sgN&VSS`4E*RfIj{<<$#C;m-T}5Pcs|XFyqFg&^vq-hN z`p&iI%2dY!6A{mp=QP~>MjF+&Rc;Mez0&-LY^-SaE!f4lwS8Ug<%PFp?(Dn59rzXa zrS%>b$A(|R94Vx3k^4sg*CJ1-74op=;WYA&`su>?1k{jbiDw=R=oPPo9-p9b)-WiE zkgRggr@h^sa+4H)^7sS3+>Ot#ZE_KW^e?3sS}^-|nA+T#AJq^|`;rDmW6*bh@#PjU zw6Y*^p`d#$$gqGNN{SzGO^WX>2P`ecrj%qH*0fMIFsC(*j#ILxjhnFqjuQKN7`y9J zR^m@Xuqr0^Qvp7i5(k(vUWUH^N#WneVguMfFWzF_48awIOwr$(CZQEvdY}>YN+Z}f7q{EK;?R@8) zd(XY&|K1y8vZ@yM!d}0sIg2T6WXD;Fn3dVnFB&gy>Xg0#l(|yEUO@w0-l+D$&c@AyFoQ8Gto(eDxblbbnN&O3>A2 z*J%5YTXQ?rkRs%tUQL+EKT36 zBN>VKyFIo!wBW`EcF)zqdazKrUY4;vq5}pF_gPK z)>l$sUIHUltM|*eEJu0`tVFsDG_3Biqa0{nG{=+-cL&dg8s)nM2dzRwXj5R?;Ms*B zMz2J*YC?^kAFTx;65LspgO~L@9F0KrcsEbfNZ9i_UnMksy#>6BH)qxS%ajs+eS9;X z(!m~%rRQnCoJ8s99sngZbJNtcGcOp=2%DLqRaGDQ`*6%C0Nax>MMVl1^PdvT`@Yqq&^?H86xcW6wyI^IV? z)=PGH70Wg+I3LpHO;O=4xi8hZ_+>nt{s?suBAyaLB@R)C)(ao%omh7s9D{CL$tBde zf?m8=4&R))q&yhml(dSrCO+IBpg|YsNQ=PfX(m=>sDWCMp%H%M2PyC-2vY2c6D;46 zAXL2}L9G0P1hw)8wFT1?*I&O=W2AYr%2@4TgSpzp1{Z>^FZ6X;{+kj~gE}a1f=q}K zcBXanqI9iEJh8;_|NXX~L1=StcSaF(IU)}{o|FTc5n@Q#Kt{=x z=MbB>>-&tm))8MnOT%D6Y$QOx3j8L}!5R_r(dStI7X#tx@8H0v0q6Q(Sje}4z2(;R zy*c}Rf7wZIMp+-}1EMTw~+v(ysTY45w)1*OvU zdIj9&4w5;L5RdTC_ow;2L^6tf{c?&1^~lQ@)nJd{DkvpT=QgTx{lw*UO1iZ$E~1JC zMby($LOq^&{`Z)pz}{?qh%_NUb;lSWM}%n*7lwnALT;2dl5U!wKNqMt(NxVN!70|N z%nfJI=4v|jZ`m}=VP;aW#9hqH7j-ihSWjB&PED1rZ}}O=-Y{Y!xXwIxb3JaSnHH&&*h;%!oNjms_{{w>pZL(ht)~=JH)vi57 zyHztus|#uFo_Zo5-)2CWi{I}mbB`rD#c43#sJ%Q~qHiES!lJ3}m!;O5EIK27Ys`cw zh`t13$|NnAim8@lh9T%Oe_x)i^d&LX0FoB>ffp8%Pr=XT5Vv+SiNf_rrBfkquzT6+2}ExZ15ajCUm7M7pe+_ z0ZHA0fh$^E!U#*FCa&IJVW?hNIy8k6Nj+FXlZ|CinhHssT6cpa>*f97edR2ucX^|; z2%G|?KQz({nQ1a9pD44~N;L!&#OMh+&{PFm)GU$DN|A~lyZ-z)l!?rWb!@#->-iv5 z6+&D+_tTULJU1G?)5jvqeo{~zM6IpqK#DmrLO95PAtfT*zf?6Vic!fJ6itnU=HtR5 zK3vsH8YIFENt*@#9qM0W=C)24?9lv3nMx23TJJ18r>%xlJFj7u}G zJesX5MG!M^m*f!G0rPykfY1i4QzNYRKF4rR-O=7zj6&ua*1kc>4v8G ziEHMid_T};W7+S2hE@-g(ENdHMCKW)#zIn^jBHdg2t^ada5X`+38FETtrMZ5K6ILL zXNa`=qAS2hId2be};a)!P=(*Z*_EF88r z_B$PCWC>J611bt-sCJ++^41;S(8#t~=!PauS4L}+yl!aI+n1)E+*k(c0R_gy=je&1=a$f0Xv;4K% zWwuTU)-6ijzCu1UMMD|gCKvgfl920&iaa`lcSKM%1A2g}W$t9);<|p_vAy*-=it$W z|Bvl==c;ti=$B*t9OGG8AJWe6`LFH+E1#yQblbhd8Oyl>2fqvl)jm4Q#W%G$Ji5H4 z2I{@-`YqEVt9IYgPc1lY0tF=2fF2%;vD433G~}=zaPs8PUwPBU7gL!QAXh}`3gKR# zRa7AS5EOvyQZstBD1cH5=|cJrC}8ZSe%1N06)r`*gXL!u1dhx@{nE~|n26#&qcL{_ zdHtpKwuRoWrwYiYuT1!Qto0#Fa9o*p#v!+P!Y-gAcu(uKu-#Zi{;F)WWcn&>q*KBu z@|vIl{Jl1boK4vKpXBg<@8BQlE^N=e7h}>@moc-mOJFfyxqri>Mn1T z`8?#Zbzq;)&tt^Qe1&E`-K zKuQtwJ%)MqK->lt98aC_cvW>CX{i^KNDxH)=(iT|P%_CFxre49xfbN~Gn6zC#IQvP zx-916k7(=e%HC9Z8EO8>)wo4+$clp?0gHB73s$7v(EQ7W7&zhX23aG{fnJUNb(#8e zvUG0ZOYZm9AqQ>%COe1MOVNj&P$eI(pQnkfw^QiYenOG%4PC8210Br8AX89PNAPoN zisbLG$5L<=ni9G)YRMsU;W*O!aq9EI}HC!j&yiyEy7`?s{n1BM*VYCI9RNZ4USL zTOd{Zpt_PG!}NysCU3g=y%;5_?~OF!P%MIB6uDS*nJG2%hxvP+DwZ`&@-~k%qJbO^ z^8o1y(&wQ=Qn0|)WviaIn+wTs$1g*G2!es*vYbl@X~jS z`J@a0wc2b-rFW-%mY61;*8Q-M*k)cZJf%hHX1zwWjMA6ae}~N#bi{W43shTQx*mf` zgQ4frbz(|Bt^5B}Ffu;ysp^DYQ!tHaTI%sA-+yT%97)-!jEB+AInKCDO+nkG`g^ET zEm!`~Q_c`O;+BcqW*O$ly^YrH2@}1~{jQUtMT39#b_ar(B54NK2pi6gO%{m4I#*NEkUZl;vrO1_OWs03!9inQ0rM#u5454( zQ83dqo==^kBLeDSZ!#x*&0R|&xbcTH?M0^1!7^rozYSBV>y+@xV-3r$zeB z`i!APV4N8u!%Pteu5pOG1BGKUrVaX1pv5e@FZ?aVbU`p~Hh!$}hUnsR%df{YNiEd}8dPKE%%r zrE9d9?80-KOc0DT?@r9sO=qXV%+Ira6hVpa@`yP^kv23pI@ZlwM->Zqi)G?dNYU6e z2)3-h1NV@IP{NG>leiKI7I0Hpf>Nqd;5`EYB%Kv7%!*WmDiF+yR$hRWVYz(d9TD7$ zR^Oy;AKOoM-yif<2EEk_{~LB4X=$;Rx2!r2ZT*j$t+8Cm-cz5!vj3L~cUQ$TtwFxG z(EuANGz+l6jGSpLW)lvFR4nIXT6P{l3}Yt@*A_PV7AcgXXvxsL0otkyIYg(AfYR*A zC98O_>Y+s?JOBV9OAVqAys4x|0VaqDz_bcWpWS2S{>qhLb%M~Bn|adGGZ zCNTHcEj#oH5eXz1p|;rd8L`0ZaRQLA_S@~Yz9Ej;u?Xx;JFqhbZH~$~hA^HR*2O{( zWv&yL48}+DF_XhN{iGlX&S55xp8WY?JXjur$4D-nK6P=7_I`Z8+V8+`-Y(h)uWNh+ z0RUDtRk`*7(CZg!$vjX9NRvlR@qgl~W{*}ffYMtHX%+wwYCx6q`#%=mt%M-Ue1&0zw-W2Mvf)H~tY&gcGbNEPe9AXSgIXgs|ylySjKQ`PFBWbgF% zS}Y6gG+MwF=g^?;?Uw`Cah}}zf8(+LK(kr@A6}I#V!mA%Xlp-Q8=85$-9aE7BLJr2 zT`|{G{ZY07tkCiWX;rX)m5D;|ihcpaBBcL=7tSm?%!k8W+Tfc=sA`+2VN6|Pjvct) zd^H?SA;dcc=V?4uKaZKa&P>Y+$P7!-3fQsR;nXh(cO8Pa0dO?`t1P0o9Jwn6NhWbm zJrS-g(X>6qbU4uz&;U>O>&ORU)(5_t|Nna7Aww(<;b9~D85~1}w!HUWM`W?{wcTkQ zFOb02-r74*AUi+2ClHb+H!SpzFh9VV4KOm`Fg5^S-eg~8YS=Zjz4RZ2g1)u-=?imf z>029n<`G%A_O9&%d+IOj*FSK^Og~^Yjc!xX3=Z!aV*p>Zwm*P@bo@23;*TWWfVBLt zzr`O#fB*69-C;(*Ba9VKHzG;go(_g7;rA@zEEw5 z%ldByLUT%2=IZTm6(2MzjBOscJq}c(Gu+T?cXwY{I@LKl$Ff697!mJ1%aP)~{BQ*i z-XTwzS5VtFPMYUX56{Pwwi8Bx&G9nd6OI2lVaCU%HiQzd-)Gd9B}u8|Uc(Q&nWMMb7jmF1Tw#=Ur?PCW)M&y=xc5!+Z}AJzJ4s5V4O3D{1vRtk^G*Yc9}{ zFUXQ488Sq@CrB4%$P@ID&ooMBSVBxDaTG6M9{-_twQ^6Wm#eb_;YYD6|o;Ye7K$20vT0kkbnSyY= zLIl#w>LqEft4CfUasN&8#NxpkK2@uSMai?kGhUj>ly$eCsIt@eU834SNOPrPXJ1K4 zf4a0=b4g1}F{LA`-p(BRf+XcCuDs{nZv5c!@!;s}_~l~2R6Hp|wzPfh;UNB7oW`Dk zH8%iW{M94}8|193?Z#+y6zIlS;9Pt&i$OsRrH1;c>_@cxO;g99(!lW%v$4+7`4z70FS z-R&1_U#)poN3BoRdgicqET8DAT5ZP_HQ3rt>j5368#cFR08E3I~n#gzmgFJ{^`>Qp!N@yWpj@d3;ltb7VrTXm&hM@ms9$M%H z(zb07kJ?_fyscr=es_#9#sVDo%BphFNTYRgXfj)5vO;}kT5^=l?OkTUNR_4vBK7LB zynT5vV>As@0Sa|H3`{{1O?&2S0ScYxg)40Ba_cW?y9zyNyB~_yI>OUtw7JF<#0v9@ zr4&G;@+6>z{p$<`4FPI%za;#8U{kbu2l8c3Jz%>f~1XrC#xmEO;U>l*Ci)n(JA+7SK85K#_ZvcQ>ctp6> zX0Q-6#sTX;E>^MHjCAcV;^?@t^oQ{9?ah5b1Bb%(t!}T$rqBr1s$Gu4EnO6TiF%X_aH|h!s!z8!r;%%b#FEt-lGEZ~Vwtc=dDpOk2ppEd=?LwfCaw%2z9T zc=be@m{!W6rP;a@533ext$(RkrBnBksH6eTjLa(pIr8*_c-@Qi#wyTs*9A#c7(?2+ zFq`M7PYl)x+0>kkqP1KR=L6~R1YI3UH^Q@IhJ!acv*qkWM|heT9Pl@2U)Y0)&=D$n z;KvTQJ~?(viQ1?-NaH49WgTg8TzfHaP)Bvob*QrMcG%dAg} z4w{oI*D>gtfYs(%?7mH}iq`VfZYUq!w9bx^xk2 z%oJWZ3ro6`2+s^qS?uLo-(h0dOZq=>4{5yru$`wJlgA#@EAlQY$w9P6)%>O)7fTtU zo2hDmmU_+a&!>qHczoZlj5VbZSfFd@-&Yw@j=j>w6xv#6)QF!FVbjZX>B@wwWNkgK zo1si?->_#qn0Y@MO#%CaC+L!<_(e|gVT{T5(L^fYnaBAG<03eb1srdptPB0#Bnd|O zJP2{a5Q2XX8jKUDmoVNFm!d{aHO6E@V}Mj-#@>l^(J&GoL*io%d(Mq8Lyf_z<`YHu zT3-6gVK}kC2XN7^0|ns+c*gSt3+*D=N`kz`8qRxw zh4cbm0C*gb4|oTfI;Z9O!$P}E2(PhD^Xlg+Y)4Tut=MWdrOi>5+Y88ws%r&6Kd&@x-A+Qk-&K?pi^%#){`A;pD= z4m!n4av~s~twN6p5$|zIlHx-C2t6Q7aKtqw>vxLR;zVGE9TPI|Sr4V)iFkZa-IfL= zP3DY~)3h-+s)3EN0d#hhhzjdS|63K)(EYbRDIM6FtW{?b&B@U;pUMool58tA^FBAw zfQO&EJl*%p`q;pc?Vh1M^94f}Z%4<`ZQWsDWv?GxBLW(uo3Bi{#l{RNL@fGlOOtSL z|M~M}@54v&O`|M=qF8M(K^U zBz_RL-oBo9N0;4cu>=5udD0%*>Y^*W$wgmqg_}121THArv8$RE-jcaeVhUkR)~`~o zKO&}C0|o$WSq81i5RF!5kkq$v0SfK{O*XV)%NrcUh_+3CKooPTMuQQ_{s@2af*9Q3 zZi;N1k5xH7j|le#YcS!z75>@y9AnTs97++dC?1HgHjI^{AmKDWaJ;N=NVzOCB)qZ7 zd{t%ETc1m}|19JZQbuey%r-sxZ{uHASGc1;Sp~gE9ZE*idej|_+=*0A=)l?;yAUbI zO4J}x)DbiRosHlOI@pt=7`ib(z%^VRgR8VG2U4O89FMe#YwLoUs*kgvXxh+>j%bOi zb!9?RNFwVSm!W7V{!FW+Qj-o=#zWzRQTAc)rEKBBG4h3*6{}u1HmZ(x|7v4iwMngD zps#|MZM+L}1IJxWNn0S&*jx_pIVx3i@TC;eI=4~UAJnr;9pwW8ZIxaKUh{$Af7_S* zSoAH`^yl}2=wAnUr#h{Kda*jy@_*3rKk`l`aLPUM7(GkdJGXevSAW~Od!IQ8C)j{F zY+F2&@wjrCx*zMsVUyBt&hR&`1U}R#vv@JO&)~NrSN=|`4D%9ns|9?Qb=dT7{Z6Y> z*{R_l;***;bzB#~2IRLT14JBE9VQ@836v1*U*7>C{yJX(q>2taj{$-s=pU5Rce4g` zj`FmqDe*d*0VE3Zx|j)&pJe6O@ridF8sPKi$pX6N?Z^7)59S0!+<#@>mx zS7QZ&O0*IYgDk-NpoWiOx>9XVpjz&euht~a;JFw0J zGP%Cr$GEH4A%ZUcQ1Ym|h5*9V!4`k*xx{Dg5%Zr#oB6DIN&o7$_~)Vxx7Uia-mLtD zvfSO;JcTw}o0o1e+Ny`)woOGl>WwvY{N^k`f6s1`ee-> zC`YfVB*25-dA~+p-dBPDe>>eP6F+ug^<$ZT`cF(f$>_Hl+%o$ z)<$V5i1kfH!VG}ylFK)KWFM11tuc{{BM~2pnrVfI;6UWksV@A#M zgic_8qrXurr_%6F(mj`LG)hvPB`rxmoHRFXh(wJ{+sHml4MsIizDQL%R&3~wezKr? zqAjxhy!Hj9WQk9BrA=WOm(MA=ooR%Hu%c`NgV2HqDDIsgPK$lNx*-qKjk|+fvVosu zhGg%f4np-c_hW+=%~@sD$&smbvN-#>KALsnzA{G4K37Ay zSZm$^DrDek-ApK94YWc1q?`c93LT1H0xesq+%du_orPH}2iN!}{&S${a!zUEm=YYP z1S@7STAZvxw>=I3fvT=kqOp$mNPj|~ujWO{@3A?;erHbcNVdZ<-GunrD#Q**h>2C~ zB+0-gEd*I{FZ7tTMU8E?Dc(UhnwM)0lk&tenZQ0tSEXcMFF8T+lZw^?(6h1EVD=-# zcAa!(OrVG!aGUnKYd^v_p1reN6wdDj6Sp$(2ax?H)aPH%+xg~^Y)dGCk&B=ZSJ$@xqKX{g`3YPR6|l#}-@7A_ohu-Kw|ieYJ#}z!Yq|iM zpNFq6eZ3h`XX`D=`nW0_6&?&be1DC)JAz2vG?xN>EHJ29%h6msqeV^$EllXnozd!3QXO@yA^un^;}D zAs~wG5M}%WtoV-pkUaCG!(0AmcxV`AB(8#_>&NHN#p5l1ew|T)lsNuph2RUC?9Nfc zvtPvSGp`&mz5+|n*b?i}Eh>Z;uUs3x!u^k_Iv!tzUi}Z9ys`|x0H&Bi6$67@`MR{V zwXw3YwV|VXv(rbb)8dR*snIAahNSs}84>Bnqlj;7-#p@k+WoC8#+$Dxem*4GzDc=% zke-x#xrt})MYA4YS&=iP-q<5xWX;5uw!xrZ^xOWJakbzWkcfX^yk;Y!X|aN^=w|d z@a-Qg;fsP*idd@`>|$D2W{RvZK~))}ER9u?wMET+wjXD7+tMt19?;Ow*Q-kRt;+x4 zNOP|V7HBzL$O(UZ*mr}yt#xd!uv>cPA1UGc`O=?ChZ8nXJ28uwl*2>L^VUhU^JwBS z7WeWo3pruSoqVl*d8q>++8#1TD+OnM&(lTyx^E94gQXGyl;JH35l_wNmLAqxFY=Zn zc)bmt8~hI z)x>o(cEO&fiLWQ2;VgbT%M0WRVZ25lyRCO+{>?b;iPk;8ZGj!Hda#OTKKC%_X#>wv z!6wN4=Azyh1lw2M^EDYDL1Zorg(9_N+avWTCr>5_Shp)Z+NIX0kaq z$;?aSR(6iFpZny!P2Q~hnHLLx)6wEJ*hK_{FLHm0UeZk{#OI*pudswOm~x|&3vWjV z5V2H?RF>Rri-XJ6cJ8k1COQY)IeD(^oV!aT=G?lWrtP(M0gfZrR{oGN8-v=jy(uPf z=PdKcRekc4I%kf$qxk~!1IqO`$^1Z^;EQdlj1Lm}kvmCq`(b0$pEOn9)2R}@ntL8< z750tp$3l($?Ng3kE?2KQ-+jK9?;b@5&&O*v{t}P1YA=MX-^LQA*ZVN5Y8PxU+9eSKOdv^6j=xqPo_dHEz$f^F- z*WKN7hRQTCK<358Spwz!Lh023PyV7$q2MYts=TN1t!$_>&9F4DzZ`iB5Z+s>PtXaj zz`6T<4|u3bpYESf6vXLfE~LU3+Xm1+Os=?dV@t7R8RmzihlrKlEaNpfqN_?NCpQrR zT9ea*S64sY9DI56;NK3ij5Qx%3LJk3?BgC_(xF`N?&5V(aO})Ygtm z@z`4As#D;R?Pdr|n$YiNl&D3Mz2v?#k0y3UH<0eeAs^dER%97pmYz-#g#?j{IaVsG zB&KC1`YNa}Cu4N;u8>-GpwU=%d`D`AQwOJukz|*HSS0R(D+Z^-@CZ(gLapG)OYPBK z04|9MYiNi&#?)EW3B?_`PbFK=G> z%gGDtw7^`M(We(be9^pH{yf?sC3DG>;rcxBGR&T2R47i5v_8tdO<+=TI9mLlaLz{N z!5U{c8*F&Kd0!|ek#DS!!dvs8BWy4feEIH3u@8dnYO}H)`qb~|XPLF-0=s-f#@>GX zTbRR-7!Or_nVzrj#~&=S_o96&>!QA}PTtT851(afOo-Q!{Clh2a*)mkTx-i7ca#N;Y+3Sd?8@*dR`;3(Nqhb-HN~PrdS`T6IaQVzfya-l9Z!U=c=wo(A`%+EKN+5VLaI z$cn6-A%|^riJS+oms_uc3f}->%|Eg$B1Bu@4AuFgSY^D;nt0?$1!x7x}_Rt{=xP$Z{v7 z*mF{>*-4W2dXb`~f5HkV_bmAu25GX;YC>G8Pz`nXalxlUaa;y0lUcHl`sQTaQqu@# z@9tc!Poh;Vyu7%DoW(1fx_Pfw^AgT!862K!8lD4nKtpk&a5g|JgX6zTtikW2!@S{( zDn+#wE&v~3*=TNI3QfcyC2#fnK@T2HvPhXGJ5U~oQ#nvk_z!{>8{p7={&sClKcQ$i zNlH&ETiV`*u`h4Sh{s}kpiw)9z0Lsmx^{O_8oNE zLhduKHM)d3lUa!IuQS2Kw}WR%i)*LE1=;=;cm@%n!LgpOn;%282pq0qJS_J7dUgy` znYg*}pza^h<6A6bW|S1hnOOiemPO-TPP$bO!FdS9QEe(pAIKV^3j~;5hIFeO!~Pu6 z{%nkrptCq-Z?N1~WX_SrfpHNf_K*}XF37PMa!{!YO5%z*En-8A^IT#O-ltwypqXRP zf)!_>bpVvuQUxBlndo8u+e1l~ZIa_p>s4JqE}QW=5w(~rqFB2tScp4tMDg5^25a+f$99{T8h6Ll3uqs0nLXv8I%2 zV(J%7LsNF3_5Hn+hBU=Lfk8FllEVT}1k(oFL~Kq45abNo{f zQ{*|R{fc$M5W`Ex0NF<(N|sW-K^4j4H9$u%;{cT!AOkaQhpal*i?1)WBYrweV8k$N_%!pbl303GOU&Cu;PVJrAMBo< ze#l1r_2D7;tC=A6jmN+yAn1>>#Agn1QtTgPJMW8=PuOl!{2OJTW(w`U_-z1z&{4MB z;LP>`Qb0PR{oDR1Aucdmyd5$_t$CQDy|lkt$|ZZKYk>Sgf^zHTrtgMb15 zUxxR@vJ7!RDJp4#2Dxiu@BUEPQ*>Hr;?>~zA znQi1FaQsoP%Zp8ebb6q2xn;!E{9fU=+zumj5rK6>^&6(F$3j*Gi|>4`Q!=giM_@)+%U1aNkH~xZ zU!Am_+R`mp^0OTMEEV@Zo=&#N!uINCQ8`}gQy9BQTbj9Fdym?V8`~uL+Z!;yzdiIZ zb3b(UIAy}v%fMjZ{~OaF1323QAJu>Eh7a|9{DzM;T4nd%h68>K9y+k@j7@1!Ab@lG zeVdqf z9=l6Vx}|g_l!}kIlyGUJCe7ml=lAn0t52Ja+XpT$joH(LIB^PXPfFV7yUnW2&9zO& zn$hj~=X4D;Cl1v>Cl<9przq9H`e{DDF4Bu*kn(O-f6Xnq=&zzzm=pby^P z$gl~ygXIw}nv8sUOIN3%W^?(-6q4le-VI6%|;PM))bZiXBQ1BW{)?)Kbed zMN=Ls$waoqdWlbtPLx;o-q?IBe*&>8q{%HX-6{gt=<2E^T+A1ju%lAd;!w2alm$XIoiRsR(CTJ!;je6Elf;a1=KRJl{P`rEF^gKNa8I#)!{ zHhWuZ3%Ba=vC$E2*5qcU#vi3pFmIh#R0K`8dRto)7S-fdvM(SvSu^p$xNq`bZ;bgd z@p$7to2akc6Xr_X^8Pr{;;kFG7w2^txtHgKeX;bn&JFWrr63d2^OG}jdsL-j;#Gq| zP)NBOQqhrKvD*95cbJmbdPEhS7+G``Qh0uGvScUL+gJQ3^hnBWwZg9s?nJUVX3aTo z!iHO}UXZUv>5GoX9EFf27BO2mWMPEZRIT8;PRi|yjK>fCLQX^whB4C=?|^z2$J|F5 zfchAx%um&4xl6hs@Kw9pJezQPM#rpvHognw8hWZ@ic8S@7aeQOxJPXCsG;VRj+tIT z&i4@=t50myA;HPJ67?M&EA6ChyuHR?l40YIio~c#vqkr2Nj)WRWc?`ugc>~HLJG7* zxU_Bt3xe+qu|%N9VTCM&&kR|G$RUE+WbbcH;E{gqba@c7r>){D@&Ox61_hA-lZVPi zO4ndwYZo!p2U{_G!dP~OAuUq7UDAX0xIyQs%)9uVmepHyBkocX?7@1X{i?cL;6x-6C{GULP-Rz=!r@?%nkZa@!@yotDyxfUBf(mnZm zRNkHFpn@0iUKww~ZrD30vaI8ZtBcZPZ3d5+*=uaS9k$NiDr0qjZgvF@tNkbiuHd6FHqf44`l+Wk;%V@nPWO8usdw0*Z);<#MD$B7`|rJe}!p3ED0%@z#ZWs5`{aYe9C zxggl3p5SiK&hgyR9?~>C-04;{$Hu)>C|ltX+^b|UM0C8Xx;a3(=+vHH_N$qNmsR%u zR-Hi3-C(X#KKINX^HnpzGaY+6CiGEKc;ieRBa5yjs0ud8H=t=8UY}|@Ek`zCm@6!j ziSFTr6`^8!x})X(r-CsQP$7qQl!oc!g?^XvC9i0{s?Qmio`~a;4Vvq|mXH6JWT+-m z=5_Oq>c9%K!!g_(x9b_%a$x$SGo6!%J+WhaefNOwqM;xc*E_PcQe?R1z{J7VH?no` zRUhUX0B&L*i{0nh=dmW|byeQa zrmQzcWp}i~;b3`;BM;vGV!6%j{GcuvA1rwg98w^M$oX zY!AJsYZv*|h>bGHK*JG)fQU!LE#w+**$tjMuYKUFw0U@`Up$AqMAtK%qrYq5M>rb@ z2^WW%%gAfu8M6l;-iEnj#Q7N-9USqTWQ85qXI6OoOu_&#oB)On6?U6XVu1NDU?sWP z0AiXIKs0oA_j{jEN@}_|*mY#&>f-RIA32%fKKshlz!6(n~1bXF8P8xyJS?!{!YiLJ~wX>I3v zT#v{WD_!TyS)MX7G&(XgtH|zzQSIzpnj-DU>k5We*58S$%Kx3n6XIa437(T(MG2&kFjQWlQJxr@2Aake@-{C-&b>eQ?yhCCU=Z22a^4T z+whX!&t-hT6y!2oY65byuF1s?h*eK9u>s5h%H^&e+mm84$Ms9MnWkv%NMjUgXT-_U znZ|^8b3^-L zyg9Q64raDkR|A5W+#zCit(f7A;sY#{(JY4`s7P)%42qa6rSkyjwJ_E9YVG`VdbI1q#^v)+YT!MR z&F5`JuzP%oM7@jCoXsBm!vND#5obVD46uP8TVNl*1VDr`4m-l-VDBWA)`9Ag3_E%8 zXJD3&8Uhs4>Erlf2VK1`hfqtWOzO%R_3+=c!p``y;zjWPq2s(h2trB}u0voh)6W-o zzd*jbg1}BTlAaY1LdvAD zWq=s@5`0k*LK)*aG2?Z&4EA5U-F-g(sd0+QC77yg)EN*TeM|AT>MT*gY@G|8L|tOkBrI_-`5%qn7nSqgOQA@yy}n$?eWA;#ib$C|;`D z+9>8&1SQEDTdEjr#`X+WPgkc=cmbSdC&U84X`QQsS@QD2rL5$8g6k%73>G4hn! z^*fzY z#tB`>yakqnY%H=3kexG^);{M?ugfjj4K{fuYy4xib}@RJ*j=eC?sOK>)Q>6;lc(pd z(cJJ%IDBD1`;U%uI`Va8Sw8%PUPz^;`;@v)nj2mLeqOAaubO!|Y5b^`$0cYPdJJ}4 z1>Pn;!hsO&C0mFmKWXjiFJ)8Jo8*1m>xjB0>KYj;5SBelD0ZQ(3! z=Hgeg+Z*@B)z3cS4B|GQmWyHx1oxa~u_)RAJT1GIhnNh>V1znAShR4kbXB%?2wKHV z6LCw8YB9b%T7^$H@gpI*b7XOIBXyp-FHsP#KAsM8{vyxMG_e*JRcQU-L#8{<8 z?<4%+`dPis0Eg>LwG)NJAMk)VXIw))x<>It(+oBcPaz6zz7KZkk=Tm8q9Fwhw#L-k zooR@I{qrXe{z}}z=VtU;;bpbs=<@S&=ZFdLrt8liPuG3dHu%r5fAOCIy&RY`G$DvzgAN^wN(46HrCz_`Z8crz+=8in6i z_Ayq-oGS{o`7gN$Y}*_AWP&>^i=)g~dmgA@)!;Xg$eBY+hi zCO}RxWxKe1;|a4ye1(?nc;%RE&7)P_*w+{E*oUti77F)`DtC1E=Uo*Jk8B*$j zFga|vx!d>?0PvppOy2Ap{qlbHg4$j5_u6cCEXF|lxyCbG04e4nH`4>1B}F_dBXM8F zGKA)bM6HscC?a*v|8^ge4at{vEg0xET7`gEdpVxpgrDh?=3u2Osp;0&_3CNA^L9FV zB<@jvlI+6xd%m^Yzem3Pt8#35*68Kx>I=c+x?yHEVJiAbh zITc_(XPts=8N#6&dz6XCpO_;F`QT%6!^IUu_Puldmt9mwhXD|W0jKY{AT57iwCaqcE-iX+OuzZW*_dD~tRb9qIs$r*?11@cYTH%z_Hj~%7mO5+$AO3OEX1zl%q^I8l76O7 zZ$*;`?wg;uKKIr>ZUSg5`%KDz*<(aXEnur6mP(fScjF%FQfs-OBi44+r?$ z`a;{*|L5$Zy1(Kq9aB-t=&F+$(8*x=>!rE9|NhK5NCX}n*P8N|q6lyVHVo%7VhgPG zcc`U&d%nr$7M-P4dpVshHoL1;fP)5@S1Fsxeg^Ac^auA(!P7SF+=*$#GcF>#WJPw0n4DEMa;s9^rN% za4rgv^5?=4BayOthfVE=!^RcJQ98+*)iJ}ya^_9WT3bCoMoJSj*Q&=e@zB447nXYt z)tO`ae3v!(mSA;B#2mvXTG|jX&iaIyF^W&T5NQl(CfiwzzOI^d2{qjW(>xilu|N0DNUzqPcThUq9Sh<6t;OX=~0 zyO_D`%-L1sbb98}CR&D46ZjmIOuDtg9mk(hbtmJT-$eEDr%0LFA!RekxP9D95S12vz|;k4zjooK$OI1H6|2tOaI+*de+X zCqP=I<-ZD5X&KrAdNe{XseD#kv4XS;Rbd(0+yboS_b?VC=A4tXV#OdO6+5#9_ADHZ zCaO>{{2-Qdp0vj}s;VuF8ysw0F?LW|@MTkw;~^k@M5YM{2~<>#)ecH&LwD#-RR zmh3SJ;4Ud(!AlV*`@_LL^|SD6YPHN4N6W?bFpBHvCKb~vd1dvDY^evtG9;FE3h7R> z@QUI(Q}Pi)TN#t}gFe^1N>pi*Q)q<=*u^S^G*1nEfPwvL5kEuP8L1 zQGu8J=B|H&7cLnKgBiI}OL*547FhtOzt6@vC*?Ou1BEg!@MuvXqPp;>hH^SRAI)1r z^G|5tK1APVwpZH|ju1Xk?*pHpA=rLQs{s%ar56MpW3bHhS-&6S6Jy zMB6Hz5vb-ZjB%(~B?_>e5opmUFbuI3J#DiU{6~H+7hs;IDSf*lZ5}Pd75G-oE}YGFFG(6$5C1Ncd)F@587SEN z9g}oaTOG-@42U?M&51zvOIOmyH(r zn5kKLJZLOHwbb(6sbbM>-nGpDm={-GpIl(j{uZ{Lx7VOY%JA;k)3Q!`1H*A$LlM&6 zKn<7TccCo5RKw^W9Sbh1gds7EH^3 zZd~-hgh?yhJp<30<`6d--n)KRcOou_>fegMvg9u1B`?WLBrgSkq5%{~li^!TAM*a6 z1W14|F8-xk2d=Dw`C&wDV*;T;Dl7h_OW*D4sLkO8QVrUleXX^_fh={jYkRNOS_)v* zykoJpqB({WQE)|U1^w3(rEvmmv=h@&mNi~nY-~rZGaYGZN+jl%Wf8e>rd9#{j6rqx zsp$o&6@rW+70Di2=C&w!kt^DRQ>5Cb`lG4MX3vCe_dwdij(_fq_+2pD6yhmMXnba5bv2=_P@9{b zag&THb|R-nf&A6kL;vg2EC5OoS$tKoG#?H1G&oo&&n!DmR6*MHMOpMK)q{+`TO`11 zwOu6dXs6j7YCun7&sC_tIgnPACuT``TrsNq4fvWls?=77il>HDnu2Gz#M)N?UgeN&>xw&lE zP#N|5_?-#PEVrlWVI>=~X%Gz|S_7lEs7BXwQRAge@ZVZgj}&Iv5m>375c-BkrqMA+ zUL|-m*k?s2S-eHHr78K_fG(AiyFt$HvwWs90S?m^ry~|jGTxV96nO&`UF5!6!%zLN za&u6OCRmREPOBUE=^4pCnbV!#vvfB6VzRz^vA&Az*(9<(m-{%~OSNtJ-C%{o3 z4kIX#ZD7B$znQgqjm)HwG7lL14fht@XkNaK)c{K+XO=Z&2#AJgM#UztZU5e&1EByY z*}oq`4vCq$?VfaQMG=0XdnDjU?5KXz{oZf(g|8Jkgk&HI>eLQ0G>eBE;b^Eh%MJni z1q@llyRM0znHn}QnbH6;Jhb=nY8>93MkxL5@YKmJyZFe`CVOdeeulrSS;Ih2W=0hu zI(Vq&8dlB8e-={%k_ooF_&PIDG^RD-+l(|;Bd`5B(NBJI?x#|Pt-KDvE$IZ_VdFe z(JkjDg_B_JDlq`F64CNmhWJttws4awpz)nN&MhYn^B7SnfNGkLSaiAw}%mPb75rP8o%$vFm2_Yt})GEn*cnm@Ym%db+&Xn41Dd;6z60gG3l2FO1H;}E0c%xK_faAR+9^YXj2 zu>Gf4+TP?R^+hV5;GIlGVMB+iG5>m9qTI|>=oA@u33J(t9*yMk;9o05vzNv5W5x+HNy5l*1%BVrwp$LV@Y&#ckaU)3#XyiOi^ZFZ8&e0|2Ar9gEm|Q2^wq+L7Jfu3NZm-d8zIgf{9qmIwOI`J9H(LfBx|6e z%#?U|Hf=UlHCawf$w(NF9UB9xQAAAID3>2s91i?2{*(?rNou%;`3K>oGSHsoFZxGq z0IC66-Zf!2`bZC1ZqR%J-0og5*AK$mmhQFlPM3|kd<@I#<4ucBwoSS^|DVdP4k0e~ zT~xbT9;lS^ddaIdBiMhG`cXmJmgTVi{ zfzC$@55z`@)?@pH*LxS-b77Q?a&5umVgsdr2b7_&k*9t~GNcvwL0$&*G?V~z8c_BM zQhWrSnvP^>B{(xd!?L4>*!zy}pTY}b??&ui#+(c8lnS?AK62^OT0E~x>cmHILLMYH zCe?&_oTGI21tgQg?<4KUkIjpbFDvu2Qqvhmp6|?_(1#iL>lC zs~ZhnR*x7DDq%sA<6+jHXOVN;24LWY@x#qKM#MC%LQxbhmhanelER)w@!}pUkrNZ7 z*EiT=w7m?g$Io(%;V#kI^Dmdn`}tR{0?qJeCUSHRIntIHEJIahOczj z_uNW~!vsfg=<*y+tnhyTu8K}Wol`qQu#JJGC>)>bsKft|fk784{vDEI{|Z8sbt7!i z8MB;!>$-1DA#W9Nh1?lvdQFUbU4M$JG&>(UD0zc9aQl@RsFVd-+yaEZ>SqElVaW7u zBjsSoM$Nspp?6^i*g}`FB@-qdwBh=t!`OPV)!WneBQ3+pOi^NtG{5_J!Z;S*r)?>V zVslvLgM%PTdZoRS$elHswo7|NO7imyZ(8D31rUy;|&U%pgND4%Wcm_B4p*Q8L08GB-4`r#P~w60&!DhypueP$e$p7|{rp?5IHQvz&OuZDDLU z8GsovJVvjSDKh+@3_nt>zL8|lR8Vw;zbMo0WHF;s*)k~b>)~X1C;)k~n0iV4#Apv3 z<~vs6>MzWf+xzj7{ohNUV$(XXK2;62fwKTD=R}JiqcWHcgONkr>s@ahLa>oX?edVNvT&gr)q=??Rc}N?F zxd-$Qej4N5G5!a53t87=j1Jge1G>?Mx7fdA1a?$I0S^orqX!&;l{T!M5b$N?IuAg< z|GNBaT{)pZt#N|90u|sP5?+8F`h}?iVZJUIv49tC;IV z2CAm$JmtWhktMOm^k6Pit_o82Q>TxMK+ zWNuOi(VeJXe1rwV>=$ch#Sadc5cfvBn)Wn$qCmHmQB!l^)UJ)-7VK)(_?0fFMFDPh z!edlbJ7&1F`Hm`8I?5@0=9v=_X;-!DdOsFEeZn>AN?~H+kzm+HkscT6C_j%}+)Qp> zr-){5XOKp2=d?yH$K}R9xrQJ~R(QIF2zvf{xk@k$LJ*mVLlF6jL*xt*=ZjqDcr=4? zOUZ#8FI#|2r*La?Dbhg4j$?^|q)az}Po+kMudf4RVmEXC(V#6GU#UC7t`xanD-dyh z+06CaFA%whs}x!Ouv}QPsfS8VJz(vhM&d%^vK-_<|I<)A3x>xzLHmv7dsm$-ML8`; zJ222OV7jLpmZWsE3Jw0NF{PrTC`|0+$xQU0ole0B^H@HqvX@0 zgIVDtCW=+3L@=&BO5U)JcH@GmQ7^s+eqQW|X7 zo1H-JX0Ktc=GspI(_1KY6gmWO7*0@4unZ9T0C|w)UP=&ILo|PiTG(FH6$nFE8?ai4 zqv&?Ym*G)UU`Rh4+e}?aJgSu0e@vXQ;DqmxbFB!HxGjCs`<&R88&G8JjMK=k!wmy5 zjOPf1-Om@bTRXcQ(}?F<+}pq0H0^hW2(a0lAK761AM=^I*G&H4{jwc2d5uUJ;3XJY zXC*v$L9qw%telr1qZ=^FQpm;RK_Gn5uNvT$$9=K;S7RYH@G}dM@a3y&aff89mxFCS zCLX~1DKxW{1n`p2#|WCdlMEg3${N(cK|FUwwKwCgTqgDEx~|iw8mdBOW4jI!Z;ozA z`4c>S#29RIB|38S$9{Zk18H~LJQAO*Ey=rl^;siNZGW=sk86Zhm4pQ1Hizj&G0H!} zvASTR(igfXuFDZa=D`btiMz6Yj40&#QU^?6(v2OpLzIJv^eh$G<@?XNj*)ZOK0<}C z8u_aLQisIxloU{nrM~p@Q=|Fa76QNJ-GS5?yl5!Jf;C0v2h^x82ofnPWNq^A$Z*{m&KcrQC`<3%sLWvwEkXQl?k$3Qi@@wij0aPh5Uc){}>7i0t$p)nfwpjGTR8Z zsc#=opU=yhz+CQ$*8corH5FH0YMtkt_2b2a1xQ`hybrwZGiWJdiaUq`3Rm7f*#G|p zmE(!r>cY|K#L;Q{=R!B2)Hhb?ov`4k%k0WKa|3|3!I52FeXHskT~cn%uco(Oc9t%u zwmg{KL;3WU82i5!SIzW3e~^0(OZ_CHC=cbx{$ zx5bH_X@>NlnK;S1JQ{a?VBg=(z#+)Txe|Bdy99^ub%>7cv~Ksw-cDoeG4Fi#v5@ZZ z)iqE!9;dOoTaSIdkFAxzCdxtK8jE!2!N05Ttz+rC5eySM@9E8ZG}!6D@1EWb!}p77 z|7j#k-SdsGdJL0a*NwpkVaTY@d)j?V5zRPsUNvzq>llFeci6$Pd*_sKGzy?H7b|-_ zE4B&E{<02jz4oxX#eCJsx0R%MqtIcg12K(iPsARSwAzC_*7DbLDW`$H1WuhGkgc*N z`Q*_QDrMS_ZkxDT@Yk<`{mPEVbOVapJT)mkkTnPkZ>jx(l$fLO{dL}$(6RZPzvj3^ zFD>M8vIG>|v#(yhq`7g3q3ky#0ervYjz8}nKF4qF56L6}=$j=cF+b*^c*TyTV|vhX zBHh>l+rJfc_|lnTV?I5Bb;YqoH~-!Hx#btZ!T9q02j9y`bsp$yntznMFeVjo1Db)| zOeNLwaOj{p4*TzEeQQ*MoLaG2jA_7%D+4!r!07OEAwBGYQ^@73WK;nU=H)BnznV76 zP)UF!ee~;*g#!800odAHYG2Gzj%hU>ZUc}*RxZ!Gl}F zyvGb0A#6yPl+m9vC$F?GeNQDxjrAiN7d5$xyNEKV^jdZhCD<5&;J*2!56HS#@pd3^yy>o=cI7o$-QOv_>46heQyL~t() zco@7KdB8N~@QyDOrM%H3UJz`U=JhZ!TR@c>Dmd#%<0w|pY2rjTjH)$W0;mJm;5N~% zyWEEW`Y#O$1nA-yJ|-(}W(kBaHJ4on|7V8XNjVPaszHeNDLK;#Z6fiUlhCl`qW}`qDlAzwg<}AK88C!`Y5(u+_Kzl~4$vRD?P7Osr zOuy=*wEAnz)Q6P_IlNQmUoU#?|_BnE$e>SF{pl;gM2@)=;%Rhd-OUs0Ep z^H0|7;F!-=4O^%=JXrXW`89T`sWob@2+i6~S~WAB7{8!5UK)uwaU`nWwf8`bb~N=X z*5q{hK3=|Gv)}3)2wFO^F=-M89F$X8C6Rfy1~}kG9v)9eBI+TdiOkr z7b^Dc>DASv$H%wk2voen6J*xvL!>eNJ95@UU{796kKR@ff6tdD(!e<)cNgKd5F>}; z6C52kZc|{vtktNH12p8UXwD0CTqsd%w4nZYFbH0`#u$6IZZ<>m z7-Yx(nqffGsNn!lqqJj+?S1|Gde;{=sN1Dz+E7zUtLn0-hM1WVg|Q0O?4(y^rn&@& zp_g%hT^~p%hR>7&pR4Zdy=D@ZTN;LEo>vXYa1TnAY;C9AhK^tcsAUWJ4FsmX z1_ls3hw<(%>_^x(A`B*sU_Ou1L< zERGY4f(?sNOaGuoYtzh9*WxBQ4CiK%pu^B(P^5uWCP$phD0{eBogRVC9p8bRI(zy* zwDAkS>1MZ6YXCnZIzy@cMv#C!ZOkCyi*wSs>Ll2A3)ZbCHxj7=@q6$>>VdfQq4mcNJawNxNoqx8I*iHeEm?KVGn zc2MGd@j8WR0(P~{5~2Qdq|UCsq1P6h1C-9= zn>{qG4UOMb;Hv*wO7F2Kf9&_OB`didv0Yth3*MQ9{*PE;#Gi$dfgq=6Ajk}k!&`GQ zX}ShykFJxIO^l$!YD&Xa1ErLPtFd8~ zx2BudZj!Q3TcH#@&(rHM5|C5Z@(jjJh_Im}?5aGGuN+a=z#Z8{)`8Kw=I;awiJ1|J+Na3obxD)z6<7v;3g?W2WJ=@YQ+PA=KgN5vzsc1$9Bib6JYuZd`#dvVD zogQT)>;-GyrAdlq%3TI)e7&nPx_Mr-yM9w%)go9;2X-mxl1uOqHTVJo^PJf@NYD?Q zWHLXN66sXxyEi!w5Xl@(3~!~se-Wjo-t9!fgH}0ld0xS$D%>Q+NcatXnS_q zF77429k>+R*B2mKGXQoM9sDBWRX1%zv7`I%RWfiVw@`tE_PI^*=&3SPsX=s5M>`P# zJgvrth}GEtF+rE;+q2(>*EzqnUKRK&D0y>O$b~~zEy8{~gWrOBl@AYng>>sXFawX1 z(3e|mM^tl4;`)DU6Izf=grJ0=J*P zJOj1}#MNr&E4esb1@h`fwTyo@^jBEPY@sj`<9E!+1P{l-H{L&iiCQ-r+YG)%&vY!Crl|@fk!drc$p~6 ziCeLz>L>KIfW5oHiNo246K@9Z@C-=q5)VpJ=lDCOupgR#Mx=m)VZT0sF6Tjd9~NL2 z-qukN&!M^wdWz%e!g1)Z!<`+m#nRxMkbuS}qoi#)20wOQZHTW9L{RQ2+&h=i8xi5c zB3lg~eWh!eCtqZhd#be{0P${hw%BaDlt4l40H($v?8p!V zzndI>#>(sm-&YhG79z5xg%9ZJtdE}mKmjbbWI8u?X47mV;xlHDFBmepMs)PwWDqeH>!M(4Tb zwzuBBBozB%!qd5-?^#~0qR5?*rG7{;v&GuTa;JM=kE9zQ$r^Iqgx8UC4fd(Rgx>p` z`7ygdFA2sRbwmyL;o)u$Kd>+RJQ?j-es$p&uFr0GOObo!;_={T8eyEkUfIYx(c&Z@ zdV#@0V2_Zc=1aynUs+VEk^R6V`$0>lXc`K|t!tc%hYRp?XE!h>rXfq9&ShTRjwxw# zueB$5N6ejpmb>o+J4BE^B{_sS<035zOhT%4g*HS>Iav*bO4c>N;L#fFL!#I|(cIaIDf6)pmS!6aNOo=&5kbH^R=r zt2N|1tHIIaqc>1ZK%?em62Ei{%Ct~VPnY8|zaXNQeN40bn9$ouoZ*qM2>=xE*WVobnfLcP$r>f6Y1evj~UxULW(s^IoCDQZj3eFj||Z3pyqW0_IWR5Djy3p ze&7A%Ykzz!u=t(9=v3QqII8lFu(&ygi(QByYQ9H)1{&v;M`6A1zOPSvLj8U6YyaTa z3x#iVqZ}RD<6OlyFu9_iZ8*{$LaKe+kit>Gudm*ln<5o?_q2OXk4)mFzl+lR@#BDo zrruB~9@3R9RGWj@)G4W}pp-*4tsmbMdLRlZ4lWB(kd!nk$1gVAJ={Zh5EkV*oSQVi zeq3YE?(^iU`rWmQ>aX){U}I&MF5})#deKPbn3ENxOivag5{3#KjaZ#oZc)H3K=n=H zh?{}O1U9cem{#o2pO#F2uTn4(Oe+5O>d?1VY`LR)R8bzUqyZ*?p}<-|FYt5@cnn7d zJ7-~lQ1=b%9mJ<@R(YV#P+&=Af4DwdVFg3`a{V}_xICcv0e=^;;z^;MlIQgY&-iEH z?HF(GLNWvbaRU?$`E{g9l}PFx*TdARS)!W32~V+d9+e^opmMNhxQmLooX3o!oTqq6 zkZ^P;#msv!SFD{jb=O3Vc}~(Glrp{XyqHZ3BdUyVvS)6{oYiiA2@wg0=x-5JY(hv2JuOWPcI>kB3kPp%tawpkJcvC!RE4UA)8in&!+gyshx|F2zkL`(au2byobAFvHH^YCk z&>u(RqxR6NFEx#QG5ml8_|4F|$@01j>%qKYhro}zz(G|q9MhwqVL1Nje4uBeg z%oM&im(T!fM3fC*(_zJ}YJe3#mxEApm^8>;lIW!aK5^$6{V|RZt!-!FVvlaSE)Usz zb}<3>P^KG2gApyljE)Nfr3Bu0!v~Aym6sr+I*%;o7K1V)7+Q?YoBFw*4}P+TaKEY$ z672ikAVS?P8NQDjrSuPcwD#x>H8vF(WVI~ZE6k0%_Bk4?7^ZPdYSijlaZJ66E z%&sd-@NPSH|4r(^JASrPtIGXw$68iRE=*UpJFalz=YQOS?x-iKCvBYY-Y?|n2*^naRIq^(Wx9=$^-5`SPtWW@nFpz zRuars;IA%5wEvi!gJ1_C{ibk?#{eTRS_=)FZ>@H}$qgS&o~B%dWUUJz7r@sLy63kA zUW5&#z{y4qK_%nHe`@?vjc?E-ZR4DAdALS&*?Ir4F}KS-e4In1zF)IYz03g1dbvGJ z!oUq?M8geEqQOVTh@!=h8w^y8V~qIll@?} zgGgtr{Ao+_9oxqsC!zySwO%)^JAOcfE=~2$;COtFi4`{e{mUt$r3-Gu6PI!5SEqr{ zI2^8BKW1{}WRum-!}cv75vQLV^R3!|k+H_8(Grn*A20Y(rS9!|p3j?m8@|DTZ0TX` zYV6mh?ZiwH3itX#!5Jr4fr1`~B~(Opfbc8_^0=rgIff85r~P5jbpTpfNXeKQg@?WZ zp1StQs|T*mj{~i@LVWX8D;#+jF^v_1Z%N0Prf|hIiH=}UF9znN5D*kOfAMzZ=*gzX z(29Mfi~f$jvY9=hfo}4b!6ize>XX{(2bJ(!qEswV&#+i9Gl3lCAYN zAX`k!mAowSpKLO;If2PS%C1x|@;&SiHkJy4uFz4sG1S$tlKv|)V~#+Nue{goH(}BZ zJ<|1S_x0DXxf{GORHd_4lmPjPZy;z{1@_mN4zr<^s$6YxnuaJ-2vT5MwIgMpn?q&I zQwi7O(cenrPgqpZomsO&g?_#E5gyO2^xSD*@ZEfY(ZulAPaXn`6JXB zm#Z)@oC5(tvw)R&Dbv+P1&|I2s3c+jImr`K+Swbyp+G%-n1NlX#=lBWoNa@NtibsV zQ=SvznF?DqCDiRiHb<0A-~#Z6lMm(WDW*&0!2FHSt;{| ztL|Bqdr8(58_(8`*OQ$0^qxd_F$eTA`lz{m!kkv1%$TWYV$ygpB~1#fyfPzNdZ8{Q zyG)y$MS@e>4EZ5zo=mwZ993Dvn^!3Lmb~)h9RsTlVm}pi;~^bf)ni1zny3WPtafw0 ziHYe=+`v!7NP7*KY^PL!=Rr)onhKQjWLyOCzhY$e;6rI0Taz*y7L*81VTBtx(Xj-|!nK?<|chE};8v zva1L963$876zyfIt&I+#s3;E#d)1?STk%F+=taNkz=hUgY%kUp=4beH-Rtg^b$)*6 zGx1$0BP09Dj&S6aUI${ z0L3thh_F89XjLNH$);$v4Uw34Q$D7Q0Qk({9)xWz!9TY>Ei7wa2h}0(8ZS1GI=+VI zo*D*3$(VK3rn`fiIC}EP8(AvQN%z}F4`pg7rTz7d^2WC6Mg3p{t>6m8XqGw|sY=q3PH6cmCK znnogW-lgl&+0GIk#)fsy9Hd$NW1~i4bd}i6s*2AJ&-j9%@0s2>3c0FOQWs}q%$fMQ zJPCN*HXRnIcyq}I5THHunGkw%*5E#jC|I;L>hBOv=5-tJ>}M=2E>GbpmKZxs+PV1O*KKzZDh8b<)mEGvu5P9Qb^F!DnA*jH+YIY@%ER+l%gzAj{l2Ifc9HO zS5`^rDq-o>hhs$=6@B60)nB9!4i6CP{71 z@~78Q+5#SWzF5iSNRyQ4s2+M=o$4H$8y!sFt_8yc{b4qls({po6Kdu&Z9JSsp&nd` zKjo52MdeD3%8S(6zkiKOL-@BwiZ)(Iv{Vff5Zv@cedxWvu}<|&`!(m8SnCDU`+Dx_ zD#uw>AAW1c6pgeZX{4ThXB~v{jb}pP^y~I<7$q5_2(!??i@BQg9{_px7le8s$*oxA zbIryP3Mm{`Bq_TcAm{K)y?c(tMzuoaG$W%>wOKux^Xus~;s!pJ&wAuQI;hu4g~Ap7y$3F zG$(DYPbTwz4Y(Ow#sHFAv@hFeyL31XmM={DnH7og6b?Iz1Wmi1ZTa{5S9S4If4|g~ zUWq3Usg=b=f}~M~40=3Eg0;)WSrn{beQ)B?xU=9h*EtpwE47NfC^<(Pe*qt13^1gf zz0T9C2#ltimYW+l$UVB)CYNQ;PqHqe^=K9AaCi5F?C&$+6WQ;+czgo@bhrh#`fqPB zV`5tzd^-QV$r=VK^;GA#)-2QURkGFgrt)QnPPwmpWG=oPO40yBpi`^F55yLjv0Y3N zo()p!Fdc5c){y=GH1iDjId;1*F5dtpK;N7Fx2Kpfia_7E%imv4yk8S;~Q}Cl=f^2|unmAO;6FF!IOMpVV}q6+oj;UBGeP{u(h8yc>0)sOF}X|u-6wXNbFg! zkroZzrAx?05w6~O98~5b~s!E+}MvNogoT>G%dGne5*s5%gHT_ZBjkd;}Bo z&`S3n$Ozh?1dS#><2a9-Uh+3OuAbxX8Yh zwpX|tvlzX1b$SJe>;*z0bNb{>>>2jz5A2k8^Wf=_>2wAqurdTSgCsu6CPAoM$qbw_e7G? zak0tWQap!R_?r@J9DGz6v%bE7H0Gyf>{P@0QI5g|p52Y2GsT4k3GoC7DjO z#9VN_?!6|+(~ZY73W-&&ddY$e?8cVG>5XHk771ZkA5y=ZG+2CZ$E0;{FzJClq7oQ2^dEk*<7 zk_hRsac%)GM#Y|6VN>G)eS1O0M@8{gUSMdsVn46s1yNu~%HZk_UO<7!D^t)#XLkP~ z4{$0LJ0C_^a-ARFrN1{ubdB+Mrq3Ip^Zc=2uZHN_E5TtovB>ut<9&;#>; zAPZhV1lv24(7V6&TFq%25BYt|f5rWL#(Jn55az3B>>Zuzwa5Bc7;xnU`IZv{CiTMx zVgB_5CZfcQH<3W(7GC0bl8idr=QZ3de25c_ASX8Wg@(7DsPkeWFqy}ujV>D{S$Bp#offjRJC^;g;6i<7i z!ok}Hd!pr(`B$e1^kt_SdJ7aO<#CT$90+zD(^w6^r1k~pEyWKJYX-bPb6yDjHS*h4 zlT@~ur+q6;!z98MDPARAeB`PSAtKE+0$*Pjb^}7GGKWS!Z)EN}gKMk3?J*I(hXUpi ztdXINtcjY$75XD!nm2b6@mDyp@H_cXWQG(9Z8Zg#gQV`av$Z}DjcTI66CGe>q2JBF zGsF+0y*n=U2tG9$LWa{Ca+JrvDK2*0pRM)KPwwfl9X#zaT?w% z;DzH6OE=!zfvXid=3^ZHuAP(DH4p2mggDzy9R_?54@9v1K-c(ck{2V2o8Tv{Bvi~p zk6f#DAdx4%NQA0a`0kRLO5GP|tx<>+q-TKa)jlSWy#nypW7g>mLIBw-Lcn$QZyvYUUpb$3pzcI)>K(a;1@aQ`xsvi%sWd?NWF?X8o;6~VINHx=4Z=^7H@dU( zB(ky&vbtd9_#N!ev7E%Fzmx5KVYdlcUBwo>lbwI*eVx4TQ1g*b+|&o-64RmRYpH;n zP+Z+Kbp`vPQ_(>1xg%BJL<7;Qb3%A9G#P28@2=IF6#s+0x-|Ts>=hkxLIB?Mq*eqj zqTFpt_=1CBL{C&bscmnG5|kfdC#s+Si}cF~t`4|pJYMK}mVuNXmq=oQ)Q|3I%`WUb(VL>Nyr zFqT(C;gDfJLfANM!6aO#lD#H|rT?PK%uq()o|Ak#SxzY!W{0F;QeE1*O)u$G*(_&&1LIq2> z9TApGNQ6hlaEs2k(Pz2)@nN6F8eTfy)7$4^B4>Hb@pjDgqLEc{8L!+Vt6Er@R`J;& z$GGZdpIKV@`69i_qhN+?r*U-rL*y;ph1MdF&&3=av8b`R(Vv^1Vq-ZD4^C5XP!X#uvB8 zJzUHv8exB4NjaCT=(gX>9N?TC-OZ#*-htER(G{WYgA+fCJ2y>Vo(@)x9S>f}1J3tf zv65h1vXTs){adn5SxK^F(&`1ArP@56`;bw-JxRhA1mBV%fg^1lwQO6dn>jNcRa7bPqcO4_OA@9;nHss9gSZvmA@ z&#sN)?(XjH?oixain|qxyE_zjcPkW!;_mJ)E$;3G&h-7h@85f`v;TF@TFI5%NuEqH z$xL$Rxia}Qte8ukJJCJyDSJ}7a_7&+Y1-rN_;Pe!Rk;GuF2w#1PwG=jEVg<@IHYIb~GuLqhN0Uw=+wq*QIzOt>dTmpB_~rP~ zc%dvRMFKPEl#NQ^8ZX4e8u=zP7xmlTpYKP)?`Av1^xb`VJx6Ebuqk7xnAU3ix_yj? zXe^kYTE<&2n-kf)O)kpkub=O8*1n1pLah|sU3p0^!aah`-{uCguT(Dk^D7(6T{~k7 zXt~eG!1^!^+?E%AsUCt5!k7vTDFs(xocMt0=nx33NrjhALkh5pbnZ-59NT+Qt@&YV zQSA!L@*|2(6JL@OwBJ`#?jwEh=zLi?3@P?70dn|u1Wb38Isc4{=CuK@HJ5p7&d&bj z!K>@ank-z%H!sh-y(7DZ*|0!)1i^XN@zG$)Q05XG{##F)=b8Q}@bMxfZ8PjfN6u-Z z_D@#1i)50QWjbxyABxIG&Jm;;!nWZJlBdgX5xVwOvo3Oh6o~m^nmsGpiyU4~jLXeZ zMc&#>smO185K@xpxj2o2H9cf}v_c~Kj-Ip)kTbH7e7PUfk8#spklU-iF< ziuAwx@j^B*zWz5>mRkFad7V=R__rf6lhkwFcea_#0k{qEA8_z&Y~*9RnyMI9j7pG8 z$TBchdHE71>j*(06eVLW3~c&)ihZ9pKbD1r%M1;s|TQJ zDY|9Y)Q+*JMTc56%nb3@tj#Rh1TO0%sH58Q^_e7to#8sviG^C8OTLDUFH4LSE_wjNkaG^{xnh?+7+rWV0|1qfN~^AbO^s5k9x zz&0jLxgYd%^Y=BMHhvH9UB4|+K;C(Pi^g%!tVzR76r)e{VuCw64)zdyW@UlaLio1` zVvD7(m~fG zw%nPOJ%+kEonIPF6qhjAD6#rS6k?{*P-~vvpaq<|tXw8fYqD9_GW)4?~d{B89z3;v_JS?pL6dJ7|tF>`0{rcJlkCW>{@_E9f+{uP-lpO+G z?m{R`%W73jRViAo%lXZ26|V`ZUecc^&%nQZWxAh6sVuwf?;9OBQKf8osV?{t*b2w& z!sF`4o1;KzY6YTvnhhhnwJ(T5atYUSJ4oa~Z@i7ngzvPo6TNGc(ae*F__V$P?vD?A zu<-XyD@)L;)Fl__&+j<21la&FYl_2AaPI`{CuhMg>3( zbQJ2X_@|cSml%f8PA9M+LC|I1k6og8k)1!i}{~>R*-|$!E?189W-=!$s z3XQBqPF5&`%APHI%V7k9c?~e#K{AK1kp(B4(g;I6GHc*xNCFL6?~v57wTKMo8mEJd z2V=zqCI$I}WPBl#K42^k66|56oG%G=ZcmM2&!C7{Q}@Dn(&2g?iDo8{!!CN(hG%`! z1YZtr?d6r6CoPGoau2rU-emU$8nlz1sLfhu!L|y?0uhpKnlxfAYz5&MPO;3zMNzOLSAB z5DQ&CgTVZf#)ekSf=g<(m*fDvSj;daE&_%;cANY+AsG?MSFr&>ve=07iTf07OqYbm z!7%Dyl*l|9m|_@6PEBF~QDXZ?X`q;)I=?{dd)m$rFh2$UE>nzB`(9SuBWPw~cU{j2 zm4sV0;22A^?>NG$jqE~Fo69d|B#0Bz z7hHwqxc_?7CbueF^!#R1(8(ee24N& zV5TP$w>2(j88PdPP5t6S!@`#j?4syQx0dAy02?c>6kucB^dQ}lClot$iz88^@n2C8 z6ui3Vu3OIIy)MM`nmL&)x3Z?oEBeo6Sr3G=?)RmCHJ?Zkgy}lrw8$Cn_?U)eYdKK%B3UNCz zwXMiwzFa>VPzPHb`S2P5Y{H)yTdb<+B!PROCUaPQsMvee)00-3FC&&Z40z zKavahhlO>J*xUdgF6rEHnyaf{yjrHX&!=Gh31RJBlVpYs5hD1Bg5WYV*dJTmEeLxC zhFL;mkOsck=(l;?WjR-yI<7>BNKKoO|WbQp0*+Oi$S4wO#h+{2NrtLfWKk> z#P`QtH_8%si7{lv9?zRZP)^Ujdq%8!YkqRONAQr#UGVJNp3<;n`+R;^GW)98IW*R4 zW{-Gx3zHh=2_e@naMdumULlV&uC-)@EE?DLmO>DF&nQ5 zy-bqPA8X;Ir-jf%*LP?Q=`+X{e(eFQF>#%z(;U+m-%jl$m(x&%bhTCw0qi6LfiKZv zex-k6eUfJVs9Y$wWk@QM#zRi_*b4Ys<+&GWAB8o-rw}vZv0>6+pOYLjf@~k^`aj@w z$NfQjHGyek|()4eyyc#dPb$!uw4Lbpm_>FYO+ zDZ5SU)P&D+xk4hplH?e+U6OuH@ea6RyX>2@pkR^#xTCPSdzG3RzZ3%yV19h=e%I5xX1pk2$3&|{we>_ zk>=0%Mp!VHM!2-*WA+#dLjyPnHYsMJS?=dDq^mh)Pi7qC%%>bN0du*nEceo++E5No-h&WxWV%BDtck zi|vDWpp!11Anl)t>Vg?h$R%d)?deU9^|)cm1N=k9kcfP#uS~_{0>9Rt@EGC2 zW26s-aIv%aHt3_A)CUHV&vY#P+;iTsQ4^ATnRW8CMyuCX>T9Q_VZVZ}!~vC52JcOl zGWtmII4bE7{w~65Q(KkC>e+>W(m`e2#sd`yWkX`2lvM-bUAYmyA|A9!y`mokN?&Bs z!&k8))H?(LZrWucwv(wqUCKrO>o%BMg5}EM_n*AQLg6~m2tLLVzOOpVT2KQ!$$@T3 zhJo(5R-qb!y;z?x={X%SR*;6o7U&qi>4ui6e7E7WS!|R<9!l4lvQf@!o5yhw-kKIR zSVDgR8)@ugk_bb=6^F=u*DWCx8Gwqz>?1x@sm009!Ar^N`J7^y5%S4!WauS9BN6}! zO9dcd{YSKx7on0BPl%jTJ`J=Hos`Udg(+^hQrtq!xN+EZef%9J|J-ujEIKnCB9z)H ze`K05{*MQlwtJZonggq-=>lvwUX0#Dt|}VT$I1Q(KX$l+ZH4kAQS{5#!ph}MjHlWa zE(yEHLJ($0nNQPsi(spZ=LDZ@bbHgQ5kJ}4TlHwBfY#kt^=B7W4%<<(n4ass!*!5Q}}MZWwZ8a$tO zxbFk)@^x=h?8QL$L&w*l)b+tGbkPsP`FSLMBKlv2LGjGluwC*S_r|-hS>pblNZqywpTbcFW@k8m|f;+ z5G=wj%0q3~3tq{||EozU=~Q3owrNPTJUiVvnMKZN7kmiD`QE5ZG)%K^ls9u1E~XU9 zB(-*&C2#s33c)=bEGA*zrQf5nA;~Aoe77wk;>W0|a`CP>3fyoLB~0(`ldCNZ5l#yt z&Wbmeu1gEJo;C7Wj$m!HvSUZL#uYZpwAz~wbTEz(dBi+c<^-WmEN{8dc%$#EH%o7dKhYhArg zu8>ah?OOELHFhCV5g+k5T&NAL=%!mg;jIX+!gwIr7=gd9Q701 z^L73UGpsp1?`k=ZQc1+Tm%t%2STkN@Bf63Q$7btBu8mZxo11CSsqg;{ZTB}OVCHJ< zm--O=+L0KTI3&bK|8b;Zu=c$nj@;*kR<>Q9M^KWbXnCYjbnX*1hF!ZIiLnEqa6K%W+db;QJY>5T147GOo?H z!Ip~DayRxX)qr{J0SExHmw%jVuFsgNLFV1?)IFc~__^iX>nKPO_seU5 zsDIb12(JJ3Mqs!Msq1p5^Ktpq1k|908o~qVk`2@IwP zS$67qAwGp)$EU%VmYBY4EKK&TLX#mq^$CyYC|otJ>WnWmRzE)tBD9pJ|H2v+28sg| zmF+*yK$)JG`Ogxq%Kjz_rB1@&o2p+nXiYbYDllxFvrUmtm0rS1mHw#$ZwwVk#qHl= zxv~8DWMXfxef*}WGl&=Y2|_9Y#JPv{*C@-}TCjhg7xISG(3a^i8>lg5j}WVLrePkG zZ^`!mz)-lw~)Fdd!`++_1GA>Y9tm)95y!9&r3ZtM4p#oT(?fyrJ6WW|Iqe zh_+wQaw{pP65wzSrK>{c8j^5wA6}8V-4ZzW zyR20_9^JPyp^W9I(|owgC#(2@p?!FdG?6=AYcsZ%9r8$<>pT7*+2vQy`L(W?Df349 zwIkSf4i(>&e1boUceJjoAzUBmIr^)G+vh90k+sZnBgB1Fit$(=X zrIImiv__Y_4tZcKKVLR{WNed2*_wLWVztgax~XF*>PmAM!i@6@{k)LR-*;A7&ulmA z%9MC!bwPReX|b*{BE6EBFEP%cu+;$m%j5QaN{*79INt$y-dvc=(-v#_2hM{3-Yr)=(zcu~Q~vHF)H$Q&JSkx} zw+(ZJn#7@6$=|H0Wq^=0>Rc(QLwcr&j^RDjBxw0Cg?(F%bfm1Y@|V-x8|| zRT4EgWb31#sJ~k|Rz^XURsO#nNzYE%#opVY=?t@K51A#46f=7l8O_vWGjnYjO}A7t zb2phK72QNv1ZStYrc9S=D*f*=%QpY<9_MNjCj;M1dcNI#Nij_a^ZbopAAt~>h`~;B z>#8ad1~#3hj*6Q)xorCv-49V)$KV>u#u&>uG|j7A@?|wv_taDL6g4sq)KlCQ7wdXx z8PS7NBSpt0@B=6-%nK2>5*OHJq5NcQWbAKcWKMLOTFWV1tJefH6WcWHkr-t3zjOJg z7XQ%5@|L?nbp<{zC2B@PceV_YP!ME34U;?3(u<0Vg|=FH76WD;e*Pg2ZWT~j4Z+ImyvGqac%pl<$nJjF>zAD*5b2aYy>zVYN; z)Tx`(syFGjax?ChStr6?JZQASDzn`_OZ}5_OJCsRN4bDx2vdyD`KuT0g0Wz1M7H*x zWV0GpLsB!SnsyQ~)5w-@C39}02)4OYAyX@;+B)eV1qg$&W`ZD{6h&eso6yq?!qF%# zrSEMHjbT-&{YGx8h;Fw#g0ldzj%X%t6Lb#6Cdt|B29DbRWF|XQOSO`j$l4k8V$!z^ z$|a}u>W}gzDKHoe7dH{;0>;#H8Py#p>$#p?v#|BkkCitI?10s`CK+UX^KdKs&eHwa?LzSjG|~ z#G@}j`j%pJxLzu!6_n$U#iS4G)@9%3Liy8rYpL;gE`>y5cjjI3{1PAmu5TB-ZO&2` z-+bJ+EDE$5(%5`z6)NN+*Y!Ww6t^6`9%HYdM9k&zN<13xJi6>m#>|kqdo&oi1&m+H zVNU#dPQ2wy_ZaaT_Vek_{14mbJAYs1H^Wo$k7cj9kEZuKf5L5kysrC8zH)c_^2ELl(m!HSPx(ug(?V*q0^#SCGLxd1DTE>U2CrUzSSVnOy9w(#pI zg)PkDfB}rFd0p|TKo?+Ji@y`r7JttHEFlm^&{+H(wp(+g`1^00Lc=C?at-|@=|ba) z>&;58GzM2>t$nl_<~Oss!k28U$=yaPp)yEs9>$en>s|vz_&S<<)B`h8ZBU{r5II^J z2>Kq?M7UnK+TxqZJ%pi|p^{5Y?$EqMf7G=^KWZa|tmF?y z5Dtwz3SPjxm;^7QMEbng054;hh;@ieH0gjf>ws*i{93(1ra`EYw_K*fKN#>2Cj5hi zp+@_%ibiVeziDNyUbkbYqd?Z)xpyNAy_-Mkvn`6%vZr1Q8K7vOEMI|kp_YDOUh~?A zF$)R|CBe~#`L{FwGFpXO<04;o#*Q9-1mod*a6j46f->L@FZwU17t>3-8+=0ZeBWBR zVklvLznH#depHmYq{8PHY$%=@~cxz$i7FFhE+klIXw8y9zK{*PZOS zEJDlao-sK7W2+{3s~@Zm8&}}XGuE1r{63xpnsX#&1%;QpbVHLyx8>p@p!3vE7DA#_ z7h2JTxUD_P#Mgc_I?&x)RN84vJ4edmW6d?@&xM(D)Ri~lqq*xoA@Nzyc<1=c$n^WQ zYD`+sH}^K$v)GfHekxJW8&OxW?uXALR9{<&JAg{zx-ZHQEm3%r)~al*AfAMyvpJa*MS8<NOFk_%gP37Bl`hb6(Ao(_MX3#Y=6} zCH@@B!Dwy#`RgO>Zq$6KpF(C@V}&6;qTJd-&9_oY`;%qK-9)arhw>!X@-#CI2uhtr z2^mdF)x;#l<}G_Fgf|DYdHM7l7ULuTqyUS)8Gn`1&&BxY7L+jk%jqoiq72zFu=8Bj zRtMVbxLM|u5!n9?F*9dZ%rN11izz~NjYZ<1iR^r*7i!_@+fn3k%WUv&8n(s0+BN?!WW6^jz)e> zA$cUztbesf;o*uVAOO3STim8X#Y*{oa$vlm2h12X%qMeNX^Q?cbe4U0jnqCw2|K^mrNyawMCCBEQ(f^Wh zc}zOGpq|}SDs0b_a2Jle@IwS)qUm~J&BMlCpouG27hmb0;G|m0 zpTeQlJ=Vtbj=C#w*;vhBW&aTo3>2ez!{_=m^NVXSX{G_LvyAVQBF}5 zqg`Rj2KhqfO$hs}>yUmmYKJ@OR*Ca$Vm+5NdnymwT8VMT(=1u)9DLH}vHz-qtr*?r zsJjq4Z=x_@T}P!mq`k>lw_C4zvQnMQ*F|4q9|Y7!tBIAvoQ(sMonw%l!V^51W7Q!H`puzUiLd+3p*`3>7H42X^kdL_ zkopf7^sN8&7M~@625Sl0-Rk2qju~{=CRud!1@h&&+GPeZRku&I6T4(3taZfm2qAP^ z)&AeYjB`SdyN~$m8rEaDf#Hna z%`FR6`tKEI^DN}&fNOodD#a}U$NxSKqpyi!@@1znou7yC0825tHGJOW%kReYc0lA( z{2qtmH1D7@m;Ta3*!1@abz4XUp4oL#y}439ip%dZwA{FIWAYgpM_e^Q!Z%Mkrb z?E9_fKb(j|=bZ9Ffmef5Uf4ev{trg{gOLEb)^SHD@Vsmf8LT%JeJuW@V=t^VrlGaN z$z^s`U16~yFQo`Y6qhmXR|ceH3@!x9P{jU46fT4^z_m8zmc|d8ANmpx$lo?+icMtj z+eI(#Rdp}Uo!W3ghjZ|F9T2USL^rQ-)`Ui8+@!*qE!i4#k^>(|PPe(d39`6K>?a<& zt*@IYFjVA z#%mm}J<92(4Z9m_0NC=X4&dW6fGtHgQ8a3q%$_4=gzS_$QtC2G*P4lyHk59avfnFH zcxnpie9FX2T-BbKVq{NCuO{&l4&Zs{s>ji>$x|Dd;%amvIJSqBt@Vkv&;LOTRO>F~ z!nrnquH3)a=1D%k;SQwV-LgGKqt_~7{ISKI`I2@j4urAaGjsa@g|IxC*1B~C+%P=D z-ZX3Wg{QGj#nYP!<=yZ1Z1!Qgk-XJFR_qJ1Ri-IR`?sB+{-VNPyiWO)aL^w0H~;m> zzc{W=WU8S2cr2u0NWN24s6*{|u1Ow%l0 zY%%`{`XAmZ(@v(ODA%e*bJe<7^DauAJ#$seK``c|N*b)k)IG&`84V2gK>5nTpWwQQ z&wqmtx&SHtk#V#tO-3Wxykiz8DI;6w*H|%K%wn~CrPu0cpZt_JJMZQBo?Y_t7EMj3 zih*}g$AhG2f;V5%(tZYO6St|2!w`n2F}t-O)Wn`(#;5~Z60xT|yS099#vdy7X9bI! ztcgADjQ8}v_&0XjjH-K7-Y@BYME@rulDfOz@yW@@SiP8ot6UxPtM0OMlX-oVhm0mF z^Qi9JJh8*)L}}})r2w@ix9m)bsC7owdJMl#=~306zne4|?8zuwdlRWt6Y&|$IS1LO zfXGzlgv63syG0DSR3MdhrG%^y_1Z9PhT2uA+70i48 z&|#*Z(P$EpQRbNrlB&M&u09JOMm&yUOv93utw^b~aq{$cMTRqx1 zdwr&-Q0D3>Io#mDnZd>9$HJHE`~4Vb|WwR4ch_q>&cw8zN@KUtrAlP7a;BcVlJ!j3Syx= z{%!Ck(Fu@uI`P?-a_Kjj=?jFv9b4YZO^;@U_RdTOuMufWhZw(Kn`S3*0du!dT~}*> z!D-bq#V1Yi*h_t}r=pVUAX&L7JFx`Db&OUgO1Z;Xw%iJ+tae&NGDGN{^mD+~`}hG( z!`!tuw^Rl_VHz<{<_3YeCZC_f%+{oe1!-KmfDxPB$pMWT)#+hZVwJO*%o1-s#dm8p zX2&tJ9G_E2<&1?UoA6p$)#WImyHQ}h!j~!;P`E{xZOYlnsfjgCL`zP%y%jer768wm zu?oI!r^>0C{d>C5eX_)PBk3=(Y(RgJl+pa}D-({ua>W0(4)HfIdHK6Kp};;;mCRC9 znwh<(%#vi9nYoONW>|`uxuuMzMVc9538l_33-vL^H~ACYSzJ7zh=u4JY!$Yj4lT`Y z`~=79dB@D0QZOx)fMo^isxj?jk(A2%(F(ej>`J!D3cAbeO4C3!T`H)5))}}!QnafFtXk z>R1~xiuRDoqT6Q#+pft>RgP}lww0|(J;p)LQ>|Fs31^8V=jAXL)KnrywW(-rAIk=` z1-$V&$NxnSw~e*Y46^_1?ACy0$ITJWOW*6(e?a4`Ut0C1k%}sogeAs*-^x1%v?Egp zABQHO;R5*qWqaAaxPA}k8S^oGPb!*lzl2t9RN3g-A~62}jHsdG0h21FltFfeSjTE{NX&4_=Dlw$U3JJK!&ryivx+8U0qxAZ z8l?(kyh)sNC3Eavid4JZ-#OVD=KpA29lT?o)5#QS#A-V!CtLoaLeD?`5dfQb$J8Nu zdnQ#5fc{uASeZ1hLCj!X*UT@~;9>BTdTn=RVt)M3G!eL8 zGXEbFJ)o3~j7y~1SpS~E0rKfKkQJZ;(hL0p%J^5JdfNsna_7rVyHB+XG^7mvyX<=K zd|3CK+porB|5l|wpn9#-|De*}sWSHmv9DVvk!-SP4LjAzeuKU}Fo z1Ic~mqNjL-cv6WWl+|$Q&%v)Ko-hf+hLT@Oq{7NY&$`$}3Zd$vXFwwHTt045$lOV9 zP&kf+zM#DFBgU6koc&}LDJ-pb?g5E>xgb4j_YIQhY>Uh2QIr6uFahJIK#Y%oJ-g!& zDSXfEd(RacQXus%jTcm#AbR+PM}q3TLTncxab}#?0E$+3h*JM=BXTA>!Xv?%o{$g4 zj<#SV8H9(?T>*-A)%Ik87$2}a_+c9$!ARJj8Y|-s7FhHkpV?;nZ%+I)haF~cE;zxF!7*sS^=5{W`_9D&sLINTKRDW1I;oL{jkatDMCMFZ)2PC*bLzI8-Dxnjd= zcL*6{k31zrFbhN!pnY?lCQWAHvSUHH3e{qSF=~ec?Z^}bS+~N8c*&h4`6I1d?I?2P zG0=nI$it-|D-Zw@i9y-^gb**?9da;%lF1I9#SV&gx5@5NQ{lhNIO)B>}-ItOKCWn$Pqgt zjPqykT5hG9Z9jsKue^pr{$uHHFF% zirqq;UD<|@uu!2^z4=#++5$%*xoi>X@Cam7R>3d|<|qYah-gdpFexJ_l~JVXnBwJh zVe!!7Bf+G5y(l+EFrFl?^^zfqOFiIoFf|?MxBE|beuA@&1)8X6uYR!%`)1w2NcHW0gf~35!Lf-6C?HOM?R59}}A6g#E;yizd zlRf$U=|~>#sO^69;m`Fo7xMq`0!}FDoVpaq{SdjNS0hO70TZobLeT^DYxK*G-Lu9x ziiQMzF%b>u(niT=#)1IEz~ICE``ecrPhjhp3&3|FHN-q zCOV+{^z-mz-1gKbc~i#`V29x&E|2*RDflJZl@K^F+tuu?5(}uKR=yDxI!*d01e^t1 zpdzi;Rp0Z&DY5x)DEpkU(4YPEFnG7muk(-0i|W!dIW;qu0=ft8T%T}*rNQW7(!C9+ zrnRtoAs{C(k4QgVs@@5GB38YdrPm2eq_AK~=b!)x$gr2rJeJy9m?Dl4ZNa(;S`zU> z5WtS`OYj$rnYvV=?k&i>*MN7Fnckp+MtBBRI2MT>9MB#xnx4IH?QF8-!A|dwes4Sb z4_(1=gg!?*A|1H?8Hmzs>>Z+?cTS=EIaYTMtpf$u-wo1y<_vn%R{uOdE!x&Ruin1i zo*olNm`@Tt)cCyY-X^MN7^#>mKRjZuxq`JLVB(|ONwct|b#h}ON!E!3GQB6CM()k3 z4;RUF28|Guldw_H!K}2%t_!mv)Ha(0G-0kkm-JX}s@E#{MpX;1Lm2G)=0TsvrPWH; zlIftZoPQ0>Yxv~j=?RyY)iFdP{fCZcce>`~{{ERD1qwmj@8G`W@phlmi>_+JRQaa#~ilZ zE$DR*c(kr>&G6Mib`JDR-)>Isv{@u-i27sk0Ml#G8x#`k8)J*&j+{OuEE8odB698b zvU~n?4Vp*BB?UrIJ5D7~wQ)b5*1}%ZA2VZ)B9M)ZGN!n?I8B5fq&vs->0tv2F$axu z=gnO`<#zw({9G%7VEnKGB+!9xu>w-~6Y)l0Sw2MkH&DC2X^;N%^EaoL_xI};Ki_A> zNpMW)r4Er_1ga`VlrR`8FJPhBMfOq;{+Oo9j7&HSupz#lzQXz*!t?YwEz5CAy>zw% zqX=q9m(Cs&zevMbyAARz3!WkLD)YMiKRkp5lXyHy8BqMJ4$$mpUvgicc1``t??i(7 zyyohII(Y)eP~1XKzQym}vIiR62>X3D?CNu$bu%>Do!+}lOPhzp$wY(*vj7h)SQRM; z*K(W?u~MlaF{7;r=~JD??-LVbi$`XL@0gWx`#@VWr#ncxyw=)MV<_SjEofB7pldSs zUz0m**?rZz0mBq??4y}MLacEZK9$2YaOd?IF)qi!L%({Z(Y&)TE>E&;y%NMC&}WMx!9I(#*!l+P7n z0`Bl!kKR}q5MOubYOMF?k+cMy>ehVK&zF}1XQjOoM|)Na0S60n`~+;zq}PMgKBL*l zSt$UwAkawCiXT-J4u* z_J#Myn}<->B-atUeG{V52^(+Eg1J*b#rWRJbJMpeP`A%6Vc`a0uLfc524UjN{R`u@ zm*zO$xM2oRM#`NZ?^hgWx%mhQ2=M{WGVZg>myMfvg&e#>p3jwP$UFlw+YUd9uUJ?< z^$3CW=oWy8L!4b~m`4O-#=$ zKy0;;@CW!j<5}(U&64g~4)#cBva%#JWeD^J{P6Je_4Ve<9chausqNDxRVE?afkklV zdq!t@qMuB`*q{~**kOP{$%X_CY9Prc$2L23l@{$;z61+!V1@+QHh=?3HUkYvWB^f4 zganbT2N98BVvGSX+MfV%#jc^M9<3v|d-3V^d-L*qL>~%*4x0-4-LxbS=5NHN8<@(T z>*0L-_850a5Bl)7FR)RsZ~3VpAmqutsZ(^_sBi6uNl?)B-BvT3uX*9yG$yEiCqoBI zPqYX`ZBP$Ld?pwKv*lYTD9Jb^&l43~Qa_su*7pq4(^l5#A5sXysW_@wzTy^(_<0BA zuh3n$G70qp{Hs2Pf-=%!o1GUDAieT#EHIzlgnWYcQ(?=!HcLRYH_^bgHyc{u@(J37 zIxz@A^QyOb#SkVmF~JKphWO&=z(8mjL1<2rOgLwTjSxVp4M2?8r$8>H#rbMy(~<1> zO2P5tk9#zY_*_quE_0^qp=gLdyZ;?3Orf{ERrDRvcs`Cga4?C5)d(~dc8owvx+gBZ zfPND;8#fnsswG5@zH-=!ADgio7; zl@Ld9Ew^*+~-A09&QP?H3H{0?}ZxY4c9jjMC>G?reYLSDe84(a{ua_hVOeP7@I zfFk2Xog%>){Meq!A*<0&Ewe_tdvkerdVWLx5=l6BK6S!&AoxA;mKH&^?y4fi`OQXp2)KEl_!4goO(iY-QdF z4t=AwgBe1{3QmFjs&DC74B`(-QI_p}W1UZX7Q3i?rgk>7nA{Hvt&uybf^<>goo0D> zv!OD>^a@|OMDrQsgm$HM_~wK?=m~*6n9}Aw$`KU1tkrLIQzoHdf~5FS%tQ6D zKC0SL=k_-)#s*&~^ESCo8N#y8w4_xeZ;(xCOS#BfBUK?Av`l13J~Ur&Z|Y2Fn?L)> zrrGiZ`b6OcF}uz52mGE*H|{|y3@Dex! zKhLL|O!OFO&AgzF(?1AR=dp^E`8NR$@V8@sr1w zgHP}fW8sLyFf!hZM$%FHyLHexzne#O$uvhrM5oC&EgedT$U+u}JyQ(6kz|R4Pj)g@ z>WG6^E>7p^*T~+hML&w-^4D`4N)9hB5A4W=r)SBMU<(etlVgZ(KB_ z%%HdWTskFTz-`}ucDjndj-hA01WFZG-N?=?OF=4r?P&1T#k4_`b|l+(gY_!p3wxna|26vIr+)5s;t z6ZIRkoj7|dQ7@cw2IXVE+z%&K!%9+Rn@^+aaP-EqHIJ%0XIFGnmqn$T;7*~+BMj;a z5)2L~SH&l{>YF>=er#ntqOW&S#o0sQZzke@Y5rvePZdqu0`XOw_S9ft{nnW`+WN!* ztON&cML#$1@SEpgG$jk6)-KRP8~ zSsC_~yS1WHSjT<1cT-0cqkgX+9yFk_id#B4vxNVy#b6Ian5r_bx1 zdq)G2!3Nr6y~(zC1IFAgNUsAqGTT{=)`ZXq)|H{VujdQo9lnhGDSaAxu|Gq5j@mq3 z-~(q}Z;#qq2k^Ks#?L7?vaN#a6j#h8@WSEqbVZRr{bblb$oO?Ni=QxwTxjCX< z_r$e}xEaR|!Jc&mCo;2b(8!d+qz^0jTSA1O9zruC6u!7|ev-d6LNjT(hYH0*-F?2C zYOi#&iGA~+(Yi@3q+r9K5xkdYbZ=N7Ba|Kp#J3HTT4ST=UM$jt!WeKlAX^d?Nv6Lk zrAd|%fvWD-_%!7zgM@wH$6xn`E{;qO97q$ftGb*x|o z0UWOnAk%%8y>URYc;3bcMzm5x4Yt2>)$fqOWc}8AH~POTdlP10kR90nL)mK`G)gMy z1aXFhOQ)X^kJ>6Z@{JNIJ}T0xXG_NYw*nU|Bi{E)Fom1y4v9h#c#*FOT%FS>B7hYv z08%+%6yN=yLIOpr{;MW|S5>b}F+--tOYc-LLS=bc0CksL0U|ZZhJ#4G?s41}00Z*? zhebhfX8Jk!r*{v>JFe$<6Ox;+O|`sWI{}*}wV-=Hf!p|BngnpaLRk)$vHlwf9>4tbOj-3R_598MoHJ|+8Ypb+?I{qG09p@Tg4T&+8R)O`j?Wz-1YLjn!1tzi z*i^`wWBKEq8T=@a-Z(MeWX*wNSuL_C6Oup+xDWdE@ek)4#l@QeRU?*YL$U?*8QG$;nFAI~wo)7t`UOH@|IIE0ppmpg zYms9&Op8(P&#|Wsj_|@9r_9?Ap^1PIWXC}p7gzXs*wla?sDDHQ(96T^ZjM{PCFbGQ z!OGHCYjt}&-#W$hzh`Lu#%ehOjHQ)1(Y|RQv~0}rjO(XW0EQ$B4ihzyLk4jC^VSk* zBEUk$3*VE8ydP5qy)46*4nPK1%U=Asu5tZ3Zp(D1f6}}<3Wr}@zn29{D{x_C_SJ(b zDuJ72DQSUP5X3A4egd=<2M(Oz!`Y~*0bRSNWOa}>)pyr1MBNMBlr(<(TkT`atX*x$ zJ0MG#Xw5hxjB-34^13t|ablP6!Tj4bA<=&|qJ%@L|815b;Baqbp%zn@_swjIfChts z2>@!)9~)7ISj#3!eY6W)hZz{N0_p>S814qRs0m<%{Xwt}GjIwFnAiy175-0p71s|q zb<>%lVRIkkmp|slzysU~NK730n)O%azjA@G8pvSYkkDHf$5%;N#=&oCs(&I1U5+Ab zxorfuX}v84C+hctNE@83^oO(@5Qc;TQO#J=ZWmzaTH3Jn8HPapM7mBc_Ga)aEJkN| zJV>uWv4R80$*bd;eoF@axY9jx&j=Dm4kT283k(NT0!mZhc^?wT^m1ea==MK$Ruh;y z4nx>8wO%QP&`@Lf*a00=02mp81ysU*@vzY}+E^r#ga_C{dqQCm;hzYW$WKYx6F$gp zRuM!87_vAdLhzdc*+U2bhDqY+B0|Bo_KH*N1Iz*D((6+%(2gy_-XnM2-k87? zPz_^%kJHsJ{pS1!U0xgDn`=44F7xo*tL6=h=1O?@Vpc$pr~#%Rn*rIyx$dp@0z+Ld z)-g&`5wKFOF~Bo*9cTy*hf<@l0>BkNmjnjq1L2rC&oSVCX@4A7M;^$51vsAi^%XD% zILO$!TFw+0%oPbVh}~NOF8q95r-6Z6yW60;4)`{Z2FTz18ZYeE2!X>B&^1!Pv21Y} z&~yYbS->;^tY(0x10!Zcjo{!Dewbta$amXnKh=a~jFF^!Y>s6pm~~Vm^ikc8y|4*FHVyo!}-o%9l}23^-|^Nq`bvV1Y6T5Vcgz3!{o6fH)?`IZ%5z zCcGTl=3a!9id3M3F%YOSfwWN67~_CRK~sRiMbP@0K&27^^G06pqU27O2ruB3*KPZ= z$W8USyUszuKmRe6mK3Gu15g7uf=eK4+NNjc*nT+f;^_X?yZ>16D4<7Cl(q!^4R5}# zcwE`y{YtcIv(8ZY8rRzq?m!k&{*XVBaM<60qHuZ?=bmr^<>%z;Ev@Le*lpzrl!&n4 zQX|9f1oF6;&ydLZp*AFHfz`;q4+GKy1_Bubk;?ae8`=q3<1FtXDv5Zo6B#Lvwij@%^i# zKwz!~+=1q75G@gC@g8EKFRefi6`=rscgkJ?+y}S(gH0c>t_*NbB%nHj7`kRaE<_No zt6hLU!#`QVKOC>4nH?%P{R)`)Ba>gfGc*&plabKt4yfI;s0Ii5Yi@E|<_#+nfURL3 z4F{%zx}Shm&kk7iBmf7bErTAcY({pkaL_U%Cmu2V%m9()fCQmb3l*HeAXxZ86QiY{ zjTtZv1WXsa7ak(pc*~5Vb4}Gh zrwY?Hre0>fJ+T$?^sRci+d&{7Mn3;b>-(yG;e_Z-GA1DzL&`SlCwlWYLvZ}#I;qEJ z1RnRlUl^TlRovLK%yM&B5FOB_e@?@{h>$rf8m~k?7kcO17T)TP6i{Ik$?77#h|~+; zIAPY3X{>7ebwt8|TH*bP8?>474dOB0ugeAcXv!Y7g0G$jwAqc_p-8AbT;B?ZRjG)cg;={LH?@dAV*&+@FOhE zG@qsm`rd^>mC9DQT4HDaAiYbK0#A7-VwL7xHMN!g3>kOUc#jt6t=m0pF4i|DH+do4 z*Zr#7V#`sym*J^#qTQ#Kuc4rk>-6w6fV zn-Rr6zgmO|hQtaf#HQP}o}X4yC~j>NsR>D$NNE@6*R`oum>*9+dof!5{4^%=Q-b~4z(0F+y)H6`kA4;LC_y4l&c0UkDvDv~yc zN?}-brthT7X@0~cN z6!9N^mIZ2-)cYBP_-6hcR3F}A4xQo05B1Jn3jNraE~)v|4MvMf8Y2?Ljs>y~zJV!k8=rC3nkPTPom?rB<^M)2~_aR?(RpB+ z6BFPo2QH_DkIvzXaRhqihqFbBd?JjB5+qfmqx6gE_Og5;{MR5>Ue1cR1e7Cls<2M| zX;57g*YebUAo^{~8e6tgWi0AukwvE0an2-`pvO{4kzji>Dpjp&=)&m7j~KabrjTgr zB#N=nTB9F#AQl@x@r!#hGoUpXA+y)Q5-dT5w?GW)2On7>qp@p^j(x;9rqRzIQjv6c zCv~DDwO$+9Zi0HzfJa$l$6WJ$tD$QCMh8pBljy&0f^|n8hKq;oRr9Xe<=`rnQSE${ zYNA}77SUQUE1uGMJEwB(1m$Y|!taVsJ=gE-XJ1o(KQxyP!FU>61J|=fbUaCBJ+X!R z>Y`J18lZ9oP$=C?W?dkgm9d4d1W`=lUo+QQvczBSSDW>oGZPx<3?RdFoKSVZ?Y)-V#;8{jq$~qMimIz;7qt(L>_{Cg!{n(x_@FDdUSc?6Hgw7P8 z`y*F0)3|Hm?A`qa(pK&HFC>$>!s~6L-eR%C;VwgOKbM!;(YwHarO__Y&D)EGQ46vt zQPM{r@Y=w9{S}(RS+|E1-!|H1&Y;-sTV9%T;YEVo$`Nu^o)HEjOTO@wab!wnl{1VF zKi%neEp|2n*N-o*W`iiHMg!D7dL2T%zCM>)%Dr6`L_tu!kqXd*AN#ERl|ld_9@d8gwcpL^l;= zolw%FP^v?Gr{u8}i1<$=hlyj6sIpmDmeBC836S8Zm>1nvBb{JyK6~Ka;)+DeXwzBu zun(l=e6fKEuVno&hk&4&Pi!*yy4UJQsMhm?LQkY#b0IL(o7$3u9{9{y{z1HW-$9&n zjF2*(on~x>S{KnT88IGb_k>3_+Hc&uEf{0?kb){}m(2~5f)Ult5{63JRRXQpG@M?= zqi=!ApNqXHU0sxV^Pbk&@k2jfnj=MggYX=yn)g1(z-Q?$<&Bn61SXMH$Dr2+P%bSUzE;DhkugY9rghQR>R82C>pR}>PYKq(kukaIC; z>_CC%KV+7u*~!u8a1pPQ-K6ViI!Qwy)w}CtO~rBQ?J)^3hL06s;H2p~B|`vf4GYE9 zJzm1aMYBhNa-EpIAu0%a7m?Q8_P&B5&s9 zA!|K&5zHvRpcNWEoeKVH5YF!SZRK3tdJsDQ3Qem}aBd)Ygxso(OB_X>YRQ)t?$g}C z3Hlzp%8MF~5#C@hw_ZM{g}77cA}sVr%vvl03@h6RadEmfg7^z)HAt(6A3cIDqqQpQ z3oEBD*C~eTM6`u$atM?`LD65dUFIiLA*12~1XNaL&58y`&~gPG*35)HwE;`&I+siMqypi-If1`p>B$%8) z8?Ht&28S(q8n`&lg4f(VDLyfQ^3TAF#B0CnQ&!gkt?PGhtp}m1XA0e55 zT@;zNGj#fX@t&&LYJ0qR<@w(%_uSVp34BUgmc`2(3Agi^X!|Zo0kTqlR!%hE|dHk4pf1`>ZmK`w*=cy(1>-B(vke@Qbg{;fiqPxbA1f%3n1_Nm69>1g;=%yY62WHirRu zPNPX{;Q3si?o+d@#!#~nq)0+0g7-D1z`;9CS^tEDwk@acL0321&)sCYi!&QYQnTB! z^!G#^4w(F6CV`}^V)MH6*4rRmwj|X|Fqa)4Sx_H?H6<2QALfeQ6i#@knJ8gKkyFj=x3&4e)G5~| z`_!lk!(?vZRrH9@-r*t~?LVy5XDQc*b)f7i(RVPg%P|UiG7w-gA<53ihJLK1XUtY2 z^TW^`&n&!J-1EWBgh+!_6yP#7vu?fE>tPpw1z$Ktoax+qMW`|b82&JeKxZOG<8b5Q zQ)#3R_&|JdQFWiJ;(7&HphD)~qU_jHaPfj=kdyRqee880tmkrLX#ic_OEF|IVaK?i zkso}sk{7aG0^PAMlCp*QkT}*Rji&GFzvCVi%DY*>wNV6ewpDFARm-?TP2t)|luqni zU5^Q_vZaZ^+f>X17GJ+dZz`EI+XA8UD&!v=`o`r55zr_1-g?;%!;y zRk#^i$U;4Q+1iCD9#xivECh2nLLW0vXkT9+gxcu(TKDhY0iD7hq6;8JdX5*2rX!kZ zCGsxdJMl0M%(Wnp*&l1}MmJN%NxtbWjaJKsef{g={;tSdlN}W!u1s*TP#VTA(Q*)i zT^<{vw6I_Rf_?5=PsR5=MFOSWHzdylIqYgFR1k_3n89zAVLTueL{SIS5A43X&GM69 zb6nmK(cW|kNcqWP*KMSP>3;Q81+1O_o;FWgt04Gaj7U03VIbFJ4TSHhHxvWf&VuOi+*f zY^NLLwUf*Sztx5%JFV(OWar17J1Wk~^-L*<$H4YmF+~)me-}1Of-FB3z?QXv_id`TlHI z(a-Et*()j|Vv6PfHSaV87P7-cb_o)9N^Zw7K>pdY{?@fZcFw*~`rh4V)dp$SUvNL-1;y#p=J* z&BWPdWWVqSbr)+LDH%90$i# z#pgLX-EnDjO*C@qlj*V&(m#StkT988?^NIcDn;k0tpv1O!`qA=o!-aEjD2fH0+R+V z9CXejZdlobdUVA27acWy>-%5Vt#auOvRI?mlFv-TQ4c{@b!K!NH4-(>ij3mS8-}6d zr_ai6LFvPypYVnmI7HnQ8nX+%uuV&;qjP3`zu*+{L&m8vJ0OTm^~ae-BwNj91r&C) zj}XdrqebMqmNjrG)UyOmTRcVKic-#Al%}r>5M}k_E+-%gu0cug8Ex;jAKHxCN z=n{ht|fn~E8h_dsiQK9>-{5BIcN zaP5%oJixA_65dbzJQZ0KJsN_Upd2Oilo@k2n;J?GBrRU@7RJLP#v$-H@9lcY z>6_c*UxKRKU#zTCjnI?=R`#Vbur#jV9#mis>`vthJlFDb+mQjsAT|+WOKJlnGD`}7 z=^jaoZ?(<^8z+&~J4Y?cP3=$-r0%N~?*9g(GXMI7D%WYcE&B))6N=qqlvrPHuCZGF zo(v>kKahRbzY_{_3uG7{iI)%xCev5g40n&rL!Whm$J+N?E$|Z7FLwjUQ z59?Ll1F>ld90+NnJ?aC8dV8i{K;!)&kQ;mGa6kwqGt?}wYzU4ah{F>?9*|Q(kXXWi z!r~!3k}-qPI78tpFpVU_+zh{2TfV6v&b-!>gi^6w}EkO&l< zxRkGX6r>HguY$Gvx9piIPivjY%f_%Cu<}xyL=_bT?{CKf2odAm+{LpbXV5BdK-Yjb z6EU-z45=6^2u15@vQJ5ni#>JP;fTFqD2-4zc;aLlzclDG{?^;&oSK72ADR@)dPaKr zz=$LT{!?dDh=mCR@gAi$7y@yUHmC(xrhf!J5c(O3+8OW#YAo}^u{Q4@u}gn6;A#<1 z#=jA*b6JjML^DtyHe;&dud(uN9FYrr45;)P@f6Tf9)@0BInN_%zt+wDLk$+?;_V^J zS|HY1Ai7#0yyaCDgF8yX{Ob4@+=tC@Yxrj+jE_!O)2AUv+8;-Dk`x2Twv95=uZ~s7 zwtqdp++Ne=urgWN_`6Gbvl|23S=}`VPyrjEi3U8*{7MKY?U%S( zd(D|dyYRwNXfu3&wUlT2PDklPTyHo$uCH$+`bTwMn7%bgAvw`;*)pNH+$F8L_% z6L0Fu$%C4;LuuN{RC={THk4x$^*ZL<6mLDSGzTP9OC6!V&b8{8W3#~j)Q?eaCLXk> z;hl5ysz_g!-s&2silWnxshxEDSahhVbQnC&5*1<)^YxKlE>J(lamXzkNS4DSm#QB# z6;ABC+A1${+=NQK9|{~t<|W!b%H{%-#~e)K*AyxeWsF>R0lIGr4zTmg&9xJF3HHHm zL$#>p+=8|(6;!tQ4zOi=_ES!j%A zOJDx>O`; zllnBG^8}QxwA;9kKUGW3YT`FEZnvzC^ei`gZrZw``TN``( zg542lfrD;L8%1Z>kuq#+WLeX1Wh4?z?6rn#+6Jq#+b9M+lluMNE52vGRJ2qi?48@scNbW95<>(Q*KL>)`5rEvCjp*Eq!!gSzMvp98GjT^^D z&dSrS6422}ai2`(<0*ZG-+N!F+RCXodrBYnFK1I{LRbFQLC#ZWU!O^*&P;>e-4=Bl zv>`4;E8O>UaEibHpB{s! zlaES-q^h8kP<~Vf(LXUd1o2XT>=dF2XOJK%z#3R6VO2#mJ5nz%4`;ur=#r7l@+%&c zA$Tjo`g%5~NkRxM(0H_?g`A;~O-N{j0dL0PhP3y;&`T)&s^R&uggI}6zCTB;tfC{&db zCX%{3i*0Na%+*I5-h@;ckmQA#Q}cZbpxzINW_Hn=AJnN^$O$Q8=8IA=iv{z^%_Rek zk6dODD#h%Y*atMlap(k1kBX)>JiSg7gbPEAe|c~>3MQHBml}bHD6=Yr6eV>tY}zWh zjAV5W=bSXH*Z$^>+T%iUMpCBXR_WdzM+=!4ZhSnqgcPy!wy;#vB7y=Te`upzxk!BS zYRNoK%f?re!9hm^^n16r-3zXv;2qiQ3fDP5@1mH)+HrzuP8Dl7CdFmE^ z1T$XZ`~?&bG|q}e2>?1)Y!qP&0j%+;84X$^izI(;rJ>hxR9cxv{y^9lbY&TMHG?ou zn~#d_F)gGPcqEMsO%#@vJq^J@o?y72O^_@*h(BeMx){NMBA>d*zy-ipeIs^q!Z}93 zt_&Rn7!N@Dwfqo#_Gxu=0BQ92rlhD^fiwY*@q zhPFo0Gn_h@8f8RugBHk}Bi1);918w%wlhbx-j=5@UEDu2aCmrtTxI9bTl6SS>XTR1 zRl`ZQ|4wToi$Z(JT7J=pNW-%?au$bNl`KXpznDV%os<0HFmQ4rQjLThT`J~Z_v=qp z=?2U;zS$uenS}ogehHpTd%xxIu2kNsp>o!ZQQM=cH+bE%na9i`Md!iLNHT%ip+2u2 zW=K6|b3I=F4jAJ7yv370qX(B(dh z5=}xjBdk5pZ-U~-oEhgA7B&A6&>0iP!pv2h$wX1K4*XY7;GfE}sBrT;apI$KVM}af zB))3lq$`!7iy$yt3CtCc1Z5F6q;WyxdA)yQvWYxD7x?{0m2nf5(US?hbq0x7ZD$cUHo1->qff z-DdnLzffJ0q&UYAH}5cNgYtE7nlEB+&rlvSVoy8M_5!7LmD;FMO6qvMDNU>Nx9i~B zM4}_pP)12l%J5K82t$b&=mrZfUuad2H&j~@S<2(fLoZVkJgPdCJoga?iJ>Y@k~mty zfy}b(z`H(O1k7MPJD8A=5J*ss;)OL@ny23M=ZzBgTX4MCY)h~>ehHLr@SJreIu#M} z0!4ynV7DE2R)BzZ+uzXe=N`iwZfZ(3cWTpoN+{E0S_lO(kqAiB;!=P0TB@b#Lk8}z z+-4w3Ifx8NN{G}PgafFwyq}3Bvy05TI)a#w1iQwe>B^!|xcM_Y z__N6G4q}ptk5i+|(lDE}2vyzaF($Cm?0;Q|SbB4Q?hj(FkyEC2d9|IFF*Z8RGHaqK_+Z_B)4z;AGr$4(bKC= zxJ%I3Z!VTcP0=*LMM;gl2mMQYM@e$>Zad((^4pjBB2!wAT z64`MoSlhe4@Y^g53SKIR%e_ObayUyGP10TQ3IslD_$Lws$lx~W_|*k?Z9Qrequ4(W zkJ|+%*K);vuX@J8H>fQ10(R}{3n=ZuL~Sh3pMFS`AEyZV#yR~R#8&+z&q+A(&}J+c z3P+JI6ZBcr8F3C1PebvSQol8;zuCD00~(oWce^k;Kmtv=zMXGUQV#_WH%N|g(%&{T z)C8N)pyK`yAt2+8CdI7J`#y~^6kUSs1QIy7TsPH!5TkR?kSal{yZL=<67FP06eA+n(?wnl_l^-uP38o&1@f!oBj z9x>sRV=E#q9+<*-rGc$h(RK!r;zAQx`SnL7zBtyIa0Av<-Umzp(+P9O!*_ z&GwisW1$?D=f~T75~ozd%>vNM`rdv}y^};>^Y0&rS2xcOUJ-m7Ux-Of3c=)On#6~k zoc@l}&kunZ@8fg`?_NripCGUTf>fo*hIa5+HrGWvu6Zg1WGf=E%-Yv<2`b{VL&mcR zfk3&}6pM;f3cDwIc;8=EJNEz&w4*g!CfkPgKO=x-zsJHn)1>$$CJdBhf>tiDL#s#hRK!bYmyIzJh7-14uC-b=g%2VK($AL0ka- z6ORd&O+`33z*>3yE|A(Tx50O^WZ*CFnF)<;a{G{68otmi(Tfe)ne&ulz2UV_dn&0y z#x}Y%GXehP0~Loc!&c8hQ}S!&XZsR(^mvWpR=w#SVPsceVc+*JA817(+rbo8g7y?q ziQ;W(=S=W%bynJKWqsSyUc23!o15UDCB-S{nbD#1e^C67_+KDcmso2p z5?EB7BEn#+#=xVnX(4ungjsK`;og7CRwjYV$l`=XcFEp&C|*##-4Q}GNX0cGtEc{W zq74gMS%0pMIQknECD@M;vLe$q7XrEhtP?Eg1pAKwU3}tOq1rQe4D@v-cAkeOVK<1jAYg z$VG1}%*D5&9@Z8;^j*{u|{143{cv{zz4TF)+_2;(o2^PCz! zmSF3aDO_RC75N{%jlG~I#0=-sbJ5UPDED6)zitkO!p8-SeBUT-y&tX+5e6wq)(#yh za?A1!yydiryJ}P^FVDIe!AubRZVNlIp$v9sLyFf-QSUKtk%)&+Q8$ufS=6H0bp3un zY@INjy?D^wQgv5+`(tD!(H+cFIhc7%`|_1mb=vvkZr|=#AycA!Hrb0Ld+L|N=?U-w z*;yWU!t~qugKpJoi%K2Sxbcn#uhUA|{iU~Vr_>{Svj-v~3DfiQ2f`xC)9S1mm0$Vk z5lravk=y4wf>XKM{z3W{w)B|TBS4$ec8f4)zZv+?RQr8DAWc7Mx@;DPUcKPt-IZ^5 zi^1;ye*5u&x-2~G4mf3T`uC~-nwnZd#hfYfiknYR)xE?o$NmXNQxN1P^p{-8RSUP^ z2c?p$6>dRQ)sibX9>FB_k}F1@Dz>UJ8NVp)k}G!}K@;5)_t3*G%6-^*Z;WZSva{Yi z)ylaJkGMqSweMDOBRt~!kS=a$2z0f0p47FewSCP2wJWuKqNC||yWOJ#@GGmA`XLM_ zUvXdI-erVH$3TIhnd&sv)vj=s^7Pg6Za}p1bku7Qlk0~+qUgZt!ELwbWS4O)OuO&s zt^qDl&uUYn-T&zBRDT=?0# zw1A4aeV#X?V9|)}{6Su<%5>$yZeDES|AF%D3~jzO9Bd7&y^`kdtQ*foN^K)sT=CV! z>!WmgnW6g!;+v*;JRmNKjj%5)O;leKS~IN3)`NGg)1=!s_H+}KvnX*awDhxbJgn_o z_BhIK!_8{8m77IUVp{TA%xJ~rAJjo@(rMtSx+xX2W3jX7c*;59KWlyEde(f2(@w%x^B(?`Iov6U!CT9XQsgNq5-=~=5pJ)oA5b!n{);KL|S^CQ~oBZa!a ztTU{|#{Bf3jZ?iChJt>*)=M9{#>Z$@fn4$FkBd}C0Jj-i`Q)eZC4E^)$X^QG z#hGT>q)E^a;83Ks?`6%8>II{lv8p+je#o!^Kx=uY9lc58qRG z<$KyvQ)j#(c4d5D`kmsu+>N4ES5M0DkKhLs99TRwqxzLeib;>nWN}xJ;vKhXzbOBX zg|c|lo?X`|(12uf*XBv@(^GKQ%FuX%XH+YcwJ zb$K$V1mqNV(cvh$DjA10pZ?0++Me;(FHKx-!}!a+vF0D-meT$&=KKGG`NjhFUEc+2 zX!_fJTY;ro_h+vEXdp+`G_@kU>X-@-oS>ow05;Mz?Wa4l3&H(Vi_6wi$M<`V*<=Lb<2 z=b?r44pugffi!WW?Bh^8Kw!9@oi8{V5ertChWGT4L(pXKj2BfKJ%IL(pYHL|oZ0F; z8AH&_^Mi^!im3}WqxO7IM3|_0yrl`Z((ZYp9M~v4?!*Apibs$5j1-SAGARo|mVnF; zURE}G$HOlg@y4ezOa#yr+BNgh(#|1kVOGLNAk9E^i^%I_|hDqHz zBfP08Xpy7SjF0cFw2XqJ&R|8ADAp8`^&!^S_wf6QpjqX25UH@o+PO%^ z1-IyDjSK}%1&s~;iuNi*>FgwK1k{nMW@7ZkJBjll`sqJwNkYuUFt=dPKhH^{zp|9{zp}a_NqNhrGROEHx#_CP)mvu?YHRD7hJ)YSVkYq?2IkG zz!dirpnX;8(Lf%n(Ex?3AOWIpo1xTkNa6svmp;r4CGY1MJ`ntgFHw~~7EP5PnRB5Z z5n3ZsaLg3QT?*xuHTD6~fhc^V)V^S0>37t#QahnAvBk%K)P#0_Xdm7m+9&;b3lq*O zle|I1k+D`oIOu$(e(U<5G;w?pyD3r@cF4o^TzjdFPAW^Ifw{*&eCFgrDdxjhWj%_gy~C zaCa=_ADe8>n_T<^A498%a-Azgli^AK2nfGE;QpI_aBN*2ZTF zE%>oPHOhb8-}Tz<;UViAzX$DE!TmGnLhHIR(wH=|OXWWvd!s|C^)Pn)XCJ3a*$hQV zCjqcN`nuo~ug<;Xpr7%gfnsEh3C#Qnh>PbL&b5J(;&TfEV}~*uVcRzUAsXZvX#sHx z>Z@fluvDPr;tAhR9>g%ORJWD>Q#JUNES_F#I&G}93C~;?6;nqlzM6v8WcafIoN%Af zwK&hsjz!$=XMV{`*FRdpPG|(DgS3b$5BRO9gRg3A?pGZ_SM2$9F^&a3!Efw6hNp=h z`L8cxG2s^^F&|273b$i(yw+L8jVb z-b^SGVN6|^Z9HzinQlS7kHUsQWsS3>6iq{Zz5$)Yh3Z`o=g^6H+4$O$2n4j)kccLm znRWw?k9b+%MxBsHE~WdT-SiAAm!mc^p#52!w4WyK@YBh>m$#NIBBrbaiABqzrIJ(j z$eju|-Q;~dvL>C87mUddo{(T}uAYA_xzQIdO1P0w@WK9+mnmn3r81Z4x6xNus2X||3cdk@FFHyIp}zcP|}H*noh)P>t?@M8^EwD#OJ0uTw+xbP2+Ew1x} zebrjU67PKyM%nwJJ9ZU%e4`!hj&EcF-V9~@v=IH>Ng}9|hz?Gn9ORD@TR?Q9H z0rn{Iqa};@Up{1Un3I6S15XmhG=oUO)YKG${#fHVJB;G^bDmX~-2TZZ;RldCWC*J) zYy(8ej0Tx3H(rGl(eb0tq7D0lTb0vUnJE!D-qeI9uZ_Gk=%;+2pbShOxiUmrkRK9s zpS0p#vA$eg{=BBmN815$nM4TY2x$mf9?V%-dVwhtxuR;lv04wxYmTuVpIB&G{Fv)_ zTEi|X=!v-OSAC!l5j52fG0DGZ10_!9u>V~fh@E-NjoJrM;iptJD1K}WYGDt?QW!N`=%}9iWw^jUG9OyC4*Yid{P9=N`HEl}?E$Z%y&je4>DQe$$`L^e;$#H=tVkqQd zaZ`VsXiY(>6QWkZT0H4g$q~MQp)+f&AFdU#0N<0gQ7^vZVp!yMw24-QLE(*=jb%Lx zLHOZ%?|!hMcBSN9@{q!s2rjmyJNqyR zJj$d{+Pk}G#ck4MeX;)othd?Xg0MCWRZa?%ItNvx-Y9O9$c*t*%-3A%<$9`oObKoC|It>;q|)|91gUwgYPxi z{|?UK_8#sp98aa35#~fxkcs$1l|P@fTrOI-3X5s>--rGJ7~l9a8@=VU2#z@!aqMi! zW7{}W@Y%bcL|&3;=FY>ekg=t?ifSvBZQ%z9$d#pDW!qetJ?uWEI8o^A#)bv($_L%` zMz%iAm{{h0=DHM?ggE}Xx;o;G`;oB&)BKvtgr!RvqWg`%2a(7y5AMGyoD!o>ZX=zH zz~noIfO1(~F7?wND=}<@xl9=>;h5tmN6?_dlso7&R3haC2r2h0qtj9;RFZ3g#E##- zC*FafiN;RbK46+zJM;+h3y>9+z=sk123zz^HSr^jRzym@T^`Qw>f1$NOj`J}Ip=PU#jFDNw#ujLNfuCY%BzmzqYl^5&|^^4brf zJXCJr8!RDL9SrM3M)f39ctL^w&uDFLS)Em99ZoOZzr1S$iLSn!UqAT00D7t1QxmkL z`We^cJBCCL!t5Si>z8LygeIBlc>ljN#sVP_MZc66p_{wL;W;}M8a@&&5L0PM#r!v7 zKg^_}7~`RxE0K~aWe;RES4JDn5(D^;BY`qLnoYRMxs1hxo6|xD}*$3*oVcT{So|$$$6iN{}lsMDDA5m1an5BZTw+) zF*GEkuWzhR8cU{ij=0}{(WitZrh&>0uYkse+O2FcWQ);N23kZDk3*)ImF962klKAMV`$Km~X@2t$d3=<0`9gAam*TdGiyLhvg{Ot3 zwPQEKmV`$?d)2X1H(lO`G>6=u(HZbh(GS@ukZ%-cYeIda&8Oh5Ioghu69nkt1JlPP zw#wXQ@vDfh^BLg-Yk|WWw+0inJySI`0fK(>-aZ7pe^>YYi}bG_dLgk%Pu|wbsBd4z_9Oa&RUecj1w%a@6lNeZkD8)vvrGI=qtnf53KiJ86H6VsQ<|M`uzb2 z$l?9JL%`S6AIa$&aR+^K-sG2esEbUs1jaIusCDH3RWkRWPn0iKHuvB_lwV#x=Nm?p zKUy*8n@+TduRTxMGgvkE&;c;anmK{~YonB_&_f}Fef)WElj-t>1H(?4(Fn)m&oW>l z&=mx~0DO3=PMqM!?_YIH^?Sdc=$ICQ$Nk+l!Fgd0j=r^hIR8-aJ^X=ubq#d;DZXx` zleGK34sN1DwEI%8!H{wfGMI)JUy!K9j*n-;lQi|JRiN@>j(*aBKGprJfWXYz|AU(E zid?XAZt*|meA7a>`D);1TMrc(x_vDVHx(JVrSGzQv+@5B^mTFqn!d}CEGA7?^E2u? zv$fvuzW+h+blpicQOSht#8!HR=u~;1EP|41gnOi;GAj8mJ({Q7#Ysr2)vHD4JrH;@P zsvyCl)m&l~HchWISdDTCWBzFdw;r{=dd*A9In8DDUkKknQs4R%5xMgh75;(-@m)Ks zenn^}3S;7fZQ#I|xTIC2_S6cmH9^Vwd|4kmy;Gc$6Y(PV|24@c2uPE{GZ_XV--7tg zJxh76;!W|>^z`;@n5M=rhm~sBG$1W6TA7iM{#FHBP(4&B`Q&#FV1(t@^;H=SBS;&Z z9v_&uD*S`>p#*hH#mrk2CoJ9kfY9VB{BxkjXIb3xa{o54Y@Elkz6GemJ+)V;18;nH zpUOWBAA2jAtz1b5$ET7EwGCMd^Xr`ySe&s7kL%}&myP62jcX5vPLXSlq|F2`vWo>P z@OnMwKLnrrUxknOkHS}y4KiEvGS8-N>NX0sHdhE(Svk+b-9qRQH=wo@_ihy+$VK1M z<%U`Qf%xJ!3%rH=s^QlpRo1aoq3WJj*}t`EPkO8-uFdN%FB=o?o9M}!$!w0Ww1mZq ztH|lD=ZR~$oW5Kt|22pY1{(A-Cd61$-g(?`dajzz$jJ=PQB{p-V zm{N+enkCi)ChMHDybmGEnx-&H6tq%oO~cyc@?qM+98t!GaxHX^gwtYi%j<6=-fzG9X;+c6N3$B_ZrM$rUQJITOBh*Ucyxo^Qs6nr-k7u2Q z$V9vN+MKeQ+z}ekqT3J)lUq|FWDFs=GE(uBS8z9zR|_XxVk7uDnXH&Qi!tx%2!!-? z5em4L;Er^BO3j21%{!O=s3$HP@)zM7{VVnz{}ubJL4sb!ku9>YeDq(0Z}P9$ck);4 za{>Jg(y0nI0f~#MM-(WA`~~>IYir|YF{6W)wtgVFA3NhB6t%EwNi!pdQxqJ^;CdP& z@F)tYKpu$Ul~pkfbB>YjajDGO6-d`#OsLDR+8*}5QTcMN@kJfA{$g{la0y6W?>VC8 zJPiDExe$beTN=Ke53F(}2m=vF`6Z#G5KG>e@YuR2mQaY54ZoZ51ZfW^3Ij+ zl0yr&+c62r8M^&34y!Sd`WC5_3xdSLIpIDK6nMr9TFpWEnRBEX2qsoZWsJ-_Q%d*^ znyB5*lV8r!^)JOI{YU8Ig9f>NvGxDpf?$7Ex!aCXAffm0TL}DczMv6-yt>g{zGT`e zgmidei}kRdf9^ODgM;?d%I@+#BC3$dB4ZEW=Ut=*onU)`AU$hHVheOvp@MY1u-v#5 zzX^WmdUja7JM?-EN05VqTrzz@kM~n;E+aecLgQIdQ8DDlbaF&?1(HCsxQSpV2xP*s z;QXh#VpM1*XmZAM2#~thJi!D4`hsawrb$ZS4A6g(l*So<9xAVE(dA{xQ3CxhROLvp zN1&*y>T9q~vGmr*M^!uXwzj3BBv1R0_AO(cv#kO^CO85>A{rhWmEH2Rs<^kykf|1X zMuU@$(`_kV?qbR$_Yp$#l=uhE8x2l*x7TR#9u>w5Ra!<=M^?$e0cHu}p5I_`%P56| zy|>VOB*-7^1(Nde@s$oOV5PvWZem2|$w36)KFB6qhOg9U2|EvVMHm0?%c1s4_2z#6 z|DgClOy6=v@3|-7n*(5lXoCGJI006P`>N&dk{}Vb+;>P!7NqorQR20xTeIb5vgOKb zPKsC(!buzOGq>$)SY#r}GC3jGyUX3<{ZRj-?P2Vy%SPH!7ez#&DjJK!QS=r-A#yXi z6G+?vt<3VXvn8@q7_eI%uV4s2B`^}(AD0E8D+>h9Bkh|199F45O$0-2-hGen&M-H+=2@}HDOqTtpGu0eHGrX2T^r1e;MJzz z=QmSy*$|zbe{I)-&0`%cV`GmlhWNh_zJFxCwpjWV3(K}wO_X9sEpE zU5+NI3dNmX<9=7hsO9|I)vM6{Aokt;t*V|wGD1i+`3{nyA=}T@!bYqwIM^iDtKju$ zuumP}e6O57&-GYgrcS>!MTVsO*yN{+CAxpA%F(4Le~XtCk{dRBnhNNWPyBkeyd9GK z??zxQ%cK9j4|u{AC;lv&kXw6~Uh+3DO|!fWj(+NjyBG2%bann?l1!&{$V42JicAA@ z6%f;(XHZ$%fCucvL1(}~*Gt(E@4k)nih}^;1x_5|qpXmJS^*)2n3bmQ6InLJM->uk z8?1s8jvQaufaVUQ-~}a6=kB(v0j8qEwx`|J=F?rj@QXn9!WVLz)!%g+kjAM~Aa?`> z8PBq4VaceXO9tH-{;#K7bOVl!L48QZAz0rar0yZ!|6k$z|FpsfrR`b`z7;xUP!!d_=` z(&Kx5eHWqse=_;*9C!s#tUm8v5-K-n;ev2qmNV=($H1UDc@Bl&$)nonZSrBgFzB>2lK~`-8;cc8ZH=%W>2>MLkZL}MaZyoG zW-U1g2}Y;HfOY_XTPy0pfR}1es?{t zeY4)FSd21;>+In24p}7vG?JRKxI8v*mTJi2TKTv>d2;!5Xl+Z~(}oN#9{w15{wC5A zF{Yi$=;MtWxC{!{Z}%bJ25~S6?e9VxWCuxBy`hikcJY5bUw%b9#c|ptg60#&eVrNFSgJK$77mvo` zf=pM^fNHS z`zVUa&K>jaF3^{mp)Nrv!K!K0R=auIbfVxAG8^3F7p5<4vq0WGOtQtEhW&CWy}E?%|(r7jWd)_q+N4UfhP{_{=uHE(Com4!rl&8rPdcTYt?Cf|R=JD_4$; zE`q-6Q47goQ;!C=^V^5ZC+DADb5z{^^lk{ZZW}xsT{F@vws~;S)_F3&$bL+(?ms+H zkmP%TY`jiv6)VDC?e(OFE^Jh(p5hHs4uYcrUVSf;!M>5irpn!%g4M| z0UhG|SvCr6M{pn;uQbTUs|?yyW?P~yvZo^}!ivzP*U^Ox|Ced^-(gT!8ma@`HF4|>zrB4z0vE5Z|PSyzqn$$mN0mC?Vk=%`-|K7{drKSZ3Y zBHxMt4!ER4{AqUOwQ-ium5{u5>yUm8F^%@}nz+K6M*D(I$!09+eZUaSm|0G9JaBMW zPe!TrJk`B$oxQdC1%&mL+WdhEGv5GuaRz#Ort3#>^tZ4UNCSK~%aAt1c?4d+GW5sB; zIR(ztMDXEUSDeIyx33;`7m#qE{==-g@0q&qy1Fk%ULkSU+B6@R3Kp<=r|Oe3))5}9 zlQTg4@PmP-m%-&AaR=h>iqVlrr$WTv&fY#AkI#|qL<=IGi`2r-#&J6}%v;~RM=iSO z&KtiiI+K3#046;FlNRQhBQMW>ls_C+dcB`(WE8S>ac&bo$u31czmDw`Ad2r(y2V#L zl{1-^S$&Y51VqplLxdfl^2&}l zGEDHTGv9PVTq9aH;b?IW#5R%>DYz{)D+eeUEf)DEn=;xXOaFZh^0w%#oG#5)MGr1^ z`fPh?l?~|GMm2hKL`1SUS<33CFOk!cwy|SNJDz2;$K=NCc7nN4PU?PkF0&77;o!T9 zhKiM{R!F;ihKh@F63j}WE@{l{gKD`B#?0(C<-qnLuO_>O-8EM!;P3qQP@kINxa(9R zHafQ}ge2j^aJiRV43KH<`s&BiAP1>buEPuy`^79!wFG4;$DXDv-24#BM*X|{;Q&wA?#8o}c@Z(SBXN#YkZ)~YlARqy^M z!uKz&FP4Zslze-u9YpnkCT0Ggz#pmDuehbKZO(BJKDbttaj?SOACFM9Ht{}v+s`N+Cv3% zN1FAV-JS-gsVV#H(Zg=5X>$*z z-wvqbhnx4((hgl%JzMCPoD||PjWj!%*-8hT4C5HbNO+Q-yhq)T(&vuMnYKAt<)Y%2 zFb>nhq>JoUnAi(*;v6X0*$Q)VEi7^|P5&kGX=(j2?z$O_B^3XtcKNbcWu zdfMdllIbdGvbLIrJ0%@Uy8EFO6p7Ueavk{WNWE5lz7^y|l~QjRie;p^*KxFZ_=@Uz z2MNbS>)FwN`*cAfpS5Z1H;zzBdiU4+$+Ar6(P6o!r1r5TqrdD{Y$V&I- z%KGU^EyE=(u@<-ot%6RLCd7Ao6%vY#9?JS*Cbo&?oEu_g+&K~xB>$IQ-Rs3N1hn$L z0+G_>n53st1cLIuk})UOX$d3DAvZT=K(exa+>!Avuwho*^2>Ty&sM<@uzpV5(mf5V zA_L?YFBqhTE{a}I=G*X5A$KZHzFrgv9bo$>^-AFVk_L3aD9XH_3`t^*yaq ze>F_y^f?lDFGK$^>w;juv{$@|fwpBPs{%C>6WVe_31JKtrx^CbJk~)pR{d=fQZZDo=F@E39lFy zQ$ea<$CVWtJxkx>%KI9q@3ncg_*dz)cQUOpV;L*w-0BmSzOe^LXwhj52gs>e#~ZiLt)cju|))46ew)q8QT;>N{;8H#FUUbmE0uT$tVx|sS@K@iyg=;Aq2 zIx5BQn#TL{DS|j~UFtQRKgSvte;Xm?9bRTo%g5%_dTm#8*MV+wVj(u%h|p&sn^U=h?|geg1u0i&w;?pzS>t8d)7W%0o`c=ZC9M@)aw zYt7varam3w;#m&WD%FsfOniXa9U23)>xXN9gI(Z#9ire}uP$N1%ZH@SKCBX4z zQi0WbPQYT2oFuf{Yx1NH&t@=8sJ0P?58#dz?E-_6$L@qawg2+Fm1f=PxIvUK^LXl=Zv1vQ1_bmA9!Y zh1R(~tXjpdji$G(zMA3{UsVcTPi@P7;WOlM`psFeYiS+nT7j9eGZ8}vqZfa4Qd$JK z_<0RY%mNQb`kCll^c+^p=s*V3J=l98hndR5L5baG@@cQI6z*L8AL-$GFf)gPjdyF& zCA_zUz$ZBT(E@~Pl%lFBy^aR7gHb&_2duER8pbQ3_!!!y2v>$ZM#*5u7C*Vy^l(0o zDB#5e{LacRd%d3)0^&j0Bc{bMY+ZRIGvE8l=RrBR)wn+(SxFaBs+~j5Dz3o+gg?4w zfnR^Hm)qN##rPfk49O?NNsQVf;m&+^6c0QG8GFcnLO%=(f_pQ>_-0BHZIOQc`0&OK z#*-}C<+31CIYT}y?7G?h?VuIV<9RQibfNtzRmlo^ws5i5Gtk6*ZuZ0jYq0K+DdGK? z*}L(QX!ZB_p5W8r@TcntA$Ajs#3QPK@7RN6))zck&x?%Y9zOJ9Q?DV8J{tOFN4K|R zU^>xXe*b3!k;^5T8{&nljNQr$>#qL{ULG?272rV58vxif%|gc<8N^042!H!|=EH^v zn{?%lg%rO#{1XQna^LmK91fJ?Xk&%f`7WEJ_S5cE#mA?4vrbFI1=Q)MT%FvTlcYN} zZ?8L^dW@4r?OUgWm?e|!MeRGaquXRHDv?zF)0rPDn)-mH_3)ym_kPirT2FKj+|JL@ zi9oBA>DW%GS|6vZ3-X9QZn*Y~s!96`DgRX^$PKI(&8}#)Q!h=L9W*py{gdcXsF#*r z?$P@0_azmWivGw7r2!eRh`s~Pa!t3F)_wVk*Mvs@##~)xCP83Et+a&EJ?(^*on6Q+4kb0&j$X7rTQ}ZwLUupa~nP z+#)-MUVR5u+%*Dri{orAgT%$uGfn%~eTI_$o-92ge!gy>T`mH8 z*1Yh?UeS6u%R-RX%Y(mnAdz_Dk39s@&7$>Kb|Qg7Y!j7hP=%kaK`FPci4oMGAl+Xs z-w#1Sy4h5H9cu85i;+hAi9w(MUi(g*J!`HZP;urOs>3nVMEtT4@cD$VW9llePzRKi zt4^3ZVV*=ghw&Xu1(d_9!+=o<3erU+P3#8s)=5{(gHFmfsZS3|=LH4nx@-Im(j{)j z5I&rsk}PtT2P>)N5O*>bEuNtG9(nC0-fEWk=pCZ$k^Q0EIUP*>?B+vVY3Yxz1$CvA z$rcCW(^1Mev7B>eJOM4bU0F2DbVcFcJ}XN&;Q)JJ13($Ny7j^bdW|fhgewSV3$S*g ztJ&)q_z2Y;bsB_y^v)s9*OUk~9CfSieSS_M&Q8SA#T=j$+exoyYiWhX0TIrC6pl_P zEiIq4wEl_Hy*6cZu`hqwmvc^0GgF(7U2mu4ECWT3**s~wpV4&cY`Z&Jg`6DsVuQp8 z%BMJ-wo#QE(soyX4TzdPMD+699CYz&JK43ul>rG&)$zC$aR z%g+m?Q-e&yXY@&hNWKj7&sT~DX$0%C#(7ug(BUDSIB!oRl{OhP(HB0)QGGAh_m8iw zZvd|5uOfWb@V_GJwLL-e7#NKw31Dx2pSw)&-mZKe%Gk_!TiL_CXzUE;V;!XG^qIuY zGd;Oq)6Q-(EI}@HZdVQO3B}F2!4a>YVJlXaC7s8nmo8avkBgGU$a3_Zi9DsT$h)|h zyzq}r<>{GQ@IT!bdjUUFclGw*IPzE#m70^B_M%iZ7fBCl?A;x`n5>Of|l2o)%8c`uHHe)ha2}Ab@tNyl$4|5p?F2-BrWGJnz0jTI} zEP4-8TRf7HO;14MduZX57!UDtvSm3?@^MaJAvH)I@>ef%&E@wJxRQt3FS(|Fa_ z@raj6h|P73SOG3(H9RpFjfW#}OdeDEaA2()W2^p}BUoT6O91~l&!mI31NameiM`ND zDkaK6qnuR_mgz_pLysi}!zPR`v6;hc<$*i339tRF&GaT`IGoo9<_p-9^i(hR*7?e? zY-3c*JA(moGFh`loO8Jv_3U9kf-qEvVBXlOx7Ue!ML7Lvwv1)47KFN#O==G9>d=Pr zYfI!B3!J&GcRx{n<5lr{Oa7U{`^Cz(4t>yS6e-JjrCQrwaJe+b>dN;LEzD+vOL<7^ zyXSXu%=XBkj#MhbJ{(v zYES%a*@@3M4oPS*HHq44vF+XBwI!cT$|l-ldRZZ$SI_6!`7sSeR=f_@Wc1+7*B=JC zAfyvZNBZek{N3WJO!l$LHAWUBdqxTK_3rV*BGO37`wPd4edX1OGdlodN46LrApz7J z<7?bF#!?@BuKqUhGv8L2Du_{^JPlc5JIyt*=X-(*wtp1q@lBqxrT4|*6CY^u1kj@e z)~9; zUE=BB8&%)wu%D~wDWN};k8&SnF$e|8je#=#pOXe^^{&{p! zk*TjnZ21eRr1Y~Ofgpr5B`t&^rOEv?^#(CwOQEE;^aSI?_2KGm7NJu5=ofuq21=<~ zq7N=sqh&>DD#97hZZwnS{HK|>Ha<^3n3xr=@DMFD1_`V*W+gT&+Nr4D%M71)&-Wbv@<(d#93Kw3Smkc z4w7P&-L(WQOKZUubM3&Ivh4uqZ;BKBO-w@SPJ`#D_I1!7*Ag=z6A8JN%C*$QOr1|^ z;)(3b(Pcsav-`h2d|o<^yR%^g5F1{z-6SvhKqoko1Huo4obfpqT2-@<;M$(wsp zQ_q(qCL-h|CaMJ(;yV{yeRUf7?;5w>S!PmqRg*D2GU_f&Nqh3WyzQS3y(=XWB<c zs}lmJyn_oE>e}1OFAg9&CQpXtbZ|0*_M2`rN-5FE7{lIIBQM#RV}YjfadV0!>{V{> zCnSubqn*Y>1}7EN%`1X^SI*xm%I7QC7X7pYsx0{3;U0MmqF1xbT~@QEI~w@rqZ;^j z*ZdTFea3-`@Gmgq5}Ta+q;a{%G(2*QfXsKcIQXUNsiq)fI;N!e=P_OVOg{SRgP&(A zs18amn}&Pa3=f-nIEAQJcL2}WIPl{7JhQktrOM#XWG(broRhve;1DCDM4+1YX-s`! z66r%G{)YpB4`U%8k|@AdYu*hOiqj%qpRHgF$<`nZ34g7FEkh4MNY2;jzTmJ3cM|qHhI=v*M zLJtpDBRhzAq?6lbyJN zGk=4cJAx~EM4RHLIr7e8{)xY92wEa57#6=VA4}Abc!@3K(GI8F5GPw{*@tFWXaF?* z-B%8%hk4hnt`iNjFs+?Z470H7jp7Z3RM2Lbdx2f09}{bV10|$o2P>x~dG9JEqJ<;b zC7~sBCBUK){N?v3^PeqditVyW{7MM@LP`&v%J8$E+s;jGqZ46F>G7FnwL+hMzaC$? z)YI=ikM4sk);5w)%*M6Ly(5kyAslv>m3p)9&`;EXAScIfsZ7LIo4U>5gd6xj?ZL1c z0Mya6X$%^1*YzxBbmr)&6<=IMzE?FK{ONz%v>gp@!8!NorEai9{O{3CeVk2oS;^4j zciD>cPJqN&u>IMXpC*S7-eNcSkeEBTMD&UyZ-vB%f9mFln;RCEU)r9^#IUb@tPWco z#E6)8E|b@HbI0CNz|!%LDa)Ut;u6+mh<;8uKPg9sHM}E@R%jf2U!(N zUX|+g06xBkX~*PNc)S(J6eRko)SphttKnjuG|D*fac`<+>|~^`?W$(7(gRV@i!UP%7Ty;^r#~~SC#7BBn>CfxsUCM zCspPh!Wrem6o3x!D+V2)YYsYKCg)Fwk=~T6~XQg;#tp?kS)*RS?em`R-Io=pTx5+DgAm>z~Oa1&Yh|B zaRR3zPa*YhvSBVfepi5gdKGc7eou1;z3rb05J0rv)8I!mvCy6s_&!~B&Z93A@rzS# zA%U2{h-iZoeg-@{q7H$B@sS@-zVK}A7|yT7i5&+=!px;DZoeGiEUNbMw<_poL{!M2 z{7OBgVQ7^=t3e%=h_k7R3WL+hl(nWB%RUp1uasHiH^ZFaVyazd(?&>vMo6Qawe0mT zST}7ciP}4uIGDvZXJnKpP-?|wL*m(>wX*{8gZH!?{mLExoKmtPYZR#Y@|@R0XXeo0 zp)mibHd#7BRM_Al@NOhEJahs$NC87X-JeuQpJSA!Z}HHpJ{ejB7zQ0qVy2P-!2aCk zMz;Q-TmCz2cG}+kdp0Xe`+bGa1Pdsp*es~>$Y#I&A+vV;JAxM|#oB@^&*^RR4SK-1 zp6jYD+%IQkcVU{4Z@ONjskJN&)elMu_>9h!@g{R&Ox=>mJU#8FwAea$Dw=EoBnE$+S2XHO?UrSqeCYGr{Z^Fisa%3x38 z!iORC-rZHHnG71@vt#-H(d>7S6AO_lOb;tMGN7bO{?}^4b5uU$fIPT?+lp!iOJZT< zzS+O6W^+=<7Y1F`$!4IA%<{XblgYsCn}IrqW(}E%i)ppQeI3cCXY$vmv_=SL@;QHK ziQCWQ&&5ago*)0F*vF~Nqv@k8#$6N;RpIfLJO*Yl6bD?2?+j3eVpfW4#`IHuM5`n^ zA6)%av6D`$*%oViSrVwA7OH2sT^Ztd`z(-wwfmDvoA@|7t<=IJ-|VG2k4oFjJRy-X ze=&`xeWuABrMJJ8^TnzCD6SGiq>?#G+YGCH=0}QfKKZ)DM^jCQe0p)|8;XL?d}3iL zKP*BE`UA!dqv2r@ns!$u!|Gr|?ENnf4?~KTOu^dyEj955ZDCGEOrH5)q#+?9Fk0M)h`_v>m?eC_^k!d_!C#V0}Hx8!Q?m*NVkx3@70Un_5hiJ9F| zPOf8vUGs053YoPNp3rAI^L~qT=(NqCA2%Z&QvgW@LLW6U?(bg31|ziEzQj~KO{_oN zRW3F|XuqM=BtwjX+ccxUrM$U6lT=zA_z-wKR|qUC(+c8oJ#t3ng`e41YtWo0!aV{7 zX^F(#>4%_=xJ3E1=U(3IenlHu3~yH_thLhJlaH}T1V@HDALguQo3czHh-%h>h3dEQ zc`WRUZXO&?y?s8LtbS#y=V?5f)Ox;__6~EVRQDFw6IG>VYyTC^a?39+M4Oj(!~aQ% zHc$5UPh+2L-ZAnzE;DZYSiDTd!%VneXk7aepM7#`@D6&q+9%O=^6(6favZxN;6BfN zA6F@!6|b>K^eQUT%%3P~o}rO9$QzjLlXV|=Stun4Pd9&Fo1-r%6)3nY8PX?3&ty@l zGQT61S`e?xX8Q8VpOwg|>IY|M0~LS*N!e8EpN?W#u3G~>eGpyt?wY{PHy<#pX8QzN zvi@z7f!59?&B~Ut*(H{PbeU~)d7I+;-R`VCe2gY z6_dV18kK4twR5aWaqb&4cO^sOaq(O6&Q~Z?s#)H$M&^iDVvOqvIqL2{RV48#Q`6OlEAutM9iBVhz0k+-l(` z_f8M#UkLE^Umi^?ndr6nnfp6=f*%-B>xm?t5qX%ET)4Xoxzr!sm#k!~!hP?|s z(H0cFpw$Yx)!Y9v(vLn0S|W7Ezx%2ElPd@9ezaepB`NVJPLq`4N$N9QpECs^PiEjybM`>|s}Cp~@cR*+=tO9jRBqSTHf6 z-mvJ-Ys6Yl~FaEh0G3D=oMoj*PrXhcCOb-GcFIF^P5#TTGbCU;ba6991?=z;TJ1- zs7k+#Dq-Nh@&ccxiOMi=JU=`+v4M?kVw>c@&yPm8o~PGRo@4>F+HdkVf=Sz8#tkYJL)8)Imh z8K$BVj9lboQ!E+!ODR=l{}lOZS>_)^fIy;JsX@{NP>Y3HTu!7_@(J9z^ z-D#zTd-Kr|1*{w)D*>u%plVDDP&#M%MaTkNM@{G_yo(!#I!Dn0=?HspcJ=fj$Kefi z?Tnh6(SW&kNzbT^743du1K>)5((JK#zUpO8T;1C|J0a6tIWZGa-du^H&R)5*9u-tu zlsc_mKz@UYSL~UroD?$wbNF&^nS;iKN}x1JN0~NLr!tA?p6 zEeAkMpsx_$8hE6J>^ zgru6zqbQ)~&>0?)a<}cEAfK9O(N6o7WM^^@=TOL_=@V@Fo zzlzyfiT(`c+j5GQaMYcJcea>9Gnyln^${7OJ@jGH+Bo5B{wwbCcm&nUXyyeh{OdLL zHu$@zi0Y^q+`B&4qOww{mH-lR()_L>gbBWO3D3GhZW(EfUfao)Gl z`>$`68XK*h8&RZeT*eU^zYcLbH)U`KSC6lrd&MSdm}vd4ci-|Rc7d6gA8C2+2LXUM zMJ(EBE`NgNN1Yl0F>kC)ZXMtWR!MvBRiKq*kM`Bw^KWFG`nnwaaBi<6su?c5SoC#b z60{mtN|K9*>O9d3n01$mvUa0@JDJk9&<;+DY9^05*{g7jCA<52M0)tgN2Q~v3IVE_U(o@b%tCmN{!P74jDl{xCn>6`##n8))rB_ z><#CobA+m~GIqyR>B-vrRa{mg%KII|_dem&zVV`;KTpwnINt-$=h1GJfViJSA-fo< zl}HR#a|wjzF9wgVw9ZY}Blz^Nqv?&>BaJJtnOdWp68i;v1%}zBIKDEqVwyHuP``7M7E;}hbtrb6nX=h`aoBiIfAYNkJ-$M|z%zAYq*|VPR)>w{ z@PzG9qDAC0y%R(aJlp`h1UWa}ue>>u0RieL-e)f9!GjM)odaq;Id52nvSx}F?j07d zzg0{5M^HUuW_$HmuJw4-9e|_QCwl?Tp?ZgD7wW>3O6`@~X~p2B&=tX2K>9(viR?SX zSE*$Dr&I<3M_!YkZv|sWf3^b%+X=OR)(#GC1t1cGzBOwmsGS;Y@?Y zfflun9;FamDeLRFK5R;-CFA`VA|<~}q-B9={Jyu!v1VKb^X_X%7;D(|lIi>=(|S|* z8*;elvv?`Y5NjTF3$Bl}aLUxK^)NbI?W{2ahn4rrDKL5LAanRMCz+~H*_Gr=Zz0^l zHMv^jM7(E#;8*-0wxdtJ)$^)o1E?>lUi^TFIE*>A9|L*7FM%^Ti>JR&7-j}9IaO>4 zBcc_?51bdQ35*#f^_2?{#`VYKM~u%6rFR9zdzx5IZbDd;w4H2=i4wckx7K2A?v(R) zQyKDO;3Rt;F;b*l*wcK5*Qo<}q)@{s4a#&^ne99lgVyFbJ!5bYqDK-we%_^` zYm{e9)+kOME1#3RK{pN?v}}B;VFc_9JlW$!AoN3S4tyC4klT`T*9t0eLt7(taLF8d zCP$)VY?r~eFc=9oIYzxYn*gO{$sjhJ9&S~(5M7p7(H#s`;Bx4t^5t)77#&%gS=r;V zb9n+wWNKc%iwRKOR?qd%a8e}Y&+ZpWa!mWqxOPjGJ?0g^(>N$O^!A%Rt{ywbSDShX zY%dVPUBocCir$W?BBne_r?@HfFm7g(47#{od{w)^Zm0IZ(t$XB#757_(WEcnqrdbx=_*_)jLJLCqw zRM<#Py&jL;C5D$Y_T_QguAzgc&ILIO@pd(Z>uXwwJ3-{s3G1v^+=Ml7>7$6J)D-h~ zNA@!wZGAcPY+pi3II z1SUT`A0g77_P%76d*&>oKv5U$OQm-&m3C|19*DQfwa029Cx2T6gctiYy)JP@-EU5w zJgCgNn@1^;O5Fo=;6~^WGS6PAwEGVw6?W%dVJ&Q&u&FQ3Nh=kYhqsAn`av;gJ6 z!EDQS&2&Q?6Z>!(721WXG<6r}@WIYAnv^(T$-)h{gXPhRv6GTT%Z@TnPnd(VBiwSq ze9fe`e02-(hO;~2q3rqjKJ^>%RWVR80bL5mL@!y%Ab5pL>2|tQy|hQJ@``4Zys^IJ z#k*;ZdosEX7!#|!BWm>HmzT+-yV2@(U6Rj(P{yTOYNlL`!guvbV1xjdMhQ=;#ce}# zLyK@sa|3%Fr3CB|@mw_VTqf~c=bxgOMLf4jJok$@-o^Lj^AZA(zp}aExPF*rQF)O< zx1t>34!f%ZV#(#h^PTJl&grzk2RwRY(KO=I5->_l};$OFfkubNZ(XFTO7|pj``;*MFQ^;WV{ke`x>S zGB~R~0;nf>faAJ}m){eaNCp4JfE`fsne{?YcZBx*dv(I)BHWR8HD|g1qQqMb1T#un z#pZp#+auzs;D~wm4JWJS0rbiIHa?4 zwYGTP1r;#+k`b>SR2w}4FR@@{b2Mw_=llSnAq=JhanjGFFYgKx;#GkN5)TV5?+xpz z@A2u$wrmvIz{IOd<|tjhK^%ZquJ1+WH|q?>esB)qZZXp;AkeMq;0{A>fz= zC$kTUDbFg-ihs>vEBOTE+zIC@o~{&LYoUmL+4=H>g6*xK#aHqbh(wpHZBO7Md~j}L zoEgch{H+8EK}@TnASldHhx%?#xN4_MysHj!CTV1A4yusi$9SMwTI$_46LMh!-F%N( zc`Ed7-S@)fA72JMR+9N;~s( zK)XB-pkO2cSO7Sfk-{4%ekniTh{O;628e!si`J{{Q`2{1ZiKo{tL`{`c@dE~(Gwvt z_k0qbG_cC=mJMFwKpNo#v3P;`~R^^jknw!GX6~ ziTU-ibdqwg8;qJ2zL!35M%wy%SYKiTgDMhMLRsy?Vbyi1l$Z zUoC$eB0Bi&b3Yuld?IZ+_n6dEe7+a=yZ+20MAR%pg2s4HgPHcdzYw0cHH`_svWNV; zoRI{n+U+M1Zdk)f+WbHy)~^FW6-TgSNG{$mfQ=da;kmgCT29?CGOXXjB5l)nm)5SXNZU6NJTTPo$_vg=86$01E*1#Yvp+ZNNzDi@dfoa=giq`5GkE3(RCc#T8z&X(dNYgsh+bAENq)H5z*%x+bsmiMgLgNEv4 z?3A}Vp4~0;!)dG!x1h8vZkg-j_{ucx@`{6zL)3F4zF(|1sCG$$< z%W>s3p6FKiSTlZ;=J+bc7nWb~3WMve74qBmi`|XROAB?*m>Z*cen=qpGCVhzf76^< z;GCn}vNe-0Wae?;0^FS(3at~o^SD^nXuf_eM4g@SB2OB*&8Jo&o;;L28GRI+9gsnO zEe9EzMGQ(y*;PwIjuM8!R_`Ac5qeizotSRX5C@fAdS1~uPa>Y_ZDpg<7fXR~84-cl ziSMn4kD$kxPFj)^sc`k0tNEA4x8ILVw;`n3c9cjmV>=6Y8BP7={G8R{cimq*n-YE& zieEl$I*!z>6x6CLI4=pmmy*g(H|kE z5u&qnhskKO)3H(ca=$#2+ZyzqfA?sKx3#0Y;U@0ouf^Mc<}dhOc)Itx3h+5GsTyxz z^dNk11v~n=>huhR#`|`wvv$LHI#`NlgnmzF)gZ0yP39c& zj>KqQMy9g$$;WZ>e6!;#+G(hsm9=6_e&iGr%A<-84P@ikxbLOG@ep2ZK3dt-se0{X z;+29;30QLK(10-Ra!=~2S?xWH&*8^M##TThol1Ih4LMB9_XJ@XqxCe)gX%Nd)~v^i zw#h+fh8p$Cqu0@AcQz7xlOhX-x~lgK53eeg*G>kMc`*km>y$%OX3=?ZEf6hD1WnujziTYx7YXRpooov%DFb3%uXATWo1>GMR_SwzCrvJZfYI2=W?Ya zGj(DEDS#4$6uo*NzA-Vc_A5<46k6qgx@EjlFIj1@oHBfF8HVt2 zin{Bh9Naa7x!rdn3_!4GaNw^$Z-kLn3znW%7e%Gx_M_fph# z5{p-vOsCbTiIp~7l}5F}<*kX)>P9xpl9(SWBJU%1^Y?QTZ5kunEHT~Gn67F}_k`F> zRl{GW%un(}!t3d1b5RqsXN_6s_*)}#_Y)i9hoAFaIX5ypea^YxJDgga#UA)kF*&1a z%SnhDM{H6^(|8D|U#mr!{Pit~cGJo+p|u9@=Z>|@sCO)b*9#_=9uywo6HzxD=AhM#QtIBX*Sr9^P$F8zCC7uewolINzzm)7 zO&;7%LlhJJ$=%&YPEBO43U|&m0wvEG?~*A1y8C+wQ(6#F~|3^=+#43@<;JHn9=zq4cozxbz_O3WC1w zULZWvOS8YOk#0XV%k-##MW0um+ytIjBX1Y5l{J|mz%5RCxtC==-?;W(rWknb#tuqE z!9;mPaYUijM6hoUUOr#kfF=W_VX#OYx;>^$_=wF1^Qc~HBGDsxWN6G!#rQQWnVOC= zUKYim9QZsij}v;E_5pDp$LY9gHE4Gmf6TyHIaEmteU z=clY27?hNkk4DJ^EAKvqxd(XY+p7pQwt@X^7+SOGxRozwHyQ-@{UmgaN zx(oK83Z$6^9p~e2WN$;r_95BF5He-KMvGAUJz1HAC{}6FUJ7{LU%P^AWM+AxzbAS$ z_clr$HJb(3TAwiv4$sKQr7v{_-z({|&aDvc6VoK3l;uv?NBWtcSf!(#66%RZ+Iqo0y$3$Fi*mk*00}CqSgI)b^h(JVuDu?ahqgN zygHKJ=rPZ%^Eo0`DOI-Bi6}*ZMii} zyjDU@J_l^d1g3ShP9>{L2UK~_t7(`&IYKXE3DS+@WBFX8VriOa(l)u>StSzRFTl>8 zGvrxhygc-qH8L;w$-X7yNoaU$F88@LqxIQU(t&$mJ0gz1=IPH&>rI*0$)e*)F|WLt zAjrZoWu7&mJRyyB6r^d=*UoOX|0A&}0n26dVHLgprh4#XvXTEob=`&Z?C*aK%w(7yd&|so^_p$fe}8+i9p!~EI`_Er z?L9BXdXmiS!8~5Vh>-e+WFClh6iVjzzTtkE;7A1abES>*WRx}@$OUB|8UMv~lo4ur zBO9ai5K5^CIr3`Em3%I-R?HJq+BaXbsE_y>VFS+Gz$q>H$hVp^VAE8ya$`U138M&U z?pQfLUWg31iC}__q1HI!)~vF+3a`iVd%D86u*b4d_NrCk!vwiTk*B#w4H+@(47oF2 z2sq28+DJ^`$0HBnIV&^YRq1kM!;8L z^5X4}{2i1xUqo=XA{|4%HG zKs?w|B(@M+e4rT3tr+%3rOauRfr+sM@8UGXO zq-pF^bcoD0#^+PbZ7(8&mBXU_S}gTLSt2AA`dKBF={E(%*gUGW?M20~a;l79i!FaB zt0KObrfd#XqD2dSieW_i7Bed;TuC70P!J>sVMgDxt=Z!Tv`E58NU-Rzcj5tIb?j zVhoE>b6mz(bg&a2XhEaAk&IpHqKTG-{!yC~L)swpXkQvMT9$z^xUF>;%>K!CmKayT zd;@a|Sd(~b4hnCvI9D!}jU;v2LJl(6ILX}1i6BfByl7uQjsyx_xTQ#*B4Z&(G1OAa zBDw*{0YbgMh<}F%^_ys0{I3SwP-F|QAP+WcO#n9JdRY_gydUIJ;mWE;iBm1?cEcA9 zh{T;j$m$%POuYNT-Yd0H>yVrwiGE{4t!pXu@RRP$y0DwQLTQdCR~VtT(w>JKFVJ-< z4>-ruy~#A)*-J{~QiRQc0+#H`!u#;c7VBLC0MuKI$w5E{iySB=(nYF-DnbT}M)BVa zT2sEzb4IH6|7HLc#2gB|)54dwf?5T^PJAI)5C2hD%*azRFDo2XqODOws8c{JixcIW61B<;!L$T%0`Tm@V|wpP zbI$~!htDgHp7ql4a>l!QsncG%OqqSLaiKWFmlKenI2)3GL=RUjv-yF}$p@@>OKIs` z0}GES=z|k5x+<}*mPq9n-97y2ivW3WN-gX7muO5F{TsgI!=i5DeDu+5z>d;ku)`%%RkVg(?`(3DvrY0PA%6MV&kR8v z)4uuIwQ5{Yt+tZ(!}~~S#FT?(uG#Ly34`k_bY$Kfz?4R2+Kdx<@caIn5CZ9?jLBpt z3JFHVx%QGN2ge+J&>0S`C1G~2UTk6d=kPWfMMPB2r)+UmdHiSL^>H!)CgTnd5Kr>U zunvX9IQq=fG2=XE%*&Nx^AjMF63sybklwVBoN$GTE2b3TAD&}+7k}lRT~k2< znpaYGwHf<_Nyon-zOG1z5NWOGYJ(auOQPsnh7mA3wUkj=869)J&vHc--JOzO#2ieq zf>eyD@;=&?Qi(PQUZ4rBJIPWcwP>cv4Ara{mdpE|&`E&~mJ6G#6rGZtfFVj@#3{8MeA*^CH5S6m{Zx*14?j%bGrNcfd(Eceo ztQdOEPnGQqrwOkQtFA~QV6HHPbfzdNx?a#D*i}3EQK~dBJDNn}Ywye~HPW3GXQNl> z)16rsq1P+k@GVhC3vKi$(ZaDty9TQwh|1=YbUUn&2PbGy+ET|gIH_*TjKz`KhS!*5 z$}Hj0*;^HMmt`p#hfl?bD{+*LJ5wmQfJkeb1kz??8s%~6~QtGCt zY&a=U5^a##za8}W;QOYeJ2X#;C2D*h6UkTw@f}++>|0#uHnCxr9m0~e`Xo6(mMBHW zkNt(%FJ`3W=ZFQ>xCVLEjp$7DNa8rxsiN-1S8=|&lK(wK)%5>08vQ*e{c~)pp~12I zQJ+VR04hsUVSru1rai5b`cW@|0Af^Hvx$CV7mDesmD|1u+-hGehFwu(JgtMqS49Ms z0oQD%JGs`E%rQm`pu-%rF?Nbxx}nCux*-R0XgBmJQ6KhT^IeTk(OF+nH*z*QcMxES zgzk()`gTnure^fN#Yxv-2umLiDRc#$Y&{`~n$k71Oy z`f&|fDjOpz!GYfa{9jczh?IjpWb-mJ#>w74hFNCKD#cN?j=V#`6pR~yAY%%e4E93l zNq;_+@(uk&j*ZuG`hrP6FY;h0jSN1z z$d<`Gr2)$@{pierZrcZg5Xq+K8XMf1Ba2C7l6_hyD>FzgN=bx9cNU}&{MjGCPo}bg zmW3V(7w0No*qw4cl~&8)4Ys&vigA7Tk`fTzEyHE=MH0Op+QnSc*+)X#a@Tv|&j-#G zLCWxYdFjn>KXT8~`7J=y^1wBvX;(y&@V9oaYv$pwR^9}4YQ0i~h9KCz7GG!lP6L8P z4XA&WeL8pKuqiBCpfpu0KP*;wRw<45Atm?U7|^`>>#L8%Gb+LAB5|%gs=?|majxW9 z=ypJr4dfUA2v-?8#6Ua!&R$9jgIND?nTbvsc>ILi0L2(Q9HmQIRH?FhI!QO%#Ivjgi%_<_PLg+J?(z2WW{= z+9Jm_aH?#~CP#J^ zDf7X~f}dYyQzQ@lgT%1Z=FcAi5*y)S-r z3x5k6WsGb1(ZyJ_G~3#igjz6;CZ(=%oNKh|#^1^o2QY$iWw@XL(&d+1n~WmJBZGHR z{;Rk7HWwDTqelIsrHG&^;&~A;N`Bhcvv_8Zn)nIY#iqny*9bswmFV#*+Ud?%e{dXo zQv6z_!lm(~Aa@DH^5#}_Wv4`&yF4Z~iPfGB9KmZ0C1OD%o8tERDaz9m0)Rcv@U5GSA>J^NHm6qAS{ zySow60Ufp&43t?~IO3$5Fh`CU(JQ`Wf6WQ$496qZ8#P;b8-TBhX{(~xpjca&)fv9< zXQ2I3#t+)1e!bHXqd3Fkc?=B7SiAjC(3@3OWORZ_NnYx-wXJ z5+u~5H+4uvD$$esI5mew9y{@|Y*?O->=JUtn-AF;@!?UySSA7rXTc z3Zh54vrjqb6&7@7rV^AyWn^b?I04-B*{7xwm@B10ohev~uAj*fPRzyOHyH@(_cYQy zbge#dH?BlKt0HLA?IAaMr~(HPR8^bOZ2NXr9}RAn!g=)_rS|}W(dv_%w+%vsBYpFR zNvq{PgeQRqdgqGC!h37FT~B?--tM{bA{e?&=fQeHwmXx@)k1^Zu9gz#3+xU03PNH2 zUk6A`-BhcstLsV;acMM$wygnHe@wXeb9QsZ$FqX3W1VB0&i(UeF3qPMG2V^SB8)%1 zKpm--wZZgj*IGO;9&s+}Nf8uLD;LPJX=Iinv`v(Xjt1Gg$KtFU=skCQFjp>c2X=~; zYz%&PeD+8|aa(Y2MM=K|<7%q14p`-RFUsDod-G}Mp*US!J)T-U{oY*XeHwuJdxUyt zs$;?Df@@;!39p$2D?JHMzqHq%U$v^Xcxw^_g<@XUW_dN9X3F?skz- z29uBX%9hLlyXI2lGmXn6PrsfX_cYZ1;wA*AgZl}Bitc@Qyn5jRChS>AD)h!uwy2)J zU-)G>AB=e*Z=48@3;MX~iy{))zHAh%AD|zu@24NShJ4Zc{S5Qqn(qBP(b^`*YL6wT$9QYzBiTg)cE#fVKJbT>D!ybhKkW^5CA(i#t`CB8NaHE*7#D2)As{v z3@L$C=w%wgYNg`zYS~!y;~{b81ArQ1N?$AKD)JWRlFX8`Pd~(^&6-WD7Ck zXYWsqJR8ca6zpYxyLtO@6lsAEeG>!bd4%;iMP_E^LunbYhL%ueowvTtqi{xqeIaU> zM#(Fy1CJSxt5R_Rdc{$|)Nk|`u{TFJ%+5gLgTH|n+RrP%M|!R%ei2i^z60*ATYm^+ z*XIvOw0x$Q5sd{#l>3^&cS_kDmxq@pxZOV-aZm=D^`!*uL6c+zF*vY+TcP?=t%=qE z8k7Ny9#Xe#fjq*zo=U8qy#&!zZ`$tv%{tKwosMxGi4eS6;5-HF59*s`3rBNK84yQ< zE8eyu{9#+`8Y(N8m?3oMRJ^pz-c`-pRSoz*FdgghZoI^6cUtgtzk8f(L0DfB(=Z;( za2+!n7c;v9Gur|y8w0cThnez0T_$D@iDFoyc-62J=3;`leRC0FT}so}<{}!F(iy6y zkufUsrU3Y46l34~lBCSNSg2Y7pB!Q9n=g|}GR!}+0<&P>52G1;$YbnVD(+0cCc=f& zBb~;Q`T%c~)IFf!Te@cZvp5QOG6IjPKg8%WGKMi#b)$77ev1y-~LjY))srp_2Qb160+EP3BC){ z?&>?RyI+ia-GSbL(1F*H|8A$oAYIGBZU6rGY4cJWbp~w)g9}y7J+5;wwYHMYjM19? zWV~1v`?~sfLQ4z5;r4`X`Qi40Zs)i9YjjUq~AP~HB>PO$kpAR-9KKT8s@6PLg{JV&KfWogQX$rwhXEx&hhCG@up56F!M`qQq zyhCszC()`uU}21n!<1EFuD?lbF_sqypC{rVr}hzn1_ z*6`)-Ti1l&9DR=$u78aBeN?Cy^iCWc3UUX`#FUbu-fEEEXhzJIH%RAXbaMNir*7|? zkFnCS_aW2h!DkA+@<{NNJO1_$FwStLo2;OTF|?b1Y9)3Fygws;EP+`rfeB^lu(>(# zTqfN3yajVc?%)f{e7r@VytK5G?BCaxF{)Fl>wL0lQ|^N#J3PWU+OI79%l78Beq_Cy zn<}b$MQF5s@$t{m<<0$V)AKh$eH)Cl42QS-_fL)u5fIyf`$b;Qhe849Qq_ZUdFWAu!s}zzYDJpmO#}0oE99^Gybkez$O2nH-cd#%Z$8hL^w#2s?%Lf!j zEb$Z$i}#Ng*C1kV9mwWJ?dciMZno*Ji+A6}`l^ega-l*gsewfz)7%E^>NjQH6zc_q3dI**+G^Grmd!2wT1oP8!N z;Tr-$u=&^v4f=}~j`=eBa;My+jQskpuiMjr-GKS1zL0rLAB;Ule=i<5=~sXJXnSZQ ze_y5Z$S;^)bY+{%=iNq3969w}-9|C(*!#}8<+&E0{7k7{;{KqoZ*EDT_ajyo$CKtC z9*Cu0y|N~s&Tka~hZxA6vwJQ@9utJ(BqK6Xhfb@>NBYcLh_IFTTY7vzWZb!T%V1hb zvFgot)fA%K>5HNF=}{|H8vc~2w(6eBO3_432zW6}tEFt+iMtJLCw)R#JF)tMp3j>N z&)-xsQDl~1S37MDb4!HcEfe3g5KL5zT|8ba9v4W*DeNeojIWJP>XoWfg)o1Tp~*_?qFAsXLp=@;z-Iuon{TURhfNF zxVpaf*^i#JpT%rq^;t!56WH-W@?tq(|8%1@3HZLwMwIlmnAOD7xDg(;taU%tvf2gY z&CaO+Om0JQdgkClC(eJ5p>LM@p<&?9X_t`@&icvZ?FE}N0?uvTm#V6cp5Avj`1sn^ z+D4if#tn0GYlcRCG8DMlWCLsH((f*m2Fb{}K(W=iQkA6)Y(p}%2VqFiz(ItUz>!WC z=DgA-7Hxo=T-&+y+xZv_Kbcl!raX>@x$ZTIW$d;SlubvK0|}xWYMNRpi--q#Tzvjs z#oO3YCJEfN*_xc10>@0~O>f8qXC6MFKKA_kFTrF3qOXJ>8Y14OT4S(S_wHBYuYbn{ zA+q?nyf!^$T0{PhIrN5|1!s($WfJMNX)@`nZ*+|5u!+hR+YIfg0I=z6hzgJ$k)>e5 zSMxh>oEq2{ogcx0yQUHxptXogP$!_>b-sxmPh4O5&=9aa&d3mHYvAUH2+pyKYUA!- z#oszXKEHW)>*4p%BJ#9C;`0)G33=1fC~U_xmV$T*@r|@De(Kj3tS-7E_q*-S-;cD^ zzWV&`BKpfTG44>GovM#93$w|KETj1nt~8kb>ZP*8+M$(oh{FP{!{+xdb*THMs58&3 z*kUg!OoE0{K>3TzsLJF7`*bWf6Mx$ht?H;TiwmGHZNk1lMc`M*676*5jQ%mE#VIZ% zuk>dvG-0(apr0FUi5%&pyZVErh=m&)jg9iVysN>?8ar~=!_gZdvQUvn zjAVxOve9hTA`SA;`b~R!uU(&gBWN25O`d$SSZ{Cc@#Hph+f^fc&wo>`^=sDm8k*VV z)O77Z#zj-gmmILtB>(*qSli2o@6BD7khsW{+mr`~Yt5zk_V_|h_-C!il|h7_)aidt zb<03+6U*QiQPoz`o%z7~D;6&Cjd`}KjCjD=u|chT+ntMJ2N1Cj(Mja;?WTLwa)kmt z;q4c@>qpnUo90CjfBLSCi%*0P^~UA}l~q?YW9+?O?A8SpcxzKn?Z!ip=t-3*AlYoR z28qlP_zsPe(Jv?&dv$4~p1AFjKzUxcA*}##wRuNCKgFLw9I!u4PUMW`Nie!;Gs!1x z%1)8EEM@N%O6@U+WC5_wSTP|%gjsBK!Nq%fcGKZ}tRuM{-+xL7S2ui8F68F&IM93` zzoO%Feth@KWi|uU{Is=vMg4E4o008#XmE8bVz+<}cHobO0W!mj`@0PWDwz%8 z)E?t#!XWT(S*-63{NSy(FQVCt2m}8jHok}yC~y$Xn|I&WCY|a#(9KxW*k5-P955ik z0cCfbgvSg&DZ^}>A2Q_sQXyd)9WneQ^bV>n5T_--wRdwqiY!Oa*jOay*7b1NtaX91 zzOx0sh}ji;&~?OS?G4tO)GN?t=?;dd|}}wFKxbD4|(C#dL6#p zMO`TGb1q!ae0KHB__Ww%f8$DEqI}y}GFqrA4S@+%hrg~s2eknzQ~1nN^pBk}((@0A zm~aU`9^x`QdccC)Yunk{8LeeBaLuvR-sJ|wbtoE`eAm*zg~L&MH>8>m&raPZ*y_W7 z64-XkM$Y*;W{ajnknpO(i(vb*Cr|M6*ZU}(3c}~A#b=8VG#a5nuFFQ^p~fh{9;nhI zj9Z!Xb1A}opH3tmL`1zbPA_SAp^w$({jlE{pvsJR>v{4zn!p$__S{2P1^Yr=NYf2r zc2wq*kdZb^aOCENeXC$C(#~XGku1GU(_&xZ`U1NopI`-_M=$#J-EP{rb0qk9^zP%A zJR&e@!rFMBr#U6fouYge$kqm>;@6=bwOd9(dWQ0Xpc+qc<~W^g5q?OM)7nIsQ}ARz5nVsQ3Z7WmgGG~PB5*UF0S z<7pSnwY~SDe@^|7IuC+i3O&W7nloJRVCedD@ca`E>mo5FG^pF!CpPgY68-n1qG2up8?!hSyI7wTZ!v6Y z=L^mHi{}4DOFFSzpW5710E*b_067G584Wgi`!EKB0i-_GYE0_ilzo5!1bS?mQjKC3 zB^2DaPm+VAYpeH6e z1Kyqn%?<{B2C2pGx0sreWHRp4TIEd_44Z(2!r?~x9{)T?Za($xfqc^KGIsiSx@nwC zAbOE{4m@R_9GP}nW!g5BW(EM>I@O*4?GZsEdR57Kb~>Xq+_>oTut8UMNs}po95$|u z@tK@rypb|9Rb(@@-ertmu-@Vnam;xS5ZGua-T#Xo!_t`zJO+Yl_HES>5j;wKIx~{I z@cUrEq7ty+7)e<0#%EYCn=~xg7XUkpWczW6tsg+)l^R$ouF9;gZ!wHWU*>I)=R#9@ zNfr>dlJCx9kT=a-%2T(3kd6m)E8Wf`+Al*8bP?0fU(C|r9;pr41nHQ3|70e>E3}Z2S*FftKaU<5KUd%FK;|1{iUr$kKR1h7&uBl1KFe5TlBEh3 zEr}0MV?-oSGqF3gobgz;%;m~cK8-^Bx$v^Qk zO>-Nt?V>rtVZSn_?e?u!PZpV{AI?0CGp!V@6o=l^Op`!wZ>r0UzcUEF+~Sxpyr?8E zH$ISgY<)SCUfUP(=|#lq@KvM42VR+*Pv>N*M*dV2zyn?t+D+%Qsz%CPJ(&+wQ79U@ovKGVE#p`A<5+2mb{kLLx zR4DOcnn5A2W7xt|e4#*%f1z_gN5)b#Eo_IsD72ja5dJ~QQrrth?8?*nAq&CQf3X3m zHb}P-_Q3o7m(csK=R2?Do(S5DN>N4%OG)EF?lpyxBXI7|SNufG3Dvj8Zp5e60OLm9 zC$=_P3FjX0hh(cBg6*P}Qgo2LMa{>Z4*UekMSI&pEqmpakd$+^rAs+>`96`sX2+XG z&F6*z=6(SNnQ*M`j{7HfZstS0Xb-WGv#&++^HS)Oh<3^QO-1F&`_)A#Uux}&b(D2# zRB%N&r)-pM769)Qk!vmJaV0sY^2>}#rWI1^VzN|9nUd>(95%J)3zp+G)7cg!5|e77 z`DNH&v>2fV{XSrc-CPx3)pS)8X$xA4&-{MOa@0yt8Ph!SUijR zEq(Kck?vr6LiJ8w4Ri@iV!}jgs7dd&4g>7HlAIlI=<&ze!_4PR4Of9w*_^ejukxM( zsju+8PTd=JF5P)TIry&h1Wqn$K^|5^brrq@UrabuCL<;` zvXW6^nP_zsoAP6KTx4h~gs;QdVDAxf6S*G>myrIM=x}nY&uS5C=|!n&b?tfWI@9}$T)43i zI=_ibN|MJU*oG3i@MzeEXDv>1Tz$C`N!GVR7Solc-+9aMc92*KRNrR2hScm+u(hW; zCr%dA4?_RGq9HJvOJF!E%3sntCQ!g}c zm=npM2l{>ApOqUnFWxy<)|WF!qJY|Sd=FmF(Y+HVE&Wb{`o-jK1oh-ymvGAeu^h%| z1zz}9%^j@U2bHn$(HZPJi}_>N4Tvn}E_0AO6-z#=~mH6hwI-Q>=Q)Hn*$#?xHt=ui(xd-LAv0jJI+4;q9R1z48;%XK%L|y?pnD z55@vV@2k_V-)hR=|0peZ6rP#%CONPWin^*H?*A|If=H4TTnmb*Jdpca3xnOwK*r~X zGx}%4Z+QA9q~mM=_J*(tq~jf()G(jpk%BIMr9nN**qib+qMY+br54n-pu>yS?XIe8 zcrPMq4=H8CcUUM$Ot{*APb78JyNzj^wIV%QEhqwiK5;UNJtxO!`FsJtVxByw)x==* z!ekVPj1OZ4vN@0FkF(90S2gM>Xi3D(+2;P@<8Z;*Xz?O(nv$xf z10}M(8YXPLLE6=Hzb#d9(KO?dc+~vkVzEoYM4=fMqC$Bo8v-j3)3Ao7+gvedJR3EK z(u637a-7XdUIl1rS{9sx{LYjyfP)e!L;8P!-h`+uj%KDzyvmj`#ED+9R4dqU8PB(g zQ{2gF&57QqR125Stg@-rdYTbUvqqM{NLUL!EPe{a5`?P)&8<>g=8CTeoe_&+T&d%4 z#{K5#eKWNNK&3+|8zCND73#b8_VtAN%d1J_Z|DTKa;6b*{GI%-{E?wkELv!FRAJk8 zGKp0;v1Udm@OU2bV{;z9y(<_T*Xfy6H!$HX)aGR7z6`#`guP-A8_npmC^xMcQ~q3e zvom@bPNM2RaT53+psw}XUNJQ?&Hl5fIH`C?eq+k&jOGjy`sl}{#}|kF&M`IAXD;L- zB%(%k-+2GxA0J(oe<|9rH?(Mf8a*6F;j7(^Pk2S#<<%msW%xz@L1)L}Bp zso4a-B%`$fJzjMOBNyXW3vls(3D{B|R63i+bDj5TyGNIM*blc`SDo~_3Bf)rAGOc? zUs%*F5WAc3Q1ey)L_;9ME9*^u5$soW_UR;uuNHaaqXKGdQrT<5FQ6rUF{zQg1jhAq zjbK3k&;Nh0MJkwTYjks)1bjW2=)qi3(b!KbXw`SLxwC$GL9uH7wXj6-ZtbgE^h6NR-B0R^K=>pf*}@@xqWfi& zR?QV5bSh#$8ngP|iF~{8t*1*|FRNUQz0EWJqvwaX6&ZGk>A2te1hCXhH3Q=2H4O5GpiIXgx6J**{9wE{r}i^_WIPcv(G^jWGVA$4Y1{ElThrsQ z{WCbVYh=RSr9pS3ZRjK}#u3Crqc_iFhdFq9ngDV;4Buqz!;hFHsNm6c;QzYxZOI-~ z=qG#@40^g0*Y$BHs}K^1p3O)%4%^g7y2dem!_SVfmX!2rbO^@P6#ASABTi)`0ex8e zq!bYm+od)&WR3xpWWi!EN<(1UPkV0Wi_SGZ>K}wUyIID1F zqs_kKhuCA`)qLGvxwuN##OvMbEFte$Rkd5zq86>_p|82v*jFdy+t2sQ!-V&)N!^~w z->LWXs9EjOAku)`T-wFtice-?lVDCQrXPb2O_vuC8iSem4?b_icq} z>hu3%o_;!hvA1lNWfr(PGc{r2RzS%zPDb*j1{{C##3=~c-2eK z%e3O;#no=9B2+CI^Lhpg{!yC20#y18(Na%@s&m@ze=4*W8n@^@g9$W}GT9#)gAHpa zLdL66vP}pjWNbZgE(o^1w(Wtj_rW8&$=z2c(!F4Qk~-*2dpLB)Jy>6OjC>bW<#q_8 zgkU7l1y^T4rQ%`W{^o&D7;(_f4O}3xZS>}x(HH!HXjLN3dCTN8uK+$VE-TKkqPZF| zpG-hAie6C_gmdM6l8}k1omq|3yLT^p0L)o!&-Uojw*}uUjIuNOJe98B^7~AfV^;X+ zOcaX(c zDGEE3wD)Q8k1Y@N_2*h*w3a%(>@+~Pmg zpV#`82{Imy)d^M}ja3O+9=BW--Mc1E!dyBoUzfYCUd_rh5M9KljGh5!yauQBwwpVQ z=6~7kwDWj*J_$UuKF7Z&qg!XsVGBqZOCi_#Jjh{3W|GVu%{H7Ol>~vh94@Ft9Ryre zEWu%eWFujNN~2+ezGGp7)#G8M@bPVcQ}mjfg;R)sv@;`)Gdo%ReRDE@e{=Zgas4FV@toe$ zb275wvZGh_aXQ>zI0jlSAM%@|zTAFmnB_?t}2RHAMu#QMch;cXNPUuA-Am?Ik$ z&PK{L=s!n;@!yI@s1-_C4^~#e>8)FOkcrx<8SNVmX|^ake&^`;tT> z*=0khgT!2jL9CSqk8jyWt_ji7zJSc0Dlt@F?AeIC!OKcWA%p*j)Y95qNF^t9u|TH4 z;=@d(B#ccIiMbz)2-*t_&|*dYiAJ)*3{)s7fD#^NxK1jcD=j}*v@KCoC~B5`NpN0} zi^u!!rwSBxlt@U8*Ht8NAtZ1}wEY3ULt#ZeA`WWv+-oR6I_LX*{Tt|gFMLT{+vDe? zaQWAc>Yb5-){=aoXut4nw|>Fc#bCSjV5Z6ow2QR)5gqaz;xV@X+Z&ou^l_19Cgm!E z>2V@OHStZ7J5i564}E|Bc{h*^M#hZB(rwl5}y(TNcABHeW$*hdse*i_K-c&jy6G%0M1 zNFQFwSjq*CnCpZ@ybEHqJ~sUh6}{iTh)%Ms+OD+K>v{b@6Ugv&L#+QxscArDmdJHB z{0(8tf=l5{fR~snZIq=Etv3p@vgYx%?fZ!HucUxA!q$Z_n9Q;HKngQ!QRj1ZKhP5| zk-S^2de94qzl^;6q9= zFg2-Zs^t`n8O!^D+GxNf6Vd2lf3g&K2`-F~u_Gpo5GktCMN0J2MNTe)jywiyhX9Rw z#41}H!)2!KCY>OsX4C`c;Vh?SvIA!cbNkr^TG7ygnestD2DG_z2qy~3Q^i*pKZEoH z{_ksWkg#o4fAWtw1|eTzHp#4kd?Np?$-d*{>U2!p3?hEa>S68lkJ=AD!V}a@C?)7{ zMkdZsfBjv*`R8jUm_<&cucUM3jjz=GkCG9;O8i6o*__jbWzg*WIgnm7&`3U8ab3#J z)Ji7-@krzI$NR+}m6QhboiqM6aEs`sUb9z4e}YO+Ff~_ct6PSIvq%PN3&O~aXsynTy`mRO)4Qozim=%n_F@pw! zGF{q)ut``vr26qh!KA!1RXX5IP7OjQ>ribpf;e&ptl)Rh{ThL@1^V9NPqqWA9i{{o zLlp_O4jf$w%^)#ZA<^K4}+i%G-(dGOxg`k^qF|k=MVSg@02I=ZhW{u4vPy zO@2Z^7sQcWzI-F723hb@AM4g`&hR|^$bm7at+ysnh?ER(GA1h}@y-4OMAxrMw}UG( zMg`~K)L%Q~Tk7RuQ$k`MD>6p&?P`#FeNf|*x|kaUe*`I6>JKd`Y?bv;Og@R4jwV(by( z5}dizVZf0(zaj1Z1PDqKhN~){BmmCRR+lRqgDd)*s{b_Ew7$3o<47wo z)!u=M6P8ie!rTLwA`b)<)r8Pz%}Vv2ta>|RDX@Qrs!`w?StG??fAxE5s_dkQ#QdQf z_v4Tq;>XmZX7&84fRdBk)&_&jPrc;odP^({Q6Xh<|8;X zG+@fEq0PxYc7w4VJgM=~nhYO=ZJ{9QDpksBWJtN6AO8K5St-mUk+0FAH&g2PeMqJb zCQLduOnBzjFxO=WNrOYsT1&gAZ|0i;V$o?-7$*SY9vUX!i5aQy;I36@zC-?XILf^I zj1Vk2f-h>?b%|Ahe4Fl9q@Vdfm>qqN=qFl{F{44^g+oiaSucYJ8s8_~V_3Io2T|P% zURreuHCdJvwnCgied}T*ATDR#LFw@lGK+4S^CZDr#H~k;1gu@|T6e#Kf}ij0`YgF? zjP44?nCSetRG;Hn`O=3=POp~plWZRoly^S(z+> z!sZ1F>qAmz71MV{5)t-A(*@L}X43|avUHCAjceDlMBoIS8qe$Gl^ z9~iYFY{Xdz(EJ;v6a(Sdqbn|cfW58+t69K~N=c`#gBOrojWXmH;N7!^cMi1_s1F`Jxfn<&`j z&Q@Z}h5MCJS|}rDa|QU`%UG8>9m2tMp(VHnw11Qcd+moWVk;-{jJn_|B8WKmdtkWW z#xs>k{`SQ6xo(lzC*yPLL(b~c>pypoHP2p;o`T*P_fG*UEn_$~UL4=FR$Iro&*S?w zW=~Rks=Y%P;;+O^t4cFOLQNinfD9E2=S_@lG&gmOZPj=56)mUkH)NK**x6-mL4#G; zHCIkrCt$51YqBC%qX1lGr)?|~gP5KN%TbzvMKE-|9xWHMa;aXvMvH8fXOf|7QnpW0 zwntJnD31wH<#_}$af8)K+^Y3SsJLJQwa8U_r5Rs*8n|zMcIW$@vvDwu61gjojLl4@>J_|4^{NE zZV(xt&z-UoD!$Zv9(~{5?d3npmPYBjfbK&7$0_@XKDeoZ0*^3S&jFMA4v>6|XmQ7! zi`yDydKwmTBlwHxFx{x*-+=^~FaSf8IxdUWhsiWJp z#+cv7iR)E0Og%zvG4@5z6&PMUiEJxwX}k0&@vNf<;(G9(aBo0zmss`vb34#o2ud#Wvhbi=;Ky@7JmcTfxq!%!?wG)`4Tygydl7#z5!O4@br9HDz2iW!Kpq zxC?6d|AK=>wSNUZ-z=+(T9NvccUy%XQ2b-lbE63jR>R z5(pLgp+d1PR6ycV-rYB3?~zFv3_FzwN#KgR-3!I^b9wq}rJP)qYh0#BT&7Q)epG#~ zn6F+vbj^?awz_Go^EsJurUQTI=E&;i;<4y4|3pG=_I8_L@{r4qxPY8?d5U!;TfrhKlDZvKo;F90k`oPg^P}zhO0B^`zJiTfPo@u>t+&w6(n7x=r`0 z?NUZFa;Jk+6S=h*pz2%>>m!&72HG?eXfzps`ZClM!=_+P?P?;OG*FKLVv8MuEW#%* zx?2=gHhRUyaBz1h#X!`6fC>J^OljQ$^W*D+K9KAf?qo*KdFKBu6@D9& z^0W$K+X#|K%lm8y7G)cRlSq2oyJ7f5l8m#8w@{a5gSXI>RfY$Bb^GtF7p-^!z1CH8 z2=urmJ%;qLCPcWQWouElMhta>v=_Y^)~aukn0ui~4V#KWf~w}KqlS=;s!T|eYy%9T z^PRF+hA)cgOANLi8-lU;<=FUAErF^f!N4e4i<9Ax#?3jSRHzG8AT574fu)A%s%tgwY2n68JDWXiF{6OCpE<>e(itoDl??OKv zBg8e(pM&{DgPY0Pa>%2ygA{0oBr(zG0_Ne$NST4bzMAg3Ox9!u`0#c=NXQYG9HYlR zccQ{8zBcjf2`JoRi{BJgalqwP5|K>N4gxTdYcYvaCMa^?WXFJm(hwB~68?g^;=tc9 zX(AWBGrX(z+-<$fDIL%Y@b&VhoZC_Nt@WhT0RNMT;u5Nh-fHvTmSi+v?6uQ_K%8Xe z+7r!7V$vRa=M)c)_19-r4;vM=k&z}xn?}6mQj>_>g|WIi7=SKMplJHd+zC@3`1p~5 zIFsou%=Y=&8JJiM%YXD+o5zwMQAXtAnZw*_WZ>ktm$jUe4fe85DHzL<&p{XH4HPX~ zKLHbk?*-*5juG;Dk>85hZhFh+vfvvO24k9%Uz*(&iqpW(OWB>n=aJy=^@#k)o#_`F zR3gVYx451(Dve)d?t5$Tn?hvDlrfu226o1@sC|I}OCu%kjn!`zeVcfM(qW|^wVI)7 zDYgAJ@$6|eklMXsn0vd`$ea5M&&Aadp@`0IYPDe6AUJW#!#TPtFHrG(7`q;>a3GNZq`93Kx{T3>1V5F*1<++ zDb#y)qgTJYNow`#O7D@NId9S+^+^5kc>S^2(FL)lb)@tpqkgBS#=6#lrzHfstD2CM z0@t%2Ooe3vQs5%I?sWP7ccrMz-`LHo&VgNCUHWd#fS-osfrBY3rYb8G>^bMHS-5dH znM1pIUb?sDG|Zoq?0~viY&qwmiMY|7@%7WOo$(3Xm})ED${KdQu0y-)e=Zy~lq}zR zXFs4cLAGg54n4dIlo()r;&2Sef+JN_Hpt^H1$;FSexrpis{9uPis@ow8jIyZ+iF`n z3)7gq#i@+QJvKPEl=>TK@~=SzHk`vh#pv1duC<}0opV$t6$y3)CmA=(7ml^xA`~A$ z;XaP3V46$MV`3w}7LPD?_*>Svgop|B(5XX$JDj_cHoZiYc7D8}nA*iYIVwq2A2QKo zES++nBxmK~&CTk%E9k27I)$4@`S=>MvAQwbh5wJTw*ZTyY1&3ZaF;-EcVB`9m*5f{ zf;$8cZV3tQZVLoo+})jESs=k(f(3^x8X);+^Ss}CUU|O%oO4~Z)pyrS*GyM;PuEV@ z%*1i^&5{Qjq6jX$E1t9Z1jOkEZsk}SL$6=W?@TZ^e&FEeVhr&B z#_t#&n{qisFI5&}GLS_Sb)T{}kzj|WUdr#Y3q(dJ!jT4JQp(TO6LLlo(eM5z)4`!f zN51_jS~AiNZ;^(37mu;#5i-Up^MxT|r`ptH&Up^UJABSGAMYZ~FQeF-re!lX>^Zk4 zYp=(7YX~MgmCObDsf4@sKaz^$#y$>34P_B!k=c}@RZ0nF;h1LDrVHJZTzfZ~n{4uv zlJ$Jm2S;YJEzT*Mk`OpMA;QOuV^UVZ6lK8mhNk|{3F~ww_PZy{Gb4uT6=E&0~ z0z=S#0Jg0Cg0rI7ddIY4G(szzPQA*&)eT1^bwO`knZ;z;T zbJPeIEn5)d;NTH~g~iU!a_Hi_oWUb;HH)3q4FDGojwv@`vey`K$D|Z8?<6#_*wl8` zekuZmZ3WxjRv6|3wJYECl_O&5Y~SYZmfZ9dA`fEI$VwcCU$P5|xt2d5R1~6t$a~rB!9^k&Y0a8SES0}WVz3oV%5W&6xCzD z@4CK@+tZ2-Gtgjg7rElg)-%W1zG>D*q#F+SMWvEGcIjH!sAaT+XzjglY7Z>|< z9d$=&!px!R@SR6cZP{{s6hv)_CaHKlZ|1Skwx*){J|0BLf$9Z61|=`6&7vvyE$EO$6LCB7g0qd>KEawUcX!AtVubu2Ix}x=w!GN)22*_US_8 zFBZUy?JVyI2=4Tm9>CoV@_d}2)9;P&Y-5APFRU;GJ>DdV)MUJbHp%?_+ zDh7))_}ErGl|0Ix#=?*6a?ix2tMi_q?%_j%eU#HwIGX_P-6s}AL3V@~t|sg@ae-Za zX|m(pRW>4p4T`f@1=011Sh7z2%MH6AGgFf-8BU!(1e%k*;wGDkGbCO_2y~!z>OnCW3GWC zMQ+*t&VCLlh8i+lsU3RG1P=o*%%B9DCSs2MP3XG-^?BqMGzFyNSHge9)Jfk z&Y=&N0o1>}B~Ic?a)$?~gFS5(Z=5Kmat~8LL*}f&YlvYUx8ibA;2XED8!dt!j53ut(|Csk_0bPNXIUmb~A(^PGbDCQ94&9g`{%I0%)c349o(rpL>($pi zUf#FkBU3n*2Ue4Z!*=iQ10=+$es*l6+YS5O_^Kd3Sk}JaSfcv=;n?9AMIdYeQZlZ< z&?RwjHQy*Rfc)dGo*}^$!WeiT#)P(CtEt%lUL|pLLOG9W|@ZKn*p1owb z&CK?bY%Oe{f0eEOfyQ<_VHCfuTwrA){Q6WP-v4lW`E9|eJ?d#8DEqshmeTF!FboJj zf4JMXV9sjNd}^kl52p7kQ=d}Rn69O!Ebk5IDSdpm_?n=g*HS`NReD?T3O3Im6UZEG zpu-3?-9)nRk7{g=v9fD#=`24@I3|Y01-=NDKe<{s-VJpNUpaOaFF|w8#c>ouYEeUN zq^PgZ{xsu-U;L)ywN~h>-s1xGovjbcp=JHY1zMXN+S}=#<+lz+p8ZTHRl;ap$g4G#XPJy4H21Cm*@Z;5FXvqbBc!rSH zksn$xg{Ugpo``730@)OboTekT9V&g|Lav7a@(7)BKGKm50x#4;Mn=bfaIf5c*G=?NIti*r6WaO08JO4@r&%v@5r`xJo#V zj96`5Ny+VADFZ(pxn>4OnwY`8V)~evq&WzMgJv8*>u_d@rRrE6(@sLJ(sLkAS0YmK zO76#x|Dd5C(vIzn0;>dB&Wb|K5DG)hI8N5#v=mF_u{y?`g(Nq}qw679O%6rjh9*SaH`}{FB4^KBT{<&l#~K+g`j{MURR)>v^Rm%zlPXW!7Mtxw>7aLmdzXR!hW$9KyF=8=|8{c) zHa}i9r(GXowpvpq<=xYa^6ple+T{BKW|x2d^2GGPjpfnu@Vnozfcs(R-^`1plui5L ze#9_$nF=o>Xpd-PkIqN?6-hfaRwrW!V|nMtvIk$2u&X^^(llxYhwa@5Y8mCL5(gAL ztSJd9gQY2+TY#B-$a5|`K#YJv^Ni)vaN zZ)n9@#0IVJ0|kBxZOtIPTZ^~#8SOJlpkvTDU?rrkl+y=Q-o%OJ+Ij;$IKGf3Xt`vI z6Q}PO7SJrqb^WVWRy_P9p`+__mqbw_uDFQR9?6fxb(g#8or@#tS&@{=nMf<=?Utk; z6(1;qfX5BSV;T$AT-=`4Qq33rdU9a2mL-dHJ=xKDViSWMvKaW<0>XTK>e!lgG=cUu z<$4KUD*s2d7+w8L5z%m504&foe1-Sp=8wJ*vK<&v#0D-59aF|iQ5B{<_f-oK?@hg1 z@rt#ITuA>`32>t~|G<(uoM)vSJcZXFXNTOzwExCPb&9q% z6A~=QuP;>ZVf6;$4RJ^M-1ESxg(b6}{ureQ|ASN+0Z9s5pwy@aO8%#owQq(^H@fPc z2cG%3j2^Q4NmGGSIhr})eBj5KC|ZxE%`i5?`uJ2HgToXbrDNe>U151)S-k4Jt@VMK zpYINQ8xQ}Kg&Qq+8H+w1TR}pu$0JZ};b`<}p*5<`mB@07ZD>f}?3lCm>gg+g%*rn0 zxwXd*raW`q7M1Tly}B!YeVkR$qvyS@ldi+(K`C9t68K|p zXO*#OQ+#VnsE*1|)A?|fGs79j2a-wYqjEOU zONIpJ6nP;;y!p~FGenJ#T zf82<#l%bnUH5U61D3R~(n1uUIZrhJZBj3rI&ON;~82jmM>h=AYf547d4?~VA!6bL& zUiu(R`P(hy`F)a4fTCy*gUKrb?(eUlbWR`eg|c2xJDlb&84rI{)r>v_Hz?XWP`V2! zR#jR~FqOUYgf7Y$>dJ6EYf_cnrqeRifKF3BmPN=8EH_3A1)Gllr-0*QC7Eh?>uo5j1)-e%|C&c|7Z3LRx zbcyio*?}I0=5+9g^-K*R#0xd?bEzq-LM#p)F;_s5q#*^3p}as`B_(_iAsts3i{Ujs z-~T@SEk?1)OUi!RaWxn;F;GxDR#^p88gd?QXm(6GCNp@RNz|VqvQ0 z4Hy3$UjA?znN!3UOXXNW!vexLacwA~p&u=)5!9siz%XeHk>QWTQ^KW|T-&P6!4WS7_m#x80(TybV8C|DZXHrR;+{| zJk4j&^#JeCMiNmv`T`1GaN(v0d@WbgiQeQNW{(T@$ECQY@TN$P_`W~prKZ>HUu6}N z1Y~gZ)Vy2$+6QK*DY;ltiZC^|`P3|ZKJ&oLGHZEMEMCAi-Q*pN$B^@(LydDq9C%}n zDh|e(qCg~@ibM8GS}}&EmnDf*Nd_fainxm8Wz%rJ*dUNpU922ZS4vviGet@v9ceqI z_=o%c#UF8eq(VPS@R7qs@lg;h97Ysn$ocx>F%`k&cMSwwMKL9JP3cI}sn;3m->Ud5 zm{NS$v&U#twrR7+|L`xgDSLr3Q1V!?AQ_JfpT))5$8ZLV3$$!Fr}@L5!};2)!S8Lt z9D8Yg4$56kIxd?|o;ioKb&(UEVhjRbZ>z%xnS}Q)6BcClx~K@UAZ^_FgG_e6lvyG- z_)wWrWZq<=K2f6ck);RdRl0>J!`c)wX{TK#!4swW)Z$MeNsjw73onv5v#I6yyYD1-x zI09nN_2Lbwe+!8VGf#`3nFT@VSh1N%Z4y($lCc^Tln|A|^cnUOlGL9n3YRjZ=o^Hm zhSV!e1v2JyBze46WPxpj`GYPMv3NU*Oh&~tIvAxhR$mX#>>Ci|L!H|*Wmp=rs+oZ+ zXfeA|^@yEfr1{fZJB>da_}U|Y%_Gfs`x+YuLG0EC=_EEniegU&IGD>^EWn?Va1_w3 z>mV;QrS&=X^HS8GDhqQkrhJ;p97>8)F;1NRl4AZ;+3w94D7uJG)Eevy!dAz>zVFQh zs-8pj>To}>>F*MLp!$P4ViFT<;8rD&YpU5dw!T4~pvVd&UcK|J%AgF?Th}?0_=fgD zyUl0k|Qm`t=> z;1HjZ3bl|Vyi<>dNOgj5+0~NxO46Ov{4CP?5z{Y@#l&XT{wtXRYm8OC+&eEjz*ibe zW24H4IF>E_fs_EGH1_;@GC#l>OpY>-RlNa{vd_eK5?k_Vyoy)}*#EkrajLQR_3;Gj z_RxGCClC=e7gB|0NFabW_hb&gxwqh!zOqZAf9dY8nN*EI7T&_TwX`6SSQ2#7LTd|vNm1-t?Xc=5nm;skzU7Z%2stN#Cu zYEe9dqohLk^QO-+=_(Pj8P`UZ&>TSFZgN)Q z>i?ds<@#?Dy&ngOu*dt@Awt{H7EVU%d+@5nje&1YU*}C?mau;|BFLm+h?m zVWLp;Oftkoftv@;Yq(pJMj5FIB(#(8QBLj6XMI1w`bK zCr9F&JE_RYg#bcQjmTK^)CI6YWNc*0-y}lOagw4XSBPkX?)D-d0O<7x^1|WOV>CHM zVa+JCLIz4E*$|DSxJ0^s7DZGAeDf4YVPS+(mg>-t{{M}CB))&u4YRzRh~E*BNIU)4 zOgenbOX?EC`!evT{sg9#rxehm^^Ng%{~^A)oS3Nb_6q1~fE{LMo1Pxs``PZzi-`2B zvBJA^V5K((&?tx5@J8_~fj7z;E4)$Q;-}~5>rF9_7Q)s0>+5H+85p&{4JWPGo)NwM-E#ZO1@^GMd)G65 z{XXmTx11qm28O!v!ekB^FHv55EwvE84V$DVEx7E_Y^Ygr(7Z_2-%()|aJW)$6rju+ zDOCG|pDjoW;UK&3k5Eel<>Is1Qrf=}8UDcC&RKv^rzy^9`oF-hy7IeVdx_dcDJ_ z#!LREtW$II3J?WD)2#$Lr+2Z^a3Kn7yW%aaJPj>3y&63(y*GVUqB(@zPHtvvfW7rE z;z1FqPpH9&;URxij$sK!$tfQsX$UMS=NYQ3(2*Six3N03&fOgWpZFUG^VgJn4?$PR zTX#@SI(&yoe}Nrd?T(~eu2ydPn+$jlg`MVNuUnG`m^_2g&@LfA!z3s$rg4Wu8pI$N zs1G{&hKdOsiLz%Nq>tC@3pRVI4HuLh?wN<>hLZ+R+1&VoH~%&Bx`H0CxGvn{uaJQj zwP?~2&Qyr~-TZEdgDn__20*5YS=EFo0fK#0K=z)N-@Ggp}&JxHh>6m$znD zr0TiUCVx-y&BD)cb>98@>Ck=7|JL8}hH2@ns(F*#ZJ^~i%;h9@<#A)P=A9~IDGZf& z)_k1?EX#KE0;yr-y$$Xt>82zvZN~N!X=V=vPw8+JW{=lz{yVu?6THABL|{N^A03tv z8ckez>Bi8KO!4G|LEcRS$mSTlmgzFoPo-;Waw~`BmN!a9@YU}M(N2wI@`RR>H^fTM z)Xnv09)y-!^2&q&Yyr+3YwFDU&Na`p&(z=O)v}Ucv_C!;UtdVXTz#wDUR!=WW_BoZDb&4nN8NIAKaiD+a^H;D>h0T2ou$#MYo>t`J+il5yS&+}ubL>HY9ee9klKlGhwlV| zoUkZd}ho%^S>gk}EV$&vP;^vC*&Rd!M01(+l?6{6w@>J)T2eAs+ot9H|M;q3V? z`kms;miux5^WChOti@OW&#Odmy(wmQNE;_z``=MPq#T3Kx2sMhH5&9BNdnP82A{`@ zXGogycXV_Ke)s!S?+qw9owFSdyUK|a3{Q#bC71kanvtjG{CBt#ntvUiClyK4l5y)A z9{xK;Gm&Y;_pEGjU*CKd`{fqj<2Y0{U?S~-)R-#!k#Y+Ei{8^f52TA!SsF@&ch+^e zx|$IByc&)xdQD<~M{AzHBYai90;(GRUpwh;w#pF#Jl9Pjub=GceRx@*3Kip|T^d~a zstJ+OxYLi~W3d-hPDGJCwq~8mE6wJRB_o8fOEQ1W1DhfUNBX@DK>iMI`R_kyx}z52 z%h)uP-x6uqYKN9GHb<2rmP^kN7|PDDa?z5B0C{>NV&1nz_zIT8dia5SUjKwMTTM~w z5UN}k1d2i6EqJUtvP(WSJ;+l-{tui90&9mZfsN<7@5%p;0ONdpQ^p9UyY%7g2ZpNy zY4Pi2)2^;;Q=IV}m79s1zMIjTPd5)G0f_fS^swfQcYVV_E|>wPXTw1f#P>}bE^Y&F z9ZlX?u8`smmD<0|4$+te$Mof7Hb2a}2QkN7S&d1O9A}t1vyvQ-Him)Z?3k27c$9dr zE#fpXNh#f9rl3zUEK_W*Mk!{OpK7#oYG<3)(30qk&t!p?GAo|%eh)SxeB?y~z@$GMDm_|-cOi_5x*+1pUCO~ zDw?wL+LvHRxdrgmWwt^B9>r9iNHAn9LPkY*uFyJUbS#aIfQj931nnI?u_?4pZ8s@S z=xWr6<(WJ&^pue}hHK=K!`wrvIsrZT%Vqy#bAt9Awj5AgqdtjQ9KBN-Ie1GUOBM^T zNtzsBli;m(Sv!;fvFPW}uPYqbJo4@h8-o<%ge1UMy|VSTII#2=!W zD5o(8ATI#`#~97E`J?lp8nfN%O?$0xtEG07)FWpG-8{==>@C_XbiU;>^4A%0v$q)w zPPuDsVVGd#U{GP4UrMa6e8N}3-ijiSB^x4Qjyj1GkRQS+QRC|Ty3W*RrqzK0& z{r-b+m}o>Y-S&C4BucwzpDXgSFFUuf>bF1UPS*&Y<#` zLMN@`Zol0}dGvv~iyhD`yTR2=F}D^<@+7cNSjhcgZW~9Q;kP_nsY2C{>v2KAhwHA` zcS{fa^ADE4;Y40!QpnXvwgVv*dNivn;a}JjoKq0L6DbIeZNgS&$izYw1k3BRB0LJo zghEGXbhe7|NFnw!gml5$OniWG$31p220JpKMuCk2Ex0KP4yJQU=}iK-nkIn2TeVu! zAgcqUM^l&3Aq?=dsaGSb5cg$=V;UKYd|e!AhNt$cG%}rr`q6mj&O01HtupEs@){TF z%j$AKv6)1VLhNL!)oI=a_uHZ%e<7Y?ojR0eMQf1 z{*zv4m%bP@LW;~2Dm9@O!HTs;fdW&`u1V=P3n+u7YLY;?J-anAf^Ul^^pwochCmx3 zHi^HinnS>Zm8`)ap&lmO{_XpZavzdl?$QWK6O(1gEJ70VpCw0caXrLy*UBz=&D{d3 z3emN$%P#rM55$|Q1&rL0fCKXz;uV8ReS<;3foUXJv7R{~Dw!wTlB;6`L$^O@l8EwP z`E+#Ei}Vwsr0(GZyT-)Vs$4dCGRiPrEn$fyFY!O28&x*OM>O8r zjE;;aCEltsQ3K=Qo+%kOLE9xDc@$NlT(D!@<7xuF)Vwkz--CxAFr6S#cDLJGHmMxZw)?lKG~vOY zC-u3YGR!%WG60nLtl@im}KaI3=CGDQ(V^1oIsx zsU)%Nff9xbU42&Z0R!;j4-A9DnFt}_*m!O)@$lu_8RtFT?rb%rYiJRg)`GV1lH?Iy`6{5Wu(q~JH$Fd0+uOoR4)Xnp!@~-; zy#rt{YIUEh!5#_PG<6vaYqwW)`cX1erNTuyCauxIZth3ZIFd7vYi8$>csYOPfT)yS(jR(96JYor$XnLKEcC!R39f zYa`Vo6nn8pF;D=WjC|~`+G{fFCv?u9q`4NYbEKM(z)oqm`(>VY@6G!1*)^(?Yf^@U zdejqf;v72(iwkn^Y3MD!mf&~{HIL^s^csI!(r~eRF=tf#OZrUu5q^pdZV?~w9g4+Z z_Him}yQ??K4c>WMB!lA`?lY9w@>zu)h2e!Ih3SPY3zn0Fv*WU~2cfsxKN)sImr!*f zCZ%20vIn6{HE>wP3x{z}bX?>z6S)TMPnw`l=yGSPx=j^J@op=X96}Xh^a?m+W~yck zBO2Q#Yqp;^sn1|D9H0<*BE~u}KGQP>W+D&dD?tHdZ)99#HDqpNG9nZHmh5w<#&i%Fc848f#xvJQC>f z-I|f6w6ZU}3u4pPfm|*7p0`VK%N)1JyJvNEOdmPr*z9FwW&1jNakY=v*oii&AJ-H` z(@|PE9O@?uybaL5W4H=zDymcf-i2L8v|nmsxE{qfcxV(umwK~Luv}M7@-wz{@CwPa z!S?6UyUak zUyiigBGZcOuL*Wsjyu0=FkC;H`!C3AP^tyF9+woB^;^ecFegwjbXM#1^hc>))^c6V zNj|*VHfpimZx=J3-@7hVv!E)qZlk-Deum0*-b|F=GJ{qwE zBrRAFLzF2_#Qn5DkI$0r)-+$GW6#5fZw4$oHRWZ`49U_{#n`4=FYb8~4yKIKciR^% zN9)LKWjyNyf7)-UL>yS0BFyEi7Dcv|m@9}Sh%kn2xRjwfp9C5eqG{kR{Pmn;_Gd=c&)HA z9#l-5>fPEourp4HN6S{DlVN{&`=g}7u}Gmyy$A&KNwykRO>XVcmx+G)9mMVaecg{a zjI3pXSJrfo^noYnUl}>hr_7O4DQFqE_|T}@Rc)Sg1mnZgajH1SIrB_UunlFgez@J7 zc|j-vTOfn><9)h*5fz%tR&TK6f$J$KaAs=_zS}Exa*cRHfh7)dCjlw}a@?%g@bRGm zn=NySP3`zQ3JL|W6ZbbjvB^H5gg7cH@c)To#Stei&P+zk+5Js}Q0pQ*?|kL#(y&>( z_=bcNDE5W~C^leDO%i*4^SHR5M4A)G}?4m8aN8C}o*;P8c=jHdad34HO!ubQH%pNy~Avo`|zkF?a z`Te^A^M!7-lCvfDD^we{lFji%VTD%rEQ9` zk;YMd&BR4*7=)*x%tpzwSam#gNF^(iGd4qj-;@kd#3&14DQ0GfWZ`RH9FCVqZDBAa zU%yAKa$jvXD?w;V&(~Z0T1^!&KjTV7E^#*gE zfDBj6n_CAQUBeeGy6xPh1q`7z&n0Nd6YJ;PA4aelmL==|Ke4R!Pj1po`e_?P8CLos zdREB_X`4ig3`wCrvc>(BOo<{YblzZ@6Hw%e;Dk$ zbu~YER@AUiG?FsmmQJT7Ozab-vTyW_^%;?UqAgUr)H;VpEB~nzFsnkcKkiE#~~^ex1OoYRt7uh1hU0C*|a=12D7XLmc=@$p|Kgd zIwS%%20C^NBnzASpRlK*$sxpo;0kAu-l|!3d!LbKaN7ZjyH3?Wtp1%nqce0#k4W5d zuDkr4wD2k;SLCKG_`lfCMcXJGUfJ-Bf&rDU=EdJZS{`6lVJIP`O%&PNn8okBJ=A+4 z&?uC0e)XzAdu(loJOjj8veG%prvIBL%QVPSTENzdRU>hnR9{8g%3Ut)3DM%mL}Xdn zV(C=E3O27=HEWL~8wfe6oB(j=6c@OI%1vL~&jHFwC<9@}Fk7)fA8(;&U^MjlNEi24 z%H}4th_^S=xpe7e)Jw4cn3H$W-L8u7t{gF>7SmVv*k&Jlu`;hvp|vtp*)uQXY&o}n z$k4)bc~mK8s)w47X*d|z8W#1^!!$?E`9NX*nXl#iN$Eio0^#{5$0)R7 zFPU2%2|;jxGw5N(to#=kS2s7IT;j)IA)U_q?F@NY;HA)7@lo0jJn;;<34Xw2WrAsA zQZaBgr2Sx49stsj0GFvVe*+c~Jef=~%BZo9Y9rZ!iapT-)eg9~iSFIx6(`K%W@N49pfabv znPxM4L!e52O8+}MX7#)aLQ@{)2J93$h|1}d^oaw#EX9t3DePqJ6Sqq(p^g%n`Wb%PO zJ^#pb3;^JYzB_|oSs@cJ(Re2`P2xPhw3NhSGdEWp!_&~* zY#o!))Wl;6t*ojdwXQaBnjit@gQlfi9u%)u`zBdSU^Hetp2$E!6nR@*x>U?lJ762d z<^oI_mA(3V;e`8VS|xwDZ8%{cvwiElR|g%Xs6&HsV$0gqu6@a0DccM6?W&fpHYHp_ z-@~ep#%+PX^&z!^od*zKM}Gdi=$bK;*xYR^bVp!z-kyNs1^Ow5ePl+q(8pIBY-ff# zjYFm)@;dmT)oe)$7`t=-&hi(nT>{pQvLU4Va$$+%Bda3=Bhw?FBZl;ya9F3FS5)Ok zBhpRGN_r@m|7dw zqkd#wWpiRKus%k|n?4nug-}eJs6H;EaN|~>7&{6o8qhETJV=NljuyZ$TNph1^bdds zAHMl7zKVhu5oBRzHmHQ-B820?8Ihytr~bw)RrvqTn)Qe%Q$$iqMlUPMe2z{5t{Hyr(nJ;0yzx46u#^ zFCobfBaZpRO%iPidfH>g5zzPQKB(>{)$*LNDogKx`Rj~}Nm=foW>5JFYyHjHC%e>R zLjAWl-x}}!hngvY)^~C>@W+Yjyv&IQHeHebCCS1DB+bvOvQcE${0u+N2?Wzc+dqFs z785X8Kphtoz!U#VP)347BUt}pfPf!-Y4NH6-XT%K8SMcA2Al1hza%d|{K@Viy(*X} zkzlfuYfm&E9M0>&cMw`h!LgwV&YJ3jTE5W|CV5xwi{-3@%Db~!>;Wi94F|UL;^HS=O8jD1s1AYa7OTE?`xn2 z(};iw7_EwrfxQ6ZBz>^d1!|PbkOMjrHDB=UuZ4w}qrQwq0j^p8n=`LL4a7j^4-D5T z?1P#-=Ld?vS=|6O)ed+D1F~M6d2C=GL=gqST&}<5CDEuV#JFIt`x}1`LHT#TCz7Z2 zavD{zJ_tbWiBW)CPn7$J`%m^U_x*qWAg=p1)XH-bgSr)ao_!vCo_rpCPVT678JHAA zfhbglz=*sjSZ&J6`n+fKK}oUi7W>>bv%~_5?+FS6?HdeRq9s>*D!`$nxrOd^OC zJnNbV(U}_wOw!e7MO8Ae?xJ9^OVx4bt;X03QLJFgeZo{#9`O>~SpI|1e5yQ3JEW)b zEnD2gZY};15^7)UM)pSVM)F29jjZVyN{V&`5%T6Im*kBD1zisvz2qANHsHRlVe4- z7jC|G_we36u7^c6HROGN{c!sGd{yoJ-9tyu!>_IN__^FKUw=LkHh3=$a<%DkM@x3S z5)QusUKu^XwTH*Fb@T=YfG5RL>Rs3@NEVm;d3X`C(;qbDE2?z*zkEv*jQk)SZMeq# z7=zOpcIa4>(mrvYxx!x5=MwO6k~+1aXM2G@y`jf^3Fmv4=*di;_PHSJ08g)6zyK|# zACiK6QGuH|&wIpw!TUqgg`N_|L`{dpv`TQ`kJF^3RP-lIO^2dP=9(QZtqB0=_y@iQ zpu0OUOIdbSr=;TXE&q<5lKo_jM31H>2)FDJBl2M_hPh@fP8DG6!?QoI4lnGM_K*R` zd}@R(Qn)aRK~idpE%6buVuj>mLWZgzXzB@#QS_4Q&TrDPDfv<2SiQNJ((~nnFpkvXM|X;# zdZDf7NY?J%u4rSsj$3#sRc^fM>djH(l2VIo{D{cb6<@FjXUd-eLiT7Vj#L!~j|fsz zeeV(8%-E0m{z{kEhZUU&gnXsnP}INVDUnM`1+zImLdGty1cW06VK2VWC=cUE1#?h{ zAYs=#9^v_^JdLFU&gvQbtolfRv)Zu$PL(JceyVIJ7|pZ06zb{%ExW*}wejrXrHnfX zh37dsj|l;1sXRgZ$}|+%-!U~ZFsNRK0R>}BH zc;3^rP?4wQ!hgu`Y59jBLy_tp;p(1mx};X8fwca(Sd0EI&A1#YC8tjW(Q@0Cx1v6 zPY}7lkUlg~TqO4eNl(L}P{^WyNX|RaiRRhk51O^+^5vPR>KFn?3OZ+xl={LNoaWLg z`yI1pt;0dfHcmj>r>0tm=jqO+!mX?Wx3Vg{?TM`OW?YpF`lHv2oplcg0QS@VPS}~| zLYsuehdxJ63S;eiYv31G#QZH3>0#`UIC3;|WbBk8eseMwWv70~hV*G429EBV+8{*# z*EW1O`QbL=TX=q@JsQoo!0HJ%k)sY4|J(;pGsFdyBb+0IBlII=z+GsV1}@biB9#9> zV3$rCl;k025i=f)49o%e4Q4jnq83k~N1^giz%!{f6tgIXdIqy={+R@-6_9~RQLvSc z2si}qX_jf?QoUnYsR&t|nR>g3-}Cybf$Si&UDH-AJm@{9H%3s#`^}e9Ap6`~;uAv0 zfD*IM{i$@Yn)QUG`>>f^L5M&PZPP7w*|>{>Q@{&0U?$W~90QzoWH!rUX`+&t3=AFc zGaEK-4W*2D;Wu6GKnRzib200)CO3N3#Il`v5y76dw%f~z@fvUEfG*xld)>LA3?8it zf$^9OgC=tu=uG+eGs|LKqPMAS25-38{|(SNzb*9AcRyq-D*GmKLwt=`iv{y)is zG!+6RUET{MkBAQj$fUfJvp--@7;6)97amx#T8yB7Hn2au+MP8e$etKJAZuQyb0Lr${(%S;6=Bomou{|nm zxntpm?s{tn%-TnVR6Trvb9seuYU=!p<0=hPO;#XxrvUczdQ)oAY)-&OT>yMbLY0DT zBOZoo9V~UXGJKZj2+Y*)jBv-uc&YEU77VGT)vd%Q=*glSht7uU1m9Em!~U574xKdR zD9DCw#uW>&GUX>Y3>F#seyvi4WACZ{nfhwIKBahMW{fVAP79v9H~-CvX&a@&`JPZD z)81aE4hk;QvHoM%5qJ}k@xq%(C1dgl;%+1YZ197xMXxB&{dt*zE{i6oy+*zQeOVe| z6kpQ8D6%Y>;^jsV;Pi`S>(p?v{FpOzHc*47%VLp!wo<^Kg%d^5hn&Ib)4vjGv#}>A z(wT|QRwRotE3vN|9QO0j0+eGbJcH>{i`l57v@^^7Sv2j+5AsJ0U8b~0UjQl~qkiFd zsZ14=(%PAoo@g3&^;5LlcH7o6VkSB`K!8WND~o2lUg(QNzs?*C9Y{A6(MftH-8V%w z;wSKRMsy9+-E)50gU_O^Ofv8E<>v^?xDRVH=`O7)wX`7Jm5BCHe13&hJ z*mC7D9Af{0zwisXE61sU9hQD-K()_wq%f+4i(-syXfW1w*qL8kWuUWoanF|KI(IKDn7Ll!?n`Y|9j&Fif z|FLx1BJnOXd#aN0*?Z0#_daTPz=jhLxp9pQ#BR_>?v*L_aSt)%8utQPnaX1eh_L83Jr?bdSBrd8~bcw+-&tG<3r`@1O;W zre>|z1>m~+zI^mk=0uNoxEp-80j=Y1mNj*Z?tac~jV@RCqn+3s<8u!2D4N!*tIh&o zajKrn3U3Hs;M5N^j4$Al1m~w^K)40$DVDqTWwSa!o+cakG3C3nH2tOaSL*#NURN4$ zrU|eMtv5C5YizE9-z<}N0fk$PL+QqVB*%TNw>VG^aEn!i`WuX% zoNhj}q1?IBx&Z4-YQQ>^H*!``ejfX442ArqOga^eSubL9&C=#CXvxw|obq5ozXoZS z6HC~5G<%V_z`AL-=7A;57%(=FiH2ufjx5`h9hmf-LP3f;mt_46IjYkXW5MNj!MH@yLs>MCZqt8R-Axa!d{AlBBpAaeFs zMQ*@!{WfVI?xcwk4 zlh^T$o%i`Wa-*V;u^3iCc{y4Z1GIfIsXL)a3GXh8*UQ&XjiZlK;KBu%zY|j7f<8KP zjD;=dM^IGu?3@15gQ0RcDT>O;sORq5{0`rMh?dGIUU{7ct_cbqZ>eTdHM~YBG~XJrT_^XHk16E5TVd;6;?Nyk?6oXlq*8k?OCW^D%L>k{;miikY&Z0; z%;VlmU`jys-cuLa1jwhE#bj3g!W$|b{fTQ1z(@E1B*TNlRNmpzQF|eG0H(vMYXMYu zJX6SB+cTgf$xNI7a2FUIl^@AZM8A6Hd>2u>$Y%bzz-JXR1e3`f5VzpVVg5-01L`dF zjh>0!y;EN0$-{kIBYRO~fVi(;5#QIz678B4rk}SmhpAICxrL(YN7bjY-tV~E5BA zjXSUw$`LBhMD_(LoVvY=4P%!=212S*fsiU2;~Zdor}_@JRVezLFOwj))hUu#(zFO< zSYmDOGP%bDuB=(b@g$Icgz})cMJEdlN$MV;TVh#N<$wTq2oKGwf$RAM*Te4*96|Ru z0}!^@c8|_R>oOz?kC@RkgSi1tDE&#Kix?mr)u z;J*38ggY$>;kQ^UdKolaQUEs)xXaR_0l&qnxE&Y7TRRY5(5__|Sh9t$z?~W{x5)|8h0ST%Ynp2lwoKn@fFfxtroA*$WohLH^b)xx#@Z4EW|N94LP! zkNzZVKaH(n#7qWH?+Ep|HYN`mInG$??O65VqZ|#!bhesqFB5YYgvU*meFR1co%wRG3CgVJS z|JXnbER^}l41mkdf)>B;q$@b^RF8EP;c6zz7(V1-w%k4U zBO3$zc2Z?Nv!QzbVVHX392NQ-7fg4c$O-rzYWSCN>3}m`644&%4A|NAJHnRwQ!$xl z(aHA@O@0{t;0#PPWh7qr&9W#n8!pjz_)zG(AV;+X?3`WTCTgqW8G{1g5nBhBC;)B3 zwfw~DGX?}`m6G^MVZbbJe~u^>{>heenMfb+Uf;R|9RA*QLEM&WqoqW4_g_hf3u&o!4TZ8!tEeh-98WdKcu|{SRBo^Hkt$o7J^%F3GM{f;1=A21Shz=1OkEJ5?}}c zf(3Vnzyx=9cL*+nGcdO&-`@M2Z|`&Nf8}{rzxA%F?w+Q)s=8#YLb!)|(*o#6nru3l zb8|(x(G2z4xh_){dIp9L@=}=B-B4B!A*b4l_S!*Sv-I}gce9)*JTiMB#`;n<_jE!X zQ5v8Ye<%t-0So#0+cYr&UkJUG!vn|AP-c1K4GkY(AllCMi1ZSaCo(OcnJSYHrbRWqeNu>J>l(EMsGJGtmApOtY@PFqB|}5=q#wh9lxLJy<4Td1`mZLk z$^8gT5q{XHsSKi5KGvu$kUa!$qy?l+uHjHkTTW#wC!$pH^4V6~sL{)Y=%$%5fISxL zgHwX@gTsQGgWm*UQ1V{<(h)(dCPix%q$au-N$VHf4EDZiPu&Xk-uZ(w?LZ;da9J*Q z0=k%AzIig??beJ!-zt6$te1y4iobslVPrj4W*C=eo!{%#EI{l7MuzeUoElWIV?x{( zXV*WuHRxi80Q!_6(GvvJ^@n9Yh|X>r*l-0JdbfSNTK_XYxA#k5z3HoI17fdUF%Tny z@iQ#IZ>3xb)so3gx7p*5wCjL@>36@wc@g&_$WX-Kp};63SH0D(+kLOX_b5GA=FeP~ z4&_q7K8!61jAq-H3I$O?WJi|@#Xw-hRj}C)jOZq$@^pa_T_zpV7#Hx@yi(gu2FfpT zjy|xE3rw>zUvODoYTxD!x)#pZ*+a$0Ci^5<~s-wnK@mg6}ngRzxM zL=6)=5&bh!K{wV5fnQ5H0|n{xW;xnAGJ(Xc~5{BK9ucgB-C3O&GsfljzD zo>j%gd@_+#?}}E|QnW9=1yHXONsB+EN9jHPDm4$4j`_TPEmT`t@Ec_kHz9T(!i-9% zMmSVX3z9N?1x|Q}<30ve2$r9eh9?McWow|v{@e|TArFw)%bSnaa8nwhcYB8M=g1`w zZ0=dvK8=@*z^e4#{NVB+nbVCcZR$!{DG)bHDrfhcmKPZ(4dL@pQ0KO|O|^SxyuVhs z)Lbt)yHvK_W&;%*f73{bWSGr{E*?x+b$R04pX&)^NQwDtf2UV#aV+ypQp77<*78!z z_0f^ZlDjB_O2zLP&JA~E=&h$oT@2glg!>3XECDxyZ?z-2BeLoAV`>%|GU-wxYwW|0<<@oTFcYbolnOzz_)|8$bsCRAbW3EcAQ=< zc4T83xo}Bd+Q#wFok`u-c1JUQUI>h7hf~XkiHfS`yK@!vCi`-`?IqO<6G)mD-582c z`VT>>=nBEKJUN;YWgkX$xYd3B#u9yQbV0{@L=Cq4xj{T{_VX%c^*IVQU$U?Ue)oWx zSz0Dv@-Xb|s*&RtX;K!MD?GfP`$fUFb~s7*HB-R*I-PIO$(=|KU9V6jC5Y&daQ0$& zI=>kek^<|^l^%&IMVEC*tSlF7y-K9`E)ybYqQdm?X#lrW2y zyIi;Bf9?_OXuOO(eto&+Px;^JvHo(VqH-gn3(}j{IvjX*fXy^HU^{JuRzv?IE!0}Y zjb0~TJy*HRAx|#dfJ`x7aISe8v=Ytpnb$vnZ<JOUCWg{0ZF~bJDw%Z=195<_#@zYtZ#gY-dz3AM~=jT(4fwC zaZ?k@N{%>PA~}|4v;l@Cmh)Y0>jUlz32)8KcDH2CuaY zdhM9@R%weK)vZZgtU5_`3MRz=i~n2g`2PJnhP#Xs>ZF+~wMz^=X{PeyoL397pNTlK z&*nuHs{OxM-Z2bas?7^ydSIytUZxdAtOjbj`iGQw5``2r@=A1X`Ow&uMaK=v^jgxG zS7TSrp%Al9K7wF##XSYZ#s$6lYPZk zWAc)$9g*4`E1dBS9Hm)YxjXBH_dCq_*Qi=t9f-#HECQDqV;q0pj-MSExjpv0xuFsh z`(wK+N)YLDeueNg81UVh#aqM|#uLW>iT5)$8MYPackh{)nFd|l+M$tiXs+K`MsHhV3I=CTaGKl=5SHL{^JPueapFjFHbv++P zFZ{ZYmVi2dDJWFVJo%>tEtjGJRZ*!{8qK3JDz%k9YdLDRI4J|l&kf=@&Oy0aH+f$a zIukE=QX~NEN(FE!8RcIxCb7E_G?~JKz?2|LE+=9H`A$ui!Lqss39;^}`B03ByPlaR znT2HF`kr-l&)txUsT5=7qM{rtY5LQ#Lx*SmTJypQ8LQ@dN4CSEyq47R{WO8lg4()@ zGIk{V?CJUTrdAaFaD7|!ed{d!{o)VeHl^iHp1h~LW1@_EPS%Qsk}DZj{-mk&$@;q} zY@p%ZJfOs1j;MKzwOTh@R(^<=jZx9%;cr%em9$8(aAA=L>_1y<1XK{0aw8=5z7`*R zxtCXaT9iqP#X5kspHa)6QA^cVJK?c+Qem$bq8;l$-t4yOf+cHrZ;!7$APgLm)e}sQlOkQQhA^g(hxqznL^h(|BbI1t{cy&bToDf>k@` zxq2mAgjYD!ia;S}e@S)?d_RwWA*-kPBa3Pb1JfZ*!CB(d#E|(j%^pZftQ0r2|G)U7z>?MKRztM|M5Ehx@e?NU1PzE$i zy*2i9kB`*s?GSi@MF)&os=3c(?HQwMzieD_#%L3>94|;-}Ke(oQCfyu8Tg)G{$1dZB@T zSz8IG?@2W9gA38xfYTx$!L8+5?r^zCYzD?b{@aT%VXC{qMR_gr{*j)2mEJFz?&-K( zTh$Uk|FzsSkyE2pL8p7rRttsOvR_AU2*fBR9{QMRFk%IHORA)oR5jGcZ2JS#9SJw` zBwaA4tGJe0bSgp-o$Vkg2l`KXa}$1J0%0ojj+?4gR6Qcg8~ylD6M}a9_xr``UiYOp z$>XScG}~_#=*^>lFNXsvvKDic9)l*G-JnF4i-{QlS`r3HwQ7OiEJ(0=#Qqy@l`3e& z`&(RSyWfjVc&>gJK`lhfXWhgd_u#=C+oqZqmP!k=hG5x~XXg*K;i{KU^Jf8$*f}9^ zM{I1kBenzs;GKQ_{WqOrTw}RV-$1y`MZo0GSH3;b9$@+l6ob?@Xq(r-NroQ0tBa-76a4OnM4!V!UCNw8z9cPRmOiK|K&FY! zCAsCcC=FNuE%jQO6Ya`;#pZO)cyjyUUqh5ORm$a-LeHJn6RPpWmUQ#mUCId+O}O=2+%&AQIyxSzYRs zE3(W2MCMWFMmfRchadM&$~Z{`_ZTVw;a<>_($>m6dw0XOr=Q*@b}6D`kaNw}Bxy{h3<5unH4@5tOTcN$cB z-7<}p0h`M^s7pI87yj9o+gcOV*R$nuL^-gTs4z4M4(?@Ulp$u6{0SJndG{UK4>l5p zDy(ySrJAYu(03Ro-7JWA9d{|{jYo}N1UBO00J@9z?s%qe8{S{yoVudYI#=P3W?xDQz!p>Pn+>X@T< z%jHC_YPo0SqX{A1?V**8;OdL-R&vP|OeEbMr3L=KSYN#M8<&u=!cf_Y^iirkxRbF* zsT_&JjC0+@yFiKCARc#yam

O0AJP^BflC+Y5q%*6;Re4I{SmPuLuG(fO7nIU~EVyAXh{^ zkzQ>dHPb7gNpfjsy_6GIut+Cz`VsejUOyk4K$k7V_W^HRI3?Tj;1c1UC;gW$=!TWyj5|a}Gigw;v_hacJv1#IS;g+S3d-7BPE=?&@ z8Q$oJ4qWE!cncgYQc&R*6E1VG+3dj^OytAlh6&fMiAyZJGYIZq&IJ?!3)cig z6_C1NVH3I-dHJehIU1ei0iYcY)i zeUe$dcGgqC9J4aXFbDDoLa!NR2mXwxSUeXXE7Ete0c>12bQ4d$Ee5?be=;yE8tNud zI=t!8LWP;pv;dse?px4issoNsS8*oLeR*{@BZ~tx|3Xt!m(1WY2?0JO1Tiat(zdE} zvSWfb6En7@rnYtIZQSZCgZ98Mur~*rs)807qA2xY<&)JWZZ<*|grVjrKxg&-KZ6UZ zL3=MQ9i2DNtNjjMq5OxfQ<$>37ft0{qfMfi>w!J0VNYvIj%e%xr2Nas%F%f>?D6xaRD%J?VK#omPX@r22Gy)cf-f06;{Al8W z1E1y_nV<**Byu1ib`S`VCm|uw$iQGkC~3Y4IbbFyy8~vj#TML5PD1(11p2wpRsbiQ z6x3guWc&XP5=#0=H5l}O=DX-40h-TL3JhRCE{?M>O?c)r!0jxC!~Az~sQ+L9@$bN& zjBc3({I>ew)DZNaqfQ%Gf3_jiMuBY0=4ZZ&fcl$8pfxoG8avBF*%5qtfB9m6$r zjWRXO=5N^Qf&%L(HIv%T3g2f8U6N(6fJKcVImb3(9Fu~k1)%=>4%icj0d+`B+v0^% zHP+3u5)bL1T>7#cJsK=M_B3`R^1mgs{Svr53^0NDMT@%vFYr|t zE+8c^h!CwoCrBv1tz2a~wN@vht_Ly;EHutrY?zcpq?B>m)Q7@5{u&j)u;PDB29(Y+ zDJU0^$rj}#A0Mw{NYPtN4b4zm7zC~|&2~kVA$w71SODKsrmkr@^qrTHd;CRG8#8BWkWUO)? zObjCCBoT)ScbjyZfz9Tu2;oC@;4tu194qoUoC)-(AxmJuW3={Gzg`2FJ%NuBtyKZ7 znP-O!)O|RA91=ZiMU093e^DThx;$xzK-_$lhZ@5nnh9jVM-7qaH{hNINhns*rwspaHhW-hReeS3W6W} zlS`YIAp_2QoL(KBbQ_w&_GklnF;s0?rZg%eKLhBD{g{F&jF*^r)H0^~e1lE#6> zXSw}A9F$h)m=MuDvd}c!t8aR^WWP~4DLG7q={!^3l$$lOz>&i~rO~n#7szWldoTfH zGQ>jWf_57XLKoPqt2wI-a&BW+ zQzZooca9g7hU6I61C&vwi^lfwt#Ze9Qx6FW}G{4y8|d4qD%0?o`%5FfZT|wzDk+ z(5paQ<1g`pSfS`&M-Ci@g~x@Bj@+o5UkC&PyN0J5oR59)@9r*6Zxfg)I_^$&DrF?M zJKl|UEG((%2|Zpvl-qp$mmoU4)<#~;s1r!2IP!iI=W1_$Dp3k z4%Z@+iNG7nv^q;x%yT2o!^#?$qX(xs4Zh3Iya%Oee8OZdGb8tzfJV&*7>TCU?SH8T zRL5C=UcvORxzh>|%rdqi0FT1pRdCtIeZY`-YXq;KdsgBz%X9xR5O$qQwxa3ocLl>1 zhEzfes|4d0q7jGHW(I{-Y0OF5hre3m3(n|u6mNCeXSd_+znTtl$Rll|_tWw2d0ZJWV?CAArb80<{?^=zuJMS`PW=_f4U* z0mYq30CFAJtIq1x)X?IbQm3aM3H@nVb4>?yld*Wb(QH5?yUoC7B^{z9{R=H?(re#tYI> zx8UtHq18-DGqZNduA2{;`8R>5$c=Fmq6#sErJC)MO)kNO%``C+NUW2ahsn|GW?%I(^<5{_sy~?$7841np9vRVyb(%Y$3Og<$SXE+f zFVOXpZ*#*~sQa=r6Q3-p$48MBvRb!~n>=3c!oRc+qK=43t&b^9jfIzc*IGPf4D zoGpr#Di|adwYWhg>K3(C^Kn2yUs}23L2BrcQgrB0>9jLtwfGL68EY;AJqqo`N*j`^ zEo@oKu0f#Igxx`Z~&+vPXRpPD`c@_%1>z}0;eC;(z}fZRF*gGs#}FfjiA zN1>z|L=4fusGh1{x}IyimCa_4O|@LNet z_Rmu4zO`%!&0+t58wrqdJQ7)qemc|3-r473Z6_`Sr@b}8OG0Cs`J7RtQm8@(I6|jl zz85-h`2<_*)PJfzf2w4+P{((CIEoEKCj*d-(>@>#B1Q1fE>$WaWYkS6z|c};#FJQ? z0A;(ALJ5>9!HFv;Yq~c!c69~9vAmV6M_U)!z=6t{CKKNs?%S+z@Qa&6nyp*JF~?*Gm+b2YidN-v(ER8k%BE(bA`t$4d%&<@1Q1f!MgqTbk4F{rtC)yK8|JjO|`Nh)a` za{LVOrkfTYA%^*qoh>c*Z7GU7YnSF@DuwoAC0VP-I?eQ8drkL^f$H%?_juFH4pF_d zJ+byuUSpdb7YVh!o(>{CApen-k9)@Juut~x^o0V@5n|I|nyCO*xEg7Ml-#!^FDBn{ zF;L^Pzkh04O1^`0BOao}a(!UqgXg<2V6`gy0y$MvGAoiRIky7b!&5t_DfU#V#xvN# z!(WYnk<#Qz?t+1oWs|E%gXY()xE{71$MUoIaYnOy9j2w4XXylLfbk%*=5Z(s1PPG= zr(=HzESfVoKd{&#T?(sv+8W@j37l(Vg%APW48Zt!N*?)mB3WI;yx}{2c7HY=VXTg{ zY~uESFOHFB6QXiZjT7NC<0I%{NWK`-R`WU~(2R8vm?g%o-f*8pS;D*$iOYJxN|Vs^ ztxz*ev)+~8_~R53_}9f(9T`0>E%g|9MmF=3EGS!IaHs}aynl=`?p_Kh(z*T`NFMKu z0xJmI?)XxoDVblR0Njj@of4o&SvrNyj51_I{dXSYp7&)QtljF~Chx1DmEL>#x!d16 zw$;g)U( z|AHscVc^sE&MR$!r{{ae&zV2SugVEA-J6UE;(yc#guN@Xxn<@$Bs#%5QaTA`nUA}} zif1itzx_Op`B-qYMDZvBk-{DR7}3t%Ew_Hlb)(VU_y~xJC;e#pv|pwTvtsfGs@H#x zDViLSloy@Dd&%ka^yRcgR?tG=JCAz_Hd1x-ttin75EZpbs4+%HzI?} zCZik)8e#TT&35(2vIhc?VB#zVBXhWXdqktc-bTk^!nW2u0fWnp5H1}!Rkgz5HauXg zPC;YmrYJ%c;YN8fFsX8-ZgWAxC4#?x5$#$N| zOQ+O<`RdlEcf68pt||xS7g{p`k@0$tr!2^Hs;;ph5Sc^y@P3lbJ2pV`blB>U3@TSQ z9SGqufJ+8VBr~*u>n_rOLrALlFIcwjXcAl1%-7w?2Ut)sUskKxcpv`G0sNTp(aDm2 zf<;)+g1hMc2Vz-4g`ekg#0HQm`hsD+bpF82Q|Sx;vispo+#dCFNmC@U3h&EIV0rsX z#IgiCIUNI&Q60awfl#=8vSs- z-6%RJ<<`>h9zsxc(m!Ef($oUsw~);@rc5kr;#~FC-nF_$3Mp;wSvG09S$mp($0SL7 z&U{bT>^(hUV0ovd2{MovmX~0JI%$kd)JePTz?;|B1+&!rfZ(@zn)t(ToGyPLH3N4> z?cBZnTKUz7aAViQVNOEAnR4rF`Fi|8P?g;-sEpd4 zAt>4KCqu)zjO}qi_r`7rYs&Eb+oarlmfrH&o7ln4k#gBH0aC+b0nGBj0o`(DvjLt+ z?wc*&OdZD;Y*O7F=6{rI{+~k_4~ssnYjorg*RE2@-ko0v6ggd{>mqi|w{m=sJ`vz~ zaHkY-8hP6w_652wFoLmdsJ_(~*uztD+1?X5U?qvap?+ye_G8(yb$(F39b9MT2Y9BZ z%`)nHTiZY9MfA^y_I?M4AJ)yi%^8>v4cyp)x7xlOlsPCJZw_DxGM@LZexpBXsoT1s zWua3=Ez9f4igd{INp$>RzIT%3*FCFk(<_?nZCN!YHRNZ=A9$fISyVI`B{~=46}JEU z#EMDku;Z|<_2&-22J>nsPTo`AJ`qhq;U zS;{s64^%7vjo6G5W$A6l6!MooHb9xD3a)$4a*h=Q`{zA9&W7#xC0l=O!q0Ln(ft=f z_3F@Nyn4##N9EgXO=`CDts1J%{81PG26ge4;($#8yB}-8TRrM>WxeVw`FHzrnLkP0 zo%VTD`0=MAb3!bW)%vyKy@B30;E=o1@3VXwpEs$8C%k+ixoUNQb9t=Ln%)`tC@-Yb zSZX@uxvBXc&ZVF7zV?dZy^`*twys{e&-KCvo%>_Yzg(V_-l(nQTOEfPt<+l`XXD2> zkp6jbPx%r1{p?n@E%@2EB?WNSE_L`aUUffeg-msh^R4!g4&A%+p{)#GJ6b&iM*t(_ za6YWI@8&i|o%Sf|wD(U?Ey1XqpAGWC`CH^X?jE9i0N~m3U4S=k_~eE$Do{1#DE25@ zwcp2V1%HK~MOxMc0}aajJ|ob=P=!4O3^cKdGEnP{(;Uj*-SSIxNWLTpl0q$wjhelA zv(N_gz~-@&da|ZAYSCuYn$B!wKR7?%v5Rg@s zMGPCHT!^o#VMgrY8!0f+VTuh1TQB6$0nvc_Nk6{1!YFk;p7;?wOCW?lYVx6+9(4zm z(r)XkI*|9oyTHD}fB;Jakx$EczbFXrd`y}QE;(KK6fSi~s)7+n{`e-2P@pb>fqncf z4=P#49we?lr-ma243!uuIOFfsM|WSi@zZR{C$11Xwht^EiUf%dcLRB}>H8O3BBbdl^-uD58Oxm=XnNU9i2hHRUUL;oh++%IYD7Lc#k3m99^*ga+JK=eVY%$@h!S@pxxO8Q<9#7H!d=8>v5?&420}$xNRDn3fhi$W%WD%t zGYr)TvJQa{Lx&$*hiHzJsV`ozR&~Om+9IZ4bqi3+MN)UM56M+<)rsg4u=+Ch8zBgoed0Z_($wS0UplUq2OAi z`4ox>&ZX={FFTUwg??@457$vz?;^oZy)gTz5qq5D$jL z&N{f%;Djk^I*0)xP-x;=d?KIQjD z-P(2gQIb8d=c3?qQD9Si#xgFpc->*O!aA)KHugt@K_Vsk$yC`5k+jF3sk4D`%GP&` z34Tkw0rEyW+{%`ZWHiTwaosPrSp;7nlx}A-w)||0&bSveeKJOD`RD_>)sonbk|kJP zbF3TJ{lf)|VD#O$3feN!u(_|Q5~upAVOKnYL~P!x8gbRF6+#^#BmP8lV#4A}+6D%i zm>B>+~v2JwM5_d#danv zb*th6Jmf@J0K{H%(t$Ysg3@0$W-O}LU3^NgOR38(+pap&-5iOlIp!--1e7WI*>bor%>v)&#P6j4@rg3N?xH9zxk9eO-7H5rC-XC_I)0a~4<}9fu=(ml$+YKP>0LT>2$lwu7=NOuq6MSJJk9THx09 zFJHCA*k$i}%_Uz)`uko3AwCN%9%r5MYB0-)ZYeL)*2_O@j#uGIb9?U;^6{#W0h~5z zmh$hnser3Vzn7Nmb2Rnx7p>+g?xics1oNkYNQuXVk8x&yMxrX89y7HlNj&Z=bsGK+ zI4mparnKIk1Ro7D=D!-C2oBlMHy|wiUdD}Md^JmfSrzd)E^@9nc=3n+72C#BiYJv3 zWXHj(KN-m$YYcw85e1eAWOcma@?l4 z;T<`+gb~+{ZS1p2c{lY^s^UCy?zPMt5~nYfzb1Ge*~~zcjNrTrK-ZxjXlYPy^0jb- z2k>X|M`Q$zPpoGx=bkaDr|pS%C9-*MhrCC!ERG)6*C@55Z`zngyWM5}+DWH+CBwxc z%f&LIoHU*H1k0FY2a6-MI+G$*vD!~x5u<9T`KTJ`xnmosg$@fmw zl|3yfjxwYEo*v8S# z`qY|4lXomwP?fTKPU}=Gv)>lv*z`y^{)s6)GUd|G9X-l0X`TCXq->MVtQ2Bk&6KJG zQEoJXl&*LjljNF`lWsIX|9-|-$r?xImIg5Szk|@fKJmr|0km7zRA!~Ohr~3cF<^@{ zV=UPJ12f9VbM+*jIcZD>rW6*{Z4Jdl*HtCcM_7YVPaveE1Q-rViiyOB-6tikrl!Y2=&=_;$#8vid`{|<_8d(}3Qk+AKED@Z1kLvDW@ev+bI<wFqj6O|j)74NlpR3lcR-a$pyLRxGj~VU;_4PSsgc>D zIV|TE=f)8_sid&>AU5Yl6uBQQ$?!`KVmYc?gdEWpGfFY@Nj3lyJ~HZpC%&C%B;!kXGn4ov5-H(koxaruMRyY7ihhKfI@^3T$rYH{N%7Y+gF=oHAt|fo~Ki zx<2_{mcS@ZW}Uc{JOII{$+;6Fo2otjR*B`zRi7B6D#hNPl-^!(ZdgQS;V&4u<%K`f z<#_V)>vziH;NdOVd;EN=he>aPTMQ2;-UhR@O6gce&aDc#V;hGxmr`jSPCNrg{83Ae zl-9PS2cToQaAX7@xBWMma9P}PMx4K&e!^1A;quSEmTOQ-E042wAt`ld%lvSPMar6^ zVV5mWqDNj#H!K#`e**?wom%! zh1Cx?HGA;-V^Ey^F$!-uEtDb(Z~s*-Xm5m-iEwWu1|6Vpn1uBOZwYBIrYEopbMX|} zr*cSZMUsJ01*ed#FokjXVH#=H-TF#b_|HTH)G=Psz%%W5Q8zM+cH$9rF>IbWo%{_GIy~}(hHhxL;x`u z2N1>mM9d!E;No^X7KQQf$00lciYO|Nb%&nq7MeSvz}q1;dh zV>Hna;9y%PVyL5sC>!=k6Zv@dBpHUf>pxd z-#lm3hCx`LY9UNx&3bAp@=DpI*UlF@9?@@_y#)E3P5MG+axIJ0+CFbrloev1*D1~l zDRSwrN&DOiwYB=x`tHt3OiNYAMulc>j_1>OT@$kz+B=l)`{KSlv-7SChNX2=g+-cH z1*|GFYA!5}-XXyJ{X3zxwKa}7Cc}i~m!oFBYSj(a2Ii%gqv;P(;wB)*1KpeuP{H&O zsqTP^h?}4warruHR_s$dIwl|%cMpP(S>$zXWuzHV92D}<)E9T@;?UNM9h#B(V|GL_ z059j`7%QrdH$p3-p8^ebF3@nR^w$ILGQREjzFWKq^Mwqt-XE$a{@^MZx9_xd| z_j!RYx+3~X@Awz6(Z=Z595P$a$G~gwoLx0`6 z!ndBnn^SpzSZ9taMm9OKQV$f|eVn|>>A|?2?|c7N*T3Jy5U}lLqd>eb0OJE*|*(EZtSSd9p`0rEb?RZme|+laZ%{lu9A` z9{Ajn(C0aB{AAW5m~u4MBGD+qln0U+{g0f>7kt0u;i_2aHm-+b;ShR@zKK2Upce^= z;k`{sO^=^I1a0j_Sl+X*Yk<$2L-zS=&(y5q*2r=j5iYfGT7ECb9sgo>&rk6=h7@9t z7bqo)%7^e;vC3F$zcE8T--XXUwk!LiFFFA?Xp&(;CctiYJo2SDpr{h$((6@bdn!*< zQh1aXqhccGKJv>X|YQ)P@wx99-o_<6{K_>Vf0~_(MS0zq0MQo z+p)-r6Os%tOeB_O9mTx97VbV!$LEsRKn1{o?Lj5 zC_YyZ{(|l{yZc@qP47~!2X|pd^Le8HT9kLP1T6s>3reMM_h-}7Up6@B0D&@6n@qV! zjbHNU1QrV*ZDw7Y$AZIPqc7IUxd7@ z7vPXnhaGvhBy4D+*rC{llH#y9%k9=1)h-^cMK#eGq4>BUBM6?+>-9m!n9C$uF}69M z@piJJM~>fdnhmMHv+zi!VJ^we2Dw9%1J7)ut^cFT)< z0SwoIvq7i@@Y*111Jq0I)5Rq?X}Z#%1p;O*c1oMawOq5GPuA8ZY7#Uqa;R_bGE9xj z0tbb=L??Hyl-;X24{nEms z@x1XTi@I4#8KG$Pohyw^n4PL=;P;fFxItLuo&p^Za9zEMg%OIxYC1SQ5nUr^$4p$$ zrJ=Rc#et5Cyj5Fkj%4D%qE%8tLwsXu!DX@e8W)B(8~A(=z59(`Gwu$mql;(`UAp!Aog2rJ^STzY|^fjC{beCX87I?8ZTMFBGmGZ?y6T=-n zC6w}!a;{xKxfqe`2QR==7XF6$XxKzW+Jjf0Y}W{-l}Be=m3v;{J)*(=ZTyimpd|FI{SQPK8J2=PH z(?Xl;%RZ~w+lO)-0k6PP>F}&XW<=^R@`e{~c-3Zp>)+BWp@`sdVot1b#12vXBGf` zkH+qQv=+Zak|)T-|IRw&-KI;Xx6+jHoIfh8yjC>2iY)$)sdk7P zzeqya%FN?&*KqDXAIKG`*;nyQc^g6aMo+CNGvZJ3Zb7#XMo#ZhW>}t)_U;(hFipO< z_CTs!<>=IfX59<0ure?Oha7qG(l61mtjZ8MZDxn(=zIu?E!;7_o&T`1<3*CIgolve zBRWF)cXpq$aa5)xXhO^Tr^Sh4^W8^JM5IO zs$F}YTKV=Odz#clb{0~5MgNU!dWx?fvqJtD8oZL?T77Nr>>Pvs_Ck@!fhILCWtSxu zUCXf)yZyEcKn^aY(7I`iuQeXG$LdF%@JdPFs*;mEb38z@sQW)4kr01Y64sWT#<1cz znYi`pmj5z=%t`rpoRqVEH*dg(UN(i#XkLiP&S0(>_v#CGXJ=JQ-`67Ivr$*M*+3id zPDJ$5%7DUAo$lK=ahFmh15ZE{P>v4Ynj6&6*ZW;zVL_XQ!@l_x___6e1hg}3`zZ0` zMmz>d0CxNfA#Gf@>$!4X6ucROnxAuS0x^R5d z8VM#p**@juR=8*ERheS*1Ext3-n%uptD_&@TMGQZyZ85yUQaD+Es%%@3LA1tmm+=M zm^HCCaYkX%5@J_2 zLzaBtQ!+yixT09a>qhnk_+lCx%Zv*17MrOVp%u)~Ow^54+3Za!6gVCxH5+h>%BWMk zhTrGpIS!2c%Q{QT^*%HI+OH3??Kzw1hqB&KmdPy1-Jp1s6{{sY`bKn)nI z&Y`s~C!+q0auU>y3*zv4RsQneE;==>_8H$Snoc-Xqo%C`p=dIIR1|jYz1_sKrGbI99Tv$=E*+2_({JN$uE2 zq_Jb!~F01s^m-8~R^B@fCRf z%y$O6fafn==D2=5E*N+;`2#j=vH_DoLf3on*;Ql8NGMQ*iK5f}gi)K_!QY@l21c9a zolqa3kdsOCp>3f^rT|wr(aY*!I*){vOTA4n$W6an1$gvwD85eTg*@FEl$GFKEs{AV zo`?%}v$Q~`QiyAQwFDyDtB%=((d>=>FQ`7!%7Yqg2!xZnu0NM1C>XRj=a@ur`%x>z zy&D~cwUAD_0I`Oz6a~9r%s@5`GV&#*=t!Ut8uR882G~K|0n95-R~0`^mxGKoE|wsN zMoS`ZdQh`?J(f#7h%wpC!Rh^aJRnXCw^1py)g3i@LsPu@!@aEhQY4Dm$iop+MMt)q z(L=Y$caw&+HftM&+LwJgkXM53p|7{WU)z`Wt~0E9KF+=)<(zMQaV01}rn^DV827$M z;3kk#o7I}FE0xvy@r;QBxzRFI45zM;RD!n7KnB1NTD@1|l;8$J?l{C=`g*7l4@Re~|Obp0zxV zOP>jueLXnpCbxah!A8ejBJHceM$pH&!cn(ndX+hD&nS;<;yIqMSC9_AT4z3>l;XcB zi^E?14$>b5I2%LDeA1smdS<1xwQ8~Umr6OnQD=I__+wn3tx7on>*&p%{yz8&+mLdsvLdHO0fKOyXvFK~h%Q_i$a zzr0^4nHql2U*|T4jG2DVzt#Dpds^>AS?Vpp3WxB6=l>Vwh)e6bY}X!C9cn#wKx&%K z_Dqcu+>}g72~DpZP^y7H3w#wp1#TjCZ305q+2WsuJaJ}%*C3WSB>y$q4WBc|rQ2N} z-SDRyUSp0!It@M##6oh_aSIiOodD$F0c;~~}P*P6TVYEjS2`jBffnnF3LW@T^) z`Ne(H<422MYuMs>Ved&P&Sv!G=ySS6l0AL{50U!X@z?BZjm8&irB}5i?6z(|m-Xe$pGoAUYWPPb;_>t`gGJ12cm$k)n zKWDw6bV*vElVJJ$SSg}RlGc2nm;QO-XvVXyLrQSG-p;G%*l8=p$B|E*+ug!h>^=z6 zF@qpM2}8IT89?<-m$=DFLZPzZfI6w>_I^*}pmA#`>%L9nV6=JleZ-Z0T$$wOj)$`W zr=`0)?$VyKtuJmwt*MFUQxj@B#tAxKBe2Z?4#P(Jm;hx6HWDK}VQhrn3_@TkBou*Q zYoxBUw!ZXIbMU^cB{_QF=Q&|kB2`b<e>#V7!+SDBqNrhFr)CIu(vZM$Z^_w{=F+aXKeSJ0qno>{z?EGz$;ZS>>;$hBW^KKwmfU+{{rWE ziG;7+Claq53wMDl{Oibx+Pm=VGu+$FzxrI}nHR=y>yRVA6=?)mL|juX9F(LWnl2T7 z@d(s3yvE2qGq*dnBb>b#IdRDk2Q#|QX9j_&P86a# z=F~h>u7RYq;YyXa;mQU6%7MQ|d8D&6zMsK2<^JfXFzjIb`&4<90{AZ2&!gO^(+pxj z69}6pG1CIejhY~$%XB6gTrB!nS2Hvvyg_OjRi4NNa5RXM)GCnFegJlO7Y4UKc*P&j zNNp2g`}woc${6v_CgCYxjx%)xHJjwKtBln{T>i zP0DKa9v)Q9+MT(5H+7#5CIMBC8U}NIGfgl067;D`D7vY*leem3G=8sL&vNl#1HHC( zq@tIcpZ_GTVQ@4)oKC2*iLGEu2dI{E!mE~myyp@;6JU!=&%14@FM44NdCOaV51(J= z4VJDwXGK<&(Mkj{SLHL4>oq@v^s@IPygy7WI;RG)%rd?Nh$^YAC`U%ccS0{yxq?BU zYVDnR93?aQZ=}x#N856aDD9lB^ga>5o9eh~aUdVSvu)`u-VY@V4O#y%ElHF&Ycng! zyy0SbGdf-4==_OkJt2k1-0>9uOrWZ>?LP14@)M&eP?ElQQ2)4B8togjmoW;q`WE-e zqld+J-5-%#X2npSJM{{u%wGOvD3gr)@_Y*ITXQ4WrzGgdk>Oj}fvKyGA5;^+ zF%fV%l>UJ5k+Js-v&GSTme0_LKq{0)A9R{E|4>k@EIi%D{rZVwp*V?by0?6PcgUtQP4aeKZX8nqHTlYPC(OX}J4 za(l8*KTlB<{E|EVvuJ!8upz$9tzhy9DC%kxM>+@AU`Du1TpEdYjzsPNah-@PKu6K! zKpK|&1(1dfF9OoA>qMOD)MUS=ZO6m;t_CZb41QNw0bN72gBSqRLCOTOMbMX?=p}Ni z^5Wz8T-Z|G#fhBRg}6Xm_65iq-O;k-n0UseC1q`YuUu4)=aso{MWJD$0Szs__eE)1 z(R9X-Bf>XJJq4~jt6zHLRW5?;`9;&nO&7FvE3l~A$e;5mLF)$Rv<-pkexN$upqU2W z>i8|SN|9iJzi8fqJCznAIk3K`hg?X|jI?K-1T}S9nX9bMRM2;Iu1YvefZf_c{Z&(< zuQTm>lZR#}nOSmR4gxnR9mlc191c&)XY&#mEb>XGLy-y)T@2E;aAzi%~{6fg?)4mFGfvYj}PU|{tfcPXRE~KOI zCsY;Cz>_8zH24!C4rnSxv<4)0JQAB)7=%z4IkP84ZW@hTRHmOeUB;sDz278S7#7uy zjFOqYVD%5cqH=~2GAaeq#=NoCOz23Z4qye=iQ1*XPdKK$6N_+=OG_?-(->4Z@(AoG zTj*;B99F)`=9V`SYc`}5PXyY9&PO^$b*zU23Q>t%` zImIGi;Z@^?G)4lM*i`D2Ixb33vNuf@hW4tmRdp}t=Jh>1cNY<93U6S=@GNFJ>Qj{g zhgcuT_z_V8ZLhuPfRupCc`UU0nN>ldvRPGr|GY_2wJ8aFugcJ@8rSj6v~skXwaVCR zp$MTJZ6AkUb9o`cUdg9HD1l$&KWJD2Zz|2w<*OCoEq{*BuM>|~h8TSouFYH7R2m9{ z7^Ii(uRF<`Wtfu4?^iW(6jm!G#*;4Xs>K0i^crxuVerOBiObH=3s;xn3D zN^sj2g|Sk)AW|6jo9PGRYc?eVl)?xov-*qArgW=DMJ3H3AB=VNslaWN?@XY8r$xm} z@5e|ED4RXjEnecVoR0Hf{L>Y)9s7+fDHjd5fYfa zVRa$rH~ahmJfIzY=cQ!W9sX-+IWPR0sF)Nzd$ZJ|CL<*$>aYxp0}Gc%*X%d>&i_&x zT(WySkg2BG#!g1OwYt3iRg~azN?IVuBvQ9cM>sTY9VQh)JRf>GW>HdN#5?A4P-Dca z6rq^C0$?!#EGJ0TD|`EmSZEyg4+T6vT{+~yMHs43`2$sSkZ2n^Z-`aNkClEGc)r-I z>oN5orB3|0sg_OsX31wfzCCIp14E;Bw;-VLRx6<}ofFh*NFaGlNP_=`YMJ*H)G6vy z4jCiOA?+be+^|6+e#xJ|u88Fe@_#nXZT;1L_?|nI^W(g=*(Q)?L$M0Di@yCt9>N2a z?}DXL0EuucV3dfOty>pJk4HKK4IGFU=)L+{M(ng)j=P_Ia2x^hPI>dWGIde`mJO(U z0V{!^QxR04B^65DG_5?&2<+}KuL_+O>^_z`9oikIFZhq*dXuce%0YR+Aj$*KIZ@xn34EI>X&jKU{Bh{C+W!3E zNBx}$+3de6K#B&~kQB9{8w^NQMJc1coS04Basgk^%Ywk~1v=ZDGK0etP%G*1Ef!_L z!G3I*A%UM0P#wjX6KN?D`@cZla?MP6<2Q&xIiFGpKVZO^b_8JCe~Jr_bAE?Eef1In#QoI$F@-E6Ao8e*Jz0O! z2$Fv~G%z6QiW=EeC`XNr-b0DKWhl`+^hurQU;@a>5ky1QHbg4Q=9R?iq`E+-G7hWu z7N}etQU;3B-xRfK92nmju5n4>yPssAJdxLUjgEC7b4kczH#Kt)O^HA5g|Vs|XPRz2 zsE%V+ldLFR6@!g4OtTl2L)+&dE^a6m`Hu$2r%8j&9LejHzvk z-vJ14Gzk!0c8M)4-2{M({!{GxH97bj=%XAa>L{3wT@duR0`TX5itu38#^0d-M2j|! zTJ>kJg3@!~&J8}^jTQ%-4pkxiXT@fgu4*ZE@*iA(hb0g>QO5+^Ps(!wkI*VqX8Q%| z&fh{gL2y6dq1P|teg~9)Vy}Nir6+&sqtcUq6JyVKif!lynrQwCl?0w;A%gZX#9@N$l=*d(`R^$6FDMI`(Z7^a15%Fw3z4>b2l!A zKT8ugYLGyTj8|1GO%;pNb|Kp82A&Zj>|z&3eWWGCEZri5m7915gra*P2zr&o9Lo?V zSX$QdQ=PgLrdz*mJD7GKt&)}O-H(CXRt~4XFAXILXw4C2oA8&Y@g1-6b*lCKS?l|v z&bPO8D*A~X#gPRmsTYOe>_+B10n}RD~E{8i`|K%h-ho z^wb`IcYt#8DQ_N$i8OP$uY&G+IL)7q2cZQVFC`u2co4lAUD-9DrE-X(f`Y*Vd zw*H3i!&e*Fpmqm=7nTCYnsmUl5hsXT&~PXT8Caye5M~H*z_@e#bMQq!Nat=Guu%3S z!PR8K(Mx)jD~Z_e?u3W$Xcj9(TMQiC3WlZ?l8PeeR79!1zr6K3pn`qsn2J%9pH`#% z^zR~4<$O13MmFa0Doqa{5?uWrs0UPez2NKPp~wcrdLu%q1yR(7K<_};+pES41(MN||Ed*2X61O^-B z!|Of=PM(v?H?!J?TW1Mn>4hWvw{-ZG(yo4jK#Tt4$)rVs!^qU1?H@Y*= ziu6MFb3%Cr>za z1Dzpw5QJ%uO!^wc_=Y!LGLpivuE{)|by`1gz76bqO4@MYTZ((jpsGU35THXFWF{-x2T?oUFSf_e`O}415YD-!)$o?e?xG1ArfSq6+El}Z>XFUG~Y?2vN$=mserLY_> zj3OZx!yULFOYS3_uNlZ_Obm$DD~mHUF!_B`c^;5hR1BJH?3}!M2rg(6vnnjnjhuAu zZeR*oH%8Q{A~5NET`sjtLq(co>&p!3BxXmL1QwSM$aQsDo_ddoATR~mIczL`fVv>~ zweC(`Kdg}NbjVKCF#VyGuj@_=Y~*)1r%pv1-- z@hu=4p*EvayT)<@MnW&~rnL<>BtQGJcE@MEGbOXv3!x&DsaAw@wZy})%vc~4mTIch zw@6zUffC=`oXA#je5!nB+lvfrHBAEImr2+~0{+bqn zX#Qh@lsf;X5A=5ctFpNXHDam6kf*580Hd@51EhMVRqeUow+wyW;`>Ohx_7@nFFby8 z*iCj`sWI{H$u7DurUKDWm|JS{ISkUKK%e*%zN}6Le6Xa`V(b@4vb@O8VX#{1)SGB-JoU8 zSJl?`?jL$5%^{X;VZ@Xf8Hbagi8AM|1eJg)v*0{8p;o#Cd7)m7$5a`uCr_Gon!rru z^VajD(I0chEFWsx1i069Mb>@6X|uemi49q--lgCX@J5PLQf9R6$&lddSe*&rLxCAs zNhKX~9@0{8D*$)1!cb^frl2RrB?#;n#3gI+tw3=C!BM?alYJX z(z{eP3gC^pwDIo){>62^86>{Xd<36gZ5WRLVD5Ww_yxi&g9+V)0G7I82f#9W^}wtT za6;R@Q$6i*K=6i}P|bcl>x6UQ%6e%>ZGBgxL?%)XlU*N^y}rO3Lm+7(A_QNDJ*c4& zF$-Ins)S28{IKQ&=BP~?0*5(Otod-YJ&(K1uf(xNaf;+5KZ?7Er^0(p5bn@xtKRe( z^E1=jKO=OEqgB1e2dY@BvMOsm;;6#V<;Tf4wD?c9B}`9DB$f>o`_PtKp;&}{E6$pL zi2W3c&`t*j&WU-1rcMG>oGxIBp#51Bo1hk7STR^$+9SxLqnyWZR8Mhr!eHb>c6)y z4;>#-US?MV5ir&0szQA4b$u5u)x)On=F4(3=~vCg=UOxsnJJHoyG^CrQq>o)iKWNM zKnj~ST?Uq0(R=ffGsbY^OB8Di`Nzh=V2rBSSD$Za9Je~?75l*K0hl1o%+uTY=eXP9GaqX@@`UFN zN-;A|)ovvr{ChtYgCUc*Yy$DeE{b!bP!(-uz$TqN9zy2mt2h#wHE2HOz$7VK!j@2_ zAa1-Cy07YCXcHr{Gfax&CqgZ_(}~@fhv*XQUlo>$)xY9lHid_Er|Oeb zq#`#}4;SgD(s1Q>n5p#!H+`qKy1=YZCIv-|GI>LH5>rcq(gXAt^CevJPi8|Y%W>@p zn2?{*7ZB*){Uuu79~=+Su)J8o#EN~?4l8I_rS#3zEG#Qo&1mSKFB746%9KX9E<>Sa z4YW9g@V&GFO3GExa0NZ|f8pVa2I;D^HQ{1u(=;jrzs*E?>bE6mtL}+epzEIPW$OMPw!S&Kk|$_% zqm4G%*tTsuyRmI=tQ*_5jg4(58{4*RCpWptm*4yAo%g*t)jd6RrZF>hx~I@pYTuN* zfaHnjUxAx_Moplo^w)BeEqv7qK$;jkMD?e-SWeFPv;MO=voW(Nvje3}V^JuiwPj*& z4Jen>QjLPNvmcfJU1V1!;IPx@rfOChgH-_N44Pt~Dwpc~7I`pgwT8h@n$ATG!2U~; z+=m!C{~p1rpY6K>&*^pK?R9r}`T+q}i!Foo9d^*QeYb+vmN>|r1P?SfX+(Z{Pz0us zGZp{#Q@(kilc@-cpt~)3x^7nda}sYq*MrJ&pVNJ&xw*>gxKiEG6?Uysi32RKoMV-E zN9h_LQjJy9O(q);wK^VPsmBoRk13kyaHmAkN+vlRwz5>?=?)dq=^nyW29ADUU9qLmtO&v`hr%JdgSXa7KCEQ80ijk?y zmKeDjJd|BtKzVQgr@Zi@NH1q=C*1!CkQ*_8hV|yl1Uwnrx(NG3S1gcUGCr-i zmL>3)qOwN1Fj>Xd0Ep(6(A1J2VEyu>-UZ&_%LlF-yoHlrysH^Y@i;rNEg6QRg$v3Z zxNY5(7j$B9x3Jh#_d_@(CzIPuw=pVfSz0Qc;u_595`#dq0p(_AKQUAU)mOu(K~SnL zd#t{~$=N5J_PI~ebce;6Wz(XTgZ5z*XD>gqEHGaN|`dSY`%o0m)Q7NxYB42PkWTs>*_O@>j6sn0+)g(n}2kxLTJrW)r_ zq;M(M-?ESj%a(y`=S53Y71Qt?!;D3E5UTxfwy-U5az)9WOr*-?R-AGw=sZyooL@;o zIwzFI6k8dUIKf87==7=VLh&#RNfB zjcPhl0`!kdH?hr3Uo$IiPA{`Dv;1GhKjZ_%3G2ngcqDB$IN+?zLF6T*TP*kxsi&o_ zGQ*>$JdO zxNU!MQ0&BLON#$U8lni}E6-0Xu(7gF%E+>Q6~AIJ&-)peg*|RNf?9Mw>6dfOh$zTN zG<-AsP5u{Xz|Ic2TJQ5V-=^`9p!A4zM6HsNG)m_P;)rySElC@7%@~eiM3V-1+chuA&Jf-e)z5Ow<2*l4+eiAl&SAGtHdu?J7>LA)5UsSna^+lDt^`b#aBXq$NN_p~r>7`+L@$;(@tNgi_ z?i7DXgE+*dRHv` z9?SZRoieWdsu9~8qOiyJ9arQFnPu^#IYbDOT+Z0OfSGIdziT;XTf2fQCPh~Za*If7kRJg zrormFkE!*Gj1k_AK%wwU`tMr}6`}IEo4yt^N`cGez>+j?V3A}~hl+P-w z7e{52wk%rdFSSyIb%R?ursILIrc*$3+;9wyv1o0B?Mk^{G{4)zG299O`59>smm()e zsFvxHs{%JXe3j8vP`c{{LlTqmXiwr6-bJ0jB}qq28o{#DX$7Z;AppRW=b-dpvB65Z zEf;sni+!B5+Q*V}eSGq=OK_mE?%m4xH{?@IhA5J)gK%OVfdrBYXbEC8U<&-a6qJ=W39*h2kH%|{J-v*XTD=4!1()B--#ict+%_X&8Tk2RVRhwIpOE1ER*iypz$ zUnQUFOO|6h^Ll{-dJ2T1Sw@UCueZ-Z+k7DLtqE~dK(xjMgVqe%Tn(8Y+)#Pd*%*? zT^&$56WOcqK6l=Gv%*urx4Z?g%h~jNc`$b+=yd&DJ0jZmJRRVlCX9aC=bUdC=as+4 zvm2nR`J?9Qd%N(lGte*=?s+9y>#2T!zx5ckbTpayI4MA`>C@)!Vq+}pOe|kH09(xo z0%%p>lH#Emd)d)%{*KVw2&{L0mg7eqo7*&2WLd4oUzs!1>v38(%jEY=^?6$G z`To<1^7m(j>#5|M-mAvm6+5*QggjTJN9T9`VZdU8ex_Av$CeOtBDzyuop?z_w6K5W z);`=z>jJES4Z`Mq<`S!y+S%nBRV1pQo{iW>g;RB#f+TDV{fkP)Ka57=&DPik(yv%v z-Pxmc4~mQ8W9rQUFSCIaCC@%Gf=6dEpjpR&qmlK3)}-Hs3=>iJzg2Ahn?|KS49kRne>Wn&Cc(fbl*m#6uFs z8nmyu9DDsa67p6A$?9$YdU7`Ft-U^Bs{0BYN!Q`;G#~sCn5Mn)$ea4pXO|Ui2yoP4 zbS^bPrA5k@0(dgk(C+fE$Tsn8J7uVfv}W|4Lr>MaKY5CC-|n1vFn4jMD^P6@y(zgr z0gEDRQH)(***6=d%RWv1O<|>TxUx$#jLztI;nO|)GnZMwYv|=>5_n@Muh=2fo;=jD z#J%fr-Yb7W-^ISw;qk(%-BZY}B^^Tfd}M?FE%VvTU~9I)~t`ogOkS*lD z77l5)!58k%Rn3L```te6zr%>L$ajrYAc@AQfI5y8g%BuGjG> z2>P53(hk^VPxAD9@f~fn7%Xy2yV>Oe{=uQzHyjbnpY1^{k%(~O_zv4qzv)N~&er*Gp`j$OytMNl^W&N5o$js#F zf{e1C;og%9Dwiw{tU&z0|VYe0tx7>6t7bU7yDML>_9n?`DhHDr}K* zwt`b4PyQhRK%`jeA}BzZk}XsCv#+p92-BZ*VQjh1i@a1s2b@fcf=plP0Zw}vugf2J zMi$pGv(+YWW_S5Wqopo{UbSf|elBv?3*x=zW0k+PY^K$mbRoAoRj*&NC%3xS9yNni zc%`Z9k=j(fs$Nq2JQ;S)+3TFM zh+NgFs?i3Za#ry?miRRN*=Ix+wyXv%Z#FTUC#N=j)V4;}cj%2Eq@bpU+-g93}~t*{{ z;8ed<&WHf2CXi?4{&B=~-6Zq!Y-jUs!3k3R&Y+8ZxvA$wz+zFoiP&-e(YH3uqKXVoV*w-(g>N&wjQ?`g72W4&{**5s?pavtDH^@? z>N>EI^4&A;334t+XbpA5D!_%OjhSsZFB-OSx5kdqQ#XJE&Z5xo|H+(5|E=qYUXp0& zRP48WEonU1H^d8~Ti5&^4_kqhSACZhamYV=-UoRQN*`X$$oaO!(GtR@76j*PgZ3u~~G=rt@*=!hMkfWN>Obvh*(1)$CJpO&6OQG-sdxbyVG% z!ABg#DQ{z;q_bxGsQMRsw?Y8ox46nZwq28D89N zmvS3viK85mXkG_2H^U$P(;_zRg8%Mc!UhI=N%4Cr@e&+NzVT*F#t zZE56;fzpuWq}U*Cw7BF2-Ap^Qh77I1FfS}3gP8aX2*?RVGiZPAm^)rAr$)BqqJJ#- z!!-X+IXC5T(krp~NDxYVqb8K@((1=_P+$pZ?tlGdZL*!=#x0PH#&s1wy0aUV&3dH~ zhTv~ynT6nMm0kKWG<)888mr6?4-OmC0nVmmS6waOi?@Bn6NFpe6RrlpV* zah_Xh$5^sPPNH~C8j*dHon94E_ZPv-$+MNmMIZAdBo}`PMO`V8dYZMJa+mv(p`nk? zE%77wzV0CJE3a=V^6)Hyx2qBNSK zG&`@EqIWKSgTh2iS?BUdSuNWNm&-jtDem`t`3)ZIc7iQ%hvpWctW19{PO_=!@OzWe zy3Ieb&A!5^B7ho%+cQmu-Fa5{{G{OJzQFCGTzTfFHq9h zk#C`7G1w`w=3)!|#{dxB{fz#p&X_2$5FJrrzsz9v$FN+usg2-fN1h$_4}%QmSxjzA zz8O&kb{350y%U8G_Gfyo*374csyODK++eGeMg8O}8dr6JDBz+zAdGj71B&WW?LEPk z-S&urN%;0k03+u1_kB+prrU@XdW7gW!K50PKJ8y^IZ)M)^p<6;I_r%a3cC*6qQ$y5 zMYI=|f)&*xEDCo$EHGn3tdM_9!5vj=%e$8!)68f2A~u`T9VHboE@6j9`8AnA`|Iit zoXdM*aqg(}bs-A+d+Micb+7Sq$0OaX>n2bz4MBWCsZp=lKO|l79dP@z0L%BA&DYab zQAt~~A*#!JokBD5BEPXL`xF+ASy1$AsDDDd^-gQh5!_3WoUH4*_6$#ik5qD@pIrE? z>l-h9HvaGLuT+^2#g9GNk!NzVez6a%)Lw1Qww6*AvNaJ z^NMh5^lv2#u;hfzi54{*f0KjmUGu{n{B4ppIGkFy@0HWb&~WZ5ZzHV}D&lahg0!P@ zv!gQOZ_LUv4Wh3{I^b_j7d$;B?)$Mcxe@(s%dDr~ia+OZpd#$ndV`O33JO zuN^+|V^h&sy=77Ujq5ui@*xj00mjo2l2pki73p&PQ*n+xdyH+iy+V$?RgQg#oCa5u z>K~V#Cy8+FWE|g{2^-tRwrDo}Y_osMNT@feZ&TVWaeWo^PpuYN>;#?lnPxyT%D+cF zT70r$Y8B;F%yUyYCl8s}EuO(5j1QtuCnuqM#i90>K_^vYPv%CKdg@ONNI{bM4)!ZG z=Zkx#VaFa#sRAOZ=C!1|14`dh8Cq3(O)2R1EmlLv#dOq+LZ}$_9k8Ru&2-H63!qYO zTQ9C#G9MU%1@_yX6CViBHGT<`8+p&`6ka2E+nmq^4&N)a^$Zm~UOYuOFrT2n^ zq&E6pFzniOZ7_#Y8K%k;YEZvv+wVx@Y8ui>ZI{ zCyqrhTj}QdNl_YIYrd~^>X+DVvkMm)i>q{AgY{{e_qoBB)B+ai|Q8(+I+IhZoyoUOe4mDLJGwU6)mT>B?dg`|8&1Y8#c*dPB&!bvIe@MBHuucCdtXY9W2ZMsC!VJLcGs3XBGM&Jj>)>NqC3V z4;BGWa~?Z6x%_872r{1h$r$Tw{N7T!FPnPFn2ZUwrdtNb`RS-X{9o^fVlXPkqw9Yj zGk8J9EvU0=pM1;$8#c4$n8NBgzV5{ z;%S$l&J&{Yh1Ru;C&ShSl{bhdz?{mv?ssb2OU)9KH@sDP=kZ?epc7uVCfZ|&d})H8 zGP{524Ns?VVvHi_mUQ`5>TwNJA8khNBvQvfvsZd>#xZiY!`NE1vjrHNP zYyU{Wx?zx`SlXYgsg8Q#HQ0+n{^c*>bc*p&8b(L3%eF78W-WVMg6BHHq}3DSY^m<< zo}PxZ*8e*d3OtbO)>7fxF2LK$P~D`=a80Gu^C8(^4Gf5WSgI@XIYk}gxaQ4N&A)Bw zi5^%SuweJ&pb`liZ!{~}AoFZ$)50a>zM3Q^6#-)gl*cH5EGEBEw!16T>PIcBn^d); zo0NVpr}dBU?9^eg{%j=k_3l4dIr@#|+pA+9H7rz+HMVTE25%-I5_C8}d3^r$hc!K# zRurXJ$!R8QbnxY1YMd*t}f>_R$ z6&}|a3w>%>&eTc0*h$!bXwfHIlj=;UjR(Tu^z%iHGu!?^5%m%4x-1tWVV;#baL{E5 zp)Sm3VOYZh-oJ+3_tpcjXwR>_jcz-Oqhu!>2%J-f9!;~pOjMZ!DEDJ*7Wr%NeC{@c zOdvm0*yJED#eT^IgK4W~N8pqTX%Fl()w|N%Ewc@=JGuqd>U;HG8yCBJlC7Q#Btf=b-klzV05d*doIko#EIDeIct zUh$DC{=LxXNdTYD*UMgRotLa6LPX7LkY%jBG_gRYOxAeTuHqV z>fRiaTq{kT;@{`=_QWzM{{9$V_~+4dzxeYy`|dV30wF7cy0Q-DJ?z%$s%jeTd8t0k z(+Yo}Yto7D?qVf%$`=vI9?2g90WWIDPns;V{OL=`L`eJ%arc*23x~{9M!I+-anTT_Dwjy6>*@cDc@+RTH*-c#TwcY4N81 z*HW%RD%YP23QRYj-N-DPLK2L9g|JmAMxotVa$@-DT3#+~lzDZ}4j1WZ2+7#fy1Dcp zLEY>I)qq3x>!uUwjy9x*7yUu#ye z+xD&NR!dLzlSBMFh&$HmqwU?JU3k4U47bV@rJ8S98M35=JRScySEWte&V!yWg0wwk ziS#phJpwCgY^pVl33K5&3!jdovt1ELgM2haZCUAYE|`=IzuS%`GsZTF3mwy`v|3!4 zO}TyPfLgYsCi#QNUQW0LT5qhNCCP0t(s}fraEP?X^%af&dYfG&EFi`Vl9%Qn`?M?y z1~L%m?$Aw_D3?3Hu6fo2E4Cn(-o`v?wDx^Fczvbny8}~JPW}i_!*Q|wa{{kMxrzR_ zy%*7WTfNE-Y~DHELoEccC%wCenhtxoCZnFgePrCl>|Q=h8gmUmcfpo=bjFqq=)Ig| zZ&WV-v%JTpt#{o1ZJygvkkkb4O7NP_bc#n4Y5V12H;%nMxla}?RvT3LSpNs}fc_Fx zKK8u+7P*uwf_!36%_jHWh1oXHu*{lKya|MRo^9>0?9v)#gUvLYvOIFpjzNpv-inoy8z+Rr}?*x_CYd-p179x~;4-$gQ4rwM?ch3U2W^ z^!<*Fl{DwO7)8qY?2Snmcbi_1u73wvC<|?;W#V&P-e;=~ z8u_Ijz<%#eaIlo?X<%0Bzt}t0QX6A6)ih8eK-agf@H%x)554 z8rciYGH4)WsMa7#b>g+&hR*bNMYgtx>D7jXe#4Bs={_tH49=h)JP~vyZ?LWH zL$6Ge6=c&u_-lOUcBH&z*)}m#%TMe6vOoPc#(-60<0?`b_N6Bb=lVjohac>9DuYEe@jC#-Le&UI*JUHJ80e?}3sBF3kz{N$PQ72tRkKS0Ar@OCAx(i44 zb$U#Ngkc%I;&1pwwI15|?4fEr%zJMzAb0<+-d2^>EVq9eVJz|-7`Sxsq{>E?;}~OZ zHO7kuamHdPL8mwvhG*w&XwK@b`8m+YoKJ3BW4>6koPA&TFUr)}cFBmeV>==-+;8|Eb26_l%wm zZJqm-HjY3(aYO*`^v9lP0_r&hSvkC$rF=tAknL+qTE7etCi7{EXoRPdY}50>MTi3g zS@+L6{WRpE`A;6F?ArX^PhtIN)M0o;OKaR^ZpzluFICGnCG3a!<>n9?+z3#uTVvJfmDznVpE)+AiC zp4SLS*tAprEVxQ=iLusmk(Wd}_|EIJ;AGpnUg$3OwxHfnQi6Py06S}e+&|69!&+ro z56d`g`n-%*+#8o6xILAE({Q(qZzINBwSZ8>ec2l9FRCh`(d5=6i@poo zYFaJJ2VRWHlUXyKOB6HS8)#Aayas%^LXFff?qpK|Jt_ZkhZPp7|904E4e2?{hZVoM zS40AYnsRq&B7J8;{(e#+8}yo#pyN?OVQQ84_6c-0_*4=3S0)yKU@qkMe7PxR@~B)H zlt|A-Z0uQph5G7ddI$G0d9y{C*xw*#c7y|HEB0U0JK4i$diBff%6Jf`tCk9+cYF{N6%PZU(5XN}y2U3nei5myi1dA9{p zJ-+urE#F*`bW+%My@&PXV^PQcqdNRM8WZ~W;~VVEQ!8C04F9Ea(Ltqj?aN^JkQ3hVg z(%jJx*ld1s=5D*mi8D4mNqLr#Ck$)|Gcd9>x~_phnGBvlvmCZ3w{&L?X9NuTLyz99 z2)&qR`_Ko<3^TB4G-yMukU^q&*fk7t)dA%Ecdhs#Vc*E#xSQ0qk-d3Yf)WJ8$M8>Q zd4W8SzZBrpR zws{N}p->ThN)qM1bZt$2w;nZFse@{1HZ<5{x=(U!j0XSnJtFD&NFyrCx z{~Wh=T1!ZaSD36lAQPRRxRNjj=QhMx+l)zfc8^C2Ct+0`Ua>fsSuqE9DkMms#Gs(0 zAo|;;c~%cai#9uSd6U}z5cXPK z`IN<8C>A{2ayF1mLHArA&YWu@1xaDR$0!bt%9WGKA`DA}WLY#`?T9vTc_ktNalk9Y z0a0gGGR_s#_zw1p62bpik;Tw zzlxs*nw8vp%i~&mc4CoTn-;A$|Fs}JHhuPqg-R?`IjKKP{sHif{)3Y?;ZMfl0kh8r5)Zj|6{dx*|34Hs>mO&P+P$xHKTmUgZ$ewHL5KRF%Nl`qOy7^p{fE!iZ>{&Uk}aUS!liP$I9tvJJ8Yl z#e_6II}lhU_}}xqetvYoUR`*a+cBzoKkYL5f-(z}K7gU-$CD7?zUNE69#w~bj_fvp zu{9u{{_%+(_w(%*H_m-dTTmv(wfXzkI5+n$qk0_9x0~HEZZlgxbhVTC_8YW6cbf>a zyi1nYZkFvpXLpy^KF>WL+uOVo#V)VC+g>jvgjA8dINkNFf=rQ~=NGuog1{X{ftN$O zsUB~iCwgf`?&i|aYyLK0_m9czZ13SMuH#I;x7q&jdrm+6RKW2zaA`GD{b!ZMGhq%P z{M)ASJ$G}#({#7+!pF^}T~3$JVU5TAOO4g%vbMRoolej5f(mfaF3bD!%TnaN#`o>w z;^mX{QQzzB>)i`_T=xdvFZXypHFGYXe_4jSzqC3Ee6HOx-xfCPZ%?3mKI}41PwNSK z+Bkg-)#XVeOzZOsY(~0t0stRJu2Wlt}uj~RMLxAxep)$lRH%Whq;cMpBva<{L`mefW9$<%g+ zVJ5Zn2Y~UQB`>b#v#Wv7FCYi>GPOTG`Ks>g_QbD{DENM9%#Eqay#v>M`#kOQ3oeWB zEu#x*@nrgS>Xuu#J%0QV_&(V(-F+JIsPFw&nygT*pMwv;kKh*+{IHd!T&g&o4>y%Og~@xeCUu=DzJ2=!^X&#wPno)@5gMQB+c&JQ^!*F#Y-i8lW($Tzb-b)$ z?WV@eB`!krb#R+-oBVfX;bLN{(!HTG`N{qqfR2WiQ~-My9ETgc%s=f8ues~rUJdzb zneia1m`5&KBaG02W=`ZV;rLQ+1KvtS-++wP8>URnf2bN16yGdbgsMcQhE&Dng^PeD zhA^EGNm|Rb#sOO6`Eyrxp%yisA4?-Jf}n&qL^9Lsy?2uqQ2i6e<)K>p$!_&abr`e)H`4`c=)^e(GMg9 z=4qeIrUgmmH<1#gP`*a8&1mOkE9wr{?t&L@Pvig`aX%nQR+Jl=0C8Rv%2o5Emsl&F zClA$$znyOoQ<cayI+R{yKTPs z&WFKf=EQJTDbfnaqv5yvHE$mL0pgSX+qq857i-8Ex6glBy4Y{YRHQjL2+b(^whG=h zyI}$mL*82(I3EaXkI|#fqkwm~x4<%)D23BgQR0d@bUxGrlQ$u6zacqS`pu;fOaNaj z4oE8ZGTE!mJm`6xU#+@a6g3Y?P!MHtML7k`|CvD6ohsrH!v+u4f~;QVMa&qdQ*g3h z{xt$q5U#YJ;K)E|rTtmOKEgH^eHttj?&~CR!d94R-Cl#Riy&@sCec`@O?T)LVgkc& zp~n2}B6CV;N5{|bXmz{SV@Dp-LG^Q)xpf(%WsU|ag0)8(J?ylp%OpLQY>>>LhJjpMsLsB4kV{W~haklS7;{e6p%EZ`&IaP(gF2L(MK-arO@dt3^e~rgM_k z(APgca+ri-SA`dcJ$v9sl3|ZR5mK^`-HT-D7i8?>ZAL_P1o6iTr4Dhw5}C z?o*5NFwBYwe0XaYjl$tA-SxL3BQ3crv<6`qP{v0%lY4}b&!v*nmK$}Xc1MKriJxt^ z(JLv;yh+>38xW*hnY1yx`K!i{_o*Ifp!>gkSv^uB9l63*n3HGC=g#D@l-SGn=hH4j z(P>6iER!jbYTp!OC%!E~t}Q~*)d2wmL~{G=qqH8ozQFrCV^l{y{RpK8suUj;JWYlk zaQfyE0%w9HnS|D!cpJg6MGt)K#+e*|eO$ZGmd)q$F`#Dg%9!tjB?nTs$;&B@CtR=z z;I7>v3TLt*n|x?teOV1SZIEuoKeZnXT-HTL-(O^>e69@9+M?}6mbu|tutrq zc_P}m(DG%2E)M1$G$_vL$Ib2_88gtI7)bl5al~d zg2!g%#{HB=cSk$;bksXQs+klF*ZR3N7Xc3srMT#k?*laRr{#NVM%R`Vw}PgjAja-K zWCQI;B2t@T*0N_S86k<~r$jsD!ZTHR*JLI*AE<{}J@!%4yFT}O*~E8V?2Y%rX>M^1 zMKnb^UscWl6EznZnG#QP5u>wXJ~ss|7_4j3NTYD@vi&HSZ&HLef7;`{?VT!w zf7%Rgkt8f!eT0VMEiBPHGt2gUo0KL{>Nt)j_HkC;b0i&`Vedl<4y~2lb9CgjW^u?E z#nv`JQXwgmjiySif(A&0ZSLC3<5a<~`|bFV7Msob=1dFXVZfb5_bfm1bt#~&*fpNc zx6aKNf9s*`Q#x1*{&luukpjk5qd4{MG=PWkK!+GE@un@^QmmBc%uIj|7F>Csx3fxR z0Pwqmg`S0JCKhUo`W;9Okwe#Sr&3x}wK-j5wK<_O_ln#5&27`j$+96?Q)*c9$e52f zII%Y$0osJ?%~n)x!+2nK<*nqWHC?Sno1mjfWQM)9tPNv+^gZ#|U zk8j)6@DPLIQNE=TqGhcorfB&_r?mc7JkbHaO0Xh?Ml}8{$B%NZT}Oz!NKZgH|FO+V zAeeD%a^*kh$&$a_O_AmC8?RIzMuoN0`v#KL0c%2NOvRbb4#q-h(-)S1in9^r<%k&n zPNI9WeXmKisnED2P8W+);n+RoZ(fX?8t>QGT5^I`gEY|J-OOdhAj7*Sv!NV*)d%2O zMFGd}a-`E_4ytj;7vY=l%rtF&Iz^s+fsf~=8JQ7xepSEuX}S_OOU_&tk>VfY@TyRN zKQ))AtmI>4&Gq)tBp@2OcOCy%R0GTgVjEK+f)hmQbQ?H?g=DDvAh?RMhCiwR?Ekxp z&*OsH{e3LhOg34w$efXwm5y6TYVy=b<&jv$-sW3YELbE8;aT(EQnK&=Q9sO-#}-iD^LDZVh_z$ z5#Ld6g{)K`?ZbBi4&UpJCde3s>pYTEtXAT%92`4^ zRKRn6;{h))#b>JtfG|sHHm6qpw^o1&r4nrdo>|@veoJA{h?-dXskTK5pV}})EZ#Ov5rJiBJG`CHTZ%r$ z1O1s?410l)_NL5wnbE-QC>c@oxW5%8R!5uPWJ~bU3y(B3i9!v8s(Fs8w*XsVB0=l* zig~A3>oKihYjX_fz4zp-cun0Sh-GYyUoF|03D-jGP4H0oJoj@T14DNtx~Yzh5?&<% zn07zb4drOn0N1?AIHm;=D63F})_qx-gkp)eeGjVUxPZXFk@WZgS(QnFP8mS3^lIR2 zp}0d1fkoH4;7&<~NBnm}I7YBeGv)l~T>1&bIV?Vv?AUDF@=Use`e!KK;pO$ya*Oco zi~J}ngITl(P@K1W%;;cG(T4&tTIOCcvDkxJ&@+)}9JSP)fR&-YmA&E@-KN4*&HM!& z!(ZI+nf0apw1CQW5n~Gs{PmO4-1e~F^EB670?N?0&XUmi?-9M?CTVX?8YJ8&mbsQ&Xu63_(TwtpRE)oft~le4z`c~PjK z$+8&s51^M71bjE0!{?Bc?uAyGr+x1HF-8q*VtgGQZ*K6z$GBlCH}aRsJ=$SRnw0;I zTBJUXO)Nk=XOm`?;|3GkWuK4%Z0OL-?!ct5SEz5d434(t>=7HyU1BZ+@W$K%=E`By z%av9}auc$j`X>VU>e-n|RiJXS)~L&I)A>lv*@&)VWBjqrcrwP^G7G9;wT$A`|i|4|-F%n(FjH1u=VDdp5d}?Mn+Lcm~ z)$P?-rs1uM0KqF}5=_sq1N>VwVs@Mi+Dvmc?F6rdOgHP5@^lVT#b|6sXzdTFYeHg) zBxf_s6PR#>JfzeVFE1NO0Y^P0<>=V@Pn-@$X~b4el>nr06{(mnN1G@@)zHJF?T9}Z z@8`6(EN0pnEh)tm=iJ~nvU;@Z!r22=9&A*$>97nqIh|OR*Tu-;-rP+d8hHos)*uDZ zab%W`9xAeXkV9)bAAxn}XR;>!U7v|}n8p>D2*hYCg+fwrjy@G(tOhD`%hxyXS(l;p zIQu`y4usenBI}+HaNWGP(=bd#IyX`*m&PPgXxt_UvTGJq;UETHfjtsH1gfb>#{>m; zaY-&&kf@XNvn;~z#=|OEqN|(f6jPCYvUv54; zIV>+fR85=Z+ze34tmXW?vk$4j0bb>_Qi~1hnSaCcu1DoY5H40o`Ngp|pl|2#YTsjn zBGk&a9X*Z|2TI?N>_kpr0XGq<`=mv|;W0-|4iO%F&Nm^RS6*bs`Y;B(W=&W--UKP# zB>z{ju!F2n^eFLN%FM-F)oh2`@7nn7cI*f67*(&Q+2r@6?OP+U&W@l@0C{)ziH=Gy zgdZjKny6)dl3FBRcv*rdqU>J{AxiyMx1(7>4?4_&z-72~pDX7GK;flrm* zQpouIUumKPZ1j-ky184AzqR?sh7%pgP|RI_6VjRM_bQmJ^=3lmaI1R|GbN-`IVhp- z!XBxW{b9)O@+EgH>Ol-k-6=D7< zOT-~%Qg1|EU4%)cHZDzo0wsC#x>SYcd9cR z8jt*Nu?>A&eu3$onCb32Sw386G#C{=Q4Xme3W<@TdY)xMn;{g;`T+3G+F8vM0Vn#9 zRh^5G16HHyPDf6I(oq(`xB72FOXWExA;4PyHNLx$TvV@KiJE9R!DB+sq^bS>Gz81u zV7r%SuE4M>4!}gz=5Dr=r>sHVBr-cfozUk{cez=VFM-gcW@@N~ za$~7XoM*q|GquWS{qgj7w>z-R3}e8+pb6sr#M&a(ljb4pI#)bmBiNzzJ^SrVTC`qo z=>w5ob$5y=2mT1wv=HP0%cfA>P5Qih|BheZ&lW@n8ey?M)xeXnHW=}ZGR`H%RL6Vd zu5>_8W7Wlqv6Bk%^o!;1h86Iai(4Q>;pL#~Pb6X2L*o*4dFpZ4gY#^JD`-J4Rq`&jW{;R9qoUm;|Bk{RP(3RbfBENk(e?!lT4V+ zAzM4-OCtpW#zMom*t=3vGXJo^buJh)wwpg|uwNub3lKU1d!;p@1Yeb|@&>^DHP4DL z-PAr~9O7z!x@!MU>g!OScJ@txKQ=L;@VBd6(yxcqMuF|{+SHM)P*jn>(1z;k&{9i= z(}1hyNn++>@rSxsd~lTK{1+V58TnQ>mOVz+BJ@!y4Qjwl=VivK_WndE`XTJp1$5Rq zY!x&IBwwt=MaSD{bwrz@2{qiHu|?9_MH9LqirpeHLb836KxUshv zJ~q0sA-$6J-aW4>*~R;9cnLX$l5D0ypj^!{uBmlSpfJI_UzVuMq-vhI-;759br*wU zr7EfILW9*BG}df{3D?7H^qEuA%XUFKN$o1z!qO=;e^PN-IVd8 z({YSMI}F4_AjUb2BX7d-@ez%Uc~Q~*y!v~S+;CAN-$X2LWj`z#y}h5d6=H==u=*eS z63K|5kEBte_sI!T#Iw!rAaxGl<6lVQ4m1M_!VA)J+rkNFe{oV}d*I{od&eg_z4(nr z2S>JWQE9uvYU$Q#!!&r?(3qIBRSk+eXSXOA)q5kP9Qjk=r9MzD)%6>3&fLqQhxpIa z=3ei^U)cPwDU3Bl+`WaXf1(|ASohZP7d7q?5sR2@N$6oB)LOoODX|8(fu4 z7PR&JO`nj^8@5Nr+V(>;kYN#v8x{R>-E};N-{2Ry^|}e#fYb}xxi%+N!cC_8wGl?f zMc>+%Cmd7xzYH`K#8~}&uV(g$90q89l1u0?kuP!6+>`WK9U&Ms&4#rG+aB{-=zy42ol0yYS%d zu0etY_rcvAW^i{2?vUUFf(CaPoWKNvLlT0!hLGSs!JXjpk(=|~b5G8$>aOmp_vy8J zb?yCY^{eD&7Q@b{l)rNwz#3sJLp!56%*kSpj0ZJu7y>k(eYe%o|+ormLoaLVnuK(rtp?SaO$6>Xh7UsLM%T|x zbKq865X3YN+P(hKa!AdNg}43+KGn(tV&cL6&6%Hn>l1-lm`+_6%VlQ}CtNNPxyA(Z zG3^T{(JglL!L;j4O;gM$_Z*O#O$iT&2v$uoIG%SxYn4PjNRBeyhvG4J3Nh)CAopU- z*p^+iWqlpiUAGrKA|Ub|v6XPG6?e_L90&)uN1_VR?#~G$y7FzobV97+R4ivITO9Su zo{jEvd$`Xf0WxaoJ|RWbW(E z40zfw+i$(uW3@HhK2^9#9>jWWN2UY5s?%0#LnU?oi;ASN_HU}S)$+t>M2X&WxFqe+WJzUblx^Mx`h_$;sN%}0Q^21 z15M&{b9^4ADfJabm_BZ82is^x(;LJxoMog}nCnwne6R9s#RjFLq$=1c!iV>-FkYK< zOxu5>TxWRDlZwDlNWX5Ew?dGOdl2p5ZD`Z}E}Sni46H7I$T7(IQBFZ|NHvOdXZF-f zp8DuWOlP@Mu?-A~6T+<)%%CnW5gkW}9Y8X2{pInv<{gm^?cfirgm3rhP9u(So#Y1 zx19wC7+-{g#A%BUZ)K%-jW1WOIyCE2kDur~6%od{ZZkM-wsKh0v)|gj&S9=zSc{*vj47MXdlW^ z90`JP#I=Y0Fj+?OGQ`SLUw2k@wB#@)oEblB&Er@kHFhx}4qhj*q4~OeHi0{F;&p_+ zANs1pQ7UkcCEnxP;d%Bg4*<@{+k~?26 z5H7G>x`hr$8iq*t9D}9r<_j3}ou?yH5T+dTu`%4lCDicNV_j8{PQ6L{9p*{8{2;!@ zV%gy9?o8EuuTK*&cpOgIP;=f~uYR%?exi}S6$~<5b3_fcC!2OpJ6Vz)dDFFWjHW`z zH2gK~SmZ=8Y0VX%#H_QUOh)E}QFWLvlu^TChtbdPl)v`<#Nobe#i^p>;ypge##xyE z$~%`-S{zXJEE$>6dfxNjR<~0Y%3`rlw>H~MZLhh0rMPFacHT+^FvmRaD$5vR45Y6@`tGCgsH4W zvTe>9v{)b~XxI{Nx{h~Hh-y^)-s@3~DeQ;ks3jIUuFRxdH<1S(=cIIzsWP_8QTK#r zj4x~amr3dCac#RxC9bdXNOPVO%TVu)+QnmKS}TPRp|z^?Q}5o=x}G7W;W zIlSbtDsAe=x{lg@{lme zCA_>YE@Q>-C6tj~)2eS;Q83)&r>V#B;dXB?EW}9FbQ&m>;6uh@u25kgy(u+Su8}`% z7BDLLEL#K{(meSAA2Z5%49WS395(WrXAOS|7qdl|nWbca7_l~fGLhwZy|Or^u4e$$ z7cXj;m#+tXS8FCTiO%yzgQ@+1cSwN-@tLoDLQv`p+dE;5YrZw5-7^De_J$lPYXKCE zjN~x$`=^8Fm!iYKcbhc|QjXy}fp6L@Osb!$eI{k|az<9&JSg?|8t5#JTqS}Fsfx0| zD<554O$nbaMRE+!;rx2P-`Hwvcs!nZUuu?GP84Ls-hw?0^B_BwZ6T^-Ci}F}%WZ>q z0;65YX~##v_IcZM+Y?urDAk=Ky3_?{bRqwC(F8{sz; zE4W_a&neG;+Ul&#k}zvw<>+?9ou#}z4-heJSBz2lx{H%Cf)GxtGINr=<>uEhJ!P8d zLu~(37oxO|Ep(}>Leqp7C?WKKJ|NPUj9gCFW0bu9-OT&KfmUx3Iwhy}vkJY@O*~1! zfn1fFr*{iL6h_oXG$k&!#8zRH%N2Q0Y((PdRJH@UMN9Y0b_C+rGT%hYO;F+D7j1d8 zlqaB?&g(nxo|low(J*mmEjT>AH?~&kU@N*7fqGV0ER%A*N(oRRdXMn|+uNdd$yv51 ziJEhC#(TiKgI%exz82!oBsbhUC@cOFqf&)Cs8+Vde~lnUEOi?kNvpu86sm{m4m*p& zSwd$h(DQf|%q>Ia>25?1*YCOh{HrVa8tM;5w}vU5YFp%(J_ODnGW_n6YSBxpY(8C> z4G8&FvKWU0H8gOoYLRT|Ws|_Xbn;!$9Dtsk@1@=+{rD(eCehgQpvlqufcKUc9O|o7 zN1+avXcspI_Azv^&3+jBQpgxbyAJG|fPqitidYWRZ;}(d1b5aQQuH$h~9u#gi7+?O{i>git~EDiT%# zLkh7gD$qT1&SUIJ*mJ?b!QV9w4Cpf^XgjYV)Yyh}gdBU^+Vt4s+$`4FM(b*_&uI;|e!C+S zNxnE;xNXRpcu6djwL#66&p6dvp6xO0KQA9%6Gc3={)*O75}=cpN}Nn1)WaQ!#Jd{& zg;=<$+1?y#*eq}djx#I@9 z>bKoVqj9nKgz3o{4%3SoMx-u$~7nwWz zd>PnzY%a&u99_T*h0k?A61*>szzh9IdF4VyP=?#xd8zNkm4ZSQ!KrXJv0*=^w_`nO zSXAN35zFHp`5ON^_GjPlL*kun>-aq;tZ`WxT`ci|ts{t1(}axXhjqB0#q;T-6=V>b zf>2WOCs1P)2XT`J;=G$CGU7_@!vjbHWR_kay2xiPQSvdmdB6ZblV#w79^aM_x-G$r z(|hH1J6UE>F3L2_M%zKWI6+2}-Q63~aJfA`Tg-+%qGJ>G*iF%bL9LBTLRxA=k$|MN zciw;Wthw5#y**>ZLClP1>0%8&MxQSY)%Bh7%PHTa&m=3K2C}#Be>^r|+QkenP?Fri zEy5<=TSwINJv$SQIU4y^wANQfB3Rjj#`|J~EWbc|R9WrvhxIxCKvOjwSOq_0f}XH4 z+Punt%w^#YzZb)Pq|>n0F?sS%5lhJRndWY{JfgZ@6HVg?6jZ(IHd>(oNiQkJM7y6~ zNMTV-P!B4QEMT$5Q!r;~VItRR@|Azb^gbfD3**X>@&4=KW!(D#aksPuPx)NrYhI(a zG>05K5pRhFv%fr@7!fR#a6z+N(;kLEMODG%BaIdZ((HqbK}=A!MiYHEkoxX&)&aRJ2an znSHqK(a=E}OwtqMA4{0=fnu(Cjsco~M;3{&1MbW>oWqxc-cC*yWB zIas`P=Ah!GuAz9bjtr)m2M5vnmq@p|t^IyxY7>P=-zQ=exVTqSF|iu)n5VzDEIHIu z^rm5ne|WRdW0uB)OSC~U`2$Fxr&614xBWR=eCLh7lp16y_i29-^uSO|Tc~NaP?CU{ z|FKuG-BAk`_%=c+Pks*1c<33a8|8=s`crT(ET7*S(+s`Cv>sY#>gir>@Gh8}q2FiD zBUH5&mj}WVn}?2!fc)k=Dj6faUV0|StB2WR3*!<>ua_0L6;64wwVMXr)i#%Ewy5KC z#icKAdt+aJ-ffuRx#~cTj{pXKmZ9(g9^G3zW!+u1;b?(iX%V=?V#qZ&rD8C z&L;+A4ByPDT1mcEwIp;nkce7`etv^ex!FanOc9Zze<~tjp&)JcTd@&wA*p``Khy`Ms*<5Bd4 zCfYrJqq;nkI_A@#E`f~kX@s?L<3YGRyERrt$_75U%CvVJrA$kz?avZq2ioS8iA^7J_oMYT4>DNBzhPRW{A{cMyWRFZwU@4pcdYDJEBEE zADb7<2m@We%b4x>6hJ+1YxEV7#x4uRBV8;e&L>PYu=Q%+w93})uX;^LE2Zt@{WCzw z410^a^B5d7fCB)~e+LN7JiWXvIefs0QYr}CxRHlfM28i%2QC;fb18m1P(nN@(UQUE zf_$S9*18`amP**8GeQMV+b@#eb+h&hwcau7i;9Uau;17u;%u4#`Snqz)_Mb5q*vl1QcmHLgb{}~tUqQXiLjjQe-c&`N-4gFoHezy@vEep z7!)|)UYJCdp=}6!%o1EigyWglQ1?cWzP)Wg`!*@uRsLlt_dqL?u}9Yltx~wg<1m4jKpiC|#+$oDIt2lw@KU-|Rz>kv|$Jw1n$P7AGne zVvZGTd-&$d3R1Bf1vk^*QQXpxpMMzg?Mt-ZPo#}SWqo4Ce(7Ty2>$S+P*H$S_;si} z+|5tHDn<|GxQ+NhH^}affk-j`)LPx)xe}73`q7&<*rz91m*VBBzFBP?ClyFpD~_+o zC0$Zk22@!sWPmdqNr+$qjyP=OR(IWx?D34D48jrVthtOYF)>e;E zROjda3;jy0T8hEbyHWxGkIAe5Lchu%Ldzc6U-?xVYui5|!+rR0oyVet#{>0O_2c-h zBuxDqa&WQo_>*G&;Q1;F2>^&E`cta@FUrH(;!nuBjbV2c4**c%0RYf`EB)vE5Nh`H zx0I*7g`3TvSUJa5R_LP-VmNtW{>~?hp<1TTETx&|Az4R*!b&_5diJ? zME|w@x0JW@|3fza`dEKvl7A7u#zUyO7s6i&ko zbCA6M + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.002 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 53200 | 0.04 | +| CARRY4 | <0.001 | 12 | 13300 | 0.09 | +| Register | <0.001 | 66 | 106400 | 0.06 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 127 | --- | --- | +| I/O | 0.023 | 18 | 200 | 9.00 | +| Static Power | 0.108 | | | | +| Total | 0.133 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.010 | 0.002 | 0.007 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.011 | 0.001 | 0.010 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.007 | 0.006 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.002 | 0.001 | 0.001 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccpint | 1.000 | 0.016 | 0.000 | 0.016 | NA | Unspecified | NA | +| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | NA | Unspecified | NA | +| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | NA | Unspecified | NA | +| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | Low | Design is synthesized | Accuracy of the tool is not optimal until design is fully placed and routed | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 11.5 | +| Airflow (LFM) | 250 | +| Heat Sink | none | +| ThetaSA (C/W) | 0.0 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 8to11 (8 to 11 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.025 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/examples/out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_timing_summary.rpt new file mode 100644 index 0000000..0c1dcd9 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_timing_summary.rpt @@ -0,0 +1,346 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 00:39:39 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing_summary -file ./out/led_counter/xc7z020clg484-1/led_counter/syn/reports/post_synth_timing_summary.rpt +| Design : top +| Device : 7z020-clg484 +| Speed File : -1 PRODUCTION 1.12 2019-11-22 +------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (0) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (0) +5. checking no_input_delay (0) +6. checking no_output_delay (16) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (0) +------------------------ + There are 0 register/latch pins with no clock. + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (0) +------------------------------------------------ + There are 0 pins that are not constrained for maximum delay. + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (0) +------------------------------ + There are 0 input ports with no input delay specified. + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (16) +-------------------------------- + There are 16 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.000 0.000 0 114 -1.063 -15.363 17 114 4.500 0.000 0 67 + + +Timing constraints are not met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +clk {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +clk 0.000 0.000 0 114 -1.063 -15.363 17 114 4.500 0.000 0 67 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: clk + To Clock: clk + +Setup : 0 Failing Endpoints, Worst Slack 0.000ns, Total Violation 0.000ns +Hold : 17 Failing Endpoints, Worst Slack -1.063ns, Total Violation -15.363ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.000ns (required time - arrival time) + Source: LED_PIPE_count1_a1_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + (negative level-sensitive latch clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Setup (Max at Slow Process Corner) + Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) + Data Path Delay: 5.647ns (logic 2.291ns (40.570%) route 3.356ns (59.430%)) + Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) + Clock Path Skew: -0.145ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 2.709ns = ( 7.709 - 5.000 ) + Source Clock Delay (SCD): 2.975ns + Clock Pessimism Removal (CPR): 0.121ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + Time Borrowing: + Nominal pulse width: 5.000ns + Library setup time: 0.051ns + Computed max time borrow: 5.051ns + Time borrowed from endpoint: 0.827ns + Open edge uncertainty: -0.035ns + Time given to startpoint: 0.792ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.490 1.490 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.290 clk_IBUF + BUFG (Prop_bufg_I_O) 0.101 2.391 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.975 clk_IBUF_BUFG + FDRE r LED_PIPE_count1_a1_reg[2]/C + ------------------------------------------------------------------- ------------------- + FDRE (Prop_fdre_C_Q) 0.478 3.453 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, unplaced) 0.871 4.324 LED_PIPE_count1_a1[2] + CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 4.981 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, unplaced) 0.009 4.990 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 5.107 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.107 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 5.224 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.224 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 5.341 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.341 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + CARRY4 (Prop_carry4_CI_O[2]) + 0.256 5.597 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, unplaced) 1.125 6.722 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + LUT6 (Prop_lut6_I0_O) 0.301 7.023 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, unplaced) 0.902 7.925 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + LUT4 (Prop_lut4_I2_O) 0.124 8.049 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, unplaced) 0.449 8.498 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + LUT4 (Prop_lut4_I0_O) 0.124 8.622 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, unplaced) 0.000 8.622 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk fall edge) 5.000 5.000 f + Y9 0.000 5.000 f clk (IN) + net (fo=0) 0.000 5.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.420 6.420 f clk_IBUF_inst/O + net (fo=2, unplaced) 0.760 7.179 clk_IBUF + BUFG (Prop_bufg_I_O) 0.091 7.270 f clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.439 7.709 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.121 7.830 + clock uncertainty -0.035 7.795 + time borrowed 0.827 8.622 + ------------------------------------------------------------------- + required time 8.622 + arrival time -8.622 + ------------------------------------------------------------------- + slack 0.000 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -1.063ns (arrival time - required time) + Source: reset + (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: LED_PIPE_rst1_a1_reg/D + (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) + Data Path Delay: 2.176ns (logic 1.416ns (65.084%) route 0.760ns (34.916%)) + Logic Levels: 1 (IBUF=1) + Input Delay: 0.000ns + Clock Path Skew: 2.975ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.975ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk rise edge) 0.000 0.000 r + input delay 0.000 0.000 + F22 0.000 0.000 r reset (IN) + net (fo=0) 0.000 0.000 reset + F22 IBUF (Prop_ibuf_I_O) 1.416 1.416 r reset_IBUF_inst/O + net (fo=17, unplaced) 0.760 2.176 reset_IBUF + FDRE r LED_PIPE_rst1_a1_reg/D + ------------------------------------------------------------------- ------------------- + + (clock clk rise edge) 0.000 0.000 r + Y9 0.000 0.000 r clk (IN) + net (fo=0) 0.000 0.000 clk + Y9 IBUF (Prop_ibuf_I_O) 1.490 1.490 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.800 2.290 clk_IBUF + BUFG (Prop_bufg_I_O) 0.101 2.391 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.975 clk_IBUF_BUFG + FDRE r LED_PIPE_rst1_a1_reg/C + clock pessimism 0.000 2.975 + clock uncertainty 0.035 3.010 + FDRE (Hold_fdre_C_D) 0.228 3.238 LED_PIPE_rst1_a1_reg + ------------------------------------------------------------------- + required time -3.238 + arrival time 2.176 + ------------------------------------------------------------------- + slack -1.063 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 clk_IBUF_BUFG_inst/I +Low Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C + + + diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v b/examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v new file mode 100644 index 0000000..fc366a2 --- /dev/null +++ b/examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v @@ -0,0 +1,81 @@ +// Generated by SandPiper(TM) 1.11-2021/01/28-beta from Redwood EDA. +// Redwood EDA does not claim intellectual property rights to this file and provides no warranty regarding its correctness or quality. + + +`include "sandpiper_gen.vh" + + + + + +// +// Signals declared top-level. +// + +// For |led_pipe$Leds. +wire [15:0] LED_PIPE_Leds_n1; +reg [15:0] LED_PIPE_Leds_a0; + +// For |led_pipe$count1. +wire [31:0] LED_PIPE_count1_a0; +reg [31:0] LED_PIPE_count1_a1; + +// For |led_pipe$refresh. +wire LED_PIPE_refresh_a0; + +// For |led_pipe$reset. +wire LED_PIPE_reset_a0; + +// For |led_pipe$rst1. +wire LED_PIPE_rst1_a0; +reg LED_PIPE_rst1_a1; + + +// +// Scope: |led_pipe +// + +// Clock signals. +wire clkF_LED_PIPE_refresh_a1 ; + + +generate + + + // + // Scope: |led_pipe + // + + // For $Leds. + always @(posedge clkF_LED_PIPE_refresh_a1) LED_PIPE_Leds_a0[15:0] <= LED_PIPE_Leds_n1[15:0]; + + // For $count1. + always @(posedge clk) LED_PIPE_count1_a1[31:0] <= LED_PIPE_count1_a0[31:0]; + + // For $rst1. + always @(posedge clk) LED_PIPE_rst1_a1 <= LED_PIPE_rst1_a0; + + + + +endgenerate + + + +// +// Gated clocks. +// + +generate + + + + // + // Scope: |led_pipe + // + + clk_gate gen_clkF_LED_PIPE_refresh_a1(clkF_LED_PIPE_refresh_a1, clk, LED_PIPE_refresh_a0, 1'b1, 1'b0); + + + +endgenerate diff --git a/fpga/run.tcl b/fpga/run.tcl index f396dd9..7d9391e 100644 --- a/fpga/run.tcl +++ b/fpga/run.tcl @@ -16,26 +16,27 @@ set shell_path [lindex $lines 3] # # STEP#1: define output directory area. # -set outputDir ./out_${file_name}_${part_name}/FPGA_${file_name} +# set outputDir ./out_${file_name}_${part_name}/FPGA_${file_name} +set outputDir ./out/${file_name}/${part_name}/${file_name} file mkdir $outputDir # # STEP#2: setup design sources and constraints # -read_verilog ./out_${file_name}_${part_name}/${file_name}.v -read_verilog ./out_${file_name}_${part_name}/includes/proj_verilog/clk_gate.v +read_verilog ./out/${file_name}/${part_name}/${file_name}.v +read_verilog ./out/${file_name}/${part_name}/includes/proj_verilog/clk_gate.v #read_verilog ${shell_path}/../../includes/clock_divider.v #set_property -include_dirs {./out_${file_name}_${part_name}/includes/* ./out_${file_name}_${part_name}/includes/proj_verilog/* ./out_${file_name}_${part_name}/includes/proj_default/*} [current_fileset] read_xdc $cons_name -read_xdc ./out_${file_name}_${part_name}/clock_constraints.xdc +read_xdc ./out/${file_name}/${part_name}/clock_constraints.xdc # # STEP#3: run synthesis, report utilization and timing estimates, write checkpoint design # set multi_include_dirs " \ -./out_${file_name}_${part_name}/includes \ -./out_${file_name}_${part_name}/includes/proj_verilog \ -./out_${file_name}_${part_name}/includes/proj_default \ +./out/${file_name}/${part_name}/includes \ +./out/${file_name}/${part_name}/includes/proj_verilog \ +./out/${file_name}/${part_name}/includes/proj_default \ " synth_design -top top -part $part_name -retiming -include_dirs $multi_include_dirs file mkdir $outputDir/syn/reports @@ -122,7 +123,7 @@ write_bitstream -force $outputDir/$file_name.bit # # STEP#7: connect to your board # -open_hw +open_hw_manager connect_hw_server open_hw_target #current_hw_device [lindex [get_hw_devices] 0] diff --git a/run.sh b/run.sh index 5bbfa4f..ccdfedc 100644 --- a/run.sh +++ b/run.sh @@ -46,11 +46,18 @@ if [ -d $out_"${filename}_$partname" ]; then echo "================================================" fi +if [ -d out/$filename/$partname ]; then + echo "================================================" + echo "DELETING THE PREVIOUS BUILD FOLDER" + rm -R out/$filename/$partname + echo "================================================" +fi + # Give the respective tlv file as top. For eg, for counter test case give it as counter.tlv echo "================================================" echo "PROCESSING .TLV USING SANDPIPER(TM) SaaS EDITION." echo "------------------------------------------------" -sandpiper-saas -i "$filename".tlv -o "$filename".v --iArgs --default_includes --outdir=out_"${filename}_$partname" +sandpiper-saas -i "$filename".tlv -o "$filename".v --iArgs --default_includes --outdir=out/$filename/$partname echo "================================================" echo "=================================================" @@ -66,9 +73,12 @@ echo "GENERATING CLOCK CONSTRAINTS" var1=$(expr "scale=3; $clock_rate/1" | bc) var2=$(expr "scale=3; $clock_rate/2" | bc) -echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./out_"${filename}_$partname"/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc +# echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./out_"${filename}_$partname"/clock_constraints.xdc +# echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc +# echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc +echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./out/$filename/$partname/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out/$filename/$partname/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out/$filename/$partname/clock_constraints.xdc echo "===================================================" From b875e0d5991235b394d5b8b673e43b8b1ef29a28 Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 01:40:46 +0530 Subject: [PATCH 06/10] Parameterized the Input Directory --- fpga/run.tcl | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/fpga/run.tcl b/fpga/run.tcl index 7d9391e..7d2fc96 100644 --- a/fpga/run.tcl +++ b/fpga/run.tcl @@ -14,29 +14,30 @@ set cons_name [lindex $lines 2] set shell_path [lindex $lines 3] # -# STEP#1: define output directory area. +# STEP#1: define output and input directory area. # # set outputDir ./out_${file_name}_${part_name}/FPGA_${file_name} set outputDir ./out/${file_name}/${part_name}/${file_name} +set inputDir ./out/${file_name}/${part_name} file mkdir $outputDir # # STEP#2: setup design sources and constraints # -read_verilog ./out/${file_name}/${part_name}/${file_name}.v -read_verilog ./out/${file_name}/${part_name}/includes/proj_verilog/clk_gate.v +read_verilog inputDir/${file_name}.v +read_verilog inputDir/includes/proj_verilog/clk_gate.v #read_verilog ${shell_path}/../../includes/clock_divider.v #set_property -include_dirs {./out_${file_name}_${part_name}/includes/* ./out_${file_name}_${part_name}/includes/proj_verilog/* ./out_${file_name}_${part_name}/includes/proj_default/*} [current_fileset] read_xdc $cons_name -read_xdc ./out/${file_name}/${part_name}/clock_constraints.xdc +read_xdc inputDir/clock_constraints.xdc # # STEP#3: run synthesis, report utilization and timing estimates, write checkpoint design # set multi_include_dirs " \ -./out/${file_name}/${part_name}/includes \ -./out/${file_name}/${part_name}/includes/proj_verilog \ -./out/${file_name}/${part_name}/includes/proj_default \ +inputDir/includes \ +inputDir/includes/proj_verilog \ +inputDir/includes/proj_default \ " synth_design -top top -part $part_name -retiming -include_dirs $multi_include_dirs file mkdir $outputDir/syn/reports From d22edfb6bbd541667750cdb079c9da0c8c7c2694 Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 02:09:55 +0530 Subject: [PATCH 07/10] Changed the Output Directory from Examples folder to the Virtual-FPGA-Lab folder --- examples/.Xil/top_propImpl.xdc | 388 ++++++++++++++++-- .../xc7a35tftg256-1/clock_constraints.xdc | 3 - .../xc7a35tftg256-1/led_counter/fpga_impl.xdc | 6 + .../led_counter/fpga_impl_netlist.v | 2 +- .../led_counter/led_counter.bit | Bin 2192111 -> 2192111 bytes .../led_counter/place/post_place.dcp | Bin 166806 -> 166924 bytes .../reports/post_place_timing_summary.rpt | 2 +- .../led_counter/route/post_route.dcp | Bin 176103 -> 176264 bytes .../led_counter/route/reports/clock_util.rpt | 2 +- .../route/reports/post_imp_drc.rpt | 2 +- .../route/reports/post_route_power.rpt | 2 +- .../route/reports/post_route_timing.rpt | 2 +- .../reports/post_route_timing_summary.rpt | 2 +- .../route/reports/post_route_util.rpt | 2 +- .../led_counter/syn/post_synth.dcp | Bin 142372 -> 142526 bytes .../syn/reports/post_synth_power.rpt | 2 +- .../syn/reports/post_synth_timing_summary.rpt | 2 +- fpga/run.tcl | 14 +- .../xc7a35tftg256-1/clock_constraints.xdc | 9 + .../includes/proj_default/clk_gate.sv | 0 .../includes/proj_default/sp_default.vh | 0 .../includes/proj_verilog/clk_gate.v | 0 .../includes/proj_verilog/sp_verilog.vh | 0 .../xc7a35tftg256-1/includes/pseudo_rand.tlv | 0 .../xc7a35tftg256-1/includes/rw_lib.vh | 0 .../includes/sandhost/README.txt | 0 .../includes/sandhost/sqrt32.v | 0 .../xc7a35tftg256-1/includes/sandhost/tb.sv | 0 .../xc7a35tftg256-1/includes/sandpiper.vh | 0 .../xc7a35tftg256-1/includes/sandpiper_gen.vh | 0 .../includes/simple_bypass_fifo.sv | 0 .../led_counter/xc7a35tftg256-1/led_counter.v | 0 .../xc7a35tftg256-1/led_counter_gen.v | 0 run.sh | 15 +- 34 files changed, 404 insertions(+), 51 deletions(-) delete mode 100644 examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc create mode 100644 out/led_counter/xc7a35tftg256-1/clock_constraints.xdc rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/rw_lib.vh (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/sandpiper.vh (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/led_counter.v (100%) rename {examples/out => out}/led_counter/xc7a35tftg256-1/led_counter_gen.v (100%) diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index d0a972d..136c368 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,37 +1,371 @@ -set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_zedboard.xdc rfile:../../fpga/constraints/fpga_lab_constr_zedboard.xdc id:1} [current_design] +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_edge_artix-7.xdc rfile:../../fpga/constraints/fpga_lab_constr_edge_artix-7.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N11 IOSTANDARD LVCMOS33 } [get_ports { clk }]; +set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L5 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }];#LSB +set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L4 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; +set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M4 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; +set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; +set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports { sw[8] }]; +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P4 IOSTANDARD LVCMOS33 } [get_ports { sw[9] }]; +set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; +set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R8 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; +set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T7 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P8 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M6 IOSTANDARD LVCMOS33 } [get_ports { reset }];#MSB +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { led[0] }];#LSB +set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H3 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; +set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; +set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; +set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K5 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P6 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R7 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R6 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; +set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T5 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; +set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R5 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; +set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; +set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { led[15] }];#MSB +set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[0]}]; #Button-top +set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[1]}]; #Button-bottom +set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[2]}]; #Button-left +set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[3]}]; #Button-right +set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[4]}]; #Button-center +set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F2 IOSTANDARD LVCMOS33 } [get_ports {digit[3]}]; #MSB +set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports {digit[2]}]; +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G5 IOSTANDARD LVCMOS33 } [get_ports {digit[1]}]; +set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports {digit[0]}]; #LSB +set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {sseg[6]}];#A +set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports {sseg[5]}];#B +set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports {sseg[4]}];#C +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports {sseg[3]}];#D +set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports {sseg[2]}];#E +set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports {sseg[1]}];#F +set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {sseg[0]}];#G +set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {dp}];#DP +set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_txd }]; +set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_rxd }]; +set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K12 IOSTANDARD LVCMOS33 } [get_ports {Buzzer}]; +set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports {SCK}]; +set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports {CS}]; +set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports {MOSI}]; +set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[0]}]; +set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[1]}]; set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN Y9 [get_ports {clk}]; # "GCLK" +set_property -dict { PACKAGE_PIN B7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[2]}]; +set_property src_info {type:XDC file:1 line:83 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_p}]; +set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[0]}]; +set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[1]}]; +set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[2]}]; +set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_n}]; +set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {data[7]}]; +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M5 IOSTANDARD LVCMOS33 } [get_ports {data[6]}]; +set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N4 IOSTANDARD LVCMOS33 } [get_ports {data[5]}]; +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {data[4]}]; +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R1 IOSTANDARD LVCMOS33 } [get_ports {data[3]}]; +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {data[2]}]; +set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {data[1]}]; +set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T4 IOSTANDARD LVCMOS33 } [get_ports {data[0]}]; +set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {lcd_e}]; +set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P5 IOSTANDARD LVCMOS33 } [get_ports {lcd_rs}]; +set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[0] }]; +set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[1] }]; +set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[2] }]; +set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[3] }]; +set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[4] }]; +set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[5] }]; +set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[6] }]; +set_property src_info {type:XDC file:1 line:110 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[7] }]; +set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[8] }]; +set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[9] }]; +set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[10] }]; +set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[11] }]; +set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[12] }]; +set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[13] }]; +set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[14] }]; +set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[15] }];#MSB +set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[0] }];#LSB +set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[1] }]; +set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[2] }]; +set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[3] }]; +set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[4] }]; +set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[5] }]; +set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[6] }]; +set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[7] }]; +set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[8] }]; +set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[9] }]; +set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[10] }]; +set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[11] }]; +set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[12] }]; +set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[0] }]; +set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[1] }]; +set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[0] }]; +set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[1] }]; +set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { sdram_clk }]; +set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { sdram_cke }]; +set_property src_info {type:XDC file:1 line:143 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { sdram_cs_n }]; +set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sdram_we_n }]; +set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports { sdram_cas_n }]; +set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { sdram_ras_n }]; +set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports {tft_sck}]; +set_property src_info {type:XDC file:1 line:150 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports {tft_sdi}]; +set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports {tft_dc}]; +set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N9 IOSTANDARD LVCMOS33 } [get_ports {tft_reset}]; +set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P9 IOSTANDARD LVCMOS33 } [get_ports {tft_cs}]; +set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_txd}]; +set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_rxd}]; +set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { wifi_txd }]; +set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { wifi_rxd }]; +set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {ov7670_sioc}]; +set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {ov7670_siod}]; +set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {ov7670_vsync}]; +set_property src_info {type:XDC file:1 line:167 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {ov7670_href}]; +set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {ov7670_pclk}]; +set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {ov7670_xclk}]; +set_property src_info {type:XDC file:1 line:170 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[7]}]; +set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[6]}]; +set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[5]}]; +set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[4]}]; +set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[3]}]; set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T22 [get_ports {led[0]}]; # "led0" +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[2]}]; set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T21 [get_ports {led[1]}]; # "led1" +set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[1]}]; set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U22 [get_ports {led[2]}]; # "led2" +set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[0]}]; set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U21 [get_ports {led[3]}]; # "led3" +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33} [get_ports {ov7670_reset}]; set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V22 [get_ports {led[4]}]; # "led4" -set_property src_info {type:XDC file:1 line:180 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W22 [get_ports {led[5]}]; # "led5" -set_property src_info {type:XDC file:1 line:181 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U19 [get_ports {led[6]}]; # "led6" -set_property src_info {type:XDC file:1 line:182 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U14 [get_ports {led[7]}]; # "led7" +set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33} [get_ports {ov7670_pwdn}]; +set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {pin5}]; +set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {pin6}]; +set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {pin7}]; +set_property src_info {type:XDC file:1 line:189 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {pin8}]; +set_property src_info {type:XDC file:1 line:190 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {pin9}]; +set_property src_info {type:XDC file:1 line:191 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {pin10}]; +set_property src_info {type:XDC file:1 line:192 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {pin11}]; +set_property src_info {type:XDC file:1 line:193 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {pin12}]; +set_property src_info {type:XDC file:1 line:194 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {pin13}]; +set_property src_info {type:XDC file:1 line:195 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {pin14}]; +set_property src_info {type:XDC file:1 line:196 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {pin15}]; +set_property src_info {type:XDC file:1 line:197 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {pin16}]; +set_property src_info {type:XDC file:1 line:198 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {pin17}]; +set_property src_info {type:XDC file:1 line:199 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {pin18}]; +set_property src_info {type:XDC file:1 line:200 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports {pin19}]; +set_property src_info {type:XDC file:1 line:201 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports {pin20}]; +set_property src_info {type:XDC file:1 line:204 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports {vga_hsync}]; +set_property src_info {type:XDC file:1 line:205 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports {vga_vsync}]; +set_property src_info {type:XDC file:1 line:206 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports {vga_r[0]}]; +set_property src_info {type:XDC file:1 line:207 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F12 IOSTANDARD LVCMOS33 } [get_ports {vga_r[1]}]; +set_property src_info {type:XDC file:1 line:208 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports {vga_r[2]}]; +set_property src_info {type:XDC file:1 line:209 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports {vga_r[3]}]; +set_property src_info {type:XDC file:1 line:210 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D16 IOSTANDARD LVCMOS33 } [get_ports {vga_g[0]}]; +set_property src_info {type:XDC file:1 line:211 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[1]}]; +set_property src_info {type:XDC file:1 line:212 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[2]}]; +set_property src_info {type:XDC file:1 line:213 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H11 IOSTANDARD LVCMOS33 } [get_ports {vga_g[3]}]; set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN L16 [get_ports {OTG_VBUSOC}]; # "OTG-VBUSOC" -set_property src_info {type:XDC file:1 line:219 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN H15 [get_ports {XADC_GIO0}]; # "XADC-GIO0" +set_property -dict { PACKAGE_PIN G12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[0]}]; +set_property src_info {type:XDC file:1 line:215 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[1]}]; +set_property src_info {type:XDC file:1 line:216 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H13 IOSTANDARD LVCMOS33 } [get_ports {vga_b[2]}]; +set_property src_info {type:XDC file:1 line:217 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports {vga_b[3]}]; set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R15 [get_ports {XADC_GIO1}]; # "XADC-GIO1" +set_property -dict { PACKAGE_PIN D6 IOSTANDARD LVCMOS33 } [get_ports {sd_cclk}]; set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN K15 [get_ports {XADC_GIO2}]; # "XADC-GIO2" +set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports {sd_cd}]}]; set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN J15 [get_ports {XADC_GIO3}]; # "XADC-GIO3" -set_property src_info {type:XDC file:1 line:237 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN F22 [get_ports {reset}]; # "SW0" -set_property src_info {type:XDC file:1 line:362 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; -set_property src_info {type:XDC file:1 line:370 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 35]]; -set_property src_info {type:XDC file:1 line:375 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]]; +set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports {sd_cmd}]}]; +set_property src_info {type:XDC file:1 line:223 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports {sd_d[0]}]; +set_property src_info {type:XDC file:1 line:224 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports {sd_d[1]}]; +set_property src_info {type:XDC file:1 line:225 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports {sd_d[2]}]; +set_property src_info {type:XDC file:1 line:226 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports {sd_d[3]}]; +set_property src_info {type:XDC file:1 line:229 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C3 IOSTANDARD LVCMOS33 } [get_ports {vauxp6}]; +set_property src_info {type:XDC file:1 line:230 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports {vauxn6}]; +set_property src_info {type:XDC file:1 line:231 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports {vauxp14}]; +set_property src_info {type:XDC file:1 line:232 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A2 IOSTANDARD LVCMOS33 } [get_ports {vauxn14}]; +set_property src_info {type:XDC file:1 line:233 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports {vauxp7}] ; +set_property src_info {type:XDC file:1 line:234 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports {vauxn7}]; +set_property src_info {type:XDC file:1 line:235 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports {vauxp15}]; +set_property src_info {type:XDC file:1 line:236 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D1 IOSTANDARD LVCMOS33 } [get_ports {vauxn15}]; +set_property src_info {type:XDC file:1 line:239 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G11 IOSTANDARD LVCMOS33 } [get_ports { Audio_L }]; +set_property src_info {type:XDC file:1 line:240 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { Audio_R }]; diff --git a/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc b/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc deleted file mode 100644 index 414fe4b..0000000 --- a/examples/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc +++ /dev/null @@ -1,3 +0,0 @@ -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc index caf8b22..1a05b2c 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc @@ -129,6 +129,12 @@ set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS33} [get_ports {led[15]}] create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] # Vivado Generated physical constraints diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v index 06d91b2..f6c76c9 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -// Date : Sat Oct 30 00:30:41 2021 +// Date : Sat Oct 30 02:03:10 2021 // Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS // Command : write_verilog -force ./out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v // Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit b/examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit index e202426f64074606295c4fd60e5a211a8671c26e..bbc39921df70ece59fa885d161c56f3089bfc321 100644 GIT binary patch delta 121 zcmWN=xebB<06@`zA|f7mA$Z~j1}YhZ?l0j67QZ*{;z-u4pf7p-*P#D@b#dL^nzp__ zkw8Kj$WS5~Ni1VYWFo0dWhQf3NG3~J$yzqDm0WgGNGW?c$WcymmWxy$uJ6YW)Tt5fXrTqc|vH%4Fg7~*;WN1h0W^EOfC~uoVj}nxV zqN{(`$dd>RWmFZf^eX`@42WpfNj45SV+gAc5|;SKXKKHh-aJ9~rtO4l1tM%s(RErt zvOK-sp!&4Dn43m~>Ev%TpQH#3eD)5D;xBBCT7X5h*|@=@xk*Vj!izwA-4+Jusnqef zS_7Hlgu->r`=6(;uN=}m8`oYNvuHmxkV+sfe}P_&TmabmK!FXnOtD^rv~M2fIvny4 zp;Xpi`fT$EdXOt+Rk+)=^i5ofA0id`p&=dD%>24BrtbmzDz2|$@=6p&(<5t8^C)-@ zbpUIod)}7O+J)m#^e6&0o(Vh^``Pxcp!xuXD7WE~JG<^&rthJG&Wy9+3_{OUi?{_9 z{@O=68$^>gP2yglPDnco>(pI1C*Mb`^(d40t3e>a^B?00*D16V1tMzHg$4xl^|PeSuCs;b(5xKtN;v z#(!O)ldT=Cv5|>&3YZ*@{6h5{5aBQX4(f;xq|E@j_SOyvKud0)ke%A*7E3EKbp*1Y zZ!b6+xtm=`j+gFImF7#2qU_Y;hOO$+$C1Pj(}#S2C|; zNLw+UB=oB;qA9gTz6kRCgQvT=TX1`OnOF+eeI3m9*4nkEhS20EN)7wj?pjvX2>xAw zL0d!op~;jRV5@Uu9-5I*7JR~B;*nc&wMCzn)7BG&=IKk+_1er)$Gc$U*q2RZq&4&r z!r_FQ=k;fczNFLX&QfwTh8sH*`Bt`?KwU+B?qNi`APPR{Gnf1)N(G){I~yQ67X#eF zu0GX@?~1(bf^_M9Mwi=wx*NVKtCykcj*jrn^BFn~U^U%g@nJ0M$JJ&+TU(mhYVF>; z4|M0^bL{eG+-ltkxo3a&!DHQMEOUl}xL90~TxzcpItDmeE+00xG|ND^d5!3w}<#%gaR#O z`=GuAfYUAz7~O!wL`xsU91*yQ%KE*3a7(oe4hLa(>7VFI#Xw>y&|{ZwcT(W#G9{0) z`wH_#k-LS(4X@}r2ovFzOG8?s5W_kf280?|Q$HSqni%@TfptFX4s6{dzFsh{@`+1+ zV;@N7ee)Ws1jkj>U~ouj=NFrrxI6eQ-+}D|gzYZN%&LF>qTo<=}V^CvlCFQFJJ;60TU5Z9YqlR7pbHtv4H356@v&?Tb>f>8{rE zBYzKpb6(KINurWy(OhTYCf0j;HxIx5kXDbn6e*-SZqQ%*y-CURQZ#JwX83SZCw z;94mZj4l-SuCSZPJxANnyHNe9ORc#hqt~A$x_CP=%m~6@56HUgM9Q+w^`p21dony1 zOPNnuV__8%J|gqFV`_=bMaM%X@L9J!E7aFomi;pvIFz8{lr2XtVPcl4n%mi^G%n@l zQYHmoElDV)dhK7hdW;6z4U$rH{PN@L9vy6`r}gVbIt0^c&@`OegB_wg`c<^px-||c zWo}tWAv|5m4aVRjjNdlhfpJtS_`)6#U$Q&CP&jhezXJEJ5!y)>J8gEVk(y5d-&;_! zGWjBce|b+?UyvB9lSNEH7)9v*=J4B0eB8-v3fmz6OuT#1H% zLz&d)(_qb#)qR_>a%VY?)iX*1bXl)KadcZR`3Maqq=Ynel#;o7Np5(*rSy*C&m7Y7 z1#<3xrtfmmWv${a=R^;3FD$Kg3iSq%V(2c3AX1}#59kz>n%0>yN;^BIwK8&H3=j=+qfX>(efP`p4+h8Zs z7;GUuu5DN80P33cgb!z(2(BpFK-^bh+A=iM7hPF*pFoGoVo|;i zI~q~z!fx0ixr;{ut76@Nz$9Mi3Y2ErBtA5v!60o1M~(X7vL79l3pkYodJZlWTECbb33T27#83B62ljByRjV?%FU^Gsg*{D+1dJ7DJJ=93nHy6VxT?a$od7$%-0 z<59gaFc58&-&%2Qt95yJ1S zE5tr+ff!CpI#x-6O>f&_-1cBz3>Pw&UL3fFr;M|A8p9ews@}IwBxVuT>>T z^vj8fJH%Y6kf8y9-1YF7JMEv?nOw`1;Cx)v0I$idG~$mUC%KBKW<8^lP|Actd>reH zbfvfOiwL0?9{VZZ%Tk%)%%(nnD(4HnSNO6o_B(3Gd4piv-83Y~eW)dsmXM`>8JF6^ zK`c{O=Z>bz!-QRCCQO-66k5Sfafzs)qi6~Eog%3WM6v>al@T(D8-PkQ%ZKI7opkes z8Q1B^TU?H2|6_^AvI-fdPL%c=OdQr6cq?*0s1QQ|s~J;#zZWMy4PRmUcQCmE7sEWs z`%7Hk!-HX|-fhW6d!r@q=RonoQ_yi9)rUQ4Xya1v2prh`B~o zGWn<7eCk4SwNP9|B)XC^S-UJ80Cjv#uvl3TB{U9bh8|KS2gcOZ%Lhi~V={~>7dz=> zZI1GZ2tJMwr|J+lQ+l#F%Jrwg`5i_b6oUoB4T(w?PbvcE{UJy%LDH3LHmJa8Pojpk zBP))p0Gy9o2X8VNn1~*wCP^kEHk{`>7|dE=KC|C* z5Q!%!6rqF%zZX=bG)&)=i;bP%Jv{yrvJeg>w^W~IpTqEvOd z+&+Pu)u5R%9plN3l|%fpywdnrG8JqyM7|3k<6|B+E?|fyY^$K;R1JsEiD9r`A--{C zK$TLrF^h%rqQ`+;o>M{v8AMxp=9>Tx{SMtrkI6`os7+1-3|H8T0e)G#Bi8iC{srIu zp~U$~_7W1dt-|sIQD{sHGGjHy0Z*bK`PW#{EkD52F49nA=nnu}pY}bMZX_L{U@`?D zI+ik%S)5}Oebn-4i+EKKUOvN2jsrFTKMee zoiY2(L$WP{^+YbgSmuE@!FhH<2H}V@TpHNL?(2mV8fyziFLpS}toxNKSyFdtiK*bK z0VgB$9LFM03TkEYtikt_)i3Tu!$cC0`|a6m9|Wg$Liy=b6r5L8*Z8~xL|TBu6#Cw? ztlr76A#y98iA$>o8+>uSEFm&24x3pO4&A7)LIBpb07B{ww%jc?&I_B!8z}{PURb&v za}XP5SawAvzRhNyW5f?lsW2OMtI!^+IxDX+)Cgbu_^iDvys4xUoo1MoPev;M6v-*e1B=*ON*%B&Xl^oQ>03v z>VoIqaqs9tZ{d95KQ5{fQ#dV%K7A?@*u||SgxdC)&<*gWZ>-1=E%=+1m;7|ChnUfL z6ku4741VFO)y(&k?XE@?*~wU+>jT2=rinQk-kjgl=iDgj^=? zsz9dGB5~fwzRlvQT|qk>{cSj4BQaZcv`Z1|8>DmAdeUM+NfF6J zdL8WSY2QGGL)957d8d*HS{bP4Xzl9HVfLxC%bKvSk3~mxN@Z&;X&*Xr6g=AP;--u6 zBNYsfh`1S$7M{9Yk?90%*krtfAxqo)-|k*}Z3!QHg4?{S#;mdYskD*YvuTb+NQgVT z|D);!zu^KG$^E9@v4I_4VbEat@w$@L0l4deFeSh;36k00$_9yd)d3-90u$2N@%}AN ziR$7bKPQJ`g<1{n49CRz3k%tzJL83q+yq*}$jCIQQQ8mXCn{M;{jRYg@KYI z76fCUt={}Bs$Ky3s5Lb@&!6s>u~Sv0iPq`<<>P zyAbxkV;q$!m0%@Ie?yI3lmEu54s5Dr(beqpNi64LZ_)Rs&&-<1oDO)1+qHV5bXQ6S z>()ufeq5Xli_Q%Yni1m&*dnK~H{8Y3&KDGEq%wK$wL-ipOZ6^&^rJvKNAYviUH6N| zpm&_P+TQg4gx0Mf5>Ty|6-@-O>d|!;h9V!BC^%d-&>DY7;e8?9 z0@pcXb7cx&rr2}&HDqaEC+xfL%m6jxDir@YFn9rU;Hv{TbB_CRMnv2-JaI?=@+RM> zK-4(_gc3Wga(E}Q|8sqbl@^9pw@4Xl>G5>{7(cG07dPXG#buNNwsd_!E20Q}B_Fc{ zRFgHs3+Xxi+X}{i4OSx@4tA&CSLGF`tZ%J8p9p1hLpLco@-|;T1{x}RoQT(_GW{gD z&@!Ca1_1qH0`l2*Af%jJi$bK8?M_R1l{+cIcJJ05I}`2O-YaXY)7Li84cdOWAAP(M zYKQ?V`*t3)iLVLs1p@w=Kf*99%&~1_kFnA5E<2e`_;foeDWYuO$H>m6bp-l#F)YKU zHwI}0<4zfw8K8MEtLc;A+toM3I#Wdiqfx6x1Ay)%A=Bs?mx>&RTwOcqngp!}Th4Di z(Xm_u*|%8B=$74hq%8D_q4X?X7sOoVAN-)7yk~&?8$#mzkK8N1#SePYkDA2WX|B6w z*?mc74?Upltzh7?VU_Nr=8Mmurn8AHr=50t>EtBv0m3);czbGqtK5l&(u6m%ZNgu7 z5%AY;drz`}j%TPx%7P21$rp>CCAZw8yTj_c<=8W!f<~FIHrM?cPveer!!G~VM9KA{ zGpbED>x4>C++=`b$K&R+jG*mL^3!XPiG)HchFam<4c9ZgQsv& zx$w7^ffTO__3?)8wGoe;H1F~nxA7Wi8Gz*}X^Y)xkJHHh>L_g*oc|keYcD?8%|g>~ zGnG!)f-q`9)ABMQLDlkt`5?olmBK!`xD~1n?KmRG2V0##Zr59?c^-m;_Q^;%FS|rM zFR4B2!i;<7G3XrJs(JCH%hWfAcsOle*X=aZeeUVZyy|t8USs9lshD zcf>=k9GkhxS8|)m3&L)KD(Y5?-61hwr@A)@hN<^J>?0 zWaVdWfTmMa-_&Nd(WbtWhfsduNtF`Xa+C;1HXoP5YvW)r4f!y42Q{A?=vUz~2m8S& zlk8SX((KUA*q$mgsCe)DF~FLuhzwuy#=N+YXV=Q((8hc9l>h7ETD{f?$dht#Tbmzj z9e-5jc}O(%2K|!?pe)P}8OK$I9$UcftteC&*Z5QxHzw(QV1+)MSk zA?WTF1qod4SB_l{I@!0+`hG(|iia=JdDY~TW>{FqZv7r`mV_{lW~(a{TYX_%BJHRD zUJ1WN-_g8FzD&yu?bjH~+g^+(y5HdlntBn(rj5nQ^hbWQMEU~-c%cbS>Up>*k zM5cd6Xz3vQg-ln?6m0vXlX=%^PZZMJO&iL!C-6o7>Kl^Z_bF6sbM+?5F-%mqTR3j= z8oDg$^ZO4z1?umUP|m<$6v1?;;88?mV6SmAoeWKR>N8@FAu>dU1@f81xwV0~WU(`z zZz$X|e8p0ir48%MX^ZcaG{p9cZNfs8U?iukkvB%Ey z$Mi#172l#3esXAWXc#IxKxKX8o~1D2rvC~zfx3-{Kjyg~V!t=GXvwa2DbEG)NeqKWZi@bK;YMOhl_>;f0_#7&BR> z{IC`TlKpKsK&KGHOPZ9|U2GIpl#c$UF=bL2Zf7ETqTv9OWc&V zu*&pO$ot{RX!_tQOk(2c^$498)wVhtW=%b0qKC&FkRYq4i~%(zx|bWW7C$)}*f4bT zusoUeS=S7AxenUK6}h7ak+S0kaFmmF#FS{w24s^;%gwl-CT=3+8OiQHEGnP6o~oJ& z8JgLO3h?y34Q-yQC7eM40>&csBG=aH29>2-j}{dm-u~vHl3NMpIMc_d8BV4pPrVOl zTNDriYUcB2i-KP&Q6y8G#K-RwkJUQeGzvtO&-~i4C6yf|4%S4@1frlLAj_Up&r=pf z-bWQgf0h#m<{yXYH|GOT=wY<7l+nBe3Z4SCF?w>44)a20&m1M>9bxqUKw$`~2&A?n zWT)ZOX2a*G+j;KdePb={S7Je~WOi59Kk3>4&eyb2c62XUcqSZgV|XjAC|CSfKB^a8 zFX^0AH^=eh3HkOU?qC3dT6e{LYuC(Tx~geUdhqBsKde=YgQ@C?ahZ4J=ZM=!XgVU# zhdCtTJX&2ZnwQ24tb6FqR89xpMH0(Q6$qXzLb;=R@TG~$V9k+#lYYaHeu2^pz_A(w z77ZQfapNHiv!|fdl^abPeAP~;8Zh7S8$M)p?^N+^Whsj4hK>8*)PeU?4)iyx{^r|N zg}J@bobI)3ytSLZ$#6u)tRIq!rr#O7LbNL0$;n-z9u_>3jJqZp-BpnO2+^NIv)a!M zjqCiCyCa=%EUivJ(-&m!<|I*-lnibHFwuHoGY0gpr+q3k4;qyDC#HvX_X@T;Q0#Uc zcH#_x8=*+UFweD3R~?B7FzZqq* z7eNckFNf;cg?3lBODgYq2?PhjS#x5{3al=EKY2PDeb_2!_e*iOh$ z5rQGTv6;96=nY}oXbF}fhHBp`Rrid&E?`-SC1xWZRb84Cf}U%>3P!7QdwYs%(of8L zs1(hbO+TW<%LrK)1|DoS6o~FUw$M!HJ{>PgtIvNNYMRVAPB#tEO4}4-AwRI9EFxSx z3zwXWbm7*VM%g^FMwhcaXwUEfB`P7@f{$FRzRkpnQ*Xis&24Vz@C*G{#R9eRlT*LO zmz&)}VhbHVd*s-6Ac^gBxOQ51Uw{;`=OITNOX+t|W;SpI#US)BgD&>zY3DH{g6iQw zz5WC~Xa1hctBu)4DeP*y#FO+m)gMMMukl{;P*J&#r*mcUysJ8pO zH_}*cw|QaeLUz>+$3QL>_T4^6I{Hpo3qJu~Q4hjV@kPSYu0Ja$KJ;q|<{QHUF3(*8$Gyl|sqU7RT%@%K zu1WYYj3o_w)Jt50|HK!2omRi|K2c?0W|DQ*Xo#B(qORLk>u_cP3$$sn_~lc-S`<91 z>yM&q2J#nH+EVO>qXAFmjOk>XU!;f?0U10&f`t7RXV4Yi5JblUI3rz#%QgkU!2pj* z;dNX-ik7O=qd+vgOtPa&S$AAwiI&1Ak0$&qxjI>i9WL=5hH3IuL40J*AAq1jt`&w; zX!2Io$o)By7s;dCM+nFx4th9mJhyOX%wv>CgVn3@cXyU}s+vng(U>CYlA^%4&&I6r z7gr=!;u!D8`m9$5kWB7$KR?=GAuE-mgTXlq61=_dG0{^Ls##HXTU`llZQ@GHSUK(6s)f-5w1EV?DLwMX38gh8$e)29a(C*Hk(*%JMX@_2-Z5_bK#nmZF zr%{Rbgk50gFoh>QDCMPgH87JIO^96RBXgBnPOD6y;qA{nP(tDE!;E2RibqRI8JdWa zgHSWrpRoM^O*V)fCgYYwS9xoR?=70+f4Wg4Ni4>KpRdRNWNM@@TBPgxnAtxdqMC4w zTVaSI!45Gnz@T}NDIyOMaKFO-rhb!xexubAyr`sJq9f%>MC7Ckx>otTCxyr6k1oXw zxnc^cZjV{R9__RPscJ}40_0uJhJwi+=(ileiR(E45}r$vr;0y_bWr1}kUkD-xjS~| zSNcc44?DT(kJRw|bD-;!(vCiPWS`Kx3Z*rn1R*VAC?#Sj6=EpU$=IC}j6aEHS^_L3r&UrBUzm0x z>4mER`l<3CdqTR~0^ZB#qgW}(RmAcn5~YQH&X2G3UPDWzCKIQGbZbw#K$X5EH>-uu zlwjj8y}$kN?*w0Al;o440xO`pHf2c@+cmYMG@nSAAx#rQ{H$xupM9#0kJD4{?LAeOp4i8NkcD}{`{~yy zi#Yh?Ifb^xu6-ADtbc>bbC?mCap-@5q{EVomku-fDri{dbWTX8QT%dABtZ8CI;k%5 zj^3LQTEqoKT{)f)?drakkrxTYl4?4H0^DGMXbdcr{d3OCmw!f=@h@1n5^4CBvg(ci zGSAYjW*gjNWzyp-6H9#itOK@=-w^*>)QdaiK^^!f=5ha1W+DH-sAq0t=wKZ!AUi-0 z8+7vty(3S|rLMS|WU)@`gms{$K7?Xv{>OMN_Vvk$-xbrRo`$Du=3WOP%3IU%*oCFo z1-XMHU~p0&6)-&0K*E^RWS3Zo^BB<(ZQpjd=zXg0E5U!O7?3qwwYgJVVaQ|UD*g4| zxWhcdKg?Mxh5+{NPkZ)b4yGogs*ww^pdzNwftg+zE&5-tfqjc*m{WRhd&B@2cl zP!elpvHqa!Nukb)vxIzb!z*5}rS)K(jDxWFF@>xhe5Vk=D=2x{G{t3X5npbC7~CV^ zC;`nDpFEWyQeT)x^5&my7MxHix50PeEnYHTMt)ZKD=(fLcR-3!R`#8>iFwO0e&cDs zdwsQnx9YO|V<-#o-iKVx;PS9;bMl7%i=Bx2cdg7Jd{WV?^9 z*oON{Ynuwcv`D3{UXf$f9qpGlWG?Eb*PojM{*WTJQiMH#+L-j28~5cf+mab@-sSv4 z@|Mw{(3JlL5N8aP;v3#CxaoYipqc(jUd<#EYRfdrp{!DY!ioU(I+mkKj1vqC_CFxs zXh5d+mL@$1!$GN8XH%4>CmWdv$6z+OZBo!TGnbs{e35g4OD*6H0NM^zyHRc6khw7u zEz?9wZBb}Itb7D1Pd`hIv>X3lO0Oyuf6mI>K>r+_S*8V^bBEiZ0fIc+r=VVJH%}<6 z!@E7OE$L;w&syXFVy3HIcHIyWpf5~`Li;!WYH}!f&*w(h2nqD!PGRXqLQbAK5F{h| zC=XtzPSr7oD|7iO_vxBY_*Qr=g9^Bj7bnEkgKiUm4P-=AxJ#mwS2lB4-g&gG<38!k zV`uW(;YerJ_M|n%91CP?2ok1NJ3#_e`)Tx1a8>Gdd!$O_bkPnue;oDqmGU1!>#aw) z{&?C}#gEj@ZPC#-v%*UR8*;0ZgUtUVATWiD*A7>~aP#Ip1y!St0BhzH>Ah)c zzp*rcNgEkET31PX`nQDT0GqKT74l)6J!Gl7$SmasMO_%+8^n0SCMkme4W!OtH6851 z-9UJGk+qKS)RiF7Uv~=Wkvq?7$Nzm^d041QgCZ{Dewz0lWB%he=DIC^H}|utzC*TG zK@(c}Y9HBX>hMSdD4(o|%rv_*aJh8isO%fSi!WdAvJbNJTP89W_QJ2Puda`1)vXP~nq-Tu+Gc&8jIA|0UVZq-tAiF^Fx4q6p|rUes# zdRGV*=$|4ES8Y%BMd^2amA)Q#AYh8!_p9L2?db##D%;s68H)w=4-g>C1|_k|3A$#& z_K+=UD_&!x;;I~v;~DazR70X@XX5^a@f))}ZU%k#k_-V8JU|4|rL6nR@Ubpl!c>v+ zb1l|72t%qVDLbn%5G`6NxA7|TYHb7%{TOm#Mo5s`ADC~AO_#3;{=`q}A$Tilr=?M7 zHM7iPfS6%PCR2C|+^!A*x=6IYq1`xp>Gqc?^^(az&n?*_ta>1TbD#>&%?Ke~ESar6 z0AU|TF=O;r^g;T2Np)u(UNue9$wMmaPGS3Z&85+u<-ig*?L?jXp95oN1VJdkEX)4c ztH-(cAE2g#cOE$^ko3H%;HtHG{F7OU#7YZ9cvo}qLR-8!)wS&1Y&7cqC! zoNUB`$~F4K0hU}Cci81@#Qku+nAvvWv?BX1p~!rF=1*b@dWv5oT!r8_f!KSy=A0!T z>*}X8tUtT%qy+M{Y<^nO_0b3<}O}Yiv{HiqKEc&08WZ1exZn+)R(& z`1;^EV5OY;fvTCTO94qUbAK*;%N(IE2R-6Ij)-#-^e~k!$x5I%SXz!EcHTbAGr zrhLC((6q+#%nLc#Se4F$2Ni=cyzqMwrbM;uQb!N0dX}%EcOl!Nab&%E>5!I)f_#n=g{{=*jlriGKQ zSikIt>FO@OoyTlT>ST{G9!XCt+kuap_X2##Jl>r&`xu(IhB!rWpb6FW^E$FgyCXtj z^ZAQjO8iGGmx~aWW0zRwmp$2;Yaa;@74n^)3XxU;BH5l$AA~P2t$VSiIbojT9~xx+ z(!*`VV1c5c2S?spz~f{u6B97Lyym+s@Ky~+WVEwc=O?i3OtEMRoHhUd-6y(tywc53PV&CC4hCP2( zukM%W?p;Jq!AA$yg$_?q^Iqn->Fv}VEFp9t>I8CsbK${8~|fS9$fqOQ+Ev|5U~D7i}&j6e&K zVVD8{HfFBHs{l*=>k`f4&K!5h|IGYtz&PQjE|DsokNMObi59@DmQH|R5D^jE!XC@J z^kK#kzwpfph{ZoA9NjYV-#3;6^&m0PsT970UuMKCO)8Sx9)+KCmdwi+QdLESw>}^R zg~@aG5^%D`cg-P(MApJZ0v~6Rqq^Q_h~M{*LIrxaSIgha*A$vSWD6KQ(=%0SX9rS? zE=Si5ehy>XH+y_y*aa_&M?B)_6K~Ar54Tq#R`3b~;6>6L*asWJxDVRlRt=@oPutQg z`wd(mj8ut2Y!BG~B7znN$m9x7@Th3VU?`nLvwhYLthV``!$@_f8$WQ7 zfPj`5fq?$8wf}x*=4hsGXS}50>6AI-yrXWe>e{olG(COJz}g(AyLznONVS&iUgM&g zTw93R5no4WKy2lQivj>?b_WyuD~7zzjg`)9?XVs^A5WGz%Sy;Cv0HkCD3)vMke-l$ zGcUTH-E1xebdFXvR+D!TYF9(NT3KE0eFI#_0>LC5zqVYEIER=o|2YK-sk!oGKmts zBqGYe`jX$co<@_vV^c4>F7NX$~0G+bfkPB zs?MT~QHOgG>IyKfpR)x!Xw|AymPGXgJE&Z5VP(ab833PT{(j5^k;}OJTpKvjki%gY zYdYV~4JN*1uHY#LO_;)}v&E(|E~rtYjYiCI`uJY|MKxL~!$(5mwceLb&vigEcp&Tt@6*z%575TE2=E7}8`q8|l&#@(4L zTiw_Wm6u~2U%)Q6R|G&L3-o|aIKvhWx8&2SqW~|xxeCUSZ+ozp`+uaFrKmwV)@n3$ z`|-4l*mSbelIaJ12?=6-yZ!|CPKwcGmN*zmv5|#8ok>hR&w-p!8Q;FU-SH3gtG5EV zCT?|pH8M2V<%Jx=ImAgEmOFZ_jXk}-mdthiRG980FpQz$ULxjPROKUzdsA}>!^tVb>%$E-r1 zz%X(R-*lLBrgPL@U*RZ~KMxbBVpPD|nE@o?EPAJMh!&r%_HNND9^Mt{fydyi@32>+ z;LyXvF@mHV$kZ1MAsOxFO5`8O5I}_}ji@!*+gYH{MNlOwV&4sWkhX2B?d-KPgF~1o z<8bX0>Kyen{Yf|iWmdBCGVw4X`E_Gt=^)sjDN(mnD!Vc)!Jb;7T(4BQ)LLtp00m&L zG=QjRn$H8pk*^C&3m_JPN@ZKl2GugG?83FHvZz=YPOwvQP8>EhOOa$B&RSSv=hmT- zaqaC;!q$nTY?b+y|F#A3@PtCmj9asbSOxe1&zY1`Lw$V3zA#nAwTzczC{f89nM zAp#hD;W_3=MVr;B{oawlaJYjg-n0N+)ba*3K4$fD30W%Fu9$k!}G{Ye<* zy4~PD(*GK?i%y1CNK7(@0J2Sox8c#yKv})A$?y}`6icD4Tk$+2`^x!LEL$n&aEOs} zwLP0xXN0Pb(m+{#!^<*#Wf5o}q0;EIv9E-s3GxDszXBtB+aEpe9*od;z|>z?1-2qb zSZ}`w@lhT>;0XCB53lYK34i!UpYAIJbx0QOkp+4G9D;Eog?L_j1JbcXe-Sa}6MG{X zhIWf}AV*PuP(jB5Q}g~UV;#rW1GUg9Rpb4y_=?_ck77nvbg~pi=+j`y68bVrC8OJo7*nOd6UOvp zG9+yr|0m*-vUwdprpJgWMHaxpkb?7{NULOY$N$uXNM8RpTI4CbX@)!CEr@S$unD#2 zb>;ls%ahMGCk)$$96;?I$GXWDh!dBC)OuANU*I6eOLcf4_&kvkR5`|O{cUOF+@@{w zf^p+;$LjhpbT9fi=_5P3gLGdL^jRCLi*zqe_#-h&VtvPD{sJg*&N-19 z{z3of+!zCkh~^9IcBTt-+<9-{4llY2DH`f|&2o7|5Tu2E10=>GKxr(8fMpx^P#w12 z!*j@@PEV+>2~41R{2f-|CAxEp9-u^d9>S`hR7eq1ujJn>1A);TGOpvcrP36LmNKOPxlo|ya>8yP(`*75RBPc#08 z&g+~6M8w37O>{ouq*)Xx%$f%koenEBvPs^#>r(1?Uh$Qp$TR1-?P>x``U&_Mo3U*@ zb52z7CHPM3NzFF%tkVS(vG$>RL0!MgGxa^-1E$DwIY+idfRmY0bGHAMr4*67oKIK+F-80SUkFTPz6FokY~1d!AGP56UtiZkH8< z@)BrRfoqg_3U^S<3xYvmPaty9e0LPI1d(#t$bc;=sTd0p^`qa#B`TJL2oq_PGFqnL~!D& zF^mXFd=UWXlcU-ntVoi=G8l7_e@K6Q2cT#53Sp&rZNI3|z{q>`0s5x&NM6Obl-&Qq zM!o|cQ+kC5up<3aA;N^HyS1=P7-LLKe73mMXhBZC1LxyMF(1}A1P zK0f)cDdR7AOTvIS5yrR9r+-sjBw(BycY-s+V^NKfe^A)FwGIpzlvn|Afp_}yast!H zo73U3DH-s9gfm$M``2&D&ld_ScVr>|oPAcGW`oWHE;oEKH&+t(sd=q2*cpV7az+#S zKO#F;982W$$8z%4A?Vc?$n#d1sJf|q4oAJzzdF%j=|_QV4L}YtcNd0$zF==%Sor&x z^V%`>=z!H9dduOj2MYJ93@JLKYVc||9?ySywj%QajIGFBNFwQtLn%LVHK3g{SVSX* z&Fs`2N7Vy|b67_Fh0UfZ9oNpEyGLD|UR_G~2_=P>fr~AwuEOuGk^Q+1GXOXZ%~1=9gv{vo=eXlXhw<=S{4F8cI1PTm*IHD{GLH zT3y>BVrUm|tb*<8IX1W+zE1d@b&2xZ9tGAy4r;db%=0lG4N%hRe?m^DNTIV@VlD?-}YoQlF z6>Z)^9HW6q%Ex5-JX|#uYyWhpy_)2y_dlmJYSz4R#40dL(mHV3wQGmdN&M7a($KVD z%Mo?bWJ zFAfk$n;T){EMb8XI^|EG$CWteAKl1Tw((QngIb%|!Cten z>Y~xl*KXOT?*K$wkTHg7bjvsY3?ki}YS2g>u<+OPEjyZ?+RPK@TF@KuXOPUFbPgkl zccp3ZgA9#{KE-9W_g0W(%{jI=yLMYh{5iG{yY@-Qof6wKdn07>B!Sz~?g7z~fQ(xP zZ%ZognjpHRmZp9u1f)*go)Xk;!##U%Pb#Sw+&<)YKvz{Q*N3>bDb=M+WBJa?25`qi z=6pmyo5R0XkB?(2-CRHE-I9&_*MBeGzle_bzgElM&NggPQ|esEi8g*S?7-Hb71b!}sqOW4(hL1T^^yDcWlVIu3A#HwLB3{` z9jmdE5fBXSjUeBQX(-oRAP|s%`+plvJJUi}akxN0QsV#7109|8n>0;Rah8&6i~Khj)M2g517HS52=FWsbdb)1*#9ySkD1aEBLe3~J99PWF&_5pzJ&{_ZyxHSe zY2!SGlFa3FvR_AZf5-@hRl)JvE^1z++fF#_Jz5_x zyn947cD@X)kDY#Jb-57`#dHwb4D>wk*s4@#Omw!H z8rzqCqOAskg4D5(En4r_Wq_`qyvDGE`NcXMLI9e0-z*cPND<}G{bBeV@nHI4I0ICj zy|3{tr=om_H3+Oe{;#rA@p7>M1Av0?dtk(nei;U9ZGZP}N@oLPxwj7R-yO z%m7E_!)AVI{UsdHpgqnCNBx-lAM~VyWkdoTgM^O^Rs5g%+EtA*470jt8e$$o6LQUQ z3U^CMG7O%*4udchE%sdgYjHsB+zt}{nBDD`;y3f%$;yt;!rxs}05n&U;lDzShSW(QnO<-}Ti}RyRVUs#fwRo0@5I*_bESeSao@HS%?cv-R*RT{4 zCY-&P%*TygC1D0h$=6Jp8I5}yAC9tgF&f61UnaHTq&4fL*^fHCjB7FMc`=BZfbX5k z_?$j6#Du#0TrdUX93UI;j(laCE)7_`3mYK9OxwjMy4J`e*7f5d{lLn5Z65IC5!IJGXth6{eOjlACN>?tt$ELz=&F!?-E z-FV0DMd6U?$n)ktrbr%B_4mI>9#{4E7f2qD1_m2@dmmf%iP)gLh55aras&`yrshXe zZi`+Oybruq(%ASsHnaiXfQ!VC>kiLzkQZ4W0()tL^DjQJPml4%9#VyG?z6Z-T{0f0 zn}AVX&bK~%l5ek_ukFDiKCw;av%ZjSzgsBn%O}k#eGf%hYIp-1>rCgb9zz;RCxQ_% zHOd8~vV~%8*P^1Qw>F>GqAiVbGV zes-uu+TKq}mx5`a5R-NRN-;9_EW+sc|Izi0(U~<%qp@vgV%wb9wr$(V6HRQ}wrx&q z+xEnmoA;c1zO%l&*4=-qclGMsRb5rxy}GMv^UV9GmoTsoOQiRRm?;&ttCaMXM&4ZP zLw2;uVE$Yjj&+Hzh-B3*x-d$j7rQWuq8~ZmchZeo7)I}CQ^HhF2YA5#t){ApAJnB0 zf2(I*8Qb$SinuU+gGg^z%*Y-8BU|#4F0(_+@-y`qllfCx_d^QvZ&{?ai(@7I$i;rH zi(@9eUv=cp>AsuphZ^SJsz@G}J09K5@<_nkaPPTMAk~*F0=r_&gSREQq;slkDb;n# z5MKkAX2#FonqL+X-qxmX{OPammS3a`$})H6={@>pB~lNV>0gMtyx()F>s=iES4Cu~ z>m7$KZ$YHE>s@Zg3xn>5F6Q6r$iH*Le{qa5%);P)pr+Nlil&Hl=$lxReh`P+(rb75 z?3`h~PGUHe#MvTO1-RSyQYPLBqXRTA{JrvkExu|nPF@P@vUj)GS ziA^?yo3Blh*c)~x*P7tAT}@u?Udpv}rd;5tZ+;QM0pj-mFU2+!E?m-F4d|1qPAw6(Y|d=I zmzmW^*SuQbC)tQMhIClWwO3o1S3+!fobj`+Og4sfIMmoc(-MTy0I|T*{>6RF23%qz zkL^fv2VF1&Gp&DX-sk-`ukmmEWfPf31ED^kLuEd(n!=(E zT$9jFJ+0PQZCHcBvI6`l06GiB3OpqMI}3nm0=^P}oP~A)-s*!hAZLU#bl)q!Y0SAnR3TKhNmaU1Z}0k1;YfUtvV`&aj| zPu6FDU#J(}m~=*-oc>pLHa@%VK_~gA(}Qdf9cKnlJ%if|v-n1y0G!Sgj2EbViG9j_ z3w*g! zpe-KZqwXW(SbN61sJqGrIfS{62EcVl8ep^#1fVHE(gCJ@P6pt0^RY9n`Z2BR@`^q^ zpH3~!Vf81wE1Qz)v9W{-1royNcv! z5gcGVFg+4$g>lKegE7OTZzbV(6JcZ^8~km4K>h~5_xH&Iq6CZZH!^4rSB9$sPplWk z0?dM5LOcO^2l)2+?E>9$Iv{a^;X%Xzi3b?>Iqrhp2A^TdXEapEr#DQ?H~Nkwom^-- z%rHIkn(G_96FV~m@QiIvWcmKOs+{bGxOP3>-o4DU*)h7db+}=4k7&GU@QrPM?)UB6 z49)rsyBeMJ1-Vu}=0CVRvH1?jFSh*r4PfXoda|CDIbg}$OXXux%sun5YvjzFVh?TC zaqyfxa&GarC2|(sjYy~2v!U8xJ|6E^WmF$qqi$K6T=K6pt%+%Tw82fY23z?btAnV? zZbz7QYq&D1!QF&AHgj^r*jSsK>c`Hy;JBL(g>=`&4563NeQ`ye6;%LWdSoG-5m!Zq z(HC@toe|eW3U<`FIL6RJ9M};c6f)S6P?6lO8@^J-F|#hQD!X98l$?4+*dST0ogrmLRXjr6lw8rgMY%AZZP(3UA^-NEGcYbB@-ok;KLiNr=Q z?77v5v#al@e-9pD$F0MogV+5>a351GL@wQ{pme3?e7dILo48fgY$w;78tx98me-gX zg;L_$n$JJ;SF!xF=5`#U{3M{%V*>AF4t+;ifW1NGmgo!JoXJ)Zz z2J>V{>Z{b7;Y-&;nl#-|m)I#+Zq+vCOdIKU=JxtKe^=7Dxqw&E)MpY^F6SwME{&Y@ z)w!2Tz5>LKaFc4yzg-DHHL7j2SJ`oOY=f-YG_7w(BaX^i1eYpGQ*UEP4yh}6O?E{8 zg~BT_85L8xhEyE2s!IC(k(839Qu0~*xs|f6>n|$X=q)&H zSMnuqSNNEk6xZmOnzbT~+M1;~w)&c>IoGtsvn5xq4!7lRrHE_pE5atv9sN}WrzH(Y z0?51$k25rgH>mvvt~#xazZiD>vmm3=h-*4jIgS^s3w3A4jB7|C z)D<=<&<1@ffO+5pQJ*M#&PV zv3LOnmW7HG$39`V4+cibvC9YiZ&qp;1^&wl4Xna{I5|750983yTH@}YUS3-Jo<<1r z-hgtGV*I-YS(0wldZb_Fp8Tw1@cJ^Q+yEFG>A;#DT)q3u)lwDS2wc9u$F<;jg9tUWP2A z!m7&vT~!NmaDZ{#EhtdY6WX_2~Y5{kkzfH!$C8e)t1_UNy%S>LnT3lj^;AQ0f zW4oc`6771rIfWBux;=$7a7yv&>X)Z58tVI}Tmu+6fn&5d-D||4x z;5wB(E|hdo6aF5C=Y~KdwS+84YxIvNlpTTl7UZEPT$QjoqOgcPYe++5BvE!so{?5~ z!SsR|+rh7DAUXekiwbHSeH(T6-}5>QIJ&3na7>NAD_Km9%>PKrk^|rf0;UT64hGen zINnONQ{e;aeOZRrcW$&X)*^fhjkNfCioE}qA(xzg9>mguw8tp26Z#`T!wvD9{J8G< ze~l1Gu=5C7K((>H{2uHFEs_eYJkWrWAb7(YR3#YOF9qKKU)EEo9)k0HVGYts1_e4^ z)roUhG%R_*GSgSIa2T_&uipWZQw3qdx0V&W5skxpR^I$y(uMzLN#g8iKuY#@MUSaT za!qz)F>wtoV;bsBw}83R3sIR9TI@5l2g#&**VZMf5ePfyBc;ys(f-Ng#y{juP$1NP zvN~~zl)Njp;g4%87$$Y}M4}y01=#cpek7vZ$Q!)3<_e}^JB|C$LNG*y=Z>g7%GJa+ z9EYQD`?J1R*EndnZP*!(kMQj{(K+}p{dZ$AQVlNuQRP>ufSsbQj@wdOA@*u@RJrn!QFU_5+%o7ME)39xAP{sS zqSAwu8G`RfDMXR`*Wwxo_&t({KWt!>^FO4-TAvuv$AnS$X4He3NqqVBkxSo@|CyF5 z2=g}=dI7K{Ia%6riETqnx?g8$70 zeQBv(P6$_)KNQ=+pib<7sdyOx+7N#r5Q+{+6Gadm&Pyi89}32N7Q_>Tm{T5NDwX0# zAc@5+u_p*p!86S3Jp`Rx-<<^<#Sa!KY(-Cy8aQoD#S8*jO=Xe+HM=CI0xHb09f3p9 zdNev4bP=kb842UPQE^l+#7B|I42nHTzKazRN}{Ys*|%l(&5?YYNko! zg-#b&RfPDj$}@B-yi#n6?<+*EU1@o$wLU>wI?72n#Uk5MOT=19_NKA8PD$%YMZ${N zrQIxKg(plwYn&UHSelhpXs;RGv85Ercbg*d63e~pp_mQe!OiQMgNhxLY6G>?Ck_t zW`Rw1hnXNL!N&dJUgv?(KuiUieVqH0jbeQK{=xBb90L6kzy`zx;2I(*KqUcVztA26 zO$mg4@Imr3asBfF+9>QMG!5`7$QB@;fNlXXJgqz=O3;b`h}TY`JlHh+QEMZW?WR(3 zDwgryhp@S(w2y4aRBjeC{Rfw`xoM>>qWj^?K{d-L zDI==TjJtL^K&)aq42`i${=hkwYIz;I*mn+-SjB7@T;s*OfnzMT@;Wv#I%=C(#Y`9; z_-c>NZbz^$-QcXVA=?w4)rYL33PWpwV^;L5Jn%|7bMv-utWieQ<5vw9d)Flu>^JqNe&(SeL<94lDcH;Q?W z+K3guhFVP1fsJWAq%u+wK?gJjRYy7MepkyV32_CZ5Tq>z3w^+KxD3ipEGlBpY>%;j zDqN8Yu*sW6(FSg@x8@@mHNK}dQifuu8&$E#K3axhCmwaa_cT<79D6NX9mQ!nf(qYF zK({|#D5|5UueRBU$>lg6?M=vlXUlH=(7isuiSF4v9Mj_8Or~e6nKjLP&M>=H6kcYcc633 zfM3BbZFgv71l#=J%+YX(IAhf)C4w-u^hk%4^0)gTEsjeG{JlQ|{oZ|@vb&%lGtFlJ z*uxqM!m$N;3*idD@7i9*lX_|O2xNV-C7Kz-!$&ECFvJV@C-SV(#-4O+2I8;;N8Z}$R9 z+h5j^^9XI%lvjb7n8n==(glAwH_s^nO9QrjiSZvbLTz+XYxj3}dpVSBefUxH85TOH zDPkE9p|2gYYG;NA*HnN8pZl3dhR0W*nPlF#1?vU6oijp{5gi`6PPuncDbBsI+&l9+ zsw>;Sh~qpnh!d)O&zCzZItMTP9VMUJ?zK;tJ0$l2-d#f5jN^#~AJdFlJ!dh1#ez>G z>yFq|tMUW>tj{Rh71z{r#W7E@Wo}1-We0=&%D8K%>-p#-_5JJmmPP!vcKJK|c~3UC z+e~S?;blHYUu={AgM6p**A9~K)y2nWf4TPI`OGO~=Kal0Z#y#)h0EWu@{#cV?Z0>X zndmYIM>+!r8(b@SQL%p|#N_+|fB-{7VZ1ho5*3^n>aDU99APxw!Wx|~Sd!Q2CC1Vk z_Mr>)XG1xuV>U(xFYH%LZsWlZ%rE0Nm$LiNBYkx2g2|mw%LEygG%ZBuN8T*^cuc5? zpZd-Pv0JCg9B-4+{O*Meo2SwoZ|l(|l7osNxTYO*E*#@dg|(YKTy}PVoXpG{v0wDs zhhoEaD*Ix^b}GkWM|LV_Vybp3S7K~-DkowdWTRek_S>$wN5@w%1GLE@g{F2*>(R?? zb6Ol)Ct?>{7D2eSE`_poP2*rz{n--zCSI&i>b}oI)akEa#aPgfBF*i>|IlRWAH+muq<3_SbrEdP02oUsu92s0f;Uv=}c>YW0`T08pj-*VPoac}e^z zzFELMM_?pbihlb5lYz63-gUAI=f|*^4MaIUmyXzG%05)fdutW-G~>B7JN=Ux*CzU4Bq~*#>@d9{GlG(hKLOhpf*> z(n|SW{x6zy%{AFA1tp-|Y^$ho=aRc+Z)3DDM z6q`Xo)(t54DZb>W@|^)3Y^d~}+}WP*JiM@@-(vf0;JgGwD5GcX6AEPnOCR{>qyI7t z5kvna18%4u2h-TPy!JjRT0eRN+>uq5d7D9SFjKX!DYeY_I-Q-z2?=jv&Ao*jL}6fy zi1PD8{9{pY=uRL)2p;Sw^1XbmZ9XJZHGz<63V|>$iNKC8tTz#$toYQu>B$Q_#Mr6F z8ZBrc4aLJ${#h;M4s1x&WfJfAWtJ0;D8vysBe3`j!e56mZOz&)FAS8l!od4Dj-~`i zIeX0R=v!7u!M(+!N7-Moj zW$Wyg3!BZ}U)`sGv)HX=_(FVi z$BX79VO!fMxE1|vs2ORXb z+k8aey803dB$Th8=1=||`|3VGzPge5uv0Mf`73aRf}$R}u)`iA^9N>wv0iq$<7DPO zRMCEL2bBdNT2%ZD)hD_&+JZ2>q_o1Cn8TRqqJWucx!pGS%H$w1+9d?c#eT?}6?WU3 zeqjGeBf*{D%dvD~;f^g}BM#*qd9zk`vcqWg_Y82Pz|zw1jQ*`P%Ua!rZGyVgz-@HE z=Ih&~o9Lw2t5>4nigR988yDyt>qdGbxmlr)+#@Pr<<4xo-2^sjIXy;f8A6svA?(oR z571~f1|g$WrA?54t1G%%cS)6quJtkkeU>MXJ#8H&6qc_ZRRp!hU#k`BE?9v@U>2-l zEjIq6^jgQbiicIMMXqw^6BLqJYu57#jNG#-sY@M?%&f>^a4xO_QPThLO zK=^2WR-2f(ORIgNxMMW5ei06#60k#n`hL!~o8Ix`{BEz^U*MgOo&`MIy%A6kJ}&JS zW(<5p7DJju3Vs=*+G4kGV3@9|m*KTTwOq3q!rd{%tPOQ61+;QZ zo1jA}p*h*>lY4#hb=e`IHO|;_Je^WwE+LQ~#qa*SLtu?`n|F{itxd>`W|$8G)=+%Y zUzb^bwCd;&G7SfR5XtZ**!nsu#(-a$Kp9uOQ*@d}*G~W6 z>BgB3L!VThGO~S$k!RtZ@fSZFfQ_*arVnYf_lW!CIjRxW2zoc=!P-|s)X%g76Q5SD z9OMZmJ+Bz^cWLJ970ze%<>!9d(T;u0W4`x1(gz>0TmR>Yg&SX_iTY`C2ve@o&tk0H zYb~vjxzLK~Cq zY1le;suC+FxCi&N%(NZEUa*H&ZW~iSM0llHL&UV!niu!9;k0j!NGJ4l;CxV?imzio zc&>OM*=Nw?*fwt$VCa91{=~&{Sc$7urn)041(gsoT$zJqNpMO+Mifl#ZqA2yC`>?vXXkmxe|e@;N7T zIj74D#hOKMMQsPz7Xc0S6wa&JJK3kE@0taD23Qrgrt z#}_$Ye|vIzO4wL*;KkL=F^_!?V(24>nOETfS)9iN&Yk|&a2_LlX}F)*0QcN0t!X}m z#$jn)M#swv?>7eiSLaIE1~=)Q7WmcBs-G8efOW-X>a%JkM`;>Wf~uq7Lw}m=JeuO>ubIas#fG7M-e7CyBDUdn2~O08_0V ze)ao;mt^~8)df>q;u?K&lMq?<(s+CHfUaiM-oBeDYpp5KqFa7JvY0!Ia)o(8gWj5h zyi81+7|W~Cu^&42JLfE`zU6FNreW933BqfqT02Q%Z>@&hm5=8dz?Yx}Z{jtZ0dR`b z5~XSGO(rQ=F02M*XIAD#oyvTsW7rKvph{q8*?5Q4Hxx?{7Q zq6Cw)1gZ5{OC~!tO%9^>GloNLj!>_lNEA56&C>~k*fGLDwC1*~dN z;5xNR%3LxCPJ@#KfGELU3_IQCK5}g|44#Zo&=$rFfzG42DT4h=ib9cBq#Y)iz90(K z6pVUHIiUi#gpkEl4m$!saYj4l-a~1*- z@^KKs<0a6~A~clYJT&{(LE`6SU?{^`=+^CRupi~vXmO&HJTD$X+k)QT`&nelFAs5o zBt5l5i6Km;-?_aIN6I#@tlEB}@xosMtKJ)_Qo;2f!)&i#xogb#Z?`vsKkpzKFLD%UCFtI592y0wWYhH`gwlT+0YgC2a1BGADQ$fy!nAkf(mE#L zhACKv;fg6(#^H`B9+70^8b%3ZB^vuE(mH10G_;P%WZUEg<_*+eVwh+3%Q_@~8&u$Vwo1P@Uom1lAHFiPP zjS@R*##rPiB(^4!??ABo{#F_Y(^!zPA>IGB(wM^92!Td%y@YFULv`)#I70hNN^4^6e`JueI87ys!2SYbEp%4&xbFWq( z2be-<7XZi5^#5hnuU4Z#PPSH_Fn-U|M%rei&cUXGG=`Y^YRU*F$;#%8i0KDjc7a|E z7CoR1k>rD1hK*H=0iCT)Wf#!(BrpNm^c310AVo(aOxbJ|?%<+?$G#?XNH0YY7t0xd zXxpd?OPbyhovwE->W;3MYW*9e;+Mi%4gfff{#UARyvF67$d^>;)zv`ENSOjs)Kb*I4w10oK= zXIkxLcRE51x}EQg)cFHj)k2b&T4(u({F-iohjQOuA*HId=h7RQ}HJ(4_aQU+ZkY|MrQVlg;YV55~wW6*BdSw$w2*G_>Befrh0sTb#i zC98H`f8O#E_)c3A6$w?y-*FoX9{7kk%40KC#$@FWsMFaM$wuK-m0&e1la*Z&c+fTi z`-ze-1zYFfLRH8^gw>TpVXxdy=K=URHO>~HE3>m>jFRN@D~~Q~eGSrbe89SY6vz?LrB*h{~K-i&qCi)TGF~XItP0iIT(j z9JXRCRN68J1|BlhCPha5QPHuF2D5GY(i%`fnz>Hx_&HUH4H zVf8P%2FFSeFgJYRDO^LRxkLG8^Y?K6=~njlzq-w`x~|(=agcKNsF}`(!E3;T=ueNXlJ; z3?LlpOZz<{@9?i0x}53{qOwL6^~2bx7?2lq1!-)tnCHCQdE$erMG5)hkP{@y;Yana z4djk}riTv}8Y^%sp1cLCN+CTGWWurH8U*Dsw6Rl|?B$@`B)Q^)&GZZ_3AhZ0B)rkrY+KB1X+YS8Kv~g?N9Q|8astqw@%h7 zd-@gKvBJ9M=~MD@6N`Jw3DV?nSF%h72PKxPCku@|9j5y;_cW5EO$MH~6S&Jj~ukSfv@0&K}tSoweE(CCmcv9fP)lj*0LXJ3bp{l<}aL*0hL zib20e40scmI$CP(f^c(6_Wn_;*5m}vJ1cdhQx6nzVM17^WzSQ^iEsvOH4FE70P$uH z|7QmGbjLamkh`qdIjqi$E(5%>WEn?e;=to9(Yuxxyi?%n^O}<2Y%rE70f@)z-P)bp z$#>n z1!V!qUWgy^Q)uKogQVhC0gi4VP{1^5TB+(W$Ji*I;pnXaRHR66_XMKAFQCeUJ>Mx$|Vw1ZG+t^N&5q!%u&j zYt4+|&SITcqSk3)oB&~sh?Bv`{Kl4u=%hWHT9UmG`@}?3G-t590oa^K-HJ3F=uetA zqezZy#37vNpWe0}p;J5#O-Zn)mivqMFE+;KH{GCRg-YDQ{>Z%NRN6c}c>kz)EvTFN# z2*t5t#MyLM9`eo*18~^J&?a9u{C=^Iad4&!5#GT6ZaIiPy`;q1^sE5?>|En;oidOg z?f>1hc(9wQmL2iLmI<$is&?cZT$~pWiI2GRL;YY+)wl9a>k{V0tQqHq?=9C@cKBi7 zH4?qfkazI+J->R_-SR$mND3n7{;O8BIhHp6VHn4X6)#|L_a0fjEBw1sER_Q9uB&>4 z1&)Dm;qD28BX_o=+;9}~rbx!gWGTW*N7rW@*{){TAw+SPCB}jO!I%@l91E;x41M}f zUc?~wh`+sK@Ixk{vjgWK(k+)br+(xf97!K0-W~{@T8Sah3%h#T@+S7%-&)qw*q!`G zQ?4bvL4dvA=EmIoFTN1{qcC*F9iE60jh$lS>hXyw$BW6X!{}wF?a&} zWb!!Zc4K$CnQT8nE1T^y=f@v3;+E()NZ~xzH2@i3EBQG%PTM7Yx$gxr>V;aN)yB&Z zijWQ}AKZmRRYK>(W>u+^qV15=@@G{k>V)IjFKF$Fc&;|bFy4>F8faM49l;eQOE87~ zIQ48sJY{05Hlyc}``!aksitK7Jd^J#?$P|A7rt(bgLm(|disldzUv#C(^rpSy*5`q zaezhX($|ZfqHK5ee>Y}W#B_bR*fHHUPjuT>MI60(7=9~2xM}R1y6WmAxJ#|hMvV80 zpZpFCxze#3a#M(|ThpsxIKN%#9qpPSzv?O_h}k&_|EGyE`&$*Ac}7bOhvCI}Di6w7 z(mZ<1D+cISzOSt9wurK`QHzfq0G|Hf{*`&PI<8hgCi=P?N5VqB1>U+JY`Hx|<831( z_`-BSCn&gb22&4inoPOgLx*>Zil9T`57k^YyX=biDL2a3##PAt4l0>KZCVI7g|zgT z0LXHhwr4+Pmi&+rl|F5T)X>14#1AxC@Pc>4Aaa8l_TcL78wrH~OO;Y!fGbkL2uY6# zF@ZL8pVngu$myd+ev$dQ7&m#^pDN3Fb+{t&X9*JEq4p#+=`&VY&v}6}MuiBw%o7>Z z93~`!py2pJAL3ou;1tj=53q!YBVPNA#-2{)tN@kC3vIN>w+hQe&`Pv|h@&opjEAm( z2hrcy2%?ykBxQ)QJ4k8{02X}qDHymam}`lCje$__&X+sXpV!VFGIK#E#VrO91%V82 zrVDBBqc7n0oJX=FBzyHm2IDj(RPwl#kQP#@2EUOP;!5G-KCzgw!xHu+7)kudV-uO0 zlfp&<`&SDLMidvKgdxPRS!z5mMG+H?OYU+kN8Qp#d{Xc?Y%}h$0d6)QocUD0KeLZ5 zT`%v18TqSY_zW}d(p@ek7d#p^_h2c|E~-BToXP#=IKYH*FNheGX9ysCsl)nYT0v0d z!NB_RX3&t+(#;Wr_~S(kZaK(g`tgW1*t2QE1&HejPaWW~ee=P51w#e)0H18&u2BMG zG|>7sR2e9BlqF*|0O!Q!zcqp6-qD$pbZM8acFeH`4IFJ_BjAZ!yX2WjGRH^cnP@WX zqq6K|X>h$a{i5u2-%xx&l$|7v)*$1Oljvd#*HTm-M9`0QuCcGnvT5BV=N2}18dFAi z2}g6_uS<#Z#}g4|`YEhuVy4U=m!99Uf<$Sy?LF0uYabFmo1Ac2gUowjaHbtNV6FSUHmu^-;#{?4Z5ph>0&Xa$O zyHb*81;2wEGn7Dex72KuQMKFwwWeIwq`F`+@a#9cVhO!yyPzO{5+g$4{Y}bbU2WnP zV2>P?MfKc7{~I=T&5m6=C5CGzoSEn!eZNO7jm>YG7^Qr1uW5fnyHpqEk2PdvlXrGl zk;3Y`!f?%(QwwZAR;CG2e0Tu(Fq)RHGODg6&Nn;U+8navKH5^D>x}S^E_Ny3Ep^H0 zndfNWKfuZVfzhq)VJEizOnKrq6;SPPfQ|(w%?uQ zu(7*%cqatNoviD*)(uq;KjZ0W;GtE$Xj7x<17~xergN@uL zT^jHgOyn&`WyCkwqSd_1qVK#$_6W9wdRxN#c56p60DP}e z1yDPkC9irvd=UOto7hmH!;*K+d5%;H*;4qJWYmebkfU$Vt@xD<>dOAHu7sLc(K3__ zGxgkrfvv!7iZ zxa-xN3^)x+3BzeRZb9+2$dM3oUHm!$%zel9(-JlhC7_Mp58+KPn_%NLJEGFd+CV{P~f+NKVksz-hQ9LC{&S(y({or7FNqw|3&=Yw@fwjV@Cwv|1_i#|L-M} zhl%lUrnUXL1X@Th;8`7SOkc?odext3O@4%2(}@VmLaV%@Dx43=J}R_1R0rp=_a@3v zeJY~r1aG)pg$+8yGnb2>|7Mf24Q{1f<3WeN-{w4&qA=2s6DL=v-?>Rb$!}A~v;ms~ zH0A!-Jbs5wp1f3#b8I-5H$n8lYO2wJoZWLMb48M#gVy#G;Lb|FD(hUY*I-84AaqPS zw%GXyYdCHh)&9>xNT5%vs@Jq4< z>6SKk`Qt?zuq!-fAe>?H1_{uK)FFr+NtAb{T;<#O%y7maln>Rb6Yp;@@XJIqmCo~H z*0_&4q5YL$P2?2UiWkypZRGwKL_U9PhPOK`nt`oc{X5K-92CIv0VBbRce4tQe*dG z)NVyF$sm^WMJOMcB0l&e{3O@AbkKnrXK=N}(1k72`=Boq!ol%MUvKI=E9HEzJ6ypZ z1a;sy0I5pS5VGVz;F>UTp>~Y72%Z+d>hRZmHC(sXQ2P-0PQEF*Tu@3Bh7KI4$*E<# z3i?~4o9St8vZtS|?|VQLKOIx0$6v<7=K8KHHM%bICDr0X*V(S7IZ^bRb|QP+DQR3s zl{-DGV`0eeVv)){w^^4iD}(Ke(y+H3mOdt6N;@_=3wNY7^tiZPlFWW3sx|hwm`fS` zrf9uFdYgpRp6`qOD*bNVBFK8h*g<&^Xu~4(V)4Mic@VB;4r8T!bibse&m#64<>=gL zDiP(@F2_gD@sk-$Y(pp1%$XBDgH4lh4Xu@NRf38+$sjf5loMXdPK%H7r9sCjJP}}& z-9V8=J@rcghkh#E5vR#dgHeJ*2ZqRA(TPv1e8h4#LC)3k;ge^^QLBkLXdzD(0@f@M z87v18GWDy-`EZrcv7yNkRxXHrv2HhZ*C|-(yjR%%ZE>43wgnc`&tIugyUBb^Nr=(b zZ~5Z47dAhN<_O7{akob2U-Z22$sK+2vg&+pp#K$`xy#pLx%g-;tBvis^Juw`O z=q+HumBHQsL#A7rvu%8y!r+-!a!q!8k0`!1j(H3g`k9vjB|bpI#+|}JKG}950gOT@ z>wrYm!WEiI=z$^&$`K?0%`-J;c6@W0=9t2{4)HzC1bKSt>e{JH0WCLPyd+u#Reh_GN1?Rah(3cYSO1q_#xB=PCuM=A)#X|8Zn#WkX+)GGN&55^9r<^ z_d#=VktBM4ost-R#cSd&aNL{@3B@Nn_Nt#rs!q5_Q6_@(;Ny+m4iAvmVQSV3yG)VU zJ7HTe_)E?3;Zbj;b!da$z&SmE|GE=@DS}yU^8D^Sr*FFAjj7>QK%v@rqg&~ht!r;= zux3ejo zFvx=&>|Ho518I^?pne~Iu2(H>d*7eAdIYwjxQol~@^E?twxeKvRqXlF^7iYN!$u_E zf_|6uw%#sXZ|2fg_MpGcmyc=*Fmep)Zt6KN+g!Qw?EyD@+AR!1Oo>s$k&i(W0|R+6jtLa@`Yb zZb5p5$!SgPc>9aVW&P#4j?YkCV);wN9=t+bsU)YK&J$YctAi|HgnBG5{}`h4*|r|A zKkNhPDlS7~c06=>R~>Gtdbx50R_&55{&MBa9;gO66~4nyVIlhX50*dkA8er7<-d?N z>rfwqJO6t{Rd;iHN(|cfXx;Y!J~s!*=8|d0a0}LSgeG2LozTUxkP7uWwEk8SK|s$>H*LTfhu#d z3ElzMDLNPx7^C8+pU#TlqE^c;Mz{&hgSPaue6H8*!B~g&sw4_m(zPpCbFOBKV-G@= zk1`y;FI)vU+X_@L3c!(3YQ`p^0Y7{i-X-XkCj990=~?e*^)^-aM%Jyi#zw9&6=_RB z-t!`7sLi9thOQw((OEdfTmdkn=p@!oRjSvJr=>jwBe#M;3{(RJIhdxjz8`%I5$2pK z=nYf5i$K6jB$7x+T=AW$p1Nt(WEZF%3boz3d@vFblL(23HH8WP@~BvPjQnBPo+o@; zbwi0yax)&#RdrOXGZ3dd$#8|;rq~GE76Vki_UptVII3e2PDVMG>Nfx?I-m#794%=J z!;JgaDzFI2TMTSOkMYo4;t`3^aXPT_ZRXimIsu&9?t;z{%c)VT@4DAu+%|>ayPb9M z+Q72T#=tU2=dW&H4rd2+C<+W1kzq76WS{~gRHuir(os~NdZIq8t2}F&Nl0YW zJ@+99m_k{T=x8{ZpQ!-1F}9N235sYG-1%ekWzn^eMCb{L9~(AJhD;(Tw39#kTSyn9 zF=*kK`qkT^O+g~k$p(jiNKUC|;LLGFX)f9o;T=G?;7Z=rf^S4`ZbTQQZH**Pu{H^o zGpX8%l4z)D~Lw1AflB#n*TYPJ)I!3t+JNkYt0WL?h|vN4ts9ckz}#|Ju_dh znuams6};ya+O$*6i~Lgs@>Slp;(r8X1|J zk$3=BOvGd$%q|wdbOaZDW@RB`EzIvPXLmGqUH4V9tL~y{eEMrsBK%ho_Ajah0_;yV z<~P@&6Fe%MaT6Q(MWRifAq)k%FuJ@bq)t0UL?dI05&&b+=YAW zNBHU|t?faF?r5^cx$TkpNVe?Z)>58JFZT{_m-p zRBBBK>&@?zj1MCxWuo%3G#OR7ZKn&~TnV$MknWv+Om+L3DR z!GmfJOo$-!IAPmeS)g7o8E2CqUzh8=l*6?mtU{?%?=2QK3ALR)9Mz>co+t<#!IB(f z2@*})1PW2xh9(vzX&CjRG1VhQq-j8jPBKo@thLTA8Bj-ep^f|=^F9mDf6}7eAT~=z zr3*^~SO^Y@h>O}NNR7XinfzIE3!bTtw3MP0h3|?BR1R$@?Xl=cE}N4`LRl%`lcP>a zJ4~d{VpX}wvl(40$FRXqy5dA#3KZ3eHxL&vl%`sfHdNy>bfzMk@`{3rMu97o%F$99 zsjY*%@)!_b;|V+QWz%&ahY(ul!M5Z}k`6%v0DvV0h=!r87aFwAerhqNOQ8{C?{Rdl zA|ursPKI&sCEef*$w6V$DJYmUf?g@gvGkrEte z4oNp*6ro#%v<8-VS5H=p3Fu8y6d-Xyy)6nzP@8q5vIV%<=F-C}QosY~atE=87@s&v z=BO<<=;6s=TWnifY*U%jXjj=)x7^_X94(u&(HL%)P`kSyRvs80vOb6u5lEauA#%))(Q(g4Cn?>)K*K8EK zRCtyWvswevlE+Po6u8RODnhds>9!8UywVbdif`Cx2gh{hW*Ei3H*kfyhEc>UzsAR5 z8K*C^eZW3-F7S4ygJx&g=G%n;^oi7^xz?pAXWrE+Y&^xXKL;h^VcUObhFO>~YK{dH zUWxpqxuZMu2yl^-f1V=pxAKXt#+uy1iVN%@=rvIHPka!Yyu*gpO(GP1a-skluWgymz?u4&XHGJQH zyerh3PQ^7-EbN8q4gpIAnCcOvJ#*UZ+@sd7X!$>UeFaz?%eF2aoWb2~aCdii*8qdN z1ed`jI81PNg1fuB2MZEh0s#U9h`q_)``mNxz3;v6TWkHbs=B(nx_Yf@DapWF`Rb^! zpuV@&NGQJb;Z&}iHqjGmL4_4q|V;Ati z&yw<8D~@%ii~LvzChh8O!%oOxxWP}8>X?~P=Pi$xmJP%8yTVQ77+;^}S0Y$cJ4X1o z*>XN5;Fj$PY`sqyLPTD! zXz(;#)_%U7v?de*c^Z7;eD~F<8XkA)YWQ?{FRQba^{5y7Z-`=8s#8~d$dYs-q3lIg zUzDDfwE`!=;%;zHYM;}yy|_YF0sV+*6U`3h@yu?TQ8l%_;O5EfYSvR{Bi~r%RJ3zw zVtF7#&a#x3ZkeZbVC8|;xp+fe{e55UTqP&$Dl_ewgJ ziFb_xpt>nP4H2WtYJP>F^B8I|U0{%Pr>6y2q`*?$^is|nA{#HziM>S+kHCz9N*SnZ z9fXY;@k1K=6kS~q;RdkW<-DNHycA^H)6HsDi(=aT3#!A3lD^!#Kvn&!F>rg`&HW*F zdmR9@jmiau!zUGjq0ISq0xM@H>hboBYbgqwWc3QsTx70j1%G~Q8ua8lXPQw$isMb$ zSax)=R3{JH6)7p{12XbE7xCk>6re(ktdKC>d#d76nG!N`oIg>F44)V>ThB)6W`(#= zywp{P^KjGA51u-@EC7b=r~gFHE7nAK_-D>s!jgdXr6Hvl7sMvR!duA-n{TbA_3?Dt zOR|__Em__&nP{p06NM%s&$&VtiQ0{?{q zn_P$|WI7h}e?xsyS{g8kp7YcY&Wm2foeXJAV4mn?aiY?c)}s`=#5A#U30bQ?^&ff5 z)}?P3+uY&h94Ry*pY<1*aT=tJap9bh(OS+!0oBnXA(D}{&@&+tIH4HZoES-17KKVq zOFa$d!YLtx;w{}rYr7}zQ)8u+y<<}r6w8>IE`1z0tW2*ux=0FkJCiA1?Q!KeV4$8# zLLa>J-&{dIZoYz}1K8$wt#CfHoo<)=3;t+WlMt7Ws+;3;kOuU?@0J7%3^7d|La~4N zwh%;$z|}4V_ygcSco|BxP1RZ6F2!j>ilFlcE-gupcwBRyDuy90%&MDz1ODg@Qkxyi z=tjGAf(y&&lW;S1$&YRQ*d{2LpnX*P72yo}RIxP<&BF>k^f2U9EIgFLCKtL(B~BZb z2&QeitUJ}=ZF(EGBkp!3)2=qNE-<-9!p`mJhI%;Bwh{pJO@vE*fXC*Wh>p+y8?+uL zi^W^N=MxR=xfn<${3ctV=@kqo`j^D)mm>>`7knb{xM8DF#f%Q+F_3(8Yp>(9*+$j* zFGvY}tYR2%2jg2gn?Ub=e5)Y*&A-d_r+9S;1tM3NNB>b6-A_jC-z}zj;v(E|UhUCg z_A8Nzrc-^h%tiQ4mf55hPGo{x3e5Mw)oLfl25n<={TmKvY_S|%Q(WX#H*H5DP{4=S ziVu`lj#y0%{s@NtB==Jy;Oi)HQpmV0W06uDOLp=mW zIFkuRDOG_7?w9e6|4}`SVwlhZYPS5VMD+hzBBX1^-%{;O&{{_mSmP(Ca0XdUljeb? z#FLxV!v9oFv-c3h1xIz0VF+S}V{SSuK2ht6Q)vBB7P9kobVE!d;fg-CsSkmxAHip$ zWy5EJN6)@?=T>!-d;#)rcdH7wy6%3qK6j6+NIo8 zTp}!{`H`VF{18NpKl_e#IFTUhKjdWK2EkCsm<`ES^m2CVTrRsy==CF1-R!oQ{(z{1 z$OW!PUB*H+HQQGvAtvb53L_=#R0?CUFQ=dFe+<}j zRSE*4lNFL5R`W@KTD@YH7aq=vc`b4l-@o(9FMo&}|(GY`ZtD`X38OQ7Hg^&Pk;raZUHY+0Ujks!dO zWz-msm-;&PaTY);3FR+9254s-bD;_TgiOR@{ub)S3!vVV zHHlgimrQ1VhpW&NJq$Pji2V;xpfu}-_~wF8KH5&;WO;o=^qMEKnfD-^?Xo?Xp#M*K zq(a7HDFD*m*+v^bVC*+J;Cuwb@%I}+F-bnCVH9`XRr{NMoWf?tUZ2i^R{JrJjY-Hi zX=ns{V_^QMRy3QOn!`amcUJQ|sN+YkaJJ#p1B~b+>HHJLLkT$cgLQ2_M9lK)h4D%v zw3y#LjY}hvzT*lBYt9{q)B!4-`M8f0mD~bpNn%EL*$=@iR!7|Z&IJQE?W3i7ojcYh zc6Rs_Hdtx%45HH%bf=?@uTXS+zHr-YL%kS1*Kwfx0t05fnqO|wsGyE@W}0j4L|z?z zg)4?>89EJ5XyoG?!=z6ri>T@{<*cR)8Fa#d?~;32Zir zoRIZ^>dUMquCrLG%IMyLe4sb!MBZOO_!U!Znky~K|4b_>brjDb`Cm5jcU$M#ioMs{ z1lj0AKK4vXeA6J^m3Nriomp%;&y{xV4FqR;B}8_G8U}}JK|O*4N80 zZf=eX;%JD=?oyQ!OM1XLPM|5t(~^aNxT9R~`@wU!ZL&h8u|PXK>^~`=*St+Bg%a#= zb}xk4lgP$-P~2kp>l6M21eoJEPBwnx1?(P^`3~i6f3qa(HdP|{b8S1(PX0uJV#)~Y zL>r%9AUqVYp0@ZUWbbZ94N^vkv1Ek~!>YoW1Y1x$Hna7zyyix+#Q&1uzu_N=n(aI* zM6M25`6MgU#GsY*`%h)8?8yrKRD-PSeYC9{$o6{475X=@oIz`2WoPzX_x3F+*V1As zSpz@^16m({KUHWUL+b=^OoZ|e2yAL81i6fNCkj~nF>k1qgY!HV@_4SZ|M)66FQ}P+ zMP>8--zHA|(G3xsT!m`73Bk4HlKlrTTxi|wNejU%DVy^&3&kr*|G%ncZgfY5DF~a& zUHrd$sn^nwLzP%h)>z;~c;^(oo)E!k9!Ls23q?~YdU`D~c&m9XsMq=fMNdOW$)1U$ ziORA67ukyax}ym)DL(hVy%h8z9?mPOLL?gSI^quMrw-yZDUOMxgYc(I^LCROMExO$ zINg~Art=;sJ5Czxr_jH;lz~v&__y?xlh_~r7}EDT-<-Ifzh*3;%jm)BN9-5h1^t-g zYvP}yc0ZId2YF|n9n?KVj-C95?Qg9^3@Kle2>M|>V1a%T2y-uu%U%V%y3ZAjz0-J2 zE!Z+xji}D*?i@yoMrKU)+XoQdhFBq1j?C^O@O=&(&~H}T*btbwE%;&eDENYNqejh5 zLwnW3x&JH;TL5)iq7gFuEtksit<)-B#72KpO?6XgHReQ)e0>E@L=FkP8nLp}cP#s@ z^?3Uaa5bVy384p*$iO6Yc@JyOSlS3FGGg?DNeh4iQiLEk(daK*z;_Wvx3H?)Fi>tA zy+i~U2%`DpBBa}xwGnS2`LQg{1q;|NRh}pTWw> zU;%x6B%4~`-{znIefUcas?REfdYcD?3Qj8Z9LF8~9oL$6>iH-5uSrFoMu#df#Y&)x3Jet_`-2ujzHLr}(Ymo5jH;%hj2TC}wOv8U`_^ z_n;EucH@ry3-`$~NB+wzm5%SbxBza!T66U_4=}m@#2QM#W20YyA&xMCJ&O=tZ#E@G z!?}Sz2HqTfkv;p&+J)_J1XgfPV%&$%Sw<9(GdllZat2)7OE#rqT1AIAC{uCg$-uEKKk67R3*TA)sXOeF+BA7TAW!1DG3Yw0 z$!<3>0&A#GEx>>&FwjbvOy0xC5@1J&^nZa&sy@U62&V^QraF&cyY!A=Si(*N|C(n3McQI_#1J7KJLt9mo@v0#kNw&8!n`12jpME?^ja=ed$#_73OIuaD zv91Z*`#NzN(v_mM5@%fIFi_3WXu!)qRJ#HVE}#BQ8_TDE$Z_c}N)&O2g?}6|Q=bV+&v49?NJ_TcnBO9!*$9Ixmnx;H-H`9Z*Nc?<_abbxV z&ej$(@J{Hp{EbqS4{q%oZ2TN`?ZF8e%6@XrJvMqq-S553Tt?q=yc4T^%zgg4l4M|u zOvww*t2@N$mH4dQGv*4<$@ayb&p(iC^JX!cl$5|l)xG(apud1aBUfw&+pZgiT>!dH zF?4>h%>s<@R4!!saUvpOkcD+ZSZWltlf_>FE+lz^NPfF&y7?vX-?a$l@)PHf{@4}Hx;p$Pmzrz_sM6; znNaK5&9DsEsjQYi$A!w36Pk$qkLpvOoB%ef>Js6@=L)KVKVwlUDY-t~(=z0Rj7F{` zXSu&%Vw^tPeHoKcR6l}my z{rJUjqR}BaB24yScX#aQh7imF`7Gm=kd*ki)*!F&-;($>X%oCKJsY< z0F|r4*^bJcLTuF>(+vfito;SqGH6m zHM(96+7EroZJzWTDauQ)D@TI*T-FMbV|1;$HfISdA6C|$#T^n^h2~cKzHS>leGKd7 z>*~-Qdk7-6M$hb`u8{t^y`m1rhp@d(7OCx&ioACs0XB8|T$f8^UV_|Zv_BXgO!1^e zmfNG1s4rU>x@6WI-#+_%60J5f-}&4gKj(U@UEkJ=;1PJI(@xjNKN*AS_6{G%F&pH=+K(3T*Ja*{)rwz6M$oe(@#lXosSQ{OlD8)leE(ny(kVixPNlwxVgJc8LrGNCjvp!1uTsZm3YAntaVs886|_zGv~4_{V480*7lfxKq3~9&giI~ z`}0?0Kx@dcFuEwqTYG*+u&17_`(kZokB>O}l1mvd##z4OqeF1Z)=ojeya3VX?5LdY zc<;aH*u!|dV;}uBn^TzUPs&k8=rZDFFrnjq6y;en5wmtn#GCh^Yku7r(kddF+j0Am z{~KKsPclo6&fRX@=p3_rR#)aZs|6twAN_(SA(_hSJqovzVz%ObUGTw6qq(@b9I-r# zn*nmnPSPx^iF0fgeRiZy1{QyYk5tQe8ElP(f!Rg8?)2O0wD|~Y!Hnnb5PRA2bU)B>h%m<^G2DM^gORC{Axa*jd6)}6y@-wZFS#>Kk>TT(%ka|5HL zgFZJFijLY`(NV^mxm&+%8o;9B1!rV;ZiU{`qUz0)IY! z#@vh8U(CG(=fktt`gIJWt8!yJl+wJIbzdQOr{zcV&tD88(c|qAr_?~U!vG-LV}K%& z4=D;I7_0Yvaogl{6?Gj?Ah1pz%0_|q6~(FpeX}(0-)0d+1OcVxnRZN3v9Ogdk0_Sw z%BZl5trhAnT$7R=z#LN=hK81vVxy7tJ*ru?92QgTxEc2!KyPv?8igrEBFQrrFlWf; z0^f7G2-S?!ji?)Pe~v77=eN`k*2acSe~S#xDmPTYzUzWbze7}oe)x$Vwiht99QShI zFtwDA`5-^BuFV0G#ef(p8qQs`4kAD} zs6k)Rst!baOx)9U7@}`A1R|yQ)69cON$Xt%qe`V+dxRjgph1Ye)sPPh)8=USmA>|H z9O8CeSs5-`yNQy&B!RO)!CgG-MhM+8n^EHq*>p^^g^3>Co^j(22Hr7LD!=t++i<0q z>qSy6WxpyX&)}02^1zvzDaWtr+?HK=%ct-+>$PskGBP;Mi128cfK!j?SvU0Q{3+4e z=c3Z=(X&L05VudHVH2TJ8+!EE=|5+ZXFKHM1r%J5MyhHtj(rMmTj6yyQ1@O8?RiQk9xYTH&|rW?A(IeOMfLM~l-(3>b2v zjgr7yYO}RcgX%N+%FcDdA5!KR;Mz?J=5*oO+j8c@q2cVg#y=8~7LLFqr}7f|hqaRF zcL^!^#K=KoP(hjuUBL%n%zvh)-R$h&47Dnri!?c3)@ghHfAAd`m2%5 z2}J38k{U-XW3C5igCZ|u^@U2((FYg2jZn!CJj!e?tw*u??O7%>W~x;QQ|``f3GE)K z53p41;&!VOzX~P zKE%<30EBg!PS<_ooQ1R!v)f*l&H^ca2v&oXI7#0D$r0^qs(LB$JJx4DAu4Jx*2Syi zs5`vow@!W(h}F>K@1wUDajwpz|fdx$Zsl2=Fh0iz2T@0MZ+xiH*5H!7F4IX1XbAf03w>u zmLZFT!9Q;>8+Wj8=J3TMofY7Kz(jJCDq&4cZYq?bB5P?J$#-ekN-*^FJZUh>%F%SN zXHQITSnFa&`3!0L3aILb+|T4*c_co__^J+ZR&r`rK#6J(4}6qs^x;Uebg7^fBn zAulYH2jGqbH!nFzyea_?Ye%(@jwc9@IKNonVI2`~^cCWeP2G;6Wd>J&Fxo^sxU=cRB=$QXT8W8Uzb~-zfRdw!lo?z;w#$m6EEJPEh zX^)`jXdZLc^IxqpB=YD4hL}ov9w+5~ZuO@v&t5%dO=Zo9M}OBQo54#6y;zsKB;>v= ztzUn6PQqAoIf*%AtV4{SyRj+EXgZ%{V|FmX*4nNVr3Ryxi?fuRH{oDuDkL4u zIp6lJ`!&t=Ccdb-OYT_E9;Ghg8wrWLt?#j-yVp##3F;9C#v*uKI~^+S-8?m4-iZ2u zaSW^ce3JPYgn5@z<0_K2-5xaxyo?>DT^;ao7g`EFt6xczzp{MncCHu^hEJ|hGqHP0 zGHHl_k;if#_Q$VW6+p7jaDMTg|8?Tflki2P&1t^WCu+dI`%_;GyIxBh`gC{FIcxlg zW0$zc?hgBL`wVw)JPog>8c8a0-7~Bd*v?1oGNkI2*)3n)PuQXJ!<-MlLyO@1E5u+V z7hzwoh{I4B+j?i_+|{o^eCsNDo%oK;YM8zltNE`xPWR~R?6&VpmBgTrq`0GOWV>JvqP!wbI_5$nABiw5oE7Re!wd+aw1w3@k1&bO$I$%h37cWq&)Ua8mW#DO9gL%3N0cRNmT3-kxtgF zDM>@DOr2wUUXplIq}9s5gjd84Bo9&X*bDeBNMBPC5HsE~xrMKoylk=T2A3WJ zZSr#)twAU%?OBawUn6ZUi&kAPhpN4}t1+AxebsB4E6W;K$9iHMF)&z_7znajbHbj@ zJ82zpcYOtU#{w>ibLBzsQ;c)QrJ~QmxLwP^=XIEK81XKw zVjj*r#9fu7I`AHdU7PpBX>47A?P3L(HP32KPgf*ZEm(T{p}oBS}w~ zru@^DoMV&*$U+ld=PJG>Nch7JT6KL(fr~d$CHq13=zswgg25(4291=k4Di^OO2owg z;gEE;(2T(GFTIG1p3hHLoiN61X_UBEk~MNqO;wA~MaiCE-$UbulZ_Da!`PLb=n=I|YbAd~o5R#GeDZyUvll}icp#LlQF{70 z2xFWdxkrayv_|t|-V&ycz-CV!-8|?H{HEV1{tM-tLCj|pjO30E0isYfBLLdy7BO@v zW6=v|(KGc>q+OV5vfKKE{?mlX^G^o0-vHZAC5aIu&iNQ@fJ*Lo6V4ey=k=QN4c@ulWKxMNu~MKZW!-U^Z)2Ux)I;RN$+7oMwEU|MS= zt_<5n-MOld#}V9SndcRIjD|OrsPLQBqWkcig} zsh<6tL)LrvDUTwX0XjH(@0u7?B@9yMlY4kdVPJC)xh{V>GkX-d{@<#kDiR{d9PgmXEZ#@H_3!DP9Q6)6n0 zHOd(&VN*EVH_YUy(Ge0X_e#;JrS_kD`Be%eIC4b6Nn1AQF8B7x=C3HI%?sw^#%ZG| zQnQVFQeJbI2T(xLf@Y|nI-GyUtGAf^4(=;)MnEgrxY>wS;Lkfifn{80-#3mk{YKu` ziQ>|HEz`i?X8W#MtVWi|4*U+eGE54q*Pp+VqeHNd>Z6DXM+J)fDDRMB4uksr7Fc9? z`OONo5(jyS(+3U0bTryC$DYD{yst4oWpYs_ZqnR+LntE)&UQ`2{ZH?HW(j+{aYp(x zUDt4;8kHj?U@P`0U}w>!%zM$Ks0YDG&IqCuk#W~RLaE_^Ol+HUp>%1}>jZ)1MV#3> z)A8kTYzkM+Q(?#UsBvDrY|LJ(1m3nK20t_VG2n=0HSX>gaOr#sse&I6&TAMIUyDh- zT%ar)Xr%N9gx{~>mNgXoJIUkaJ@m=O+Uk28?lxOnXcqUtPlV?y#!O;NI0o>sCFKA% zg^9+1O((+)?s_%uu=hooyBKsX{!aBMdfJo!XHA2691c=TZ+=116F~^KJIJG95eU?mQWp6@nni;|88vURBhcm@cQr->D6fpj5 zW|RUd_h?@>oIfZ~NZoSX3eU}8+pl}CcO(b!5CF3^Tbp$no7tB|k6(jN4|L_YG}Bcq zFz8z*Lzuk#@{g{HSwF%5RCLpxvFxeD0+%bcAl_xF$k|LeBIG%%4o=Y^envL_Cf$1T z@b%{pzaT?}s5Xp{_UQ&i2P1*D;_JgJr3+dN^L|xZhJu`4_Dtm@2uFJEvC5*K=TZ=g z9{soZOwOShfd%`=FsCf=eoGgl=?H?x2da8%nBwd{wEgqCct4Bm3?WNCuQf1^=YYct zt&}nT(rt^vn)uq&>SCpQU*#43Ofw6PKB*xH`k}R@fNMMo-5=OAesIKgy@(@8Txm&;uA=+fh^pI_!|-0fMJ9Kdax|-J+O_ix16AF#0_|A#hL@?jIlG z_67xoXC`a>kHm((f_?fC++J(H@XQ8{o3u|T=G>w~D$$I7Q#S}4#Q#nNqyJ}W$do4Z z@&1k+niB-gxuLIYvp{=)%oFLYJo_4q%Daj!WD)~O1Eo_`^5 zoI=m%C+@(H#eG@Gr0O_5)urKK&X*>DPd&gn9elk)Y}jN`Ep`WrV7zV8*9i zs4n1s@CAdeVRnz)RHuVRAB_Y0#q^k#p*LuB9EqQ+u{wErkV}V#+Fh{<)QaQ-kpj z^9>29v^WUrKm?>uv+f?d_W}_)w3kWRp~m%4F45tgD$^ zRu9sR>J2Kg!OJaMMHP}6ygbYfwz|u+Rb|7N1r3aq$ATI>ITr{V<_U=lIKsunW@$RPQGfxc@@$AU95~kW zOKLp!8FFR!xk}OzAR^Y z=ri!km5-`UQ0_bogOLq+qk1&e+Ij>;%HQ@$)ZKQZT7pJZqAGL#OO*I17!EQmF49P- zgvk(kpFYxaCI2hUuB>1!{`vNX)dr&s+XL&11gC3Dw>((Jkb5k_+mYzOxIHI?dOAkt zj1mw^5ru;oO&B#CEy6gmDgfH{@1CzqmnPtWlwhJNHk9Fjyx=ii9LeH|&Ig(oJOP(T zu6_12Dvt@}lui(RL3Vp022RHnLY#;}_-H>qlX)o!R_Qca;>sa5IIuF*1bHmPRJSO-)`M08i~d0eKU?ziNmib(=JFa`NOg!nm)x2bYrmc9~zaw1@lin zFzbA#0`6jwm)NGspGagz8odOk?T&6j&Lm~pqDe5~HpL|6ABgf2^N%f4uuLoqd(g?r z<7LXxzrBp|NH>Id*CA+XZ&kg6Q8q4+){OidT!36iYkDP(`3WY0~4wUOH#>~@+<)nG5Ju+%VZ&FB&K z**O17xxSgwQh&5$J>B`lXZ@|*z@lm{N{9*2mZ>p<#)NRQViGgn`9(BytYSgO=yTbm z`jFpa&x1#Y%}@O6ZssPuQ9STQNd_>1sZowii%Q3WtAFMjhb}rKo%souF8U~Z6xlH4 zOe0E?2pJUzUP{V}3aE&V5G5&xfj^ZNz1pmvLXS~xlfU7~>=2vbZDp31KJ^XBbwH$G zV}C~k39R^sQJSqQ!H&d?lO_2F7IV2m zQV}?G$}EZy{S;swm6ov-LHU>#y&{=j_^8&qbkJvR54*U$3|?L~2Ych?#n!T72PK)6 zwCDM@5=^itP*&E-dlQH$RvtqHm zAIrmh>niMucH2tY`GWFG;k#OQeUhq{w76vhjAgnRQWiOE6(1p%Y8!p+ncDl=nl$4x z78I>t4|7*6BRwNKs?-KQUs`3F%_=FBxAnxzSlsZq^(JM()SxK3Hs482s?r{42ad4i zc|$DX@^O+Jd;9mr+0pe7L-;5~K5UvbSw-Q5llKi)I|m-5pCh@XHc)`DdzFCCzzi6` z=g7p1s>F-g#EYB6=1vSFvNvv9&!27hsd#4+ukZc`VfYB4aNw)=h0BLVBmlr?s?pZD z#Azo? z@$zSd*|VZb#cFK5%maWSIj5<;mlaZnLcA#7sI4L0Y4aIW7sOGC7_2UN49<=g`@Kr$H!28WuW2Uj z!?jRzBmw+kT=sCFMTGGpl!R&q_dV*eNS!`Oz&)Il=1)3%;N>InxfVeQHX1ho0ZNb3 zfJsR}RVo#U0V+HnH$DlvQXJp$JB;h1)%Gvf*?n!}Jl4aUh7iFgF7imyB815!!zBU`NT`u{ z!O{TxND~_eS)+2BrS3vvojUEGs?f|AcHz1;x)Qi$#`rJ9KPvNDOZl7M8~U9m5~YP? zQWL-9q|4o4BB0(JRj)&y()WEUf2Q1KR{?!}PT9Z@wO32Tr-6oeLeDBoL*8}RAwL94 z{`5IytPxYM3q9l3W)NE=;Q`2KGel<=kisFOeFY#}7H)e_iGLBzY$AoDgq_P=B84M` z?asU+g#*IoXA+RXiKF;v2||BAZN4(gG$VsI|lKM}zg z|Du$P=NO8#55xI;CnKi^B0dCv^kCUNrutX`@=`5xI zg+LZy3}C?qaE4!Jy>>t!rO~n(iMS_eUd6(?!hFJu&WKszrQWZ67H{mij>Wo;v?NM? z0%)s4z^820JZ$11Pnz~|Lc*5!5d@qJY^|g@Kr&vbQv%Mik6l72MOcu&JF(0d}(9Tdo%RH8;Ek4^)k|*?wS{UkKotaxE z+`|azqog9K#J+*#pCM1}@<)F8h%LRGMU+N91y1?Q7i|qsoYp=K==9f?(GD^|bq+@j ztHz;=vO|`Kld_0HAYLQwgV%wTvdH=vW092*X332dJw-&s0NS4-8o@+P4n@Jsr>R#( zNp&JrdER37=!gM4vq~SeATKTC01>Xp4Td6%`b2~k;?bEEkTJx8WYjWy@(=bmq%Jq4 z;Jo&!Zmr{2<({TFIN{6_i1FBwdTNKT>>KOj{g*pH`a!h=Q187WjePv>45z$&Fju|{A zas@{+&MU);2$Cu6hI(f_8HZoyd6=Z2qp=p^UX3KC=mhO$vc#Xw#n^maTtq}W#WBN0 z>7CuHN@kh0RB)tZqiIo{K5KHKPRDcCX()KMs}%uLXDWP$q(Y=SnTJ$xK=25WC+MLF zCEQ|*8rjsxm#m1B6F8n=wTxP2H{d7J*J zHfxlj@B1k>Ao&(5DD4Qb29W`oVe>jOnHo+J&v!XO`&=GA9Fqnay*;bOqGZmy_=hns+r< z^Ebuy^3;O2)$VUaR)&}JJ-#En+pw7qe~_`ajgtK^Wt90AZ6Q+qLfb>nW%~WFph24C zE1nGTyN=z0v%QNO`+mb67vrG&#%wVJzi)nho!dJFNxq~nRNAQx3pWwmd&ZjCh54T< z?Vh~uFlK^kX1T!<;vS z7Rj)AZMRa_2y*h+QpF)(-q|O9F{N+$`VM_w-?N|Zu!UaUP6d4-!+t(Pupwp0C<=Yy zvbIYhwWdWb`dbS9Pe76hU2x_yTUrS61RJ;TYwMu?$)g}}>Q~#HJPvNrhMgtdVLg5+eCyLx=(`QFTS$9U+Ab3-z$0fM@b%k>Fwh^y($-S<9P7D z;y-QM&Rci&GVf8V+3^dtBQUDx|9Z-6y+A;dEA+amU!!=fim?0&1|Q1 zcAo-la}fw}xYjxm=T5%XvJFb+P7j1+@0QMfrpT9)xd|!`eE1n$8!Ny0EIslak7TEE z@ku=DnkQv47rLX;-_F!`_orw(3Jf{^^Mu`*s^69b=c=F)6R7sl5+<=UQD393LH&4L zc^q#jZL}M``xk>DN<(|k(%-Zg5KHaQw7{Byf5ma_LgTphqSyUhsf`CS?ah&1csZnk zUuIkUqb`=Y?R*7d{R|`a?@BU%GEb=*`J+=>^X?J4SwzEQR0hAT_PzFMsn$}TcX z22lYn#P_o@7!i0TrW5{+GoHS}PGxrfT@n6aHjW*>{N*|iee}IkdNaaln7x>Ci>OJF zkb#BbfFBHE!52mb;Wc1i2dJcNr=;bgOh%ygdMPhNZANk-scWbUE*h@bX&ztD>5}#| z=mIcb$#6YKjqbVGJ?Tky*o4=IZ~tZ26}6%c5K1&Td33O@j0~^~a`r@{x}E$gscX&XR*p z_Gw(hU<_zp8n%E4P4vOCDcp-~-)j+W;j{>`U_lB^v@n9;$qUs&!eF22gq5J^=Dq3u zg(@s6_{%in#(D;7V*?w$#cLDhL0su-m{$SiaAf80Q1f{7-^#`#+WTv=O3uW4hI!?b z9jkQ>3aKsML9^#EIQSc|yQ^#Q{>Dd(6l~GmH)XNcW+D_^N6o>UL7Y!y%}Ib}X6GOj zw8P>Z!ADyhHHB`TZi%ADtSRb())RUf4*@S=$)p|#83DCLT@GoEd3rQexa>mX@w^B- zWx0M+D|)uea`8~xKRU-*MI&SaZH?UHtn^Vb_wkLIWN&%-&JHQ$u9pT*>&k+1NZ#UU z=sZkAgHZTlm18ibAKf zctUPj$3N0Fk9ser&$){y{ny^<_)4dKeNS3oVCH4+eGIAilXlHDw#d6ifBK$=H}kvo z%N%{g5n`?aLE%)5P|R#dx=uQt;gj_X6Qi2#0F`4(uj9jH#cwV}wG78{lYV@Y1@hA8~_`jJS_KGrpiVKh37KEvG?qU6mcD zsMrpOx~7z4OQQ)DvO2^Pq>39Y1K2Ero6CwVm1J7)eWohPsEr@LL*KX5lw>dprIkdt zeJWgxKF+E2D1Bl@bX)KjpS^VuN4-1uyu0@nvME&8oECLk!}EiFiDbG7LOA`}i+j9c z$NhM|S~jb(aMQvKK1oCtW@Gwlx2hw^Bvp5e>!$q)@7`i9otkvlgsG}1ZbzjZ}9f5vEX=)(Vt8#Fj}W@*mxxWuQnEb(a5JC|W7MOHtPY?S6N8Ji@@hFjX9= z&`{c?d#K}08E&m%ESgREVIp%w_D6vQ?yUnqcA+iq?RhH4-C)J=DTArwM&a1f*QEGo zpSJwhZu;B5eD_3t*mgKCSyp7MRAf_r6PVaO3~4iC$E-Pi0k`@!8~4(^G_tjQK_l1a z_}*OhjrzR**mA%$`D%I9yi)6vjySvCcSz}=(YaB5F!u4=p~qvbB%)6|N9@P3*y2w6 z+DSxrxk$lwW#kLIK%}dpjr!y(qM%+gwnQx%#Dgj=kng?_kb(8pSmbe0RwEf6ZbpRF zu&1V~A?90@8L$+FGNvPd9HLGBoNns9>9;b#nCG+OA}+Gng4XI~ePVbf@Gg1#b{4KK zE!}HvCMRP(dCCmkUUXy!P7#lsC{r8PUz`uXs$xYrM6{tVB zmbtt7>N@*O$;#Ld%)@x9A2cr{=K)=2N$4ZXjl-ur6mWZqUpTJep}W2*$vH8fMEkGK za?jxUjLPj`r!yAIQ?~Ane|`~EKB&)QvTct0e?7cSICGGox+6m(cl}m*yyY%%E_X-4 zZ5w+p6-JTvxF!wl9iD=jpXOfoiS;p;>a|bg8D7dbQH@%R*}U0^7h;(KA8MHwU1jJo zsVq3G`s&1Cne;7o%D1WZg$T7aS6>&-=D==Y(M$r#k+_hOd{A)Iyy`t_K78rXM499j z$l33x8l_C;m7Q~!*{R@y zc;Knf>)kw31(`rHK0DV^wtg$vEeI>2;J4V~1XtmykC>t?OI_#mDlnd?Wg4lPVPMYl z3XN2{S^lJ!wL!EDi{g8SADrKHjzk^k8-k9H#^{26 z7i0(bHdhbxm>5B)o~S^I^Z4y2#|W^M*uv(Df11)0d%y{{0j3lqH~*(O+aqR|;TDQJ zZ+_~`XW~EnsVz@^(V50(76A%#%@_u}vfgDB!+&hQ^e@|VmRf`$`%>nQ`xBp!{$ zq10|>K*olI+c8cy{>blvJ8$VMf!Fb!Y&?U?71}tQ>K_t-D@Ocq{DfoGhb&4MJ%~)g zJJ;_GOnli7V*F80%(A~kcofd&^?t( z6U4PsPR11L2^rekdSEg@Hz58LvuQewKf;Fr24#^))5>qyPx`)AkGXO{=}}7HlkZ{( zwi2-PTxYV-4?frFMM>{bgjJ!WR=+FPc*UrCO@pX<&5t;1Kd}fU#~8iBCCm*Bw5%mC zrZAJH;l)11w)0t|oBHMwrrdTD#j(K#orsQZv$`BTNLzQyT?Zoi+k&}G!O#YM(s`O( zn`{0NXs0*^Tqz~vvJ$<7xh`HMT_EVCVvg!3)Z zERkv>J~`(I)9Sdz`@|vHsmNG9c@gmBW1Z7wew8Dw0s$3P5q_lpmO6Lw!*hpOZ8IolzxG>`RGKo(6BnN#qEBcI@-3;z~bEx2= zx>=tEy~qn!Jjb!0CK^?RtVUrkprs12-+h7=gPKb{{}1|CI*(K+)XoCAQ3m?Fc+qJ; ze!g-w%%JY^yMH>lopb0awZK!x#19`}kP1++wpibrSK|+{ImD~T_Pg4Lj$0xRiaaI# zo;!BixF=>p-rZ~WwLdOG15EzWL7)p8jgc~KZjR32`#0OZ;Og}M1D-%(zpB6{Cn(x< zvs$U_r;h3JJd3)Jh7}X7hgmSy-aR;R;!y(Ppp z;@TM`6toI=K@JWPbUWla5ka?ujxMZKawKf^A|h*!zqJSm){HWT(SV4oIW8yw!J2uA z0tnV@$*k{^HHS4ABC_WAyQ+YHNSVX>N+S655VatJHKU&6?3E#5J1a-xj~Zu4IO{@0 zK0TbFAtIk1&gLY7?Fwgph+xgwuJ~iP&)rVLK5m`~3GX#Q-5Ahu$STi<0%roF;m#e3L(epKn{pPg3 zS+!x<)A^>kZ^87f23>Hy+_hzCRwxxYtX`&fm_P?ZoVT-^Hm3vKA(gEr(P%~zQl(;7 zBBV;GX*>GQ9Ez?GAyrD%75v&LsvtIazB(LsJ4*51?zWHGh1RD1^RU|Q4yX0@F{mu8 z6A_UHpo8Z4%h7<~h(*&C3hQM=lxZdT7rRz&l6|pSKV2;!4wpSL0vvy71EA~MO7b~o$A z>hWQ9Jo5X%XUn$Z@OBj%`fw=2Cv)&~Fm^vDp=`q|h#reqiI`vXtlR6h2_v~F5Joyw zAdCd6Ko}`kfiRM^0^xs(JM@UgBWj1R^7ELW^`=dD4XnL((90J#;fYJ%4zLNi+D$~t zaS+n`NEu;GR65{Tst{V%0&7wPYmRD_`re#jzpuIa($L zFwuAbNt>6w;BkM?oAR(dN^PPiN^QL-D!urpsPuxEqSQ%iqSQf5q6+OwTe*r-+x$I6 zX~Pahxz--#YlCvSJ<8VxIYN)}wL#9%qkL_QWxHNkZ*I43M6=z6&k<*~dZP1?Hg5`F`v&{yjsNQD=}nQ@hLncY-q6PtW&iCkUE0 zIm7!6Ja?zsU<;p68(v3Co^eq=W zZWrfJJe)uXoLxNTkdShm`jkDUuPaDZZ;GnwQc&CxM@ZGBM2#Ep2!W{D92hdTZVo)^ zpvIWGl0(tAOGrrM9=Y(eD7$GQ|$AM$trQqt=LiI}*rAXUAR zUguwQU#7zy2krss*XWy8j~46g`qUh=59Ud#CQ3X&pE||wzbOdhkLQ(AuasveO2w0W zY!cKJ&ucSx8)jSm#fTk+1qvG)SYu#@9T;0wtlTRpVLV?)2-*?fY_!J<^lUu&M@WA? zL@CQ~bunw)Y>3?jeh?ygSys?})CSNr)gkoo06)H8T^#TV=A+edZwH^;Dav0OiT6iXt~ zRy^#H^&Rc6XTa``&k1A+1~&YV?OlHx6Ws7EIUsJKIy$N7ORxFggI0h1Hz|_;U`yhL z-qKfL?^fH;6%tCNmbz3A@tH^`1`8G$if=6Z?s&Srj^gEF2Q>rf-5uB4$5aNptv7&ZETYqeX`tPl>C3Jv(K~;|PRV+} z(3YIco z)^~^F>0vvgG1~goyTf7t*EK_2H*n42wtSY^Qd57msq31{Vg*yP0<#NiR+fQUWk+V& zky+MO?bs|kG0RTcvQr*9p}llP0>a8vw2J-sGXOPI07Dj+?^g>w{2R?H^9^x z(={WX5j2*XE9Mu4^b98gyA);X(4x!>4=H)Mf9NdNuU$pA%f(M25HRis|05e~fgLRlc zTQiL|@^V9)BaE&$OW^UC85efhg^8y~Zz z+Xmt`@%XxZJW4+!2N=fPamPPiDz9~Wr?Ld?=Zb562cMNW((?$p9pAb5nmX41S(7_aR6NApIxT%|eLEekIt`Ed@>Lb3UUKQ5^ zRbz}3GlZ9bp`W9ubSP&@!We~Uz>w8v*pv<_ZAg{_KL1P6Nx$^Uf-Vy;-gwwQwI^0M4#WRw8BX;}y7( zcMEd7b{-UQRZqnGdQ$Z?j@DZ>HalDjJRpHV;aWF;gPSmAy2Wotlsm((M?Pa+UL$P*Iv(?(xLBdQ%sH7x~mB~=A8miTq&2_)PN zpbYH?P=@v1p_@cN8?|azt$L$Y?W$F~YSpf87-G9RJg$^8(Nv8*Er=rP?NeHfbzuok zjkMfKLgeo{_1#=mmDc z3y90)!00k_V0_t6y?vFunoc{mWXax4Rh`NbaHNJgNw!ywRGNRZZNf;c zd8Fq4KNz_%llpG6q*Po={mc7@9{QM<@%eavN;7Ldp5%m-VK~H8zr`q%`YoT725I60 z@oD-(D8&QOhBoY5q1nA>$S`h+d~i1#idlL9v$UArGm^PHCg?bx+NDSwK!`{qU@);q zH=Q?-x(vs<-HCK_=)`N%1Mu6WhcJz~h43F6vr#X7csBB)BWf(LJ^rr~`8aa~hJgm-UhcjtmEb&(9B zR%i_G-+E7}U%8`nxL~F>OYJl6rpF2y`4<9{#_4v_Vov5N=Uv&pb9jq0yUID*IJ7hz zCCDGal_pc+O{p%}WES#T^3V%I=!GHl!jS!n;AMH}l_B)XkOy;An`|T{(`E%h{X-nDY_;NA z^3d=G8s0#|8)$d~4Qs&IM{MkW*)=GB1m-saMT|gEBY55j6h8v_Mj-zP+Bf3%2WxsX z-(qPt_GG>M@cdG+{OJ5ru$fZ`mx2YK0MF%4>xIl_qV_7Av*`CxW%GvePPDq{(jp5Z zeFSxlGMCGx^Q&w=WHshbzq>9mCqAMrI+8rHJ+H<%+PV`YVbj62%p?Czq+C+ zSexgF@QZVVh$SiM0!zYwK|OY^_68oc|zi;jLh6&-l#7JsASju6B@rabI&_?dht0UmDlm zejmFSL3KL{or!h$%GleKoyePA63TW(I>WIXq>+4Qk_whYpI@palI+ow@M@HjuWdqz%xH zUA|O_`@piw?tsOAYgB*!uBpPlbl)I~t;B|m1=lw0R5G;T-l`-Lrq>bJoXRN7d=vco)<$a zap{jsZ!8}dGhuoOJ3ZNQ1=qK@zQpw?u3vGzi|gYvi?XnplwHI6KJ1~S>#&{Utt_(+ zSL-&p&Xj9V&^X8#www~Jcevy=?qFecELVsxhQX$fhsDDoy%`KNOHOgcPA7%CiMm~_B6(9`gU*Tc^J;hqB!_CS zkDgS@sfIJ_PH@O(RVX_GD@9ge#xP>nfVSpk_x9j_i{`TW+Z>Q6l5bC7wr9S&rxeq| zPJy562wN_Kd%?umQerMNU2yCnQxkE=skOFl84<;Kxui(AyZPAcSD{2HIiW*f&&Wxi zMFjS(Lc%a0dbdmOSWT=gB`n16F$(XbH?hnFQBI@H%{5Pz)v2g@Kk6QvVtUW5?t9kU z_#!)h!HqC+joUVULak2wv$JQ-9X>0URd?A;F0i|dn?1s2h74tL)Eep+aao<67tFRR z)D8e|vRJ97!7oY)u_d&1D4$wLqQM;5e9y7tR1!_h!i^3!$DBUa|*iOI%v9k&LG{BXwIsqMuAr7MC17 z^_S$c?vY(B#W%J|OBr`k)oJeP5xpO(Hs~>X@m_hx(f>eK-!soxZ52lw>Hx@O8#tMN zZ21=8z^BsGkcmzPh`o!#azZX5Ut%u>) z;V}Ht%;0B>cCynX<@Q3qquC8#JKZtmtb*C-!wqHZn6y1$bvRqyD0&J+d-+tMG~;Fv zOr^cQf(Hk5Up^TqopktTc*fZrw1q~0l-DtZef5#-n|}Mg(@Qryq zNJ|an0dlJLsTa;=l}2kRCT6$>#gsBv`#DVP^CQ#;fcfbG*4~eaLgs4kB0eU$ta1N< zHoSOEonPAf|7@^P)rz3ScBua&&SkZ#?DA0!G4L27yuhDrJ7d-$?QU4d6eKo(2Q1FP z!ZIXF4vqVmLtQN~AV4Rek%b3ke_Y(I> zu=!=*ygu(VzswbrrEl?7{uG%p3kjciK5{OB^aT<`^QWO<;c3m8^wyA=nVsIq+GL^{ zZ_Q>`IVTg`Fa=N4iaC(yay0ROMCxOcvzbXNOT&rK$2T20WLRdpfa{M>{-=;f_6;Ov zS{a~OX*g{QX~4PL5SNhUsSZt{u&i1puFA7InVX5eSY~~qF=D1O9w@Su*>kzBet1dc zLfs*QG`q&bP0R(w!%)l}WmAq{&V8LmfddVG0v`op zrS_?xxwHQf9tEl={d;ukXP>Q^J@^T8>X%N_(#ckq_iCJlX)G&eovq~`9&=CZ&YnJ| zlfRJ7!++v=p^dXfdVi8mBP~>X?*}`WyzA>f;S06?dwis)LBr;}bH2XP7d_|emb%e| z=rH5V5f|)#x~G4C1rGav;)*#wPJbdttB<{9riRj)UWqH68-Dc80yT2>49`6IgVVuq zCT5)Kz4#d()<04=st?JeLo?Vibkpg_#`)jtJjcUF!09WW6-u$Q6Few60nNlSB;%Y9 zZd6J1)T!24;ENsT#SL{hO2C^+M}8Ru8<32t4ag3K4M;rDiw>`UBgtFv85M#rc=9K8 znm)Opj?n6R9`jizgw}~#cyMpdYM1<@aU3Wg9L6eCnvMeRcf^Oo(>aHG{YQV!5ntT% zmVJ%KVjqViJ~(7Mcj|4P=A~1>4pC=q=6%>)bqYv6?XS=I6aq(Q-VqL*J@m%b0fn=` zzdqH|t%niU;V|NV(j0NWQ@sxAh9w^O=+Bva#OHg;fIp(MJNF1{pi1K$+BuMX4(&bC zU+y8ERNT3DX9`*u$`RwKI8y|agK zsnd*e!|aleQw@!L@PSw`WT=6;kg;U zxrI;1v%hRGyx!Bj>?-F#f^hODk3+I6S@z5+AD$Av&Qm`;WBi0V^;2)bVfnw5Q$KMn z)Pt`G!bin_;B`2-`6K@>O!g#c#OO}TCwnM08k|1Nl-JUr@Q@o+j9*mx*qjBngR9@x ze>k8SJ6+#BKE2Xnvxf{UZn(hUVc6M^H;f)Wy*|9erRa~l)hmQf9zK0^nBT0z4Xf?) z?#XJtTpil$!Kb|OkN?Mi`xkHe)(7<~?|t8U-~Z!(4;Sxv=X+lJx`&Go{LoK)@V)PT z&xhOCtBt>~5sil7P^2@6K@;wB^>0gR${PP`7uEk< zDmqI=fAymJUoXDm!I$cyZy(lFY|O>pQvGj##Zn_KHLw2ni_d>>*_Zm@hq%mTRsA0q zpYh<0?|k16@T_t4w+7uQk5+wD)}D``+>4)=b|;1@78% zt=#QnCj6McUH!ou9z6IPEpz$j7uCa0d+^C*u^+ztvy1A@pZ?%)z3;Vmy}cg4dil?P zE~>Y5m!nrNfBT~P^6ql@>g7MbsNULLwjKR1E~>A1(}Pc;%d1x}fA^yLs*Y^??{_Y$ zw?%UH>gDfURA1eZZMXc(i|Q*QIeGQ+_b;lijaSoGFaOm=^)>OTt>#ZIs==2&`24=L zo7dj;p}Y6DA;x>(|Mpg*U%sfmH5P7vrT>GA>g&A1t-QZ8;iLZ4bT-88(c}F8;wqwX`Z|n>ehlEvA+E%d3SaQ>(XMxTv0ZbSu}-UsP+4 zZlC&d7u9!u;e*dnkEPCgf3@-MY^D0yi|Qrw&Q?!9Sl#&UBvZ$4T~z<=g;_~|Ygyk} zJ%8JShe#us#i>^wd8g6UD1$R?fl_I_4V`fB8VnRDh5f99f^SrxPreO)!Sm{z*4ttJ-Js{L!Ksl~KL z{nx5Enn(&N2}AnU%l$m?d^ZB`fitQTk?0SyDxE`-Ky|gs_*%%2cOoe z`fk_yV6N|``o7Bt57>DI$Na6;_lh!YQ-7#>?`J=FQ|G$x+JBEPzoL47O%!XNy{XInoL_sD3y{+NXbI^?@8|+xrdGhjOHC$2V3V%#pSkqw2$7<<72QLTorH z_@e4Z-&&Y8gKb_{Kla6c4?fQ-)IRD-_2c(>)a3FDtDm?}!Rh4}S3hMxt_=$=7u8Su zwWPRVbom9<-*swfdvIC(q(ipd@~HZIHrWb!`MCO#ufE6gCzq${A6|TkSxwV+>nqhi zy0}k0)5}Bkk1y`i;_>CN`ul|{dtWoU+*Lp0iPl=~s`>{W+uGWHcUM2}v8|2YR{z9f zTixANzgTK?7OcKp{hU`%J4&8bKYOowCYSr_7w%PZdilB4FO^F6A^zy{bE;ptSIP0^ zXI8)Fw4!cp@YU*9J+`&;XVtGeZ2N@Ezf=A4y=0hNepdAxPCa#N>hG<7vrtc3E=@0g zr21X2p4KY9ulhZIk8Q2uJ=MP`J*AI8MwcI~{*_lxJ80fr{dTFIUfS{Hk5>QuUL{AD zm(@SJSINock5#|p$<~gVcUAw?V_S=Tt@@XRr=W}aBkjdqTsz3679@5(CPgZ~EJft=AAFuw@ zAzSPGiR#bqCBgLaGpavvs%g9C+pB+5Slng~^wX+;eV>Ap%TKNTgZmU5U4DA?XO3L$ zuy|Pg$M>madikd6KXPhmP3z6oe_E&|^ZE~}|HP@K9a`U3{f8ILgRlJv7QB~}>UZBT zeK08AqOrk$?6Ou4)_19>Q7$q{xJ5QSAY0|_fvE; zxcsi_k6!Tp0pI_=>W^RW{;&n|#_CUBO#M^7{Y$Dpd$HTE`1WtA{`HI9KI7Xzuln<| zw`&8ZDmZw&s{Ws|+dAIu?STEB>i>Sx+sWI0Z}oqFU3}$(hsE0$o0l$U)&G0(DR2Dm z{=a|s7jJsQ8-K(M&qJMIa%v;Q_Y?{RFWJTu@BVUYTH!*$*R)Z^_xWXlCu!F{uYK8r zFL27V-oC2d%63`!-a?@$>67aF3x#5n7u65=h2o;5oj~4a z${eSEme(>RgFEseLLD`PE50nawTDyLKsX%@C<<$?p`N0?U1vc3xLTt&ndh=Tz ze6d-gvw=bN7PrVa6#2U9%f07BwSH6eP%ZJhN=CFgeVa=UX{q{^F5S=?`Kw&IrcIWw zcIg4_`+betUf|ss7W{Lnw;C+pd_^nful3k})^SX#uk+Y8PMKC;@3CWYI^XEAt-ijw z8hGrGwnXaBeef9{?Ds$J-uwO!eYlN8Kj__4(^Nk6*ftoNRo~#Ma7>$3U*X-{cF(s~ zP26bcI_xW}nODB;kk73~dHJ?MUtCRdq*cHdRg)ZPW%$BsoFg@Dlw9S=fHqvd>GHvU zgATc(VCtJQpzV|g)wgCq+lPO<`j!l6pYy5Jx0{15D8GHkyn2+~(JJq<`nC*ch5xkb zJ2Ie^^fRhO2DA-+Q#JP=LUYoxdXnAIKJ_!JWd^i5{`Bg)P(|DJ>*}#zfHp0@qgwd| zXvy4n;9)U-jjD->vGKX+RwFr;nnn?ivBZRPF# z0<oK-&K8Ap#X2w zJS`O99hPT>0&QnKub!JzA{XFOfw%kol(vyx_4zS{T6aD_qs^1QfJHF|`uo$YDVQPK(mg;9NzWKrE1#Yd|;QHq;Ug)vo%P*~d_5~l?T)wUP zxfgrv=<+M7UwFaC4lh5s`jr>FcX0VN)i1u7$ivIeu735!Zk=5I&FYt4P~y?$*H*v$ zVvn6&eoFOgFLvwI<(E~z{$jU(PTPg@Z@j>2Cq}Hp%P**Y^Ws|`jPG}Qj2mJY+FX8C z^;;KTl%3thb9$2P^3Bz6UrZi+W3gi0q|3KfzjN{V4?dIT)$VvHL{smnKAfK)G4|ut z4~w$HSoXcuj|iLsYr?<3`cZ+C4ha8&>c>9s!DWxrf{oxGs(xA&rwEmQ@b^_eo)^E0 z@DEl$k;4@56a4<_Cv$ij;SW?lmBXY_f`9n`Z{y1QlenU2$wHFJ;)yHnOVzpy43N~N z3NsML5D0{rq#Lc4wrQiaHnscSuhXy8&(d@5%rIl@AA0Y7@9yuucP~GY+%M_nIQkd4 zU(?HRpx@+vJCa(C9`;>g*pToqv|X zolkRrW&GLs`G5Z1zZiWT$RE7ielqyKZv_=f|NE_=lloTBv@=oP89W_4+eK^A8LO5X z4NP)T$jbUAE=@V()pB#a2MDVO+b2eEE02O0{h%PmdlSxRmBKlH$H`Vh*|)XbUhfHC zl=S*q-Nyw=*JNgb+d{Pc7Po6=W=@!ynH8hVpXiq`&r@r3rZe0vs z46!VAZ%W-(&EgzX7CFNuE##aW%sYi(PT&AdR z8FYkM2B*X*w{OrLp8|*IgCFv{aAx$M;e&qAGK+zfMNSoerNUOvQKGS6Nk3?)<@W&h z8;ipBA8_{RE=2zizb|had)C(KO*9#1(9)%;w@^Lg%m*!f`z|fE^xCQfS7<6QR~`^+ z8@5bO=qa_K#>iHyKKwbyA&e8*V!~Ft^)P2R%RH>EH=C$)d95oB85|i>isa(FGizT6 zY(J`ZyMbSShev~mP+lDV1ev2F%bxGf${pjMZZa+h3+{xrI#lH)f$vPv| z0x|+cj0m_G6)0g$pp0={)^Z1DL{^X$2yjhc6SKNv+&rbb#M;AkuGa#)Gqn}ZE#QV0 zHgQ-*N5&H>sjzzUn5SbAAwP2oX%~l*UL9uz0=y-E1wjkbBA0PaWOEt&Rh7-~)CF;udql@>W68)nnZE16&dr7uaq2C zndG2<)vwy4N~8u5F>5!eu#Jb5WZZRu0vZBEED5-HAW+7nKm`+Yq^W{beR}k!3dn`SgJMH#orl* zQAH(dMLjN13=7u9gh0vSr(09ZdDOP_D-c*xCnDYNV3n>|7wa=!R^}BrtW4`?earUe zVlk4bEQ;VmW_om%QI|9N3kfD>bTYg=AFC;<;ul1B&=J_gG`+83kwh)LnYAKj1zgO3 z36!u;pbXGT)D2DT12wqN`!2n)<|yy&?p5rXS(^;KABZLPJ})Bn{-N}yyx*2!Vn+9d zcl)u9N8alUYaOf!@U^A)9&VBF3OFE8wCeZV@TQe;SfGp}YG5hv@9P4F-uLu6#NO*E z@hah~ka+*8SYq#8zUHakYwF(Ty-D?d-+mh(BwX^_xZX>+)P>3QVZx<8Y+UaqFOnXV z6KRs4Ez@KXr_!X0(}@N3aa!mn7WAr{g{_23-JDz>sh@@`Gzu=}C^u=%&~!xJY+x@(9c5GqJ>FR1guTt|`VuZB60VDyD6%RYM@WX3;=DXw0k=I6`NbL(5+&c)Fp5LhFl^;pM z_tuoYW;8Y$8P~52wQb{(kv~p5*>E(%@0mHyMe#2?;m-{94aX#7z9)u%_$Q+9mxdO0 zq)islaBhmc9HH!RdNNry)l82Kf+}omvc*3#OlLGZ*}{&|Ha{8tG;3YzD~4dBlr26Z zQ*-;VH`v*+b~O{XD<}C-py{^Zck9;68va@x6YANT3dP>^c^M~#toI7YhDZ_PAyUF< zh?J2DkqSnL=<^N5KGnbWE z0wsSiWFR0QATncPFqiQRIB69pCo?7<8 zQiYL$o{t82A#$~~3i0U^~LFc;zbsi;?TV!Em^s7KZmy@H5+@bCqd!Qot{RMQOGB+GpT=Q z&w0u7+UM_?F-8<~lmdR=hgbuU@-<{q5p-)lPC;I@=Y!2HV)UdzCNQK4HE)C^;=d(=*sS ztzckM2UH-p7zLDNl@bPigzZ!pUiN?H!t6t$ZMnf2LV1)L0_K5@Vzv?vCwHt^e0K~- zsDduLuuvEVe>XFTtXty|$%2u%b%%&sYwRCJ#bMAtL7DM&iJ(^8#^cIhQU%+M08dr= zOLJ>RWd-IPbWA{KcwCDQXE9pckzjLnx+2K>$!QgIs4=4;-7-QbaZmKAH-WQ^u>X!D+3_Io zvZle4gq;m_Td7n@M@6tvi9vs6+-;I3Tu%~}n;%{Y5Fxf&`jx2`wf&-gC&jqZF-za> z#+86)SewqP?Oe;9w_$BvD7jAzueVgp+@EIhy z#iDNNH=*o~aEsZlhQ<~$W*H~SIl3>;9;AC$F_O;x-k^NT*H4eVW8u-(+UhcV1fx#fNX{y{y8YT-0{-{N(tuf%{eVc#f>^=&7?M%Jm&-O)6 zSrp7PEh(jB$Pl<&YGE-o(>4+@kh(z_afbquHkQ7P>U(oro}scYvA}Z5ta-(sL|K|3 zyC*M}HB4K7n74q+7bk=9MAmtz796RXSbCH#$8U2RZoZsxo{$l5v>2PuV0nM1u*Kuf zm)FAOU*uE=1kQh#?NCop-^wZc+)Ryz83U-qXLfmF%31*ImNho{Kk5JfD;A)hm#XKx z8s;u!PJ>nRE}6%0Sf*ox)!7FeHsE{KZosr;-)1JH0-5KCSBdbJ%$Wq3?>6Sb`UAX7n}ZL58lDuR&xmK8@Yd5`E;g{Ju!9`NAdsP`F(%=ICSF>zN>PxuQGEY54y$Kj78C z$^^YD7l9QCL<-*nEbH=EzU~k^(HA0;2C!H_>m;Ynlx>#6BvP|TVS>yqFzmE>;@K(Z zE|`=z==y&v;ddz)*B%f2zp9S@5|eeV-H^kVp%y;)Z&YHm?FNUb9=b4I1{-LO zhArJ>+9@iMsCC18Awd&ll<*6>c#RCPPd?ChnZkeD>8tW~{c{tkxrO;Xnfq67v>pBy zvwbtf<7E7-as-=b%gn$@pvPIj78he|9E<5V9^8PGo=l}-6w5`e=8 zk}-^PL>ZhG0i_PR=Pgw_3US>Ej0G~YKsq^_0xWcDXX7-zR8)E}y_{U0-N8&nNQ#Tz zCQ5(mHYN`EFP5((C!ubaHWT0*9BsZYd-C{3&|Z|e-ZKuSrXsNm3o9n#d>;m*WaB!O z=ag7SinyTdlyZ&nwcjW}xG*xYa7W5UKadBdTFblec|NN|_9-w(unZhT0P&lP&(`OW z_gwQCI!2%~OSKDl@?6T$am@Ecr=(<@+Z%rn4*Rj06NZ=P3a$;>LK88-yQ&crFxKw@ zWLa$D)MVb}xBIARcfHWD9VJyF$=dYKP6{zn7-45cPqco82UK)V14D#IS6#}--L0

)!0-VU`91*Ck$UYiKRm zI{-D+4~f{asC(T*n^TG@XkOqRW08ECtT7%XtGemzv&t&rMn7S&b7`8;3(y19)D`D9HKjIiDT%f)&FAfN{`n(ZO&_1JgKfEi|Nf@?) zl@ugdp-xzvXt{$@RG0odT_`1T*yDW|r-jlEzOzicjEPvwk1U{W*KhZmW)Q`++cr1E zSPe0Oxe*&HThhMTX(Mipm05pKRoQj?rF`C{u)V)^2^2-+7!Uk1PFZ+FOgzpb%S z*~a&Qg?4(R_?ZIRh4W4`d%1#C+5~Ul)|Q_vt2%eh(BEex;r|-ZiL@KVPa4++R&Y~7<;~Q8|m2V%(QcFe-mNn{MPq^ez&d}(JJPDl@QldBjY!W0aIcXVFXq^jQ zn6ekjPkBTxO$HtG>9a+@aebn=tDrrvbuS zd~ZzhjO*(uqTpmO@O}MBFUHNyuZhbM>wxn*; zs*0D8fSX)wi;bFjt4ini`W27G_aQ8M#ur*Z{O{b7fbNd%oP^#PhIW0)$ihcj;`nAg z-?}M^C}393yR|t6ijH1ZrfclQFjtlzv2qbyti*rZU%Rm6ShK*|x4)w{$vSoayA9!0 zgG;lrK=kHb7-mZ~^b3~C&@I+rrAU_yekcg>)KsEL@7l6SksFIXtUG1xq{0{$q`hTB~G36mM`Kd^qUm^$2L(qLf`WC!YYUtrYslL{+pddcu&1 z3|AsH=?rwgu#HTgKaS+V%y{E#u3Vc^au6U%*e@ZP67)>O*?$=72@r(GDc)PoaN`3P z*!(D~-qowQ6wJj<7H_ZghP|uF!T|9l^P7M0%`|WCFbU*7r2j*xp);vmD^Y@;TaQ04 zmwhb-%7DnBmad<$Y@}zbMQ2bAb)+pMpADFeC`VxPpV6TR8b;0t$(ydT$^gr>QI#MS ziVi{eKwid7h zBdQ+YLeZtsWVzCMA1BeQ4?AdJwhKNsNl+jU(9Gli3s`MSn^;rY-Vcic#nhM-2FRu4 z1m1Ca@}&@XGA8{1^$4FNv8x0&jAgjlR2NGN zFXp+%3k-`7C%S^f9~!PQqQsWuNHKp3`?2OZG~PCT=WG~a8@4`xrZ$7teislxTSA2vw zwsDXQWaZ{c{_@!-s?olmh;VyzZIieyKED8lz_M;3BS)l><6>QuF24`+S6Y960PoFa z+Ux8iVXAkYZKxdYR6G!`@-uWl2U*iN3clfg#^L-(7~;&=K0kj#6_>x}Zzi9G1F}eC zEuTY|j}HnXVl(cFjFdo}QR^ZaqSgr*^}FmWNg70uWl~^&OefkN9IjAf^{4*|i`;rh zOT+qKQu=v-U0vT>`|HMm7*BsP&rmaPF9buk+ib0LfV##2I<=(;Z^^03sETySnx&b< z5+#6pQpSCgs^FLm=i&WM2B3;Cc-_7+Gq#hF$OCu1R){S_>$${Roq%in6RNT{v0~as!S4@hSI~g(XI&*SLa>z>k4ITGc*cHHS5lP zcD%cn%qBV|*=DK?ifw;DDaaWR!lUbzoYu5B-py@&lba-Of5PDbPiiJ;)&$EYn0VGU z%EY-q=Z5j1c8w+9bs|pv=!{`TW*o9~I_}DDETkw1{D0$LY7%9oA&nI&;T}ko^&7Ly zFIwEeSJFNH<0Og=z^yC_MJo$ZsErwk1`C`?Z3zc-xh=Q7$!UMnRSH|m+2Ct!-)s4* z=M8I+A_J}WUWc*NjAZrTYj*L>)t5&|)OdsR>kV|E!2C2R3J)af+suhiZMM*eT=iC! z&@Vr`1aPZKgy)UG&^GHDC8W|`ny4a6MWdfWY@r(!e`TqMK4XuVt324QplSrP(ePf3(I_g zzJ()S3 zj=RSqc>L4wosPSZVL%K=rJdnbdovTA2^(29YT#p1Wy61+Qu$FD4@GTyL2(|SBc-$Y z-XL;fIt!-@S!_xmEF|@z*~}o2w5XtZ@AHx|{o`D*tVHE15Dw5_eS6mC@7A>Gt+maz zv>b>_1sqq|R7|BKy%VQvDc$WXQZ141s)bvzXSyPAH{h5-et+Hqm$dP;2}v~(>-yhW z*g>|%sJVaL7=jbo-^-lLm?uMpgVh7uraV1s3#bVxJl7?vStnvA_+rpL_C3WKsV{e)(z%k9Y}PXVcA1nLEH$OX zq_w&i+gd=3|8a7&b4R7l2K8>PEDQw)B42YSHMGwyy#3f_l^-?ph7H-q9%%R@Z!B^J z-e-S+LUG2%bv?m&8b=z^eYeBny!cjMTJ7&4;~US}-B&<5#yg)|-!+S5p(xkUh&40Q zYOjAR_43;cI09H;l3v%dr`Z}_POs(_fdrZJYuPL=(Ji>&9HSR{NzLb=#^VRxShI6q z&e6PQKZ)B|=ur$DRu%SCx3KP{;FY_~@DfS?raK;g{SYU7Vv)X|z)iQ#j(|F0P@&)` zHF3Tk4@DA4U9J)LQG7-R7V^070+R01_d9y*3P zIvwUtqLDi)ouWSEH2G#1}|jGS5+V%qQj3du)|;o8;u}=*F@>S3uAdPE1yQAsI`9) zzfZy1h$i(*1uTh|Fk~(V`FNgUP=k4_#0)qiS?(K5e$c8JEKBuEuk)l--dKieUT24H zJgggM^TSr>RdvA7qcSAKc$!t(#Q2t;^iouyB=cwxpAH+p9R*DL;)7jDs*RV=yRFdJ z{ej!*P%)?unKqD|N}rG7d)J9nSEGNWj%wT?i4el#8RQ@10Cf>{i+SGrciKY~-(B0K zm%|P7f0N8Ii?P#^g)e(>)lJ^9x} zw35~O-cCecxdI(XQjzvYX*7TS_Ry$Xls27UFr5Ho@IRNqwax%NcX{vk`VBdAJ;{;` zK{KaIK$hH;s6u1J$t!=el>vu`t*Ulz z|4`0EadXrICB5%DLS_75D+|)lo!%{lTbRFya0I51HJ);W_Qc)ye*G|byz3hNv7=eJK*IN^Z|S~SC|8~D}yXEXWOubLHc-d-i<0*z02R$ zqhI+Q%Xzhhu`oR%XW>l87K29aUm8Kr{sb&nL2e#Po+wfXPG*0lqGl-yoYfu#f1Oiq zE{CGN{XKL0d(&XOeUKu=TjZR+ecR%(r5}KLO2DKK3TM0$54kZvmAE}K@inbzY!T-x zBu{&XydkJiD;0Gur6dm|!-kgoBim?ngnE!l+-#1KbElJRv3oGfYb>!7j(-*|w3^8F zc-J$-npEz2cJ+Tk^-H`37etvW;a(2G5DdO!*UC+u6WPusbvEe6*}s$9TXuSKDGm!| zopn%L%eIGy!JRO;1Q>!l0fNH;0tDCK7TgIA0}KRb&I zx%b`s&g)&fYIp7R{nlFD{a1Ha_a%rLwRS6_@Pp=^&f1+rN#(OVMc^?20p2lT`UGn{Km)ScadsXQvq}Ld0Bpdv{_1k{22f4kblcDEdI$ zqz?ajxw`UZ1wf+H5gXKX^fl1BU&UU1wMcvZXpzLti_U(OF2=g*j z>HwA%)eYMB1`*%o`eYB-PWyn+X&7(m#}=Y7IfnFH@4U(#b`+#16`$2hF8An~1dmwSKnU0iyDb{ zfM=y&Ca-aJZ5GmQWEn0#MFWfc3Z`Fx4)`F%ZfYA@XzN#wV|C=Y^C84O_UT(T(rw+X z(dFJRS@oX1aMF`%PS@Se15caQni(8A%I>416Lo=U4)E zH8Ke895z$Te@UpMr1d;{*)5&OJQIw9u^DP3Dk55-B~)iAbQE#YK~B-PG(}4e1sV~C zd5k$yr!WzSIei>rre6u+twCIMPV4(5vr!wa5{7-7zVhq}z6Xik@k(djGGxdz4+&TG z#6^+RYuSsvothgf2Ake7mKu6!YMUoCH;%}|l;-I#_>B7AiOs=5@jpY>$uiNnn8UE& zJD3<@^&Sd3JgcC@_mw=bbi1F1-r;VS_KhmE@Sq3ri%f}6$r$8jvHM8Y$?vr#8g}-E zBe50Dzkzk?UR)D~4Rf=E#buwjEnOp&mp#daRro1aF$`c2Ljr=si-&yVq5}~ri1qL0 z9J@EGhxvD~yq`}xP^{&0`&bluoUj|g3n(nra<;I(Vk~ZnX%%wNor)k-zj10b=ZHJ8 zX*HR-b1R5`fPdURSB0Bfo=2$%;Ift8vgO@troS4lpF!!+EG49!M6l$He^_B`+A*9h zcQwWjDbk z4rUxzc`(d)m>!i11BRWqyq&b5OW2aMFSfAdzc&317+jwpLkefG<@5%sY)@g{QqgiS zyoNc#fS`rF029^zHzQT4jqY-n(y5p-iMa_6SDC?4x{)RMsHxCgBr<}$;BRVP$U)+9 zRvB)Ja*4C2#8d3%vvg}(o}x4e^(^9dAab@{eSu12N%RMY%3`-z_i3*)vMI9{fmB^x60xw-+pnDQKE+dNZTR(5hsAp0wdeKGLwTX|^aK`3WU4zoN~O9=U2^ZFKw|4exu5aR-9KO6QVq<~^Rn10TiC zZrjLlBBORQEaLESIlLVWr(i32J9*+WVr4*Gmz7^%1;H0Q5<5_!Y=e|C4J0~dvCUQh zyBq;9YoU2sqDIKS(vHnMmx&rR%1F0j2&FK4ywEUZHiI#brIcC4FX3aN{@8f^QU_#3 zg9RMzZi~6$6zEAKta0uS`R2}gK1UNK`IHq8d|@0LlX)9hSCH_GAU{jr`$P>34BjEG zrwjdpF6!#h69~MCK5iRH23GqkDRjZUEMadEGHCj3zN(*IC2P(Uj?XV1wa8X-ePR>R zg${pNp{HGD95eU~j9zDjqD8%h_f6OFfoll{FFx65u{e*N^s*+JK@Qj)D>&-e7$jON z_^#EWW+Edft~_?qqph^s>%@1^B&RhcG5^6j9kOeIzm200^gJ97rUer^tanmZUwU`3GRNc28FY^o~C!xfQPv>RLIMAW3 zyTPi!3+M6i*Zwrcv|%@cVkd_%K|BmAr1{cF_Ma;8(PhrTG?x0FoFA5oV%lAnsV95# z6BqJ_lZ?IXTXL|`I(r-={KxpVmxw3~tQfirmF5@rnT`y~BDG6j=TA~nA!ZRhN`c|Gh}${D@ayq(*LoGkCxVD)FCid6Y! z>RYshe(c^#*GIe$3%GfJ0g%?G^GkTI1sqDrc(V6;H$T7a8R5!S1mmQY!=TU6BSZ{l zji*I+PzJcmTy5FE%RXRYlFXe-6-(AiZ3<@9d}$wt2=CvlMX- zscbHR+gxkSQ?M&llh!GV=J7l(f*Zxm>=c9bt8#+{Eyln#gJ<6!i2Vqq0@MBZ3cT6w#nVR^Vz_-hCf!Hd$7Z{Ex_kcI{d=L>RFOqP# zJ@XgC3zM6y0!|zqC1rU0rEd3S`m`iP41-Q&1UJZ`z3CxG(=Jc#WBWbTcCD0RsaU@o zmckq_%<{u??yYP`{lJ-fjn0uBE@1pR0i!-@>hZ=sc_41yjXnGGS` zCr(thXVbBKl%ZGOkuGu{0;+;(4(RI@@YxzsF|RR5$}H_Nn;@PE2gO3M+^GCjLapNZ zETHt6uNL}vC)Bv;`(OtiYCf!auxnjJDLZM>;VwlCkv~thz|Ep;J9e^yq>U*;)iOT! z)u3o9{;Qb?uV-pdNRlwr=k_NLE>c0?(t&byELu{HOreau9s}k?Uac|NEX@vLO8l7JW?7Rb#Tcm6)Q$rt=5P(v)41 zJ0_p+7Yrs;Q1N_qbAMDTKj za0O{JRBJVWZK%(3mp_-9Zd`URzdrAlcCyS@$sftuEhgioT@uB z8q>|b+;4mBbnKFK;ejj%6P8j`vb*?o{+DqaZAmAgF>$Qh7~`M);@Kr#6DK+52vxc+ znQnMNOPDJ0f!gW2p$~_?ob)80Lqo&uA#bWrqvZ3mu^?U3A1UppPRgEg3}B?F&Dn{_ z&l#mbcLIciEp)>JC@hydggKbT7Z+SET%EPt-96v&PY%v^B;<~NGzu?9_0)eJ`nq!P ztsp75#K8^P5>SzHfZ`!Uw!8K-tM5k}f?Bc_`GSWox5SMC)@EAHOeSd=O*1H*Uu@2& z)W~@1{!x2vc|t7`uYo+saS~+-Go)55e!=_e}A*L=M`{$EjIXc2Tph2M= zD6v&h5lGgmdzytGsA29GCUw4zOVvUmF26~wsH{-`^Yjo6o8R|l=)NPE4~@dM{$%NC zpBfIP`UGSdFy?^FvsnWpKc1ixB;kZCneVEY6?y7iyNpuM+Fqpw$RJS8gnmL2qX(O> zsBiB_i|hLv8T>i5CnYtI&MH2yuc3`YRlF{-Wyok2(ps6ROuVZOgeZZweuAHBjmo$j z?e*U?@1KsdH}WWW73kZ6T57Y0T)jEU97g=b3W}uo+#T;a+Rp{7s=2S0WUL7Hr$a$3qUy&`oXT+% z5wG*GL*tEarx}p~g+(DArX(+|Wc-GTnh>NXA+4QLs`t|ch6&c@2~x9f9gC%eisy%z zPn^ygs0Gl2!rMKyGh@H!iUp|nu0TF57pk?(>)lO*E9Lj2+A84F0kzv!eD_B-ami0F zq;Xk0FrpN3dCJ?)GW`i^zQHmW zuYy4UA;fQ{SWjQ#H~=}+uX^i@w`FjIGfWX1c-0kh^6G%oi4}NM7kPlIHqM@wE+pY% z<5AGh7aE2mj%AxdSU;cZPu!p+St#oylRif5$40qMkKm^JA>5} zhg=vinYyS@IP;6|%zSKBbr_4zk+ENaWqfln->Uz*-pz05|WorjEKrvG@KV`LlP z6*L?a_Cmrc)XIX2s>thu{13RqjMc|}TrzW21`+GRc3-%lHJLwJHRHqVT9)F1A1j-L zSy1=FyN{Ku4cCofY{*>Sf6*5=cdpj$$;%%iAg}ZY3M>VWU2!Ijp$WOlo?m}e%<&)b zv>zHe63NSwzk51JKw~FrSNit*4a64Rg@$E3$dpGesh$P7{Oq)B4Qty06SAYWDP$M@ zpt4I`I~DfDF}$p)zoN|hbCuDLAgm?H<$4g;i?MmfR@2!RcFMzwK9ypn8V@e%KU1kX ztixwM--yo=s+w}!K%lGFilYI{U&#!ZC4`JiF+Pp0+qDSzXnlYGJ`xocsz(X8*=)v{u-@dlx03__L$uBd4Xes@l@E#Ub#qeqse5Vy3kgTcJ37eNBRyxcFjV z)~BV4T#LI)4kvrSs9d@7>1%Cu?@?9RA(RbJ1=1zi-KA!XSvORrm^HgprzvJJ@ZbZU3?j%IH~#iu!?WRFCb1(wvP39{2>#o%aG~*&>m;HW`JJbU z<0IP3l@OyunUGtjP*3l?d)~eoy?M#ry(n;Rn3zO=TK9(DlA1sUFjr!@%F_y-otE3--?l3@~kOc>c-!XOD4> zX%!=H9ogODIYBluDOTLJ@1Q3xCrQNGnRl17ZJHhRby7Ag4XVX<7Iw2Ma_VI_FAq6f zc8Yq@sETHsPbS(L+t>bOY2QNvjW}1>y^6Gc9GgrHAYAv?+MML#|J^%%B^Q`woRSg> zw5F>Cg3X`DN9O^NNt4F-ixXN%)ZCE%+q#J%3`l?k)FNnl5&n3)52hA@v8E(Ddt_RQ zO$3k~`ghemAZpi}5&#%}e9-~_DGx!NNC1GlvkRxCg_W8j5|9X)=_U~{&9u5eX$$0$@ zH6Z{n!wUdB8vk#32>QzON88QD%*FDb;w$6QhY63)m0tZb4=Uk*VeV%Cgj$a-Vsaj{ zJ~#Lc;r@2^ClQ2BN>?P1_;*OILe{f#k0I5D{3qSNO&@}iP5x+mzp*g?r+6h|chb8;xUk!00Dvi_tn(Ik-@{xHYQmp?zbTR zz|@0^?2pDUGf>F2m^M@>T39e4&5M!fNkvMr(C+Tt<}2+IJ$^D$7yhdn5CbY-D7iw%QFGh-2Zy zQO$Y^l`&;PhgX`1k3PmE#bzEuPPQSzWf0o#SVNQ=tmB-3#{((OYzmc{6^ia@gbPCS z0TS6PQPcKmEF-AZj4HhC0(Q?I%AZo2N&_L)JaRM3`?z2B>5D;rOX%uwzv^%O0vp7K zGU>hnlkL;?b>|m9LnQ9Q5RqBKFf(1c`ax%f_xd^SMAr8r%7nV)z8!y_PBd zRJOYlS#RPmJlZLSqI>b2E-teTq03<_Tv$}(eZ~2+P9CrrbKa(Hy@IlF8=TebTZ@h2 z>m3+BPM?^S67Y{Wtv#?fznS`qlP8!w_2kw8@ddiam9O|czwleaEbASVDsa;bb^2w+%CwzACuAeZHdT#0zr%+k9<7WRRiXKtQJd zJ^wMEi-RMBnW?#58lT+w~~zN~Y1_vOvH90dne zfsdu>Rb7hqRF(7dSmsED#YNpIXPeTcg6;j|hjd3Wi z-)Q8$^`u*imIRnm@2c3v-=Iul|HW<|fEl<(#V@jRX$bRdZ%P?ULgXHg8wS0pnY2%` zP%@nJb-(Rg2Z{Yv@8>B>DN%#2vF9{WJoZ#{e0DUJolfOuOH8$JgXr2RjjanegorrA zZbdBp1}l;In3*=nQZ?3aIR3gnBt)~+ThPnXxDX)0QU!RHO#Yq|;r#4hoiRXG$Jm~m zV`we4H|UuT-7FSpCfwmGX~mX!l0~awC`kB-%4kU~hrW875@S2#^B@=aK&DyBOhs%J z8K54QGg5NY%OP@(O{?|w71N=F_MP)CDOTuZQ)nf}U!DRKeqJXKE#7VF3XW^UfwGWVl8PU7YOEN1O?qy}byI~%C?@8*A zohzyeUlHy6r8!ZZ7TzFp_$d+8q+c!*9+t4^||%k zbf-u87b&b5y=k^=2|5W4PwB?$zX95VIgGH63ZeawE{~nNu#3hcNg}%2i#tAkk zr%M>*@0Mu9EWR9L3~$^C-?aWY7HHbw(poP}?P>yI_tog*-Ljqjxq=_CGu5uadvGMK8_Eo~}?BG=`cH z3>Xz8#{*&MFC)Jn8-MC2y`vZ>xAv`GNYXZ}%QEnqdij^4+N=c4?-y8P-b=To%1~_D z*eP__Vm{b4mfvD{N@Qt+l}#2)n=d>Nlb7TaJ%aXx8)$asa4zV8Yg!lX@&NHCIeHWB z;uBZpV!Dx}vZHCW_EKK>mmF0BYb%abM|1AE7wwN=Fpj{sgZ0iZs(#hvo67`ews$*L zE{fP;`?-~R%e1BcH?YMcjStYK>TJVsM;P8eNgvXD@YS&1kj1tmF-aWXa~>MaGT(Jp zEI(jHSS)IIr7Utzt3uT+ErGo`bsg!`J;G;uImU`y-J$#GH>;5Xs{Y#Ub}skmsvS7p zHyi#4(F$_$`uf24+PRLCi%j)XlMTAKhh>X|;)Ni(h_Y+7kl4%gVfnASkYW+unk=e{ zs0u8FSyh*w>d9+I_odpw7J@+qYW7=q>sP1Yx=LdRD(Y)b!np!Pu2th$9{1Bc6%b&8|9uNsMxzBQM|VG-Gm4sJqB7Yp8F1+aU? z@An}(f$_gppr#j62s|<1IqI~qJ_b46V@xGGE+lMAMqBf3u<#L>8<)1@3BY=u*LDiC zUgVHMa1>AB)zjZI2c%_~F8wDrTT}l^%uBg1D)xi58n2Hn{3g9SL>EZyr7~7)P2O0_ z(x_>b9+9_G{&~@rx*vF!XrSp=fBU_syTHYMbm}%tF1ZAe5-~j{+0*#Qz5NTO3$|ar z{go+;gRRYssz+J#D2?qwn}~&@>o05tW{8slR{a;uFHY(|H$WLj-Z}MRX+Y_>WdhYp z^qOQpk%YaJzeY{#UmUvxrW#6lD5qZj?~&Nl^)SIP`0`=Ff>zxk^u;20A`ab39|zI> zj6sEs5i}8|q3E%KPU}rbZ4b~h?aBzu%3USWEfCPzZRxg9?zZ+T$hz!LOBRZSgZgj$ zx#Q%EWarE!gg}2s79)G=snm?q9Yv1 zQvw>7;-O`@;J4`S66uwbcs~o#)5;wUN`f^o<&W!)Ac1<*4030jBG5i|$b(v%+MlO^ z`3ZR-MdRrg&VwV%+$3f+$&ywLY!r;P`rzWoU0f_7_g6azu)~7J0xyB0#bl~)XWQ{&V&iE}WesOrlkj=pV{l=lZS+2AOhoMAXy+oc zm6R;+?JY&Zg1lz*{wPO6!iPU4EE;q;t`#O$6JZ20by_Rf)z!spyEY#OSBbzvBk>p9 z1Ch;>DN<(@L%2C4y=F4sxAL~>6ENkN6~ zQx2g<-lzyvi+OL*PYLE?Dj9$H@KipXt{^{SKSdgn`RtP9Zy+g*J>*aw`*vj7QEcMx|oIts6f$~ zJpttH&6hFuH!yzo&kx;Xxj_6Fp_P=XktDZ>)Vf=Yb|Bm2_(hPPOf}Ozkx4-;Il{Y} zI-6d>$a8?HEa^g@*Y_e2oR_8fDB`OZw;79jXW`=v$IAmp!ph0H$A*n&f1JcaaL6+Ng z|GLhHKa}3=!FY3Dc9d&`(}vz{@8Zk4icv^+Q-KeEuF0;JW0N*n`7_tE4BmxZ>HxVx zkEW10_V2k}qI^Pbv~SekGll02q_I)^GaiOAPsIkC(uT+dbt=AHJ>F5T26;6>hj)M6 z&&6oI4TocxkCU`b7Y8tkA?TTHhkj?lD0Q^LpQjA8R*%I9Kd#u}fN{>-n z;-pEJSb1)jDokQ1vSMG2%@>?Y=EVBmLt(1@<56XKOAvMb$^$L&O6+;T&=z=EMGw(F z6s=_F_4_?@D6Hy;cDAh<&OJIrOA}c)^SkiKgc-43}{UNttG9O_*EL^--`S8WQXjcM_EhzYvAI+gLDp~($TU(86trJL^) zqccyT+7)}LY^L!dC-b>*z-@vhdyEK4c!QJLuo}0Ljky{*khP3?AJ~w!%y{)aoq-FyrLLbfp7Jv7NE8MJ z=Dd1zIwc>C&)e9|r_G1E0_P3Si;R=w4v4z~wjC6=Hg++ke^c1|Cj?%tNnS@44qiE) z_VfLj?%A&1CXinE>c7t_DdrK&o#WF8XsRq*H*I|}R?~0K4&*d-S1DJ2SHxu{A=~pH_JukV$oz!P{WAPQ9{+ND zCo26ksd~RUYsa|w8$V!UwN?6kpY0)K)H#+xg=QUB;GQ*?UqwQJnV(NtUa z@DOsKU+w>+8JaD;c8Ar^Pj3A(L?7bT3gz}vgiv|qeNV@cGFx*|>wr8Sli(nBn=!YI zCXsh~6J@fvBPuSJUyx?MoVYk6aHyc}OTFU~l)3H@16%UYCTkXzkU=J+?-POyV7hcn zHcYpRxc4j?qzH|tRn}P2KKQ|pTE^qO^g=!!aXB{Yp@Y5sB*2LEO#m~Xrc0mt6-#U# zK|d}=U|5xuIrah-gT~&p89F6(43SP={b#1`?gJwIh%4T3?9RH-bj*9sqwn_-11AHV zadUzy@$VwJ@e;6~=qmqy_thc|@Y-$~-yric+Y~icqm1D)AB{k@`z8)Um~|*RcqJi% z7T)zGk5~ylbmoI-*;?M(s@YEeHT!X2+h$BR59E`T|MgwI@M-elcK90f?A_Wiwn5!_ zFo%qG2``VQJh{hn7sJ^W|A4F7)>N9d_5ZtD(S{c8B1yW{`t1XD_OJgcuog*Y^QG0K zTg`!~`>QQlbjwtBt6yQOp-m&ei9)ag)YB6r51&Zc+D%yx6%m^NzxRXkaPIe1f;!B} z6k?D2Jl9Q{WcMwu`{5G4Okc~-zSoG}7>{5wNlT8V%+X}Stml|a#hqgpP6_CJbI@EP zr#dJm#-@411=K{|&mFCFU^k!;CQ=0g&+6P3QUin9x#k&CNepsN6hcW1LaM^npk=wU zra30XJKY3iwSX+HOM1p}R9?X`Q_jXs#5qdgNDv#1%o!aNUpg%65jp9R+=dF$gvFZ_ z92K#9>@We2mN*|L+(X|oi)Ay7*H2qXbV1kxI^>UtGo1f80XN24}XvE69Z7+=6>}nJLILoM}yd}C)VArX-xJq@_;;HuoHdB8@Kp5!AlJsiQG$q39 z!+8;AnGbd$Z@fDp2gY4le}(1@(v|x72)bHWq5d3dpOk2%Go(I{i65u33w)&$qzqt1 z!{ulE>gC84$nBU89UQ)l-StZB!oK@fAeUN_kBBw5E2VyP=B&{;6F-uIRCa^h)Go4T zIC)jaTlC8Ys78>8V`x2JJ>TN=`l5bQAuKw=E*YKCQcRF~OI@>^N)H+uIYe+ej~Fk3LYQ(_R5HuC6l>C6QZHqb zCuGC`A!9L(8NJ|`qwtLkY(5u7)3AjKB{k9AN;1Gfo6&dc`;V)M@cwWNfhgVbmt1SHfE>9R_^p#czM~uWq^29JNlD+^Z3~-{EAYXG*e>4 z!g#EhV@IosgJX{Hox)rddK_09VjeZPbzJ}uM{fE0YHMnG5^+f+1NM;7haM-58D3T= z#$}=g#Y9`*_yaPsbXMC%0_+|#!Kg*fb+^UTal2cqh?zwTZTUFm^A}Z#Xc?XZGe(`D zxMULy{*Ouw35uvU3-UH?U5TgA*0@Eaj;4~pk0J;%#cUx^7%WwEYyyd- zD=dy6J&+0%qAm$qg%SrL`U2*#_L}^vytm7~rMaAcF)r&%9dW(36Bl8&0}gsk zmE5G%p-mc&quUKvU8(v^%hN#-2xx5q@zS;BOF9-Sa~)g;N&REv@%ysN@MzwBySMZ5 zwkuNlIs!&qEIU89&eP**w;_eGpm%u66|972@}Z!Sl{I;BkN9@SY>{KJ3#=;WAm{e7 z)fEFxjAQ3vCOguEujRJcMN&~NLaC4Q&RZ@XOZ=D>7~*b>r5BE`&bI6j+z<;OIG7;E z_19`hxD_l-N5r7h&w4nEGHpwS=``=iuoKVW0QJczy;nggN|mSd3#5Rv64-C0wT}u9 za>o}1-7Sp6LPLj>20IdJ$aXSadasv|=oqhM%1T>M9qxp`zp|!}x{~jq&h#)4%~Rj% ztB${&D%wk|DfPw?+H9^0tgU+hA5kN8Xqf&th>LaXWpmll<`_1!R%&c!@J|p^2Pfij zDRkG$^S(vwEWewY8ybz3{YZ9fu@{bh4jcHZej?dD_5~sgc)(WjnRsBT5H-sq&Y{kX zaty0GBluwFLQ@4#!kOe=#yORKhb_sqIBlsxRj(sBcSgqlUQYcJ+oU-d$QOmk5~9Rh zG>h^yINkn5qg~=vV@+z_-K~bOqk7-E2}yuQVE3fuctSI{PAqM|1-vTHphzv((50+l zI)Jpr8yFa6cp=0{3-v6Dkq}o+7T0Nvd)Rnn%>zMwg5n_t*y?% z3vdgojBxtuac%K$Lke|&dm(xtAgr#o7Fo)BHLmCKcRh2eSVM)Z6ITo>o1n1G#-MUB z!N3_Rg{;1-57^5IuWf#lnle?C3TAeq1C5xYSx$b))himDUF;zSIKfEPguhgD)X=F4 zZp~8~sgKo+Nbq37TIQcA_#RP+QJ#M)*_O!}+@B14FRZazO@*q^jpx{>6(5x+UoD-z z6COMPgf!l6_#7c5MN?t1P5Y-ZW(*sC$_Jfjh*o+QSnQw&G_-mn!jBBQb(v^6P;M+2 zvW|hdp$z5BCm^uEc~W9lC__u0a!Y(i(lSFye`8(ZpBmo6=)N9LRi#2wVs|xq@ZIwV zk|#Q1PE>V5EwvCXhcQ`Kx?fY<4D?%J6}*Hs)JnER!mC52>qJDY-Qkz8J%fWsrg&s_ zgGbRN9MhgAvSf;S=Qea*tAsCz?9`o3Bw!m!xX|Bn!eE~P7U~VVA^Y*Y>cc{k12C6U zIxFR8Cf0)XsBLwtsLQqQi?g(1v0tLAMs&>>C+vG9kV%wwZO`6n7#GT` zOa9ypyLoB3F@@%m&RvX-bLqHeHf>uTUT+CBa*ou>%UkK+nzk-7jnMFo^p=+V$o}r< zi#OAn4_P)}H!4#9DN#sNW^q;%xPympF%diEyS>B&WC#Q8Jf3VVcf#!uy8M?s|7+~7)(wz{_riR zIb6~JOGMw;H?Hy+T;Dl z(!%_IiqFd4#Mw?^EVh>kq5TfCvp`+GO)gJ^ra_kq>`_;1z@<`7g&HFM@r?7p)yTqB zr_TI+S%$I7h6Zk)4uIaO&^>Flz#e9}aO|kju*zf4T!z@!VL?FhX#S7SbT&0w(3bhRwup&j#uHmj*i?P697+J0#Xadlf(p_T#xe&< zA4wQP9kJUd{o9KP#Zhb%4?=NUIWehtPKYvJkP|?6<5t-y=|6P4cW0?UkDb?LV4LnR}$WW=mu)L0naq38cobUsk!a z*K!_ru-dlLuRtHZ}dX zPt1uUr&|V3%*1c?75dcg%Q@g~P)iI@e``@i)Jw!R*XKCgn#%{YRgWlstB|$xpt9VQ z9yEmZL=`D_yxe2pd|`l1?qZ|&P=GNwq_{UK*K4knp4;=rL39AfLSr5d-|v-#!iqRc zk&)<*C?E(4+&-eREWz^5lcmP&efmWv0&P%S2sJ2r|Ye$a}8iXcjubsGqG)7Z<$@L20!ulzBk%*?U~Kt6=5Drk)kZY~LpJw!HX zsF}M!F#pPIBXk6Y^+3Y*O?RhpJ%x`YL0=p8O89zRs>L(~MHze;umia(a+9NTI>_rB zJm$^(2ZSj}njQm(zU6j6Al`a>(eDh}FnKNC^d$Upam=h1;e+|$lBRBXPo3TiF69T@ zyrPuh&^Pl3Pf@b6pCQPG4H+ZbuRN+}4^Hdz)gLl*P{D!SRo95hg{&$I$05SA(?yzQ z&B|kFE9ZQ7-Kb})lCfDVX7;|5)o70sbkb^zy7TzPWLtZ+*s6dd`sC5=Ssi6O()b;h z^%}~Qhp6L`WB*rQxI(2H2j#SU#74w&o4`Ey7Ht9Ur+d<5&@1|fEbl1i<|cb9s9HLr z=a0y{v0b2=AuYRltyo4+AJopafJ?3S0zSf@v-t3IkhTMIYyaUvHeM$uKxBh8h{G#V zS?hhz9pl=nejQ}R547yydM2dbC)+xKy;98f`tzrv>|ixR{~ON0xjnS>Q#tO1;@_fH&<}2D%Wo^od-)(3z@zL?(^ZRgY364y~r*_j!Q-X z9RT>W(V62Lef@;1e_Cqv9sPiXhk>llJC(KQydJ`6`)hRq9Sfah2PKhIx3}0VMTaEC` zbAOuDPiO8eR6uy?_5~~xX@I29ka%QWo*Q7tOr7oxfK1Njrqp@NBYl6Q(T0JsWXiJ~ z8-zc84P6VFrTjs@a}eN};sMp!W_`w?FUl!<8jyG&zWub#)Fk;qzjiGdwk+HHEbjj& z;E8bDu3_5JTa?qc_6!}p&tyf$1v3_u-@d9hfY_2vN%sO#BK#nJRW#VZYsomr_Yo+% zV484`5JIMAHi#^L56K<=(sFf;i!io5jC@A=4W00#mdV~qE-_eOJnw)?t5O7Kz15f4 z<-@;;nA0&)H>K7;)g9*UiQ2|t4&6A_^;@V<3zRBxT+ixHVcp3~X6+Njt^(Eqx$%6f z#lO&shKgXZ%?fghNSwV13(m344@m$Deaj;VzAKO18Y%s0Uq?{7+7P$|2pls`n}Qp@ z`la?_tO{HsOw)!aXLpv_0v~OEXIu?(j;A8~F1ost_B@O_zwpyLM>_XVcWgB_t|I?2 z3mTos@6}|FwFA9tYD*X{hHrYX5g1s;T%WmV(@(^|B|XO-vRhL3626~f5*7gEYxA7f zqz(JrL;Y*6xDJ_Bai}>l3ns|#@L#@xSh}U$EaCg3wHJFfOxizn8`jkNVDaQ>RXl{Z z!q_F5<^i_-@fQ-2oki+40UV$DF4ZH}j25U{a5flKkq022N!7EuMNI8-yp*zr6U2IV(T z6IdMY$;b3d2wgU^8tk5yIOh||1fpgYdS9(v4s8trg`!_nWX0ivFh$8>3q8c_9h6@` z98f)(wIL+)ZQ&3lknEi+WOJ)Lk|$<1T6cKv#WUuYod*&^r*L93L}=ybY#` z{`p(@jwCF1-Qv;_iw5>fGS55zdE6PvrF@BqiL%@--HG(yT#uyni)FuM@?~d~J8JqD zPgjY{6d7Bufw?rmihUw0JlAK?NnwHZyJ%1Ak1Ivq)%WkN+_(pbS@ElFivA1g_2u^_hCVjQ|*eUfHybA5B z=luub&}x&qLmy*pK@P;A5S~#bQpwJ~cBnu-1LRviPYaSvPwY7XyY9f-X>>(P8tA>Da|j6Cd(aJcOYbO0*GWs> zP=sn_4c8;}Z%ne>`o(DYgp&GnQ{Ne^kr7S5$|``2_}il9FM#<P9emrCa)lwnz=%!#(( zVoGXR`SDwcEnQs*FSHnQpPo=M=hWAQGI8#+Nmcz>1&-crU1Z`RTnh2fWG$jDG<{7^#?#sO>0+tp6{t9 zC9JKRwg82IKn}@+>f!$SpVlPz34)ErCUO)Q+kh`{9-SSH$B-B*Xt1|p?}m7Qt0Y7T z>+nj~=Q3*<@3ZQo4=}Au&lz2cTDa|*74?f@tZ>m)=43S=Wx1Bk#()A%xz7yS_6Vy=q&H| zBt$)+m@7?+lt*)Z=hqZlvwz9PD({F%o!noy+#iA*b`>nj3_ziWFoi7^iPh%ez1eFT zFZJ5G;_s;99qWvJ>f99()_90;?j|kj>{M8AD^pB|w5$-H%6P`qND5s<6^Wz~LT=we zPf7$A7G(O+;;^X3gg-MPPMhRhMx-jaRR35eeNe$`dtA=c8JiMRP4Yc)3h~J5P{s5a zk+=it!0H4gU4Ug)*@u340tE)uhv5DMY;nwkwIIf#a3TFuG0~x$&(K^6*Lv?;&w8Of z3s0!FWG8N#O{w39rCEg}-9YoOn;kteGAHh=PkFsc_k%~HqV%nu*ATAWZT4U+lj3g& zPG1PnKNp2EGW!#G+ zZ$)&06Nr>AgpsozdTWV3{`bFUAh+P7Y}aV#R05IFa$!M4U-)ss482;S3@GNPWEY0+ z8viIS+ygTsjA_byjkWJRi2*9_aLY>OcaOV+vNs<{i3`IiETB2Aw({*5U86CkbMMx= zwKHBuYy!+mc;$WEMV^~nIp(>E$m~caCM=M@WqnI|)xObUO9x_`t*bk#G~Fd)Aa=9a z?Df?+jiEzZp{P*v?`Ff`-zq(cf>7_(Aag40rl;wPro`f6y5|nJn@hn zyZCMxqZn}+an2LZAX7nPwC4kHFY+!(yGMxP#kD4B+>!)B%1?4ou#OUCFU+h^_Ycu; z{19Ml*UL&REUR|Yzl?Ped6_1*XP1=)fnWy3!DUOkD8e{-$;{H$%i80|sDR{bf6I0~ zi@b6NhZ;S$Art3_CW%3%vf&uIlAmZOl3H0vpOT+y=wJylBC_~PX6mE(%Y^nS_D=I~ zs-Nx}pY3>IgETLHV7`EO+khzMNrx2t>GSS9Tl&ePZ*Za)eNBnr zW3W0W&<7kkxDW?!%CFAzJp_o5+&`>nmwTvndXVJTMhz!+-A9?I_niFFdh)J*bY&z5 z_?mBH7w3Rz}v6S=ZUrXa_st_3B@U&jGl zcolnKq^=5Bl*!x3EZ1r?^yu#-m8crmtg?gmdEch6%N%jD%vzco7AJ{9oyD%mIxvNz zZzR$Y&cKOZ9GH$EVvT9E2Bw&YTMye+cW}~9RSK@TSjwIY5LnWDfoL^2=xd591lQXw zQb zz{9Ilnkw#siFptwG&dsLIzLFX)DxJ3Q=zvsAuwR-ZRT92pA8bHvJKm$+r-aH_l%B0 z)3Lh}VC-5GBrsAqoF?-yQv2$`2bAgt!MI;5@K{cWLjrJkA_}f5X8kV~=|Hrs0)Bd_ zE+?ug-LP7^fZvYJRevCF=3bOTHrLwswgD}Q&fe>%FQywZ^rwhw<{LV6n}O!^7G<)2 zbXlLQ2wGL*@hQGfJ6rsHUScqscv#B-Gq6f^rked~Vr2jFqnQOLC)8KeufcxQ8#9W? zU1t|p)ptnrqmwQToY!|G8^C$N_^)vj$Yju}=WyWnUo#HLxN~(v4SP8Sdpx4r=h$7Q zyV$a1179q)@;*5c7U|0r`o_C5vYAG^kQALW=N=i4*yHJ_!RI5P=Xt@7RNBNy^&{gH z1%jp+;n84DfgD_xNlL^laH8S?Kj=AH2mTk)wsS6*6Au7}sZekscY|%6hyNGq<>0dY z-%O|(__ZVdWJ0#xY%=`1-baZ{dspiWK5WcUUtP?UXf)HBEPy>fj353pV){l zlifYpcWU%4(_M#O;X7CWi2GOh#2arV->3HZ9TM#YBKJG->t@IHl<(ElELCt*8sqy& zZ;;RpDFs%#M{Gnji|(R;dHh&scfY+;S9%|e#XxlUw)0Ez@boP;THVj|uJ@Fq8Y}N~ zM&8Fu0J`t}L?Eb>uJgGUfX8M&V2)yZ#}E_W&7gu((tT%+q!0dt8Bj+f5@!|-UQ$9p ze}#%fMKcgdK;KcrC?Mkx%@+$M`gdf#&rym-mo^UUC(2zG-?dPZMSCJr!U*;w8oC3nga+MBA_&vEpg3_o zN83Qf28j&`d4wPVEn_`bE#}wZtU+PlkiP%JUAa6~54MrBaCv7PyETrxow+;)G4qS< zPU)OoLXeCZpmSWg9L;OCGr?^_kaqtie})`uSs-HJAsN%U#Q&>j`+u}AW#RT~9Oq6P zY`g2RK7&(=PU4ZN9}}NAUwbn^PfF=KP71BT5x}GYL3dRsT&zE?#)(^np{dh?7+dh) zJ6XYxaw9Og;~Ai_bxEA-PCP9VRQ@S<<9f~?>ruE~oQ1yV!o!Zmp#AH(s)s#EMDN!) z;N~qW+2Wisd3zTrQg8#B%xS1=6i$BQ84Cf1ghlQnj@rSaCz0~ zG1CdGM+m09^-|XS>RkUqhJAJZa>sZ$_{^a?9s97Lnmy=zrs9}Odz(Tn`lo;%;N>}cfma2;6 zCPz_MW|wu@mLLL%JN82Rf*L@0xaPy)j0c|6sO-sZ?v`!QoeV@>SR`89^QrYWO zIreB!(EX&uV9>>8@DFhv_a9%Y^0o+g~jkyU%$lNNMBf zzzFnspa$)}X&`ZWtZ#KX$|&L3E@~kkyRU9$4eLyT5xHD~(SaI4Mustzy|`I`jsxi6 zt>Et9r4#7D$>xjM`8AJ2D9jjIG7~gYdvEN)-(h!?>*eV|+<`-=#~5m{ytbumccbdf z%)7bS|@-@q}YyMA<7<#OdYeMcHX-!biOmqBWd!?|2>*el!0zohZo;# z(-I+vuZ;kU@jq!ke6L1J1OwLKnY)1yNYCNEyrJ;H>xBF_=T%&~;XZvNpp%&`)B*Vd z*@hS!YabOkEE@^0k22(>d}h+{SwfLBOdkTTFD=misDHpvi15L&bb1MgAB63&BBv*C z{noM6ZwY7d!OKr`_-_qyoaYbKR2zgb*WOZocOxOfC&$v&MI7~=Hbx6SDEQmpFcGna z!J3xEi_8aB&G=?du2_Kz;Mc=DKJX7M3=<)*9<0GwOs~I$g74Ltp2(9{H__a&2IO@S zu=c5u!{Ah7jCRVHD^&F~<7F6+Fs&^j8v<(=TODp4+; zVJ`ZpE@E*iwf?Otg)cpdX3EIoq1PGoC9HILNs+c(EPzcYYzJUw>fv{JjOfb>Grc({ z7z+Xy2=$06xFQDL0WtkJBiMBbz9a?i?Lvf9qw%x@q6MPN9Wo398V=JT#b)MDl}wKS z8J0Th*-iZ8HF?qyY9^?lacKkL)E;IU1K(bn$RTLkW3O#nvGSK7GL2@!HW7+cPoh|e z5bU60o&vRpcF z7H=s+4pve47$XIP%BV2!drU}jgF2N}xtj?|QJ<#cTDknc$e89eF|`>6Z%oQmx@k6~{Mt z^$cqn@oMDM0_$@1(onUv=s>ZmQ)V{hrf-mO`E6tcCNb>wZS$Hn)9O63(3%nDS%ZNG ze^<@uH1Enc1_R^37Hlxak!Uhz&Vr`kQdsS37BzfBLkDV4Ik9}KO8Eu@e+eP_qeYx) z3tzBf0d&&yxmH0bVXGDxbd2+6p`j1aZR?sf+e#WZkhI?$x2QD5|Io$+Hm0?Wx(JRg z3CnYg@PYy8LEwI&`K5 zpsN4;%oLi4D9zy1IrI6k0xGwAy3A6TNg=jC|5>P8O`&!A%tFR`nf^Nc?{(eMwvaQQ zCZ;S;HvgWe)ZT+oBIouIV)PwCu&~@ z2@`79u&6bQ7UhkTUS|F9k_9~me7*0$X4U0ogDChAyI_mR##R0v2FjJ{6WiPqZi~#u z8iL6@iux((#I-)Sd->b%9X=@iBK}o`wR(d}Ld(#Lh$G4OE!MUFpm zetzRf8x<^Pbd6--P2tP=O|QS-%=^_FCzcQ-fQ-`{_P&uTr8VD)4p!s8ZKjnA2kdL# zKG7OIVQJYnIY}AR(q6ToE&7of`=;95#m`lFJ}SJ;`xzS7rr>T?E4@A{P^$D(E@~20 z$2hW@D!5OUpaPRseEk*!aA!&Yx0g^9sI}^*XZFy8l>%o!b(k-Z^{QFgkIlc1%I_w=;jheP_(ob1O|NrVWh=V=N7XMSd?7a?ZXF3!SKgEyK@D9@(wh6 zO8^YvmZl}+A9z&0L-9n}-8tOXai!p|h};u%B{M8c2CoSDj83d5Mg>5@|)r*OWp4!(`5+P3ZKdX;p792I7! z;DgV6T~BNUBV0%L$)uxPB}$@N`((~2Tz!5|^OedI(Z#@V9H&6%&q=;5cae>sf7`5i zZ?n4E9wu+PJ|`4z8#Vin?hyF}_}KX_A{*vu#fvDERfgiE335{T*{;`sH={ASxZLigi7(NMYcwVd6b|B}r5aq=l;^-p4IP~OXN zlqe5}q7yN7aUB2YEEtcgpxb>v>Tb1R7ass(b2W}&*9|(j{m*k= zJQT=4nBIxzGSGeh!&bMlaH_N2$=dTL6yZ);1Z=J1?3VM1ck#zXK-e6GoV?1AbFd~I zq{!5FoRne=+C*CMI24;C+Cj4ZV$jr{Gg&c$CS;~yUx>nd%0J%`Bf+IGPtX}LB?^s~ zyW!pf4dl5esm6hOape$RjglmqLBSg%+ER*arw)t1wz-n{!eQ_q{S!%(2m%Ml$nu`Y z{Nqfb70h|H#qzE0vv$YB2K2`=##!SzM~8E|#v(+*ipbKXClLG zEVTv5Bspw?2&jL(s?{g>MDOn>%m0LhcXZrYACdsZz*YNikMJMMJXe$6rSAHhU0gt+ z`=>M|P~onh4L+H3oYgftnM0W!|57tPhMvIfRO6v;{#~9zu2}yxOIqZ#ar2K$;pSx) zUda4ghe|=hYoWXKc>i60TE}91sBH08hLi+FvxYeai&zIsK?3zvN&@N9{b;mUajnLo zv?;LaWj07Mw?X@Jp&x!g_ZMz(0nfn>>k#kv!?(&Q1ix5?a7g?o?`$>X@{_di0lFV! zeDWI|;$r>M-n>4$p^<9%$l2U4JKmRl()-W|M3i)v>6%mXAs^H)zla!`nE3Y3T}~Zq zS#je&y_0TE`UZAP-%EFPBTV0qjdowi#)trR+4js}@hi@xX_L^1r+;BsghJA^1`_%l zIQZONXv8|%y&C8ZyB`$acQzSPgWj}VnTMD=J%`QPrM0WiyRBk=pD(^SLLbA?pa>iE zIl^b5-6fTh559!kqmej@PnMURH$ve@A{D|{q1~w`fo(b6&!B%MCGvXy29q;A0PuG$ z;1_c~^d}M@E)HsOzH=@n3Pb;l4o+d=OW^i>eb}R~oSH)HW4u~yd$2btTDi#ic8uf} zb*bpy!sGnii>Zl8pZ^&9qix;Z*I|D+VNcu-D13j}==!j{zVhXi#cWIYF{aygO7?-+ z=b%^haLgxu2nqd82?ibbHc&SG30!|lu8EZxQ|{qfWY}EWnE)?ivUa^!6zgsacFm1e zK#R=L3c?ugnC&JJSH^Lz7nMnW!2i(o&C!)~+k>&yv2EM7&5muGH|f~6Z9D0pW81cE zb|&9@^LuY*)|&dGs#fiD*4;d~8z%{+9CGNg$8VADo#LXW(eY!1-mfT9Rk!GrSQn$vh3O}Tu?v$rhQ^su7Jbd! zpogxG?H=7nYe}0rf_paZ>F}HmL+E=rm0jE^j_DD(r62V?N9LY6vv1_Yhfaq6$OD7x zEsgF+CB5C^plwH5UL;_C5OCS?(oFAH7|G*utF8OdNq@C4cbf|cc5-t`x1>!1`FZRJ)$%W)`D-E7RpRNp=}}MdYoe?I+hDHg8*q9$`J}$d zTWyDjA_n5=o}uoC97gQP-gSqED#q8$;Md&X*V3SX>n*_L7T|jO1%PAHWf6slgPxfA zAeJH7WN2VR_KWXlPo>lAzP^j}F@xn)8EJu9>g{aRPh!*CWSIeJ0F#_@I>W=z=f0tq6nbyrwAzZFAXsF zHxD@WKMug~#|TLESHUE(Uu}IEq~RT}( zyl(vTZ%6#&JFqdF^%-5*&lpI z=+PW(tU9_~uG1ZFtP*kKbH?H9jK3s5VkhYgZm-VT9CG7v=84-J-JqYkGW%u}{={#L z703%n1R%(O;Q*0d!yPDx|C>#WcYt}FSaXjQbI*_C75?eQ-~Jbo6I}zVDmMCNS7;{s zrdMoJ-BVBSM_Zy#bVpl97d$o!EYI|2euy3fFF-FyFHkR-FJLdIFK{ooFCZ_-FEB6I zX?8nJ!093S-Eqc;S|M3v>v8+Xb(3rdw4RCwEE>J5!YyJGXn{0_HA#)8Hb~>?l|UM! zI%wvVt4oX;k?DzkLRci^jIe3Zx5qbQ$9J^%9v`nZ7&o-A`I-Y8MpN{L@0iXqOnr&q zq67c~o}-mN&KC!>?)96K&JX|9j!R&@S0OWk;|Zdc(DvgW54>X=OjG#g-oM%t(;lIB zq;{xwEO)SWw05|5Ja@o%#CFJbP;Z!TU~Z^yuy43;AdWl|k3Ay47yp+$yu+3b*UZoO z=G*4)z}mkD_IdV6qHdUOpl_(?7`J1mIqQ%#!Dt~;fMS7V0!(|I48ZG5YHH>@{sH?%jnH@r6>H^eu{4lo{A9^f8m9`GJ`9-tm59t^DT26>0yhKF5@e49Jph1s3i2xgp@N7B zGSv+YP(l31TphgpMbmre0%+NfE(23I0eegjX&NBrNrMCV+`C+8OZy3e^LzXJ?? zrgt{;lKb?@oAI3VvS~Q}R;{c_v&?=S`ZnI9J1*_smbi9ehrx+thn6H;Ea$@=>a@xO z8?<$+Bdgv;W{u%huNL?TwqMr&F*(rXDS!^7InSya(=xmrxpN~YPv#}=(a|2<)P1JM zaerWE4Xg-83H?V0)CqAJEU$d1%a0{7Q4A&B0hb@kgM_-89h?dnfsP!Ap|cpR@ku{C zF6%x}C9yKBGN`!Wz*SuM#5hKi#2rL54I_%OuB8j4krT*ENCQXiB&Spo+E@dVzxn@$ z5qls^#-*P@v;nm@n4lcdq{r&7N_S~v%}kg5%kOvJ(kTR8_^HWDHBz-; z++OQm6Z#)hzjL3pAcLZ9;)+Gta{zJ2OcQ;{y{t9n)t@DYGiHczRvM8)S-I{9p3IX{ zENuI%+!E_Kv(?GE;N?7>$-;4Gm({9qcNY&L>btWDJYC>(=?k+FtNAigN(2&vHzZOE;lR5#6RzIQ-OddJ2WSl*eT?24;VmqUNU1E} z?7X#xtGULi*{a+{g?xL5y9S;v`?`;WMwv>yhQ`GS%u342WLR+Mr!wBL?v+qBy?dFI z22Y_+;N9azy^0f1WwI^oI>1cV&M{M-jaRT5d2Ck^Kf7WPO0SPcUbCiPOyo&d^h>H; z#x#L`s^i_gc{%d!Y~ge>pMJBPVco7X{Ov3$RJxgXVyPU{5Vj8_n;MCoWS~>6`bXUj zvsx7TR>?Qk+-#sV;=}Di%|!8wL*^Dy$%cw0@tRweYauGOB5SmrfN(3EBx{e#%aaDJ z)a#R*9o>UPG@cHSrR0^#I5lg<@&K!SXM>f_g(9EAJNU8X#^I|`;41YNI-5-Rnie6p zjViVm<3T6Y^*pP^c^Qx4A5Lg$_zl;@Zwh0&)QK*ROPf8FU3%YO`D+AMEcxbtcuihm ztC(2D4ioX5?FP`Y4f5zpr>czRq1ZA*rfdn|6R(F)0vlvk6hd9^B@(WO&%jtdb*gEW znwQhE_L&T>#v(V(pxRa#{A)hyy5rT5Jgvl^>bo+=K{YMgE-MX-s#fL`pOBQMN=qi0 zOkE-S>O@w~T0ZumJZh%V*(`~$cGr~Bw93wKua(!VmE=(Mzn@&DjKvBTccE zj}p`!Wt6cnpD<4k%raV#^}UkI&lB=q?Ec}L&jpN}xUS#xwDR>dX}O`a@(CQib+z(I zq)vADNQ9an5!cqN%%auR49xDnwr^BcI=B>9RW@Z3!77ZZ`SHh0`gKNKjjvP#w<87^U>)Y z{%3Fk$4CTL6SiR?Ei;0wOPpjgGe{DaB<+f;lUFUI5t&t$$H& zLe$S^)VR7-=hT;86M7Hctz!h&*6j9LYP}p3rk0 z%IF=QT66_TbnvD*u#PE=7$*sDe?25mcJ{c%@Jk(tLQoR$FIj;d!dyc;{Ci*z{uS}N z!$?A-w*y&1gZw|DYRcpU{#6m=3lg*@b-12nuht#R=cbBa;97ravQG2@24!_%mblYb zhf5)-7 zKk*V zPo%?;-;3`58}t88Nw~UNVPjn#FcKPL9nzgx4ILs2n0wo@tic`(A{7=yRy%bZ0h39e z)inSl4MNdJd}K7m?&@4j9)dkSM5X)<=L=J}FlmQE+urCFLeXNU_jo!nbvuE+H>4EX znIEssxP8gkE}DLHp>^R9c>T+F(^XN7rXeUi-j@z5tGkTXb(;eSp;R0fn}+|=|4yuW z>ONH@^&Yh{xbezL_;n4nLZ9Y4wTn-6Kp}3gm4Wtj3q5UXDU<9WuVC=^JaqF!P{Pdi zEA=J@C8d(`@qcVK;omlU`51DjKiK5qUdC4CRwfm3161SIKq8y1r<+=6ZLZt8DO&CL zN$J1`lND_(u1R5yR|3P^ks71~9EhG@P;7`gnfD1b9w+9ANJtwBK-eyV{J|bvuINWr zsO_B=agZN=b4(+g9?zd!8>aFZ_Rp}i7^`@duHRSi7DJ!u`s&7Fq9$5K*yr9q7S?6m zJhn`!U+shDeNpm1l=OYK=i;CtZoqu{_QwT{sVXq+;z^9CC3%f>N1g~!xl>8a4Qjc6 z)jWAA0Y0Ic{~=^gRX^gU<4{#V_}^rt&Z}@J^yA7424&nD)=2C&kSqk%As7k;q5q-{ z&x3*BBUcav3udv%=LPe#u2;BlBQ2tm3<}4KJQ^35mZ8&ah~)%5n>TT_y1t$SoFWw)4=J zQH#Z?;#-xQ!_W`4SNB73i`mIe6;(^`bfuYDq&Lry&8e(f?wpfyD?fM_O@)$<0ORzU zITwYn^JH63x$bh}!Q3O&nHVcUCw@zq*&+fFSNi zC^{wBn7>t?(BB%Q6Of~U+YHQPj!P`1v8cOiUL#gMa=`JQ;;E?BWnO@YS5u=Zk9M>3 zN@(?O%)_Az`d4Y?JksDXjB+2Q_c}G~!UWB+T{9oMabwkzzA;R-vN~>YHR`HprD&LQ zW7X2WAxyTiIxcZJYMW@KNSJlwg_6E0OxLnH9&ugjEVROO>MWGPRq8B^!ggvMq{3Hf z9n``>rfGPG@XwyzS4)7Q>=rhDVydb0OWlA)yGCc; z$ACqlM(5~kjrpZnt($dJi)ZsX-X;3d)s2<=phc_3`o4vGgIladCxiHV*BWSy8-q1s z&g@EY&UExFL|X!;DO_JQzj(R>8dC#-^K9{LrD&NEN!3UwqL) z+ej6*l|}r~!P|HtOyW6TT|A3k%V^d8Zw}^g;xGh&o;P_&eXP8LmRIYyS8O%R=vJus?s)0rBGx2z~WqM{bI3Y zsC=^0*1d_Y>hi{_b8q2tamuAByDIx|aVmUXr`9c}Fne7NB!!1wCQ1&2F44AWAMKvZjXV1h7531YU-L&wK-Odq{AjJ#XE=x5r% zz<#2TOo7s^sp6LMeL*+_;G)&3;9!}mYMwBgzE>CP{_tC^A>#sGo&1IKKu3DBzBPKr z5UlD0Kxw)=Zkp}G9-&OH1K;g+z@wDZ@~2tMl0wHl$*q4fc8eOvAjw@9Go{k&Y86n^wR9WkD)-)X&40fW13N`=PYh9@6*V(O+MKwbC0*+L&18z4Ylj3jb-{_&Y_seO0` zm_CEdyt}^cX=f&)aQRA9iAD+R`nuW6M3Y50)af%==UUE-iv5xlm-qh?m0m$&sRCP7)=c+1hI_x{tAAQ>id}P=Y=_{Jc_DMHyc`h7kZeB zg;g*C2x<32iD_QJ3o1wCR0_nQOAG-iJWM21#{djQw{*<1bF5v7^K4o);#@ixe%iV8 zMk930?%TPHL?d+0{@~y~5+B|?3Btj1Eljj~Fj2hPNQdt->)x2*Z4bOZ3~_GijplbM zjNLp@;dq;f=65e-`1YG}yp2V7yA__!IVA0hr`xgiM>lMg%|u6RP!2~+Zlo9qR&8_Qs1)X$vveeIZK=M#Q)N*tuTIRr!e_)XLUdvHCw~o z?y>o%xsy6$Pn_eEGs2AqF83u#_bV0SJnBSVwmn6y{RSHSI6sIerm7>c*>^Q(O`TYb z^K}B5h{wq~If<5cnTU?*oQ1V}D8T7D5C@cQoS1TW4Myv^7A|j`xN>+6N6UB4cG@L* zCKBcRb<7>tzJZc$WW}BI155TRnpQvJgGs!nbUVFfFTC;~^;t@{N6o15W48>-eAR;P zPG8dp?T+mGvCA{v)h{08w$<@Ctib<1&9|H|P4UI8#B%r*5P}Z({(7G3`bN-K-UjeK zhvua$juj;^_qXTz17)6+%bD)L{iV`r;#-3?>o}Zm89Mz?j}GZUEaxW&H&gy4Dvx-H z>uqt&8|oJ0e6zgC^~|}Yr&4O^Sm#(uJ>A52 z$~VWkC&%RRbQ$s)hLNGy!9v2%lpvo3 zgQ#3Wky?VzlcGcw%);2{1*akR_#e3n$`Y~8g<1UoBpO_3%;wh&J#07#gX^>Iikq+Q z69j$i50@zs(B-5Vp`cQcYcOflKKB8JKEVOSw{9@E)Ph=u{&&TRE25AS;7c8@hmx|( zo8L(yh+=%P9`-VMvG+EWkuUr(4P7kZ+_=uOU+5i{+1}vW-E&<9!M4@jYM_-?&oF;g zuVG#R+YNhNzhW~e$hrXKKE)RtRlc?R>#9A=x3*{7=P&GNH)uZV*e|~zoYAuO353_e zWctJM(Si*_Akczk!3{OyU>aMOR^P|O410S>T)31tcG*COa=l+8$1kljc8=noCdee6g*rm>a=U|K>JcsP<~CfBj()4KCpJR(fcql@KQlm)j( z^LWOVkl;M-Hl_(UI6gwU%g|7-oP_kBpl-8C5Xx4xE=CLgep;iYlP7?2aPU#_g?_f- z?+&oT+$$%jKm&KZt{_7|di!Yc^Xc0D8So`sJ2Dk<2!*n63#*!6@SECW`$b>`aHBth zVCh;i#AF^p5*LATMqbN?j#nIc!^YoI;A@hVTTv6f5j9v9IX0kq-14I(u{x4{4hwmv z3+;G@mh-p`DstU8yvFg5lye6!o<2$&JXHh1pU<_yDw>e!57as+Ro&<+tc|Yhr!}(k zOJHxR6W!ZZF8$oiny^($eS78;fEPj%3Wqsi20D-P(tu6Ubh+Oi_f{WIs!hNw_x$Y0 zTAn2&Ong=}!cN=#9;mo&vMo|sO-*0La#C`h_2k;0R~E#bKyY+$U}H%dF(L4C{mY-h z?!aN(2401ll_jOT<7^1Zt~uPH++?m-I&)Aicm+xTo7?oMijk?{*3oiJfKFT>8n(dQ z4kbbSBeJG*lT!}3CT2#3WtTNKil`yK=@%OxY_~+E>@51zEI{Y9tqO{geH`2>%tvyQ z2k~PGYtXiu21%t_`J8+mg5j@;Nv=3x5_5Lt#YSykhGzq&T+^VR5aaaRz zXL48i9p;Q_7&yF$oMO}h&=f793RkN}c*b{N``8*dwTHlCg+1#KJ;?bPdTuhHpUv^H zRridONsV_OxhuZLYYg;b^P$ExgxTDHsWL^!Bzx4^uVX3Gn|Ghrb+jiUXe^pQox}8D zV$~+&SIf2$itX~21uS2g_vXrJJ!{#!QTBsoA(JB(5!xit4V9q$%t8c zoZR}?*tD_LYAE6&yh?eXOzLw1>Chus4>f*gg<^TGcD_pnHb$pSbpI@rTR@;G=k=S}S;4PqeX0 zr+Ku8%-=Z}W9T*b7<*7!AnvtaND|LU_7TG95%*d47_e%QoPYPZ#3+2Xj$LJ4>Eq;@ z-uW7;pY8w{^N!;!(CtAC-RIkz^5#OUV^05yExH}?7^>nYNiouyjbzTbU>JQ#Yb5$lT%)?y_aJ6B_8^V$>BUwIbJa70rv z%Dz0{C$0P560^XtoHq)uK1h^Nqh6O#>H-SCMg59%TQ0e|6R_j_RY>cMbS^$~$m4|6 z+>|Ll^kyd25u+Dmcl>TT!<4{q_btgHh)B=CZ%?@E=2%3#kzt7LD%#U z=h{xVd!F<6t2?K=gpEZrl5FV+{WuV%hCg$X-2=)miyN?u^XwVmj{7qFhx6~lTgbb5 z1mc45p%Z~DF2Vie$L;dh$W`1$Xi+R4=oHa=toMPyWx3_!hFfz(wc0tN5INq2SV6r5 zeMT7RpZyQ<`l3nCmN8d_KPbvF8RpH(UDx47=pgN?&382^wadJtw$)kVs%{mYla0z% zOYRGElw$xUY?Wm`ob}8K3Vo{OGqq;TkoNdEn(}il;-jX%k`$H7$GxqShZQ{{#0t)% zm9)~+uPGU7^rPPjz1bh~%@xV#?Z4D%>{71BjNq-k&z9VzDm|%rTot5f1Us^wbSu4{ z?&d214c$uH4|4L+UVN|0WB`5h;Shv2^JD)SA2@)9Z|?KxcH=nT>zwbPo7rXAw3>K5T+>Add4N*`gEQj#N!vpS>;m?CsijYPBBurK?zQ}b51IFwSaAWCFV3Y9>KSMiiABA3!w@swl? zOi4W7DU32vv>gU5oKi)!9VEG5G@X3Rv}{B)ooI}#43}t(R!RI|e@^KTiF_fSL3p%S zhWqhck-J2O`w5)#@jPM57hf8*qbRU5-vywP>T+kO83|K9Sa?$j@5g88)7)Q65nYS$ zTEtq0D?eyts85gd6N?*SF!y3Ca84!yHx_cA{56py?}J$z-)Ov+(uox{2HXy^@f0&f zpo=^=PM%7c8WaB5hl>II>y3^w$1J?IVSt79mR80mnhYexBZds2QItc5cN&?YZUC^X zwI`Os*@w(fKQK;v3nTM;3|T^J4^!r$Zs0c1sOLZ}D_)T?D){}xsdjjTt>$zoGAs@St>M=?L8nbsb&jE-S=C9PvL*_K(jed7QR?JX?rExpWlyvRiTfV*bF81k}~ zQ4SfpX2B3Lhvpu+%LCmpJLHDx(J#8d#7#=_Zm<|Og! zF7s~)al-JDqwC$iS`b%IJt>hw2dUTu24FVCeKz8J1V`V7pfNIC<+$8 zuQ=PZ{OJ>?zHxcNt zG3P8|X2N}2;|BbGO^TU1oH6J0sU9_~-P2^|DoXgY!1=WsH#XpKVt?*XzK-x2A~JJO zr{FjsTb(5RRA`G9B0`PQzbmR8T5s1n2i?EhP97SXCz87x_Yai#-%u0p&~AF3ELL0u z4z45v8n$c^>ebkmE=+`SS-4OYTReK#cU*Za0K%pm0-{K0iL)fx`z$+tKT&e{u0uyx zzg=#>W&iFgc__0BrzPhnWWDobt+p1=;Kf(L)l$(fO2{8Jrj1o4PZ@rK z&Ad?ICdrkUA3IV`9QO0Tm&5<=U7vdxKQ?f;;%Aw7)gdvzcEo&m8^)UVVA8c8B1Mgt zfoqUn#LNW>Dc7RAnU@FHbhG@qtz*fZIBaO0%_gfnI&`!ze-3uJ6_^WL4^hIB~sjp3FSDZ%)81G)iGQ$Omt=M!r=|g=)P{_DD3!InM8w zLkCHJyCg-BOL4FVBj zL`EzjH}l<+AvOP{E0~aQ%l2kOiBA@8ePL{;!n}2UbLm+sNQ+5~1)~~LgzMf<8uwIH zpiAF`m0FuL{U2t^NKiJI(4jZiYgg}wn6%KLUKt4avst|=Nys$t z0`%;$Wjta(fnOLtBOkCK{AC9FnTY=OtbHPo=el5bi#09Y(96RP8ga;LXHI{bs-1L0 z(-fny?PP{e3puBiu&<$w`)b`3gEMZ$q)b}gGrL!|UE~Vbkea0g{&kk3t|nEoZRvP( zJ@r?bCaki!$H4$RaOfSOZ#cc(M@ z;Oo~kC{?WT&$Rl>KYEWy7xO9clVL1#2J@^kvF>Ne5isVT?Fww%`1?3icdfb1N4azL zPYhK>^IEIxOVr96!KQtEDzqkQsiE~a`qSK#o7O{s8i%XIghhT@ZQyV(>t#TkJ1!;* zfrYHs4m6{JVRJ=CvI=Z4^%lx+@xD(MiMAa$mY?Ge7DSby?-)4_joHB>*3x_%Jx)Qe zE++_d*AEU~Lnt*O?iSg_q3`Z74)=H=A~N`V8vuvz1}n_72$A?#0$hEQ?wAO+?kBr{ zBWVCxt%VL2*FuQT+d8{Gc)=n#z+P=%`TbpVwathaCycn=l*{|RQ$H9M42SzMM!a}` zS6s8|h3W$9SDoE%m40z8DgOq_>d^$&9%6~6C2$zbJfY38w0Mmp(W{&HD5*cJ#JxS@ zi8ja9=^jF}Z)o%=nLQ+l@d%LLPY+3rJ@o**M`qT}cN7~^K-Ct}I-xFfSn28d1d?p{ zgzm}~_HuCbfmg@wa%Nc~y`XDwjt5dBm}7(b#gOQXyrl*9r-%3}aQ1=oz?owM^B+QC zT-7nlf%Z;22cJ)5mwy2|#Q+}&)wLt5PnbmK`&%_BG}kOXsU+$vUe{%5#=fyJ&E$aE zrdYHFe45%rRh6de*0N24rWrtf@ZU(f2LfhSm)O+)IE~E)l+8|3f{LpB;g|#dlV(k- zk-3^T!9Wdf?TE{&aWhb0pT-rc2d40xAb)}$KjWdl+HT(S?8Vu~ErgvaZjzWcRqd?% zwIZQ7BV?f<0*Ip7Glgps7yD1>N{|2t)sNmpsw&~LLBpEV53*OrtXwDX>LUIm?^_}# zhS#M=HQMXtR3jAw&UfaD1U8;12b7Y8g7_^SCNp&Ow>x)Nx$TMY&2j;sbL@?xd zDLRP8T_m7&6}VkG*A<6Q#K6wb#ublEExAzS`?2q-7{EzjPfeI{WXT&&O=!^&B~Vr! z_6ThTKrS3)R)!Lpz#fxKRa*hju1EzXdw*oPlXc+xf5MyepEz>l7nOF3bJM3isErrZ zrc1_NCl2+8IdhSu+(ns=&67)~hAX?Q^IE6hWQE^=kw%%L&+Qs;b|51Z@5QOo9$Tky zWp?rmVoj0nT4~Ty*G0W8PzRS8aZPI-{WjS1!EzcZ2q*IegD*J6>4O2-mGq}*1%#{p z!J|r$g>M_8&-@)v`3^N5ySLJ2T`62t-VLH}GJ_ejI zM}v7+U^>D=KH>+L4oxxQK$_-wfQ8vOek#h0EJY7(Ht-D4G{R+O8cTCzt0VPF`)z}D z9qe{NlftBLGTd#Ieg_V4yHFaBgXEdKKU;Y@qLm*yUaaR!!P1u;#&3lRAfpM+^_Spi<*9)aS3FuBWyxqs+h_*>peB?k=bZQomN@B< z_zIpl>69o3Mc!Wvu|u4RDjV`e78_|J&A94n*I@a_#NHus5{leAG1Eq!aaB8IR35p3 zY>`!v&rp{#a+xriLFy$_25 z^MD{zRtzC$@f2gGdReJ)*=RCx&YUr3yT=o9)iGw(!d*zCTN84c?hZRGa_c5~;U`>b zI$JEcmn}rESfYS2%@8ks4N?d!zoFzv*@-&)I67xQVt6_=JoCo^Ek=6UVX#okm>jv8 zlv!N0V`8}05VlEc`{)4eCw#*{PaS$J6L;D~ycJrc=qGSLRwh^i&`WxOjI_gofG4qW zi%B^-m*T^=QPJg9o|Mrbdl@Xn7jWd1yqxL51fiBGIdZS!_+xUEuDM=@#vS7C=SM+-rEkphD9Ox!c%G&{jLdDoePY#|&cCJ7qLyJ|;aNWZTRXy4q0% zXa6?Jywer-vllYp&vkwS*nAm);v4E@oXI0&&Pbpm-?CTRLQV?v7F zJTcydu)fzrwd_2ICj}aD{3&X$?T`=Z(Z(F*a|GJZa|T-GC+)Z$1#Ow0&z|NJx1rD@ zaU6Khaw7`*5ZCu1E#=Pu)KDP4Gq5r-&@1YheR=<%S=BWlzU3%+=yL6VN|X>rj-5>M%5ab%;Zc2m-+b?zC;G-WYbt@V-blzVm5ZH$XUYp6|@P_83`P_#A}cq zmHRXBNd;9{-Ku5%sORslOhh5dIfV~wB<+4V9C6O@`NMnY?^&38ik4M!UJfy$$smb{ z8cDM0iBgB&4&U|!>(1*YS{7MRj~;Udnupvq0zcu!v6>3CoM54>8nE9Z$8-XK*E4U$ z6l)>m(lo3zE5tHPN(3DQ#u%32@``)96&|p{^{Zu(rcf?g?HvX9 z3 z3_*);jJ4&cgHdmJSx)_=A#Zx3hH;FH;gh+K%1t zJG6Zi==B?YZKq=rbK|#}KV4J*V}@g!=_I;MK3&an)ZpYfNFm?%%P?KFyqrVsWnq>2ypI4CA75V3qmuNvbC{*7J`l!zx3qU%v(Z!kb#}w?D>cn7C)-x zn8+wemuBabXgomN;T`UWem!ygWx0RSwOxZwYM#*e^z>d+G-=7BY!TEf;zhT!D=aMF zO7G9CYX&c)P9WDGw)B&qjo`7?;6ftX3&L4ZY1XRM~GLkd3Ap+;tOYmaB8%^UC*MvEzAPWj|ZXnJMYPqW6-6Gpy+Tg zZ^-zg9hDL27>LCz!iRzQ;z43CwOcPHnKt4iK$BXi=Z;Au#`cX7wqcw=%$y8okCl|hxn_&g? zt22(U*1>2a8k{8lx|8zEiczn2?Fv0`tUhjmr(~ZK%+Kk;yP0e}Zb77Er^(T*N=UkOO$L}!{PZU4jGSQcGA9__DwSie_!nS2*0dB0 zV1)M+C}F*2vdr^W)2(27$w0uPYERCs>pj-geoRA`)Y}so2zEQE{%r(IKL@6_kK?e4 zpIIh_0<7*PTC39a=3svP2F#x9DrPC*dUprILp_WRA-HKTDk zP{MdCgyN-gKB=ce)8p5l?%}<$=3&1ycBm@M-c+`Q!&)Hlw*5V_@E^E3bl z8Vx8maUKvQ2;P^oDx>laLT9TP(r!K7!KoKuC@vW+LbyDCK(kAD9inVlz+g%7<0|@N zB6cS<5=vD%&!)`gN!R-m|<#7o)3jz~v_8VOY;-#LFOSowkPl2(QjThWv}!hX}x zxw!n*W7YWBBj0&ke8^gjbY3m!N@iFQdpRN#cejiO-Nfz)L&wIkBGB6ZHyGnPbcc_L zE`5>0OyP@zi7wLjPavYX5@;Z2Ypd4}4;aW$~Z z;)##MsWX+&-b`KX?r?H~fyHi7laHcirLkVt0xwg>Geg{CQjaka-5#r(9rgOu1>L{vy^DJCY(zP zjt&7TeE>#&JXKak-V4wQB`3>ldAPi+i%mgv_!7C57!T1Y@cK@=pY5_kG~0lF#c2Lx zj7}LF?!{DLlwr`qerlwMxBGz(P8>BHk_fc)5(=4EuMa?{+iba<6pAso_K9&)Z*@^f zR3r1Jcn}WO4?7dlw)TY7WyRDeFb*VWJBx=%YXBCs-N^FMB8>)$tgLrmm^LuzuDZWa z8}oQ)zt!wrD1}#&M*SFGyb$Qz!AOLWw}Ka1M{{Mg%0h$=6h=-A0lBD&XxK;?x?>fR zLUV`T57Md>7pR;^ykg@6U9N=G=HE#&m`)L|#eAR~R&Kz{KM2`6Z*ZgIlu|PSEYDcL z(*b!*GpYMgzZ%y2WJ{5F{4GSc9ffAyqd2`9d_+fhSqxzOST=iR0{xY`M)`x}{!IxtBp-zS%lyC4{&H{(zZd;Gc-SN^d6<3@t$ zMnYxQ>S)RWZ>wk}o18ggiLnNky9hdDexpdRo8)TQ+fGAJ{jom*8f^KA<;%tX<|K=Aj;%6ndy)rL2b~SG z*LC4OH-U54*hI-*GT4Z3*tW%V>258%Eo1%@d%nQ|B-APcs*saGj;}d?p53?9uVQy( z_~K?4+DO@0GzcLo?B>^xAL)z*>&5_CE@R^kY@GSEG{n3_ZO;|~yKa&fG~FdkFCq?Q zBO*(2!?7j`ae!QuU-k``L_`QP77wT^)H6aocoK>cEG5Z6jrKy|%8Hb!r^XODuBU+v zH3hDxn^7lgKV279B?7bz%)GC0)Ok2t4IPJ!`HE(YN*`)T4o?XSSG3lnr8c0bk%KO> zqBfwBse5^-$w%DRxUwtdnNi2yc+{q;^}8mMocZnLDSqc_>$AdDcbE36961TYSALwy z{W1S|Ws;J{#2t3}AMM?uuB4w2$0YCL)%R*F{SoPS#c)BwM|~1%-0D>3_tT_!&x0vlcJ#|mXD2U+hrf4j81$9FYs_0wVYFvhbJTd zk%mqW25UD;z@+$ zsOS5U_tPc=M@rqJUoz!}S!p0fCq$cPEy(*(^H?&-n;OH9y1yEfy)Rjs^O3R|$u87~ zi`Hy|YbkD}FN{lEF^nZMFHU-Wmz3(;2gE2QOB+N?jxPLzCF=g?6P*iNnluSRFq6}r zo|jQs7y5WhnAV{vEHOFYClb@F(hr>E1gF2E%x^Y4eaB0KtYk?A5PFh-%0*UH^w~D0 z7c5An!>woWDbQqO@1!u~u`65^TaGVOVOtQ!p0dKN{t(wl){*4Z6(?O3GgfBRwI?N* z@rehCLx(7lDbP{vYiNeJ@$MF0@D1E|XV7z^fD&D1M>XM(k%@!>di+WA;*5ftEw!p2 z2u#@ykJD1xHUDJdJYe!&&+iL^z=St$4qRk#%ftH|zZ z-mKPy!`KV6NV*N`$11vOvST@Q(YDnanp(PGe#DRR7>b@=D%@#mW8<0D2&R5mk{bGJ zQL?35qInJF;{sIzriJao!L{)dP!MHT?z)M}g-l_79@1(|hoig63|Nu((?NFR2O)R1 zq3!*!3l0j>CL-NcACYQ9D?qjjZVIaObQr0Z;nNwR%|~E|cv=yqAh+toblJY*jRDmVEZX3O*?h;B=8v} z>PVOBmV|F=%C`!Ci(M9CByGrX8=l;Zoj7VMob`Apy8mM@kBMR;RDvPJlKdm=-NCZv z??;u+Z?%_uM55FmKbYKk2_tX&<+LXliVqcY=x94RM>z~#Dvn42(WqtzAZereOH@_K zvStT3KAg~o0JDb7_;kbU2X2bKvv&2qh(yKG+;MXgEzUG{(BQ@~=VIBF(JEvmarJii z_4kCU%GMT2J@QPth*YamuY`Mcq(NS3FBJk4=a|K4lsF>cwv7UI$rN z$RcdRblMT;q0NoL!GDK1=Bn-19wYCJL#r=HD)=B4(w zE3gE%=l8@~%bMAHdbz)a34T_%uo!khj?0PXd))(X)Y&j~2`Ji|O!;Seo!NzXbyCNL zN`=#Iwr3uw03~eRt(bFZ^woO&FUH;iDz2W}A1;IYAjP3L4DQ9<-HJnTcPI{n7k77e zcX!t!#VKw@ic?yk|KWL__rCAFcinG&Ywhe`k~1fBa&~sIlM_)VJ)omei(pq#F{8dn z?rlg$`*CK9?nWZq(MY$;9(e{9w*ZGxRFs`!%3!vcYNs1Ns887MD(cGcW4#l|;s3U2 z*BUFOe}gtT&FjPJ%yF=R11D}g_VdLF!6OCY^WvZQ#Aqv&0vYqWNJC}oNClok;ebu3rdBoPHLXx23v6*kqs~Rc z>h%#=Tad{{+{lK0HmouQr-`baL-i-w70Itc2ZFqXSB5Zg3j$R3)c!Epmp`MhQ9$! z7q;_LaZ4ku!7fC{b{$Dch{BS#h`^dyGkb&iWMMX^72wn z%#o?QMj2z;JIh2W$`52=C9)774N*?e+6*+^#hrA+j zp0&K3daA0zXOE<6+7ep{Rx|pD@<6tNP#G$m_b)nKGqA;3a#XN*SO&-pu zv3hZ0|RhA${3L=wldH1#!h6AEo{&Nv~iN&^Zo{vt!s$U)M@&h=vqH zt+eYdDd3kv+eAo`h$XgWEM}Q7YB@3#d&!xf#l*$`2{aQVJd2;*da?E%I?s{9u5$OZgK?#R|KdY)tzm@@7*bp0F+CE& z`V;BV3V3p4<%Eh7I- zKpKOTVHO)hovbb8kavot_7A|a+Qtq-GPua_uN=wzM~*53e*Yz)p_P#Vh30<*1P8W= zoBb~d>Hj6678#a<^kCf7x>?rB%wZb*_ZVgm{H$)XSSH?x#`A^=u$PN(_Pzvm@mIg-_ zmAUeH^}a934qg5g&JiFQYD5S#ZchmFn)f}Mf&czWxWYUVj96S_?SLDq>!fK7sDYq$ zF&48}g%bWq$3ocu8>= zq!X+#1^)qaQn^eAn}=F}*428LLn8P7EOyEC*DA0k{ihS+fAUAnQTdhWH1$9Cf%QMb zf243nfxG>q9U$0Uh_OX~72KG)*!y8urRCt^N0LX9-J|?!%2uy3Bm(*DBaKs%k(`QJ zMq4$?UzG_xr2fa3e>+r{+@JJ!)WHMor5)gS}c>M7YapVG|D0m6Ko9F`ih$RD)$D z(j1uQtAR8G$t*{0n2@fVGXUu@%Ri8ZCeN@YFFAp><)#9}-}o6*?OqvdJV7EWzbY0E zT9)3ZOQePHJ9w3v_%;=q_p6)vBXhXd(N5pRQ zeh;h`qly%67jOd_y$o|kiKT@Aq;PIVx6NX%}KnHOek3;)(ZdCr2V5Rc0=zS7^v=uG3w+UTrUJ!_3<#J~V+PtK6JHoQJ{d1z%4vN?=iNgm0lSGcnzhRO^r z`2=hFKnoIh>+q*eL6(Ym5_?40>y`WOyfv{zBkYI{pNMg#(Du@UTg3=9C0{*+$wRm< zXMRWmKb&Ja4QI}Ov!LliRAZ0nulD{ms$NVrlAUD5$9DoBU7V*8NhLq=O?A3IAsWc_T44kCjA1U#VqZ&glIaOPPV zMGu$B<_IB63^B{m3KZC7rp;mo|6wZG)CnbkXB1fG8Ir;mDhw%B;ynL`Lf}PfU|0+l zq@san`6paI?Eb7%rYG7!o>BR~Dk&-YDIW8IEEDS2OkEUX_aFedbDibGXh(y?AW#;F z7sE_8aRo8;TuIQzI-`*FARVYE zI*e-O@J~1)KFcXF9km6&G}ENIfED^<1=3vpgLjs^b2PfBwlDQTRZ3&XWsJ#Ou%Upj&3|#K+7hLqUP~*}{}JYw z2(R*TLJw2R;AkJfj+~{lJp>rV8S5nuHna<{QUV1|KA#(bJGIYJY|c(a_PAm=IX!cE zQSPnTR}!&55o9uVm<~h_+{ZE$Up`ZZWf%iFhe=x;zFS*=x2zbgFA1+KAIdACmQf2; z{qh2xaeqgi(cDKDOF@yx%?5~|2vQ-!N7)@S1WLgLakJqK#rKoOpupAl;t#!`&Fhj{ z`|Pin+l|kHNhfCiC+TRPui2ch*uN_N>j#`%YJC_XP$oKuBF>~Guoj+E zhK>kijR>6}C7=shnL{y_Vh8tYo3k#%lI@5x&KxrGs9rYrW=l6#chWyim zm&ac}6a3^k2A49?^xnm$t53T%dY@mgcmPBtNAFI{xh`t=el^+WTf|NtjlRuS3vf#i z>t7$fItleN`zk!A2Z%Uq(5ZDmpY6LoT1`8iib?-^Rkt>qHv@ExnOmO*rcpSC^xfsP zBg7d&0|qXCV4C)xBWPs-#aM|wOh5qrnI@UV zJ*h7w850m8i$F_9mRA<+U+@|*#7^!br3(;I2LzR1e%Zhmcd;POpqkqVy2S}Q6m*~V zy%p3sOQMc$zvnWhttwg^utgRz5rRr|#acw~uw`GSn|dliue31h$4NQJn5u zEXTK8O7Eb9Rx33(yf(tOL=;lY&IlNnZ#36ow64P8)QF@u&(??}sFaBQS}>ok&dS^NAPcAKW&0|Z+ZTv=3Aau(&6}vOwk6* zzk&y`SH%sZ zcSfBas~4VTp_eM)!Bt+S{?B_oo6HZo{#Kq+mE)ZYL!qNw8!L5|CV`33?w8ZXU+vKu zq_R!`ZEKS1Y1*~CX5L%~k|U&e_$G#?Q33I+aFRHoRRMo_M)2^ofopwV=<5hF`mX`f zDW!7xNKsBBcoltk7E%Axt3?2|h$60UHiB$9ut?onB+N5>m*@CN!1607+Z{c^{(CM{ zmODDq(Pq$q=R=<3Hvw8mP=_&LrW1Y|C;bz|Ct~&*{{P@5&slHGac~2#>gXK;+QRVK zC*$KAiB6o_o4DthW?H}$YfVa+m+3)D#6G**Ch!hKU2d0i{)8FLQ^w}_aZxMXyZ;Dy*U z$6pv&ibBQ%CsOj<&tZtATgY~XD={oB;z0_%Lcv-D!E~QC!1%1n(J_1!a20b-7DK|E zycbb;Ws;-W7>!fPOo!yO@8P_@5HLB9uLqfDTSlN1fY>Z2tWa^=_s>Oa+7>k;_Y+#- z)gsw`*doT_U<+4DSc;(RZj+K3~YVV^yPRoVs<}E?15!sEZq1%oKHY;+*a^-WfLw+CL~M zow~grx(_fQX&L=GnN6XVaZP#AOhybP?epY8lx@w_XrJck!Yh>I0dYT{oD#8lwp&|I zH+RkDN|Rt)m>Qy|bGQO#ICC2v#Jz~1T_0U`U^?B1~aO zgD2w<{Xxpgo zCiutR`@4DYBAMy$`m+3skn-u1z^b%RrG2L2ltnh=Yj$>Uad&QTu|NR? zi=YY>EJDm0U;JNPv3!&NCR59%kCWU5sD*WV^gS)|&vCauC-7F*~&R6S(_!Oj(O#hEfI5!4-)c`B7)dtg-P~I zA)gV7KV82$(NK*GQ*J0!{M1@n+SHr6Ps31Ew?JY%kf2X3zl2+7nu;jrMaaUdpr(}_ zOFR%$i97l==TP87T1(aklLR9g27I>xRqjldO2P6le;EmwDb*@)F~Z}9zsw_mdL4sB z%&3X5hGnn43Acu&P|T)q&!#-pAOAeh&M^f4d`vV3s&i&CZi(9m zyt|Vr*KPY{CkOJ(7jH>Pm0NIcw+LSeTB0g<{7FtuGYulR6*<0@B`Sx%S~r6h@-=Dt zykt5vHJNY24R8c@N!?yL_ajSHl0I7Z57f z*HEp3Oa!0@?Q$9te6nIbZ>s=JgeOWHFjZ>}Z&GJyRPp@d3Wlk9*;RDE(PK5gl`$9q z2ii#_Jk%Sm>(Pdf_>V*D)Y&m%f6;>Q=1tj%ve#!Aw!*P}|G7Zz_1XHHVb`mRfa`&3 z^jj;oKioEv+@)%Ji=_@7sayTk=wY*5$+wF{uB4?BDE}2ENyny_<*VDAm@q*mo1hn~;9&w+KxrtLDO<)oc`Bjr7S(cmnauPpDq3_7O()H+<(D_7MdR7IZPW_P;Q=UVihbM!2i%22S zA!bJWp=QkS#s(>D_ztFK)N!+~nIreDMCY#OvZF8Tfr?-k+@s}fgiTzmPe2>o<5=VV z^1gpCf7!bvFz$rf7+uYdCw39!a_WGp6Y6=fu)1E554P9q?*kQ_P_NCrDP4(|daY)u zjBa)~-Fh4-nQc5*$0qB$vc@LMyRydRJT8*Ef|urrXlv@5zYt>UA(MCo6<|7{c8iDv zw1s{v6A_FMAL1O7a*A$)v&pX$g`~evJIH87$G4qDmRK4pkK?~*i9M1+J_{T%iEuwWW z{Pnb&&=7N~AuQ?kTLceSfI)!2Cd9i4{@a_^N3Xp7c8xv8Htq+(ey1Y!JZQUVgGB8Z zkE1{^PC^$NQ`!wTz%(60x`i$wqClO}G7j%U1w`(A>HOn0 zr$?rVB$E%0HI+h!R!7%eBnCI}I4`mJo*kTI%p`|a-wdXFE7)Y0A zK=4*HMw%R(04gD4nc`Q?f3?a~WbHh{l3{U;FqFRRcXgXgZl`;{9)Ef0*g8B^Hurp# zQDPzjQu$UF)h6F^WWOOF0g#O^NRdv%VhA%Q6W^d7?cAREfvXoy#`jfOQSsl$aB|Fj zQ5R!Jo#u2c&bKL)pnw*8%w+&sNxhxiU5CoVTUqR5IGB0uaYUJ$S`;EyqE@l86cTep z?aqxGWrA5|njgHh$Vpb-^6US|IM`(&Qir{*<8ImX70+L2j@TgXpyJ+4 z|GJ!`*bRdNrppn?iw=)ba5XL{-$Pp;Y)sA4$Y{{z9Ui)=viRMiTee3!Wso}Us%5cl z)qVaQWy^`s71(zipuk;Qpx|rbZ$56Wbwp&J>puB2U+woH|B1%W^&{PH-p6X3e3N-q zr)e_{{56`HDK{7QpjQ2q9ewQlc*6NGam#6R1l~qMu+D>+z;T3w-3p8j z52Rv0hlVOJE$OBdPMT`^1BWm%HTnfJ{{=>Tvsh;WzQo^9?hyeeCx-DrOkcRJ*yjZ; zEJ3?f{SK7d3|(NV(eoL78A^qXervGw1d?R(kDWaiNJ9JGLxvsw*7me3Z(!c}shxIS z?!2eF?ox?AijLrSV7czs;@c!95`2`cwo$00r5^26S*$HaJ%bf%GJB2)SAxu8D}{+6sx?l)ATsMNIJ8E-c<%Eo~0%S}e>Av8}2Z9yrTPi?3~h{Tt3FZjR1njh?jc!=z`|(5{RjJa>*C*o2#=Fq|N!2k1I!FsYci< z2`fX3Gh^bH!aM$%M< zt+B7@E6UUZ-7h;*gOjr*W-zr_g6YWIX-bzu(vQ1+hF&WhTc!g-X+f(cf`jqp^s(d% zIfqY{_IkD^C*f+RGh+f<83bYUW9M#Tac5)Ck(=cLHMp%igzKfxoAH8HNtisH`CeY0 zNZr2Y7@8!k4+yN8Bh?@i1`D}u6oqye3XIL@6slo8%dE;sbZ7oKu zE`t}M)EnGNGBcU-#(eU>4&InPt%<8P8NNFC$nR&---1G!v0;x~?EHV~H@s0~Wbq6{ zX?mjf6JqpVTDZ!zg=*Sh_d8)CPEw2+8y9 z#JN#&SboAicd-2Oy~fsp9l9DvrHdeYhNW#4|G1z@LzQ%R7-`7i81g5WeyPmXbwG6#f{9^U}pih5-l)R&kvB=M<5(NVAa;fOK!(h|A_!~1!9XGFX zE%>pBnuCERR&WYm>81D38B zaD{xI*x`FsLL}c$iweaN!Ry@1kt?gVdR4_WNqnVH3n{9uA;ECE+PPmfUY`d^yyQ5a z>9}7OeS8E~#0cRS`gv1hP{ zCoc^_ES|Txx%H-~U!%{t8RR5v#?kGqhG%Bp>5Hd_>5K*p1`qQ=HNu<~#|RpjEWJ*D z8!Bf3*V}8ZrtuE+?zN4K4~O?vm_}J2$g{w3Z~~Y2!+|{;ySIUkKNz~xsPQlsU?{9I zEKi#M*cTO_lt?8Qc!@7pJRGwKDj51pK2U&WZ)$8SVwx96)X?-O{hGM7zZw}U_(|w02IGT^o`>p za(gmC&0gQm;$U{_N`JH?^KA~f{faZM`F{jb7BnHo4h`12uA*)0BEWd!=^p2LU3bcX zCN&ieKmq=G1?pBu$s6 z^C<2_@wz`<3x<}ZXbV0iQKYT;4{qA57NSh7}96OOGGKT($aPIW`9D99pmPq1}1Z!iiI8g0i@esUjwwsUfw*~lBV4JkatVGfnqD>qnQFU93|K?kybioBv6r;Pk)cy9X?5wR zD)zwX8@m%o5L8~*!)8#~Ku*WVdUg>*95o}_d1PaX?&G_jInDR@>{@tm;s$91&E40j zdFTjKes3mDFLdq&_HwN13Swyci_GqyPEp)3SL+606(~I>=p!?~YrVX7`u_v9TP>Ff zM##+gEtl7y{(^r({~N%N-~1i>l#mbUAMEpmGIp7)3{3uD>@wIGI34~!;reuVmta4U zd8_r(*$&L62UgSNb0AKS!S2)}C#S??TBPoo7e2R2Rv(LMw?o16e^t z6sX~4lr4Rh>KTUcwgvg+p{m0C!l8jt->dCO0!T)SM#1C27YkDDssPC10}XGx08Je3 z*1mLD#p%()Pb}7fc;&&r8G#hZ^;qS%+>&&`NAa>zxa2%%*Cy!rADcJvAkOrJF<+Jh zgBEhwT*s)2<-n%?wG)5smsq>A!>?RXBLlyGpKyax?$BaJ6(_`xW!43$AK%RS$s1=` zpz^Q21E-!owdyw{4dnC)n9f@mevd-I-V%G4Lm;>h5=70Bq14k1MP5$cIZ2pd(wEnhMq zD{0IsJS%z3Gn|35I8w)lZSrn{L(NMAcM*Fm0c=i9H7zfjk)Fhn*sX*!)@j0fHuDtR zfI{-?B#Z~=F7#yx|5v^}(J!dscE&sttYLiSyyIc&7Tmo7%YBsHq)}2u&%dDN3O`GW zbjBn4QytVgpys(n`KoCj@o8wU%p~e zmYUIwN&#Z!OqKl*sgz(V?0FrMkNSl$!M}&Fq>SVh@k1vi~Q-u&HhvRn9N{Y`V& zyH)lv&I$ zUeu51>2SoYyyuqY$$gw}I6yLQPV>g40ee2RDU@1n3mweZg87+}&jZE_h}>6%7JcFG zo}m?=>c|{-9|!{I$HOCvkF@}0QaZ8HhEaVm0U!W7%ppRN`>*CNv=qZyn8Nv>mV`G* z3arU6%EuskaCeyCumYhGN?RnQ^hP}<<%L$bMTwnB(2yOU$nH!ssnd~c+%ZE)lLYG9 z@r2ODoE%a)f{Y}NA}%gi?RjN=%rYi{##a(-T%@-~!ZID_I907(v{uJi*Z3Y@;%cEb zFZ*9a(bT{@_ZbQVUkpB=T(|s~ZGQn)d|qeP?rPyx(U^7K?A6#+H4XulCmj`)K^*NI zOOn1NfsB)XJf|&JRw;WAVgD!8WmLV`9WTJLTHDAMmNO#7YkZ!rcf9k;)%lWQ#%}0w zDgtm8Y8IY&`-2)QM@%MCqr1wW!EV8;s4tZtv#8Cu6-}`hko@m&DE(VanZbGDZ}i3P zqn{AA85DFsV)kig+@G2v!sZn<8PP**B`#|!|AdrMAU2tIE)Adpf8`6o2bDsEiG_Ll z3YfZl(`kq)Wu-3thHAP&2HFvI*-AeJ%-#IQJZ?$j#aLC4awwEyGpN_7*PwW6L)o-l zC}Kf75N&-JwR_Y;uyE%6DOmKx&873(R{T);YC!vX^%i$kss#c2B>L0x?eFtZDvgoT zADhO6`)?JFLBBqvpHVW!`BpaSkAJ$Sn2OLs^GcZBIOnTB#?7!|?{`2RW`K25H$5d^ z$6j@cSI9kTSh@A2i_Ctz%7Zs+)XQ`3Ue!CGzf6@9m|wnq_ol~FtFhPdBC!=d~)siIGrWLh9a`&DlA<^ zD%80K#i~dbw#RRM#P_8ssNcFx)VF1o2F_8*i~|PGqqANeJ=)#erya!&sj+rd<}$M^ zQaQJZ6sJIjH4qwjsVkkGgI1)VDNx)BHhdllv-qv4iVo3-z#$bXX`&B2Ji|;_?kQuQ zGGaFgp@&qc(~#nq%E7^GWoa<~rO6briT)&U*jf&tAg7R?lElJT?x3Uk)U;@psA{or zk*}1(QFL7iqdgBiaDD5eUY@^9^{k)D0oG4A?pRDYO~3)y$o=YM8j&tkE!D>j%2P>a z43#cyxQ`6jFXQi=inNtc(=7g$p2}-zP~m34_FS3pQY)+B@qwUBlM1W2mY_%`<-JA( zdCJ*!MNvv+f=-R>Y!_2`NKXRrU~A)BH*1g3w~wHGFRk2r>6TLtVXIDSf7gR((L|=@sg5Z9BiUR@fhUMQ9vuxU}*{p z@kt8K8O%WR%x{e!F``k;NeLnWz=BC>D1?3{O#n-4S^JkX{Gd=c=AYl<7G!n`Dn)S%@EOA#{( z@wgolaGx+mwo?(M~HL)mTnOq`NpdY#g=G`CyhB08Wm4LJ{q{>9Ceb#Sj zV~Sy;CPgao)T#&YKa+i>GnMW%N$E=m?JLE-FeWSp&WtwykErMCoyYxSB-@ft%=+{#;jO9h3uI)Ho1&T41nHV4k)spH>4A6u(eqak$JPS)*B?b_Ihv#F- zR<01W>>%?sqET&)4b`AC@mDtbYEa|}045EkS}vh%#bhj$sQGg*0dn|X#h8JV-T|j< zISAc-1rr9A*43N-;|2(Lw>ZnCUdjT6hu-5?NW~NBR<3Ly3VTP*}9Q5_;*FHfuaP*?em4#fx z2Gq;mb--krTcRDx1@<9gz$k{1I*P*Jf2}m=Ta73Cifrv>s`7z37+=_^0(XEYkL4iP z)%O{wjAz;P4#tyx7fdURz(Fz!B|33VA5AFMpYQ7LY9Wn; z3VzxlGy{dsKXu#2>YD5iH{y3G#ehPiROgAJpBw5iI9*ur@SgKO59B4bbu7yGrZSQN z2#JOhLfYKEO7PnpOkX4-Vw*3Pc#R*+aqbcF5I(1xk^yMJdw9+!cX^0`hHGR9dTwCI zdtjj*i87u?($dK?Ys(4=_;B|bP$76(F%n>EmjxIq1bm`FS$;`Ul`%HT>k@2~N?G6K zZI9vM_^wge(T-Q(Gyo67TcCubiie^y(szNMcvru^xDfxn&cC=>mh-n>fmHA@mG zuE}wkAayF2Izv@Va%i`PRYL zr$MXcM=PwCrxW5sN1nc!BzFQ3To{{(~xPH*n#vszORySf*Tn%A?I?OhByr#;WP zvfcKea*ce_9pA24ykd0&j}P4t(-I(m4;J}*}=0+y6>O4Rl=ECGyR52g%@g#l8&#( z3f?)>&6!ot)mkPWH!O`ne6a?vzT?U>*o>ZIgMvvNn~7JVVD>{Lw0<(dXo}9iq!oB zzp+z!pf_q6`?U;xZO3df=2zRT8nAr!Dj7=ivr|pk<_A_wS*2JYa~vT>o#3M9^-T^= zi60RZKe)*^wdlHPWV;@ReihLEQfq~Oyvq8XSF=x<)OETYU}5LGo25iN__#RL%@Zub zq>HN^W5S=MChsf-Cv)sC_I z4k6x@VEPS;N0)n&@pq6JQZKe`Qr8xP0trHd7x6w5R$AOjHQu~-bT|B0Z+|*YY18&$ znFN#yGH5u~!1|!+Y(Ek;_1*AT1{}G30e}^u&W@1yhqHzO*apeSdbuEB@Q!}_o8N1y zQ1{KnfHNC8SPxO!x7)(C>i&gIssSz|vhkMnZy3=dU@bjWm>d-oo4P=_+@&%)klV8s zC>eiDz|Mdo4ttFQfEFo8SvIf=bO(SV9gzj2_P+LbiA1ORH`51@n6m)$He;xav`N?* zD>jCFS=fkDJ0sjnpLp=~qY>{U6q0K3Q^X1PQgZ}lgJ7d+bra>)xGJ9&&wj!xb1b2$Rqz+l z85%i)0*N9>uS*0+pu6~F#0L6wWbyl|DXx}cC9%!wa>C|-TfLC@-}OK8{}6w?O^@>B%Evv`1ZNzx5nt8HG8w1 zns>Hjh|*)5`ng&RpjLL)`8<+V6QzYY)_Djam&_d`6?Lf%o$5Rkx5a_SOL6W|BEtF| zZW!`Cab$a8)5|Q1fDR}XP0&d(E5d4&nnwq~1zW2-D;FN;C4C>u-LIG&$$SymX*#vP zSq;e1&&#A>9~JE__gPb@W%xqJ!>8F@W%cPMX=6C7wdDN^%L>8rha1E+`gi z!#>l^o0};&7sJtBT|&tA-OahlhdG7wduDMZ%!U5X>##hnyDkvz9P9?n?id6pAs@-t zfjNh$5a~djxxu zaD)fL{R*;8d_xSTZ&Sma1(Ig`WQ`ir*5+ z<6B{w#g}sf+T*5OW=Mv|3kI|DTbm=}2x1Va9W znGaDEy07IrW8Is9J+wxuw-WSH$UABwOb1~Yf^f&GY%Cp{8zfuY&Oa%j3!~x!R3yjx zXemq$lQ7g4zED%s(ZrDuXV)LtXYcXru_%5r&k4jP*pvFLPf)b*z1K^@eL5T#Gb?zAr@Div5y{^cjcs7TU!B>aM=md)y zkzF+U)M$QO%IKD~WVbZtsC3q_U2#B{k?nvNaal7&$$mAVvC=t>9L2H>Dv54W1+mpnSWr2V8#=eP|_W1o)2MLg`3` zdZ+W4KDNybfj7P$(SeIX(+Vr+F0Qr_v3+V5;nme1xCWYIflN}uwnwkW<`V;ONVs-D z{!7?@ao}GZ1;Pz?KRqv={wiO-ex>t{T}#%qHqJwqme%l0M>Lb)`E8p=EG;ljCUd6z ztpu$sVB(R)z6B9iBseUA@WB<#p>D~b@R%7Renfs^aiKGo9GDz5qy_Px75Q>JIVpnu zhw6BO3n!{EgGUY>=?x2?ZO=6%9*IV37=481OrDNbo^b`WE?9G54E}^DrFcg%iu?@i z9$mo%svX1i@+!gnAyGoyp&T-?r5X|=2@0nX1EZP90cS^uMp8&~#?qongFFC6EPiD~ zehfpUSt9s@DzVPF2RcE$AwclaK+zK;ObHR|E>2(}jc%*c>Nbmgp)7hs=lctF?kJkT zKSZ-bkrni`8|Ni~BuIxxwLjW2^;q=^iGO2;<{CPJq(lp{+^%Xvewu(K&+mB>_j4q-L_C`)XN6xw_5=GhYJF9|=1V=WsNLfMDT1O|`*OKxifJ1X zNNF2(NNIC1^$_}sRw-CWTt|ol`Jx4ORn4ng?1ygIoHyDEY$zm-XfY9(sI8N6D=9P0 zj*uNGDr?#)q4j*}SGSI>o4|`(P2wvu&N^3_iHlGvk>W8P@>nV)Vm01BQ;ET2m23s^ z+ZZ*kSupO6E@0b z^`TJne*9b?lyiKjFanOjbsN?mgA{?TWQisv*M> zBZv&^#*J(djr}3T3x*Y&1Ai9yby7N#N^dclzPhYyOpuI{U zGPL_ChgNbXf+@P<$Aw&5Wl4)d_4pfoqmDt$?Q#F)d|}(6OWZ%t#`k>EN*(zgJy}r8&>bzF_3BAB}`9K zYCb$bR$*1AR`gNR(5|_58dRQ@=A7K{M|Q-8zQw8@)n@s+DJuH++J%}eOOT4gC$Dzh zU8Q12!w?{zJ>D0jK-K==Z^YmK3RLlOe`@sOACEE3ThZ_A)jrc;=Bm8Mr;0l#i8X65 z)4)TXKpR710)fvfAr%MjXSUz#>(4QAyILjpzg79YMMN8kDyPdYVSY8zi||}oVrrM~ zU6&}2I&G5RW)lBD0GU8$zah2}*UliJpjEgFa&U;C+acG92)Z3~bYZQMBVnr-5m|Hm ztwlhvW|TRM21I1daX|qH*33&3K(J;@W__2eIjq4Dku}HPRRu)Ke;n3V62Yg3s09(M z8TA}zuM7#>SveAa)Hp-JSr;Pm>ER3w5&86RHYX8mS2*)S1Z&22#UI0kR_J!gnnToz zWn|6aOjRN%b2zI-M9Lh_fDw^3hqGlwa5SNwl;XYH z@1ArEt!?+`aedey+s*DNs4T1#5s?OeYxH| zU#}jIm%lOs9DnHopqsnX?c&r`6W+GN5Gc}&oH(5>7ALwQt-mj!!rAI=I}!J+A1bGld!D=#{u^XdSkz zo2Sp$OWE~@;q9@#jPg68e{04SPA)`qMlP#UL*)y10jE`~sV*zijtMJiFW7_EiB^(j zAiUnS5`Q@ZAt$VOww{mG_#@(g$nhMK2@sLfO-LS450i6fOcqd3qamz4KP!oaaE6XK z018sIv+M{#_3?L45rXQS{Ph>~-dgq}p|VrtwoJn13Nn18435w*LrMmYzTdhmWcsOG#)_G=H(!G+<)_?JZz6ro9KyBTknZVFa9Yiy&$G2 zb<&zBbr6%NLc7vduA=kYE4oxb79P~?@-pev(6SARx>u8am<84bEJ8gx~Q7&t`0w4TUAj`+5# zf@DM!-=q~#NIg{$+!*rB1Qd6`5tP>N9C*V zJ(@C=Skka3r65aqT2|$uL`ZfP@m}1zMF_%4y$KwnNkC*Q6Jkk3WE>oltS!;;d4Cwg z$KD47YtQ?B=syEW4IZGRmI119yQDoXcBjRXK5s!mU^+>T{PShIe7?M09HuVg-!pa@ zbp|;wwabifCn%G>eR)tjLD00>8QyQ;xjWScEAY)3{#>WXs73PlbrE*1ooihzUo%c~ zJFfp~%FcAl@w+KI{ICrfv^}`TCV$$PsOe3~Kk0|b@9{+NN_vfW0)mjSY#dv)%`9+g zffEZf>ufAguB;*`-B=S(-*Um@c5x2H!wH1I*~McH2`R^^PuXMox`I^orl_he1;rh4 zgj8Kh)VKkU5QwVHfgxk-=E$QCYK*BXITU@ngp_pAvm>PHQqt*(J*J&2D1TMY7DS$W zs!KujA&&80OQq?Qzb^b;FWjfq(;2w~EjlOC1WVzXG+UAsfFi%o7QQ`sm z)G2=dO+g@kJg<~`r93-PDxTzHlc276UYohwFx%=cM(impP}tbO8Ur)zz}TW<%;n(31Yz`n&}Cqp1F?)n6(9R*9WV*KW%nTsSI}8U;xor zM5hbWK)X59mwi>DcYlhVlJ$V0Eni7i!;Af*>~cz4+H^yY*89}x&HaA4eT`I|UB#gj zu5O9UFKdcB=`y9qb}rjSM+SQBps|4h?QKAU#zd1A1#`0kvkPlhmVsJjCuZ4+S=LtV)GRwQ%g(y8a~?Y6%;I00(ePJI(M9;Pc}gRw zn=HAWfJskfpgdbMiXPC$lQewh&VQl@papm+!wnhTw@+@mHZ2!hVCszNn~~258cWR; z^NYd;G21~^G=F;r^&Ux2tIhNK<=PxNbE_vBbL_C6(V$V1eUApYK_C=22r#3@%#5;v zEGBvJ9Nivyh-jFHL#Q~1dHIVV2e+Zamz_HM;6Y(Sjkzx~z|5EBU>)Yq&P=0?yx!2} z2qWx>>igx6GGp#2L#7EcO<#I+zb_Q#LlNS7^V`t8@_%$oU;ZxK#>Xt_wvo6^JihK8 zkJ4X}0}SKtwC5i$mDjqxb6JA+bH%m3gU`ww>3M|b(ziD+TOw2~V}(oh<$o+`c+)_(^L4m+lx~Ki``4A0_MMjS8N9U1N{#3lSSa~~%-5?M)e}eN6B~sL z4zBOvZrpX=D1@^KJ+7alDV&ZyG6**5y-i<+M)6JWYjcohwj5i%+;!+_y&X(R5S>KK zNudVrKxFj2J$bWfPutA_A07mf^^iOWt$BGXp?|@@OI`6Zf?f2AAl1jId(*91$ab?! zGTr<#x%j?ft^hFZ&ps&WHL%*g?5%P3QdKZxiQn{|K*Ie1%Ftl|W!T^y z`bh+|QLA><4QRbP1VTLf+(`tJ*U-JAC}2zaDmK@Af)u}82M{1apWP8;}rTItOCXCdYM{4f>gOLj} zsqeQdO2wtrzr26wp-*`kpO2?Bv*zPTPDmMsLrnEsj54X;@=0lwCO#0KrZ0q2Jbw^v zXv@A8nmu@i4C9u_2lum~n59QBON;3}Bbm!%f}Z24U5dm3gorc(1`~U9(|H4_%W$mQ zok%x_PRvJTot(!2tkEiL=aHgf!pN z#jBqF5o6v=5Z87qHn9~!RjL&{cvCeE?-PjYnld81dsDl67i6i6WDvDNV}E%6)_Y3* z#yzFO1v9l-YM*g8JyyubzYv%-PPda5b23*s@5&CH!&{u$RnF1Ip{3y{LH-D?G?@x- zO7+1mpHk&l@)@EX*#9h0syAy`xD*NxyQ1P+@@$FXTJmhg;#%^&vm~x%hl4z0R&G|F zJ3?HG)-j!5#siW~^kckzet&m3+-*-`gCToVc3P1Y=5NJBmypozUUI{#>ebGy8d^Cf zvyj)4hh7*%FN~oV#_U%FFUv!(jGQ}0*acz^CqD93CK4A`6tl63AaC3(|?o24okDKC+p>h z=a+)zN9UJ<&74BG6fF1zcrJI^AY?WZbywM(MZb?Kn>UtsqSZy07FihS6R2yFxm+%t zUuE+lt1*8ncX@UtRg4A`T{$_{{^*#}p2PidqK~SfD?SXxBLLPWg5DXKg=VLG8EPMK z*@sz$lU5YWe3)~5YJVPInx~&;NtPAQ7R+--^8|;*R|gyE)Qu$`ro`+>{QQ}jdouUz{UE zEJ;ZhSP~8r!oD^jjlG3^ey5vvOQEt_-AMcQg+nGhOTe>-{C^ON&cNaW`?}H&LSKY- zHlp9{%cKRZu}(GDv&OYaOcM{0DgpZv|U>#%DI}$q=A+wS$cNnj>pZSMB@Kxc2t@*u@B{-%;pI ztjE{J-lptC-hb?pP_`@5L&jN7I!^4{kZ;vsX|*3sXF<+(mEPFuyB@4$=!$-m5q2bT zH!B70D6&2v>K}4&_O{&4;VA=dP#aG?bWpqoB^Y+<%#A0ofwWB}ZGd*{@})}L2bNX# z2P|HrhVyq#751fv22pG!He@Wgwqd7|u?-JaCE;NrVt+W_W3ut#2mx^RnFc=2Luig? zg37sAzqf?Yunm@=(#8^&9p|wuZ_!wr4c2y!-0k3Xvhh^hp9z~u#v_LPj9~XH;V_to z$7EX^!;VY3#9bA8?>AehVGku;hwU71WtnxjTDQq{rd)%9 z#zDrg<&!zHhA2MeoXxk7v~4mN!{E+3ES&FC1nkP8^(P%t<2m%;}loybW*sRsN2^nk~bAL>Yd0iuZD*}a;OG}=t-rVYB;m* z1cz)^g|Z{CQe+io3?p_8Xlq_}?*P7PE~~%I0f{2{_5@~o=Bs;3F)i#A__>a-a;&Qd)D0HvvOH=mtDyPcAs%`K!4cGkfBVDT0_GkE~~Tmg4uS3+5zBA7Ay5M z_(drpwuH70kuG?*is?>Y9IN}`EbxY42Jn6oKnC7#wgku(T4*eUEvaza`(1CS1yJph?(BPWwB-vS)@RGJzx z(a8X@cTreQ$VFs2bn2f#dMa(==YO7WIBtPrgR>gc=ruvPFC2bD>tXoyI1IluGx*t} zz3enexxFy#X!gU`PIpW>t6(T{tYDLguJJkOn=dxN=_W7uW7|UIhuGP^^wWh%%qj2;Y8?9Hyt@-SZ2C_>pz|RPa%)&8-Ga5v@$@m(s0@q z(tvZfAub`yQyrQ@VOh0IT$N{aGB*=_vCR5JW5i5nJWymQv*&VM!|;;Ig}OrqX?Bf= zo0toVhoP7|%BCE@pcB@4v@id7OdmuJBFbrzuLiBs)x(YgM;iPnJ_^K2?NdKJm#L*ojrX_Cx0QEhyTR$ zLK|m|4E`jYMp~%&-Vb&#dDjpBgfGeH1`V6@&iRH)U-g`?U+P8^qQi_cM_jP~ z>7M@mIXLW#E9Uq({fQi{KK7QG8cJt+C9ZUC_$PN3sFAa0cz@=}ADj+`Gcn^-@72%n zu>OgCx23>>5~iU2(5nL zF`sopXq~8q2Y(Odtai!&B#r~+gTq*bO4Cu`!;biHcsl2BAO6vwbHo?-yk%eGvDlx& z5g#0~ojdh5PxI0#V2`M?HuFAgt~v!IpY|8$dw&^q;9s2T>DI%D z>v0%yX^wcCg5MPb%)* zyE6qX6wYNoN{|1*ywDBQAAJXcK`G)?FSMa_^!FF%d>{TfU$)s6KILLO+dSN}4#r3p z4n>@^t`#2Mna6^0G{@u$`RwMe;y|agKsnd*e!|ale zQw@!L=znK}*>`M+g{$GA-dSI|w9W~Kr(_%Y{Rv|xf$T3hJNgKp{o%P8zPW`@$Fsj| zFnqYDd)Zaafdt{?PacP4SF-GxQ$9Q;{4h`b@Qm@J>eNrY1&8JTOium8wNMYfA_yN9 zgV*8U<`4b5Fxiu&5u-aTpX{O3XmI*4Q(jAh!hb_TmSKhX6$r* z|FnIh!>%4Pu)O60qsL)qKi)8T{QTzl8keF!?$>V+I(z*5$#HSJ4mYfKtNUl`#cF-* zu16pLw%_|7|IHu2{hJ@vZ@lNd?|I*kKVE+4cYp6&U-NkRgFo~W?|;v`zxRV(?A1kI zi+}gN_c337;PLz4`oLT7|IxSJ^>v@|u^;m>AM^R$zmNI&xBV}_{@?uZ+yBzre*dC* z^wsZv>wWj%{oe0?(nT68{+amu_rLW$-Cf^%|DE;MzxO*o*wz0oDscbS`z~kIZ+z_h z(WrQf#zvRlUH#_Ae#@hI@jm&ej(PX}dw=f7_wTzOU4CQrTd#KejBo!d)o*|7w?4Z1 z&~LB9<3FML{a3ud=KEh(zw?UsH+=thRKNR*_mBAg_g266iuX_W{^1ct&-A(Tty?Oar)xWv;ihoC6 zq)Dpcos&072VE`m%a5=AtA`YvU4C5kUp%DX^ztuP|Jj8tSN-PY7gqnJLpE<-enIu0 zUwq~HM~p82=|%N#FTU#0JDifuC>8z37uEk%C|WnE=&xK<|9z?GEEWAH7uEkzDB5JQ z{-cZPe=ZfhN=1M9qWWJizVy)-=zpT`9M@EA%EjJM{cpum!L}FG|9LfQs2hQ>+1h`<=ZE3UjFs! z|9;im>(1=HtNOn#9zFU?-L02@bWuJ2q(>ju-SpN6FaPkO`l7%3=o8-i)_=R+Sx?`* z{AU-{JNnD+p}%%feQ|#|e)IC5UsPYxUv}2|FD|Mtefy)2r_1X%FMs2r`ih?H9{B4Q z)t5!GYy59sRA1SX-QfI}7uAZ{^aSIzHVRHH9=^jSm8 zwr{=b1NZOiyx)7?_s&kDUw^u&zBv}|r2p-U>TA5hoxK0xqWTt(?jH4v7uC0Z_M=ae z^mmR(rZ1@$U-sw=kzwU#*84Xus+Cni*TivkV=_f=c(&Q7YocTv4&-r4Et2dZ1&M`h~x)qjiXzr8Rk=`8Ep ztCwH)=rK~tBmH!BFl*>+==-XjrP9t4-&yUy@KI$pjpYB^7uB81cbfS-7gg)>-Gl$u zMfKcMUHA0Ac~QMEW$x7aTJ?rWb(XxTz9SlPr=8!qsJ=E{b=2=(R9_dbI`Mw*qWb!H z)d}+l7gcSkp`)5=Y=1Fb^D2yJ)!bq_ zBmc7M+dO@C!v4dH>YKj6>yA#RKUcl!(%tPpTm3bc?ppFQ)&1u?&+b(CP1Rrj)JLDx zsrr83%@kbUZS_5uj~=n}4AaLqSKlSdbWQ!C>OG(K=W6Zqb0}X?{XmX%LXN5*&XMlvUtaxSj&$w)y6OWt(zWC3tM}(f*NjQ^!LM**fG}-S zNIbp#-0DZaq<=8C2iv@j+2!X{Kk<-)^UKexe#(9vP3+6+ zr~O(|bThg9?CNKnTDl%wRX^#FUAH``e%2;CAupd+Kk}6ic>e6Nt^Vf4=bP0uUAMkb z{jH0K)HA<4R)72AAuXO>o~oZKR5|#X$>qNKyPjyB<$tcLzu~c+t^Kv??|W=#<9F5H z@z_px_th_!8l468uU9|s)zgiV=hfePP(8EDL-h*}DmlOWjOrhhN)93ZCtUt})h|6rhS}w(R{zAQr|wMsJ=L!i>PbtG z`Q?vPzklJ?(^9D<<-*-ns-;fR;p)^c6#}v)jxkw z$;stq_0Jwua(4M+)vtT9b))88)j##v&SKxH{$=4QslVozpH}_q#g{z#d|mRL*(&qf zs{guBT~g@u@`KfHdt!A1^82gba+b%Peg0JSdw&ml$n5e1)$e)_>1_2UtKV@R(wX^> zSAXD;opt_1^+ykqV1D_@)$cpibY1hU)xTfZO2{1OCsqIYAq8ibpIH3|4=Fgg{HxU; zI&yWx;&JsKKctrV<=d0>tuLzn(?Tto*MC&~Cr&Nh(E66@KfL((xBYkj-@pCi zw|{@^+kWI59*v7jUvrzNzI;bFuYBX9$t&DiUw(c!ue{P@r`*rtnyfBw}T zJLzJ~UwFmGjxRs1n?qjh-cc9Y|Kh8OJno#!FTdKYv&+BS&5y4p@uXX({L-sE_UiKE zyD9XQZoR(z!fpnArCaBhpU_R3ANuxB41Z$BUFi8M7vJ<~`mo!#X~o*z+;kK6uU>p^ zwrq_XX(U@W)_?8d3m<*9el)SP5_nhjT|QsaJoMc@-}&mQde;|QlMnO3mvpP2xBN1} zYjyF-_n6CyAV-)~yU6x?3x$Gr@8Y0$`-S4f*)0>_Qz#VWepY>7p->cjS$)4>D1RelUAN$Nlm)RmWz&}*|v?xwW zApE`6kLSg&Bm4u^PvkJ^o#6LXKYy9S^9cW7^;0=aV}#%zu6`zm`_{j|`q>=r8~TCj z=RPZg`*wb?Tj&VM``X`KeIPI2xBo}F6E%(`vK6Oxf_wQ+7zNcHe%^rPy z(Gh4DbonJ+r1?dUK6fa+&9;o9oldJSddH*BGfVU%XH>nzEyBL0zWkc%i+{c6#6j~7 z)nm1x(^oQ~y@)S!=`n3ge7Q?Ev?KBrE?v`>+E=>th<16uN^K_bUK|Vl>D8AQEZ=-h z`y*fNv0YF)tG>o#yQp+teXYk%>EPk(J+{-=H&!E$9n%I_{TYuw`TfIgS1_URpFGjCV#)wySeM0Z?2lSt<-nemseL_`L07gqnhO9y9Rw;HP4Yw z0iRpVa-@^tbE;{M)U+*jog*XKUi*g2M~`~snnLGq%z&;_9#!9*0bL*dmFk-^pnJ|I zR^Mv6)S&$CA&crsc1NeY%j#P)pcDR+s&C7HPSQ`VmKo4B`0drge}4#VU#+TV*&W?e zKc!k_K&RusTHO??=-Pf$J@pIF7S*>^Yrg<(aILFNp}>rGz`nguU`o4SuN4Y(s@hcB zLV=pLWA}vu4ej3V3I)b=sBv2;FrtmygI|DlVD{DPg#w+TUawAt0$q0=s$-!*L;HMh z6bf{zK2>d@K&R@qx_>Ja=$iQ*)pryM@TT1JLIK`Sdr>IRb=J%3r5W&W0X_qIr_ax6 z)9_87pVD#b|6j*-E;exl;mAeC=fY=t@4ZSoNfsUHPJ+X-WXUqN6M`sF5+{ZbLP$t& zuaj5Gv*i2c?tF)VKg`VTw4I%u8QVhr5ARp>tFi|l-Y@GHi+>M4ykFGcV;_BZp8%yyqaWnOcx%iVu2Oi9<7BI$>=kXdmwLi0l3rS@ z`#4GIn#_!GTYreQPjkCwW~POinJF>KOiqlEnVU3IqwsE;sfDt$+K$YWC8Iy5+?E=% zcY;fv>}AO(M11GC?U}tvVPUDO&sc6WZ7Qkrb`{1M*o%zbv1lqu-cv#=W}EB!BAs26f-_FwC%cJmW5%Av|J9 zXmc)T-N!{~i6T^-bhZe$*j&)z4LdG%t3fO1-D&yAY*11@LT`LUaFlw4NY7b{`W8V) zm_=}0jB@)D-SH`~lRo$%zYAwl{~12$2Q9N0NLl1mK`LzZ7$q9B7W5s4T70*2zflpk zZM(BogMUW!|M2_rwy|k#vED?J#|&DUNa`(AcRDjcOP{_=i`{u=Rf6+06__h`iM0)z zqbKx~+EC-k7OFn{X~!Xq1KDE07P|E?W;n_?EG#vfsB?L-D-IbP+MsljQ!~z#eIT%X zzuxTzejOeShU)GyHp|sn!><`$G5jhymg|zfPJjE+!(CW=j?So)$zh!T<}sOdhN^jF z1PT}ua4{@U#E3u%qk62R4vvT{BP$T#g1`!<^u)M%NNlY^PZc>B`vIA zmy(W*2b5A__U14{$09<0?ny{{IF$72I4Tg}v=js_Oo*JrF_FzVY*SV?=lV6fch5|o z*MD9+hpNH6-GkRIz%%H=mx>ka6j-(D5Z%R9#)RpugruwcxbFuzBQnV0nnC{HVX%O_ zK^NC~qBvR6QgWITXC=+VBxuaypg;wODWltM(oleTE>dy82c1euw6)yAH1GVal*0mp z9JKmXE2>0l01>lxnF`yuM@gQ$E|5n_%a}Ddi;BStu29`_ z9j8cIkz)`S*Io^JZ(a9`x+`FYT?VQ6)+{>Vp^bx ztpX*0R-$fbYVWGSh2D4RjWtJkZ+~yEY|qTvWa#}sEV1`F5wZ98q&MaLngkOwdN+LA zPjo%UrW5_YSBrM$nb2N-(aQ+0^FS1Iwz zVO2=H|6DAw_b#h>s`r|@2YffF{@ZWk(}YWY8`mcZm%1>y9wuDs!^ZV-Qh$+DP!6QY zJPxMG0uH4~7l#uI>f^M~Pb{dan}yYcOWmAYkJL}Y6`DMgqF7W?Q~~Ewl#BBUOnj4b zigD^Dzg8^k*eh%CSYR91tGx~3QtwKa(c_$*!JU+r*^o==SN z=V&qg4Ll6E$bD(WPhz!yx7z$Uhj2w zZW?i-*nYR$*}iGeF?GLkwu*-xTX^$MpZQ_Gv-_P9C{p`U1~(5vrssF+dFxA(@S`=Q zZ+RLUjg0Fz9<^=bk&!=5IN5MC!XKGw&PDMzJK?Vk^=*tv#(YZ*@mECQZw)Q%NGnXD zjkziEa)`1U)04@vsefjAY!FmoW0NiZnPE7i*~u2xjkfv8=x15$Qs3|hHcHvzb22s8 zo_K@xb!%6Xal3Mo9||@6aWAK2nhhi171@KE@i%#5m^Ey ze=<2BARr(zV`DJ0b83BJb+1-z8nnIi9Xlt)j}U%4WZz~VEYz<4>qfUQV^+h>dxHIJ ztOSVz2xTo;ve0n)lK<79RiZ)8S(u^Z31y!=9iZZ2$v&$MxF&AA=Jh9TSA``%T~QxN zSZNc-7aIsYE7z<$0h?WC%$;nxO~HC5e*kMLf*;yW&uV=lnX*0ONM#*VrQSwY@K1tY zIRmrf#5}YfDw zzopvVyti7Qnt$y^2e9B8Q0gKraQ7X-3fpZB~`gbcyz zu4_O+joIRktZ76F%AdgWce|CtqyF~paY6flX#JKkuMI`xO(WZre`9d#VhlyLxpnp6_60O(CJaI8?FC}Yr99b8V8tR* z6*BA{juDt;+jc0&&hCT2vxz2xq$dczuHZW4u)L@4Jlb+MyD&wL^|z@?x?|qHjQt_k zra0>Jcy_+@`qN?r)E99Js6aiOBR2xj0hl{T^foa(z!q(&Z_^jz?*Qrye|Ub%|KR)4 za5ZhB>8coxR$RiMGmq_Roi!_^`sr`%4qGVdlVDwR_ZI|p1c zW!~D6L2^NE66TkDo`|ri9#-4v8vhx@QLPqUP2?-RX1x`O*wjM;oG1^cP61^etSM+4jZYfnTpcf{!2x+pVul1W;n@oWNdgji3euOXu4Q%4~Qmcz~Hi zW)4{E)ASltXX_tV1z^72at6YT7g^@Yo*LfZnYvvSLxO`1WGh8%1wkp@6%aORaZb-m z>}l;_YR`GEq0pa*nBlku;s42>+gAMBlv5orpUBmGf3udDjwH@2O!Ecd5Z6xaLd<`j zL6+|WAewfWBX%;lC?Ih0XZ8{=A{t>H?OPr^R+(O#pLXl{cT^i~D)#Z95IG=V7jF=9 z16tUur66JANEs^pN=C)1NA_)_7LrOW2th2Q6! zaei>0BOW)|KSQZb@0H9ZxA@{c{xX| z4nK$avP8nU&u+bVdLJAY1&g~SQIR$}z3+JE{r%E>(_%%Bg@)~Qnq^$;8U6i$ek3(2TbU3mVW6Ne??Ptn(tCyoR6?r(-tjCulejA1DYcPq!gYVF z8(@u7Bt;Tz?d?w!_;ed19)J?f9mS&0bV$9svA1r(fT z>gx>o9u*gUW?4>#)Uu^On)l^LgzkIU&uPD=Ra-U!ftzcM00f&bmVrx^?ds|| ze`yKfR*e|r6%r;@sG48cxLr?*5D(#Le}ZCBhmRwIpS#t$S-Y5UZ!-^|&}BANn)L8s zdCd&p*hfM=t`;}E2d^*4!`3k@^FzSmhe*e{<4#zYlj$!fN)-{va4xIn_}m@eVeZD0 zv|P9DcPzKNu$w=8`~ARn3^}Wfjasf&juQVc$ernu>IbfRR>CrrZzJe&*?ViWfA9{T zHUs!vJv4<-5dxSC+scdHL{@JL;}86#-FztU$A@8Ys*@_pRn>eg8GxfyZvK7S7o^}g zKh;$X&+Y@?2=TMrb33LzTv)pAPA)?ss;y4GS zS^CiEfDIIQQ*K=HE~m4HN~>u-f3#Kq()bg4aR z|4$j&hR-QCpNqArN?Of1r)-%ETQ^3kbaX_gF$n!%CaI>ARh)_EVF*L@$&7^wXk@pZ zXbleLa2YTCQquJ{TEbvW4hS$%5LIJrTxdWJ@MB*8TMWxJU>+T5GZb~ae+b}y)a{l= zN2~#+Y*;ZnVqwF2_M8O3?*Ed-m6YpFc07^(1Vz1*i9N~d-Gg#M-vN#{Ab6Gu7@&}2 zt=Wb@8cy9Ga7vjFJ-grOKqjE9so8Eo{yCX!F^=QgzDKPU%H?=5P6%IgpCe?^YJD+h zpJqwJ^^A}K;*8aG$K(v;e=mu1k_;Agb5_!98YT_>p2-kN=<&pqI~kobe2GN4{?^d1nR;V@`ZR;_X(_ztgP1NhNhIfXFRro_zV#IHd#X_U;}Ig+5TKD8gv;;@j>p z!ts*&>+LagAB}g}Xjpvr4-+Yj{f#!TdXGz;qQ-5%3XQYuXt*qXe`X9-+qG=nTj3h^ znhoIF4>Y8m2(W6PQT-=(evU~OTHNYdc!u3|`VhafWhrfwsKbDbH(rwe^6g0>8l46N zx@}84>Wnbka0B*l!dd|Q(3EjU6Y1@5JCq`5zDvQf4#GcvZ-T5hOxTt`JBXyVXN#9U zW^gX)9#>DLhY%9DeaCV`LCI5sH{6)%$pGJs>x|#BBY{9ErjFe__Y<4Oe#LEyaG`YRh1Q z7m86!bkr_f>{pt6`DnaF=)yJ*!JOnlM#OnfRl+l3=Gc>7?%4xGKw{f8mV=hpA~|KI^K|1YSbjmphF7F- zAxA;ddjKGv%X=u@Cnfh<%<*JMRv=q~F+9yeGgWChf3&YzIa3H}xQ>Z5e`l+@BVSN| zY-9EWvEMx790~>GrT>Qn_^b*;$$&d~k z8l#5a|FaMsYp5M=?)Os-pH`erNxs32_vIgjzSjjK3atXT98VAHFiz|NtSn~tLzw=q zBCbwKza_McP-__E}R@X~EP)aiVd}1h+Vv;b8g}AUwtkQi`MBXqHC4 z^*UYkoUm8nmJ{_!{~54-S3hz?BPyW=QE?n990UM$YU)C?&&c;>vdn^YY~*HZo= ze-of(T03!ZP=)|8A{W$*;`|dOVMt&^B5O&FhSAOjCJ%E^)l=2Mz6(QiMkks$_P z;^0G=&TFmiQ={U!saHB-+$_w4E-=986r!yifVaZSG!4CM>nJxA*JiepcpK2X0V%_} z`)!V5HD^1^r+-`=N|4H1TCy~|0=TLVC~XTJFguoNg{AZ`dk+Hi)oB%I2m*MLh9@}Ai5 z(M~3`7Eq{zpW2q(mKd(U@&9w#?)Bz+BC+Wvxj;}89RR!ojY5|ZQ_VF zql?lbNYL+7?=n5Fa*y4&Ft$m8z0!YS)S8a-JR@L4JylVEB{o0!Ev>hKe*qP*L2=u3 z-A&d6+;+&fU}5OBb_?E0w$4QV^~essGn-^@AQVvTA1txGOHGMZT$2IG;>8V3F0d5? z^`(4?PxfgzNh2J>I+Z`Jo9=8W_Oa=2n_z9Q0)fUwSd{`=BgD5;2g}p>DRDxL4t(Me zjPy3mCI{k#0R02Ybm%{3e}iZaS%wFFUO4pJ@O2u9$qOUpMjXCk>#T3O7_~K!j}Av` zJDr3xv#xcYrK&BEhe;Ym@QVTL|c=}?ew_riD}>E(nQU@m7>G|^qS|I(s;^eWXzP{27ckEI20f8NGe_;K(CDKvWoCtW^W*biP^6d_3SGMTq*!k{z^P3o?j?Y##khj+j>*zg(#AL>9 zz?S{ds_Rwn{X5=YX#Y*Pkt)FXD2O+D45Wb>c+C2;nkJfHf7`*BfWHd9Feir7)`xL+ z4mvmpc$XudB&sOdm0P0_ky-UQ9sVO%AbR2n?s&R9df?vKdu@1#A?M$9at5_scgOZ)QmnGu3y@>Kfa_k?d5qp^KX zGrdND^a}_Lf9-ODqzP-(zikV*2^5-EYj19KLT>0BH1LhlIu_3xRbrMW&Pzy~`eF5Y z1$ISgNP-RZ|D(!@7&8c!Q|6kET*P-rh7fUqcJ*{x6U*U8h^R6m8SnS-$m8F1%)sA0 zU4?eB0OHuzOZO6ufYze6WN|nZw;aXNG4I4M6|k}Ke}t_LVAQw&pTptd4jljIJ;|+D zz)~mh^uGU0m4&idj1f4^Q?^}xuw_ZT3{eQaqPSyxepKtH)e+qiUC0-B$-WG-p!RhH z$HZZeNQGSGKtxEG*z+mcowqee?gbE2Muo2a=u}t(7!;34;y|n-q~6l2=X-)fvpzpf z2};q5e~;49q#TTfW2(Z5D2s+qTnbS?AlldbX>M{cEa?JdRpm7vUXMY{J8z!e6xhk3 zoy#_nKUq}mfX&CukmZLvq#MW%J}JI3Uvgk7D^qbUVo|WWZtunaYWxMs`v@R)a2zxF6h2EulpW`CWd6#n6i!RXnBxwU$0MgC_P@%pTy_E zPIc5oC!q5tTE}iC%R{=xwd3PZ{e4U#D%mNat%&5L0@XU&xt20k2SEcTeiFq9} zm#&nXtHJ1AoM;8XWway!_)vz3y?;k}f1OwR5wHHHhH-`2(gkU{vzQ8#LrsQGDBtVh zdWN-1;_e)K3jw9y2v4REIp<2E)oTj%)neECF&CA3fKsN0L1VKf`> z2=gi_G2iZJ`T;^y1GuOsL810Y#M11J37>3&!cUUcUDYhGjQ<%+s~6~iKqrABe}qb4 zX1=G&^VHsjjU|>?_Z@~#ws}vxC!?!9mDVfk=;V~{$>6?DE6vNDMtwDw5IwlM>rKwt z_ROz>Y-Cb{>^4R)p8EWbI{@LG|HO81`<4H|1m$s;;AV3b#sq%jk2(m zT{mJanU`!E5&R-;U&G+R|JIb}fAe@f*)8YY)Qu#B1(S;_r)-40!*yT{x84(*(uerH zOTtPTT8J2wi5EZPiwza&>k%fgg9}Nn*!TuNKm1Cn$a(iq*vfOgJ&$@e>iFwg^TCJU z0neC2M3v@~Xe(;Im#F;%O%(D5Ig^BQ9niSui-)}JAG^N0s{XhX0$ib@f33URM_^Ua z4VA@soH(%5A3r0hV(SaT&zT}!xMWBSDwPFZrlEq)#n!%jetBJ3JFj(C6#u~JeP3yC zZxug)pP9!zWS1AJqN^hSK0;)FfvaC>_{kv?2D38gag zZ9V%yzAeDGT?8;&A?-X=e=`pUdHETkp;v=8+h;J5A{tLS^^&+Bl1Fan(G(Y*0fk`} zQQoC*>fvpZbI=2{_eE?NMIs(+WD*ceu(%_v#`<6@4sb1Ii}r0O%?PU$Cs;Eb8cP=6 zVcXFD)n9*2o^ae4SLrL2f~LSo@yT6kQTq8;%K)X#SNMPost1yHf0NmoQC2YJsUnMw zrvJ6~BP`oqR&cg6>SkCopy>yV*PL2s$04|dA;zBLb9aGdrAT7LB53MuPOY&G-d1<~ z5gb0A0z#)(VH%_VOPG0Z-)7{UXK49-PEkgp{y3cb3l6}o}mp9Tufcwt-7e|ya+j5e>`y$<2_>@ zK1n5~Z)<0*-m0A5fvtq1`we~phK`#tkHo|Ydd#&KaWq!91zY(y# zlKsi+GfJ=oMo5q_Z}W=r+QLY)^wWLF_DSE^akj#CMK?)KpI0@m^C7sFbILjisT^W% zPe1N*)L?q9e|Q~#kKtymx4Z9IMaaNF-7VV@ecblo#8~|hGrQlfRS{|b_BcAB!Lql8 zGL}m~4kwqr)jkB6M)8KZZ5B7_6-iQT;Rl}AlIvI1jbMbD^^cIHQJ>E++*s<~A|T&} zOx-+Iaq;BiLNz{iAA&P5@9sT*Q8Sqb)0AIefbKu-e-m7$ZK4|KdPgwtRoHw^_jtg2 z&>P55p8V}bZV8PH5L6yZq>W`=Wl)^U(q3GGLvUvyNN{&|39i8%7J^FxED{I~32wm` zx8M>q8{BqrhhRYx2u^_g$T|1B_tv>xHC1od%=2{j%>0?RdU_B9$D=#OYPGk1BYqyC zn2r87FSge|FMF~^GELIz#h)HC%66=i@@=PNn_Pte=V*QBRr_;JFR_C}C{Zz!52=F~ zyqO=~5!|=A+_w*-$ktEC849U$-Xr2+U?1L>w5LCO?`m_&x$9oBxxp!pRXIY-4-@2?M!1kVR zY};Qms_@M?wJK!-BzQ=gHc73+~o)8FsR7!`BOirno3EkH!Ob=Z? z2DhU$s~|+-p&V>qhKplp&m5(TW$~N=SOO^45J9S8v%^KB%@TRZo~Wr%8cA$Cg@YA7 zBR)gI25H9~^B{F7w`fK1V+nMFA0)$AFjk%qD#2fquqez4C5zi!d#pLS(@u+%zTL&s zoG5>)31G=4r>+m4$B!+QxYLa+9TX1@f4Qt-gg*S#GeFM-Z&X!4YHS|(3Gkg_DEEB2 zU&5x|imWck(0DM^r+T@o%H>#INRN{}RKDC0YH&(9O8W#Ss!m?>CEuD37{0<;lEz>Q zyL?X`^iwbmwhf8j64NHc)bjU{ai)1=-~i|Rm^kIm6tKdbT$Suc6aLUCSs+~5$7aF+ zny#rCOC~^w)qA!*fr;enMCG2p0NNf8`s5g_hd`A)4LL|;xuj4E92_fG)8AP53d}50 zJ<;d-LMq@M6=l3Ynf+KIa~hC-{xkj?sQFi>x&Gv}=sNLC#i^eH-s+`2DXDd2SJUJ1 zY9RCFcO%1cHW&%~N%nrj@h{empf0u*?*77hmMn&fACd$^n=#w^(WDMoGP1XEHgch) zjgA~U?tyomreo*UEP~)Zxf|-xxGXd`%Q~^|O!4Z)%jzSqm%D^}!Ey@>9kO*B(BcvKzEco^4P=CyOHqr5-vHhj^X37bcjIAB}3Eh0>Inrq;gs|hT?>jM` z5+aJ_+S(w(2P}V7@P3J%qTKW{n>KTY`vTmyB6QDXXOM4;`cwaU>Q3K z1Rwf@F%8F)8S9i)`yM$;w{jXafT;qrS+21HcXLgkSTIMWH}pBNN`8FSedc>tS4f?$ zW*MnwN{{7eRXVxUHP{pl)LLguM9|Eqa`)(^1@F;A@BhvwC!fZ!*rX^Yf*uVF73<{yjNq^MHz zo5Ti@RiFxTosA(Pg8rPR;Yw)*@_ zcQ4AQ$KC<8>=`uyvci_$gJAjZKnUw7hie~P;bG_#cq^i5JkH*5+q^Jk-4w6+in^3! zg4sXb)b`o6HMuh5Jm3RM_p4PPx!pWI1e1J}dK6@;YbuJ}IF5gQ)6zGa16A>U!@Um_ zIz5(NoQA~MT}Z9UOdwP2mzNDAwB>Ho4ys$DW${F$tnInPtoq?vKhrC4H;Nw5M0fqI zOW)SP(3$bZuCq#uWr*A{$z?Ux@Q9L`bFK~Xr(wEn!QYfgIFXDO+@Q@5odvbpb!6+k zKA7i)o=^ImnhXcpW+e5R9pstzeegiid0rH?r5x?;cOo`CtUyXbRn2ftWLSqiO?ZImt?AN@GU9g$J?(tQfgwFc>_V&l7xifH z-PXvQHBEdY)mtP!qt2j}eL|&vV1b$a#?9q3uMZn&3HL0dR7j`Z7QIv+tdR~(Iaktg z*{`k|Gvzp5b>Of~GeVTQN?l|HO*1;%FUIGLXs~f8v=X{5NZ9Y#nhkBa;Skeu`XFUp za-Sh&Z3@phH)SB*x~a8K8mRV$^H3Lz=Pmj})9Np9DgZtOWm@MLN|M%THZ`S!*tCkhJR`}vbS&n4j&z5OYK;LG?hPio(S-~ zTQ@#nsGsV3Uq=#hDUYiSwqfEou6kfn16?A{>k*MHvgDLRhFXO*KFMbvW|G2 z{&>&JP573%=lqLx#D04e=0@uQPBF&1>^Wg4JMPlirnGkWqKjO>;WU_@W!f@ksq$@5 z@A25PAkB;umzg}82rtL54)ima`Bpu%z+>UQ36*gsw!nn`urD0!`Tm)Q7#}qYk-PJW zTLp)tqI`12)XJ`3sN!>Zc>j0hy zR~EQ@9@mmcWEwCUZ!p1u>@D|jzFa%|{P131 zayK@ZICri~asX^KM4WFKfciAIAN?nj@8Ts+8Y41>R!YI^1|c5vn2dQ=NkL-DRRbGe z8{R|R+BfcH*Jowy)^3JT&`qUZnZsFfX4;1~HY<^Yu4knoV%zg6Q{jzxA=82%8xdMN zk8eQ+zdfjJ7-#z0vv2bd{SGf~eYX@04^qh!LZzc_DC7b|n~k-b$e$>T+BecezKeK4 zfN~qk%uiJMY)py~Jf+MCO;iZZ2+I8RG%%m@3(_29&G4vUwB5hcL8aN#Z_sS7>PPJC z!rpTlAa9VWG)d@^4{50ZZjn({-AmI(1u~f_;q83p{zmK$)sP9j5R}Dx;1cQ7Fsg_! z2}Fi^7br*t|{R05Hi~NI4S=Ut*U>T>qTGCuRVf zv2{$${NM!Q-#IwcY|Sytrgw^D(*gc|r(@1y&?oac>iBU=1r=%)`HIi?1s;SjhZQ>#(eMLMmxdDye_73ri? zi4uHsZGDbC$|m@=QcfCY11Y6wIm#{{^(JB|z8f8LPr+EXqjxk`PCI4vs~&hHY)JpJ zLRT%rrvYQB-d~o+Cq_7tu3kGkbxY|g1tSZ!N8kJ58YYKNU5J@=Jnyw}=#+i!1e#ok z&YMIG7=h=t9}Pb7o@cDsi;>|wipa6fL|#Gq<~Tq--(_d9V6RGq!XmH$$Ea4}FX(F> zw!;=C*jl+>BMQ9c~ukyTn?Qbe0qru z(=bBf9V}^v&<$=}XM+5G7HFk<%flX6dw2P93i>YYkh+ab9qd-tEkXwQt>kZY=|hQ>E8;gej+152V;Rg>ijEfrJ?n^xlmoW=-J?0!ViQjqUETOzG1iCVBQDs3D?_qQcZw$w^~xdkjh1>^KFf=SPHYNG{LZvt@{ zylM4z`4gdxK{8U@)q@X<-tBfEM?Rly1|%wQM>w;F1D=a zare;b)qp^Sdrcj^@#lrz_ZyiF+;>^=VD=;e*1lD@uUWh6T zl{B9vZCwMZ+M=vh{fwi{exOoh&X!vc4#!EJB%@mJnhF)WA-8ri(l2QRvEW*IEG~aQ z8Ywk>m<sjk~|v)l?gwDgNofmUg>+#zGAgR39|lk80is3i8+RfqW%0Tqv9 z0dWtHZTljDy=q4*p>>HNY^H-46N;N*Soyq(R@Ifgw_GWqv`l4N*x5r=XE74SesqJ3 zjzYFx>mmmW;r$L-C2`elCO9y<-)9t(Tix^7=z0b>oLPQ|Cw^b*aMSr3iX?vqgEq;?kt$%}t_LJSm00i0 zSdm%+4#2@43oI6qE{#{T9E%PxZ$RvqDqwA!u5IIw_53F2>~;jUqNI^F6Jy&Z+(7y? zZo4HYTs|v>Q|cx)QEmT3$UPQB;s25GHE{;-uaRN7-8>uP{${!*9vvqK0}&(8v=sFl zmg++@7htF&e!Y!`PiM{$BbYxJgYvW`Nl`398;lHhm)fOE&_bp# ztu9D{G?j1Mgyz4^D$4INNalv#eqA}*IYRdxw!54;IxX{MNh zL-^>_DL$YxVp(sz38WuDROD@FJt?HON=(6_Yu~pcP5)Tg`J-!<*?Lc$v-G4s2?s{~ z%?|HgtZ4P?E7J|Zff_GzR{gnOtQIHW=gm{a9HfcT>}YOx0`=eGh0bz8y2l3C(L`7! z9mrqIJMnIo)GEuIc+UAp94~vS+J_^?lqn{m(U&nLiwFHL{66RMt7e5$ZoMDYD17@Y zQKMFP;d*0oVUxAVDCtP&1b0q=yDD1kw^!F>*T^)@RH)YH5_p=uvuC8%0=$n@Hg(G- zq>#=MLh80~O#lk*3e4wOdAl9RX?jf7J(pfllpk`X_V48BzjCJqc}(x^0000c5&%F9 z0A$FoifuEWMyxKBS;4LZ;IBOC}aX8WGG5& z&AZ3vf3W@}WalEKon~aLMI%4g|D$$90MVkT0D!5-hVf|Y{{<1&^=Q@Sl?Ru-orAVI z5;6%2$zvbpUyjv#Jzku6)Fd8sgbhO!6?04QYtC$lc? z-^^Zi*8gN~;dQzsBLV;kQwOytO@H*CBeaCpUIyx0@6h zp>fC*k6R@pCk~k!i6f&e4w+s+FB%!Z1yB^w<~6m|cGhru!LP|-s3oj!&#S1Q73l2j Y?80kjXQ!a;ZfnTzE#$-@;8Irv8#Gjbyuxt z^~nxQ*d7d^APo+I3IYNG1)_tbrwp=Au$vAF0%8RL0`l`et%=Mf(mHjk8lv;S!elpl#C(lJ}5ZSZ{Ml?Y6iudYBp^$ zxz0?sIHhP)|55e){e4GTXzR*vYZe#04pIZu6(Z2DN#Gl%F;slZJyWL7ECWQCxe@yvj0yc>K`SiEj-534q9Pq#6(YIi&A-24&&WJ+D2q5*^3Y zJ!GJtJ!4!%AUN{gcw};XpMDFHB0h1+YXQ!+p{Ea{==*jng9~BX16vVL)_C4)ToL9U zNhSn2amQXed&A$wv~a08&EbBAqov4f_6c&`R6hl5SMl!}u~7}3M|5V>1%H%4E(v>%2rZ1nWJf=<3QSFzJNvOJLIQgta| zF{TmNB9d!Md@F(gfg)d3;HYq&g@`iVHp7|hzoHj5< zM`G>cB1sG3n@}}h+J#Nd>E258TXi=_AKXX1IL6nl*5^qeZOTfNRV_``+MFokGzFdh zenbijee8F)G83Jyi=j-b8nmR0adC>h7Nw}$AG)OKrcA251#bQ^JVwkfef~f&u&d&+ z&p=tde`O<>q&m)j0sH0OP9BfqUvwCvfFvd^&bib}c`4!okD+fCvP_xW@~P7X{Zyxj zh5g1H`L_jdOOs#qt~tBxKrKvDO#SdauPJ)1`Gv{3W@~*mhB%xXn%vVF$XBD9!Kkek z{7apYk7$(s9i=sCAQ!6b9Sem}7`%+6CQQR>+oMI(67|nM%0&Z)xwSP1DPKH?G>*XK zieNW*;%5iJeBDSt2-nIu>$+i4`^B-!`b1(B%PjdXaEh)WMJ+FQS&MsM~pb%4v1zbq|Z2l}ba`*Z( zh-w~Xs`HA&KC~)e)nP9?Jf_U<40U ztNbi)SS)^jr@dOu2&g!pQ~NaIRe9D0GN1ne4v#f!n-VU_3s#PrkYa1hH-l-(jd9m$~{e`J+%FRRL0>*GktKC|&&A>Fa-!FXWI(CqkS)%W1 zlTFRmJBlVnX}NmlVLg}gT#%NH_c)#S zY+y$Ew-3h4vFs61hy-*ddoR|mXUAj*&`%jIO)BUt)jMXd=$=TBf!fA?>d%L_?b#qV zo|mC2U|Mmw6UT@-oLX#YcjNq!cvmoMgEmri41)ID`ojr`pJ6fAb} zWmv&vt*d={Q~9%n| zBr#!M@r+?NrYr0tM0E%XDy@A3as(`oj)pN4b^*=ni!RN3CGdP5F4**Ozh|ODZAZ;( zR;R!IAc_>ha>`uV1?MzPb2F}x9=90s#W{O{gb0eS1YbKJVfMHM=(*;md$R|{?L;m` z(8zKfJDQJF#AlB_*XVUIot*_$kdl@51`wSZm^cGINTIm%W*p;bO}}`6!%0`DYXV)Q z`HM$UxKxR#+@D!aFQ2dY_Z+wvXeY5-nalnG{9%b804# zb*}^GT*hH1T5URZrq;yJ>Qc>G`|#zrXK&@!VaVPWT^x$x1|R-DC8>Bpy7OF=^JC-L zYg{U;#W5O`$ENVSD409aoKXj|d~)?~{!0b>w^v_+!dS7uPcKUgEL`Kc{9VmfWkvEf zvRD3<&C;{kGJVuOJkWvYYEeYK> zj6C84QHav(TNp*!zcejG=e=0m8xrg_IO=-{rL%d8Rb41Muv$D~8b%im(^RJH2Q|w) zK$7lVl*z!Xue`PnI2x2GQIfXX->wdaF{N{7fHXLJ#3gVM-9#pOr6)7OkeR!cq2GnO z6U!2kjlW7SqWA|QX0GvW?Qa>nTiIwTHaGszkjID4ZC)Hqat#OfAJ18aSta!s9dxnG zNuk8wm`y1S7s8{eL)LGp=?lUEffTi|%I9FM>NUZok7|EAYQre5$|BVJ*zlUN((JLA!*o%^R-l(b0kq3_)?+RPmG z4HHEp3|1~mr4Y^j*-hp@vAjn7^N(EeN9I$^TeM-Z6S%>xTX5X&?HXmlpwDB{CK>9E z#69P4pb&EjqMOlwyos*JKG<(gK!she7g;qZ>5iShQ>8cijW*I^ z@eJ&UN~O9<&n$#Eh$rP}jD*hZp-&Ot5Wb#)NdGBAz+p_ph~NPzvq#w^wAJ@pz+7USM)Ed&=lBnPsIL=518^N`O2J2{Li;32HxsQ> z9Ec}|3R%+>8DaKPd{alZTSVWw7}{otfM??%dy6%Ah&&LPti|j0eG^6Uf}wZ#fwoJi7>fkUw4XkOHbR`#tXsQDdk8Ax7F6lqCtO z7L+P);=PHF)Z4WsC=Nv_@lB8lm5`s9h2A{N%MzPUew4{Htf*QDDb6hHeOu>~hz?sk z5Y9UkqFR=^#D_3}O^rfJ;kYvl{-UHKK`>m&yxWwsk#}LQ{j;C}4?e=Ty6LmRF#V-J z&Wo^t4^8aWKi*krG??!+RNhycFc^f;b)u=F4ljSv)m?e(^HoTaTx*zs`Ws|lr=8rK zd4u4|DJrRZ*Nw*^j_FE*jYzn!zBp1FPzpmUH}0>-=Ldl={kDLzCe=ip@`E*2JO8zS zQ4M?Ef3>7azForP_NuJpzZ1qQ2UYe;rM5!!Q2DZW0r40-v0aM5yLW>_nYI6;IuvWY zrW>Cf`}&)Pw|Z`q9+q?3STVR)+0x6kJ(Ayq;C(9*`H9YMsm2b4)=Z`63SNyC5U6_L zNS^7WaVet887X|V)pTStm?858?byv!@@CExJC36?=KAq94L`Jtz)76L&J>%3sJFAo zofClv!I`DODSHLa4eCgTnqwKz_(E2WHYSKnh(z&rg#n>Q@^#l&gzE+(E$g?*2(MyD z^?k;IK#7#$m(#OFx#@JBRw!=)WbW-&R!vS#o(=iJSWuqjm3HIVFt6}9c2c8;TE@{_ z^^KI&Pj#>kx2Wp~$p3ZGr*vVE4R-a(!F+w(B3uo`Y zb=i$t>(9Y)@ju(1kX~LfZzxz37Jd{twN@sZu`-n`NzYR%UqerQ~QmPCCo>nr9gxb91m6 z*Q=eIr|HhEIBPgooIv-hmfE@_N?8 zk7a)tzH5ojEJv+Ly2D&K=cT%_^0u+&HpzB3GqPr66j!8%K?bAc=1G}I;l&fx=g=QA zt=niYCQ`(|-Go!DMU80Aa9;GosXl6*Jd#2}MpA5rgvlxj; zBik=ewZ!krSYqeTw?Dx7$@xYf*ry9!LbXcMofij_ z4w$_0CBybd40s6Qr966&R7ar+O05ewJ&QiRx+U1KfSXYl0g-{mj*$)5zt=c{Tmm2$ix7KL{IqCuPzrnOP23Hfy!E=8d+ z0M0WaDtmQ)0OC}EsmI}7JD^9YnoXryI0jv%5_KM zmc`2EQ^+scw*wESZioINOe`Okd7&v{46O@p#4rTm-A%E-ZcJYaa`_@jY)YX zv|vzpP~Q1TYtxdxWlVdw%DGSb3{g(n6CaY-?$)OmwAzRvHTqI{(o&4U&N;H> zVQYUVB;%IBFg4y{qUvkl7kmTiuB1#q+@T^I*JhP-$om)&zEfw zF&DJ94*k=U+T@!%gLI=*lH+X$tXDfOU5KNNm)@7mjhQDI(Q3sGNs48thElleM+Z{N3uy|uJj1FU(B9RSt`e9-qBy( zhlJCFL~wzG^FTeiM10`%iC_k(VyRILeD7eN`VH}|V?s1va&iec_yUY;kQhvi8cvL+ z*vN$wi@BavqX#l|H4=40-8%^=OF|w`rG=ogqnmiF!?udh8<^@DA`L22hoOi`L@qkB z!o2^!t13|3l?;d z=;9`hu znae@hYEkH7hx;iMmLlcVD(K58{ATSSZ)R$jdes)MOg9=f^=Gc`QoU3-@nPTul}_Be zu7osrx6>~b)UAivrPu?sd%a@xRR>CFYGOtP!6r^*j|cg}HNTbbzDjWHs5m_#8n?W* ziuXrgJF02r0>e-jae4=!T9p{E1t;yI+sxuvg@3X9C5xfg_~SMR~#Gz zxeP6R8CoOT4HIe7!Rrn)!E z#EfT1#1GP%;do8rt@vZ*q*%P-)t2#V;bsq}qXXIsf`!p6=-5K{Zh1o72DS5*fzw3M zZ>XT1AHIQPXVAP2e=f2-C+Cr@k~}CWhgE41u9TeEX>Hk{TcWk8gmu+jbEgqISW2k_ z7szA3xH>JyH8TO^?nTZU1PV^9)sOdZ{7Fpd*oNyioe(JQeeLR#8qGFx`9=+MQlr;&+xm*VRhIxcofFDb;j(ME=@7q;O!d287Ts8I-%Z0tRAye&mtBmN_nzz4gd zv=dLAwch*`{{3#|0m*;uJu)OIn=5JAun;a(SRILU(f@$TT%JCTJXrJQJ zNI)n?N+p+yh{BDSdIqJYdQ3q{W~@w}xJ(81UNQ+V9xsDFCq%;?IeVg}R=GC<{ojR|N0$V(`LewW7lI z`#^_Dl_NZYeYll~VEtrNMqGVr2!D2*0JJDJdMJ3z9Ti!dskR(mLf}p=Z?>5M;R2a{ z=+nzczy8eA2rK0$A1eY%>%OxAOa;*)D+dCHQ@7ajSYtuSHyX=C^_;I+nNZ6VXhpjJLKEHyZ+SbrF8L+B?v!)G9kIy!UCB|gZXG#lS9m2 z(&+kwMR|B&`*ZU23?-?F6VvFRD6trr*n+}O_@85PbU&~JDJ%Py6bQdQq%#MM2{l;p zSDF8U*yod73^Q=|!FCKonhF7oYB? zE3eYTL!@kgz(+*;w$+jnMVTdNHi9cZ<>CzZDG6^bl}`@{V!pIs+r<_LH~CK_I8DH0T&@yw7-unef?61!%EmFg)>O*{4PVYIW#kUw4Lx zh;--l8*lb>5!E~?L1jWBBwbA8duDMg+t>KfD;c9)kWbj5$K4(T5Y&8NU(D2CooXjg z*I;ptKd59)h5=JPtD$hYXyiuSaOU+uOz5ZN1&uR(*ee<+u6$+Ds*rh8+h6m|x^PgD z8jCyKlRjaEQ(Z=+D+dbiNJ$DgC{<{8TC>$-RwPSSLBm#uo8uj0<6aU9?d-{n?x#ll zODI|AYZ>RSZ5F91OT5&2Li)i0-z}|R3EgJuPMr12SAcX&>V59H&YJ>&Zpa33+J6{d61Wy_NPuHq^On z)brBzqtxf#|P_?E6 zdi*K;*vyzKEx|D5ThZOLx+jmhx(cr5Be9835)j7ri`x{|-6{+P8-J34YrQE+a5Dj- zSWuqgp6+sYMGb`acB<^_^m|FF{dh^OvL(9(5B`OCXlvHD|*{r`VF zy{7e7nQ$N=;2i%;uR59-9%*gaZ7ieJWO7(m^QUie$O^SUFPPfC8AuoM=^B9BOgKi5 zrsT(RSgIp6y3;!3Nu(5h)Kz0L7y$HM8`)1xaI4$zTn4=iHeQ$^@Edw9fFUsGdPPcl zE8UE?rWr9LKy#PrHU0WI-FD(TD!LY5lwc^?v~MNJjDQ%HtSgsi9bD!IM?Y@$(@x@9*@^1ZS#RE3r(pb@9IKcMiN;smLR_buF05Ss(Ow02oMY={nbKG zglUz+cSfbP)UGm-SMP9~U`nuVTCy$cZfelnj%!w5u}(If?UV0o^s6{AXjiO!yYvNf z72W(hdF5Huwy;_%c{FY{Xa0`0DtEB{y85Rwl*XK_sq2vf?Lcbu%ZW1OrL$;x z2zeBQhizko9|_e3x)0M35B6hj<*eIkbrtj~`HR=!mTls_3eel*$rdTQdl@Z! zeHccWxp{6GUgwNgGQhPt^&Jp4+rQ*w4VT#XWPt89C!w)I5z<6dEjIPRAD& zdAv0P!jWN(=@fr^05@*(HXuJNE8l3Zv6mxF%G%qgRA~=73V%4L%H&JC6qq-a8c&>! zts^gKP)&GA7Mzf<=1b5PlyDD9;Wynma$Q_<+cIWoBY!a2w+16y>hSzd_|@4MRedHW z>TtwUoZmzLNdX#Va)U^h@JrRsk~er&5@k{{@bBc8_$X(V1dx`REkWf{F_FGVoNfkQ zE)0@9l+%N(&|FDfY&CD=RvS~yM7fzZ$jF({Q4AS!wwWl?_9*mcAhEVS!OWu(&z?dX zCWF$NB7SJcQ*wPdy$CYS6kL;YGmGSSVf1dSkZrE->_i~RPhV#LfhGSz597RobS-8= z6@}E%xcV~Q7LfQ3tg!?@AC4UCkV#7BFsfKnhY*(i#C~1N?|-oTH==P^3K_UA5dQJ^ z{T(VWFZ!3pCy}k-kB?7Dfy}f%0azm#o4)=jZx2-SVqIw>iAsVJjwuHA<*QQT0TLmw>%}n!m4Tjqw z39jO)aJoQ zR($^o24rr%_z_#PfGtVGtL%uY2POTLEV|(a-C|O-k;HiO+~i5$S^$|(cW5lS#@URe zFVKV(2tX)mcHs%FrY!$KQ}z?02Xv%}b7^~C8~qGTg z;(DWd-V6%NC#9UciCV=L2Pf#}OB>|dkA#(%USOuX90lKZjCbnwv_RfvAl@dianUE4 zBIj26VLYbfn>MZf=*GJ==kA3p9W>u!R@JEK=4jC|;z!e;r#C@bIKy@>>Gnz|{v07# z-&&gWy2JP}d=w)kbHur> zYQW0Pv}B2BygYx=%S*vP0W74cf=X@#59+7<6vt*ttd8~NmahHc1)ED`qbklaLcR(- zEUv#|SRUzy$%wrauj~e61f9Oks`e>%>q29DQCsshq0N9fwo3HsASI4%(-6sZ{)X#M zm1toB@uLa^f$a;^aus>?rkQpuWDb-x%mH*D0)n!}eqWzIV>;Rmf z59J^TqYbC?L>98bsWWpQ@JmwVe6=9TY`yr;>K1US*quXO-HX>_wM}!kQP#6Au$`Ae z^?o=?Y`Mu@yS^C$>e(u+P*_9sm;O*v3;91un zot;8fauCi>3_;KX9Q;>b*C1W!f-wV^;eNxh5`TH}p)GlS&0Y`yla3z9xj^mR3W#CE zyE2%r+ujWvA}>x*d{_-wI0q$iJ0mr+xSEf|rflB|;Tyw|WzD>fK#7XhdZ@x^S22`k zQSiN!=kETXe+fApPe0r9Geo;GtIf|4*N*am0m`2-0^5|km;R>Yur%{ocO?yJ;pU0& zCvff43B>Tmp~9R0bYCczxB>fwBU6sY3ETd_A~txFUtL|`*WB5EyIsF|LeX)cF6^0N zFuEhJY(|@(pA?qR4&wL&$On`tD0fpL#9O;Cm|s?LWWM=A#bE_G?dCdn*)6Hg_{Xae z)fiZIZ8$t{o@|{1W5NdS;GJhM&Y(CD=RTq24XfamB9PFR0z3_yg@D^WYc`IDH_)Ux z1@07Bh1=*TRSyp2!#LMpfD_Ajo>oM8bii4QE6P+Ii?b;V{%A2hk=>pEq{4M>Mt3Gc z24-v_Vg-0gO6Ewh8X9pgbo)Z?DH~sh~d93 z2IKjso{ar~lH|f2uHm4*f`DkIT2KVytdR}Y2<0%kqsT3OJ5G9#p|_hbGXq5r0r@j~ z=eq-fH=IL{RBl^!8+{tO;$n)i#;rAoZXRJOqm21l1smorwzjNFQS-3bfv7$&cfoKl#t6Y%Xt;(ZeBaUk z*WmzDXG@TVov0gpql2=5fq|!_#&oge%3c1Mosxw}xMBy>mA?8MUPwN`d$D6SyM;i# z>u74eP-RrJGlzamM_oOsw1F3Tokmu>SBkwydw`^m3@~Z_jX~5%4O6$q(yFOw5QB@T zRA&;6zU2PM-s2EsFQtGHjQow(x6eF?NIQSZdxO5waN7LvalR|A>VR{9cZpJ6* z+jqpsJa^99smc>~Th+U(I46t`@=0`Sm*l&mHb{0Ecy0dyS^R#~>s%u@%KsRcT z0O{V76SZxXJ%pis8zFf^aJ)ZmgeKq%*UXmBD(cmD$u zgTfiSFt7tTl(xJ%ID=zGLMfoVHd7Hk;v2L=TYfL%vUsS^r0uSGXErX%YSv0a6SS5XK)np|IYsY z&*Z57bmKc-;w?1>;G8++vZHCK?$)!lG(COJ$krUMzj|!gNWGTgQRAwfQd@}Dkx)lu z^xMWC4;8%Gn`RU-*=x=<=LDi(0^S$?-^~(ZpRs~K6l%HV?@3#085v-K4aLCn4NWYPC#1! z^K=vb-sh-I*-3pSE0e#Y#`zPzC5yxL-<+~f@YjcdzJp<2>{g-=`LXqv8SQ9$DPUU9 zsI!(D5+=0>M4&_uh@ZsZr4p)Gg>1DYhMvSsmJpRAAH5|(o9D`sj+75X*IBhO>G3Q= z{~I^V*@7FiY1J!BrhbGQRIRtNv0=&#L`b%LJ7)fw%e4Gd8#L08!)YI9KHtvsLvqPd z$x8u-D3wibi(PG8NUKN}{Ws_7!(06)^=PRae-ii_z@&qs94DI2%>qjq)M}iX9Goeo zJxXYuC;gZd@u*a%L%HF|D!fHYCvq6+Dql%UTnaWs)(CyRJIlbU*(13#fQy?JxL6&UK*Yz1*k+UoplWNfep z@c9pj}A%mdSz>0vS6lf)tu6O(TttCbNVw(l)}mK(IbGO&>=?~k#B zj1*rS7#BqZ)l0P@*pAbcQmjGPG{|B0MRT)x`2A$e3ikO5-xcON&w(N~X1NruLTOu! z-4%IS5J6~?Gl7q)xKgVeOJT@UDlokUZqI`xj}{S^$jcKK>ru+bv8pg8FiqSdHXY|& z=$&-eS2#-*&%?#4n3S-0W|D9heg1Na7oV;6ZZRky-WC}^#^SE;a8#q>G9bV+fu|nG z)fWsQo9yOF3_$I$$l(9PR=p)bbHDGQ7ZKxE(H<%kd3Pz_}aowxsa5#VhI zl~JcD_j`efpHXPSK11<1{kvBh7-^mVi$65u zQ-JNOxjS~WO>nR@@7o?;F~EC6Eo3U2j_wZbtx?J&tObAOLI>!>F z{AYD)zjq`k0{$SHFD+0XKr3(1;Ahb+SAD`l`_I*Qp=@5G2<3`(s6QFAT)!LASGKhJ ze9_t12ANsTm~7MWb$B!^NZz1qGUCK7)mnJ#Ml#RDp>qB&ww(-1#Ltm)jlDlFE{Ihf zr9twB#+PM=s$wv{!lf~3W1opj6XXS2B7!4(+wVPZo=h;eU^Jh=zXCh4BkWgDA_CNh zcX%Rx%ENzmzlgpCMj!7gg!D)j?ofpIs1AQ{A%}Wh`J`iugAz04|MvN19M&z-ff7yg zP7MR14fNE=i~+aI0^bc=@DC2@M>eT2t-)0&8V$&A9^WeE9-joRLk5&`<79l_p$qa#`< zcFeE&KCTdqZuN|A6pYvrjDLUY)Z34lFr>keCH7^OO2@RDF#VN*OdKYy;P^k3P|4A2hieR4M0lWtD4-Ph=^}MW{zj=G{ z+vSAg{2{0DiD%nn55kSlL2mt5olxMYz(;*}AoMhm8eBQXVf$rm;?k!3=Na?b@s`c) zm5|0KG2tsKPWVpzVbWKAbO-saCitT^P9OPBlIUA%l*IOy8?bx^N?dYIWQM;n-a9wO zeniIb2X(v92RZG$HSk0f{R=G`>Uqg>eMJv0v-{%nvluBIJBAJstJ#+wtA7a@|3s=MX8!wLNT@ zh+%zx@z#jc0U{<}C~5y}wuOT*Gj>Q>rjMUTFAeUwO~BlSgCGiGzdoH5&uU+7g%V|6 zxY8)azC$KiGG^k}#)8C4HAAL)NL1=ynbI_myCsT^9z2+wY9%H9JxJ}rQ`ZS?$x{I7JzS?z3#?9j<3hQ(LsvVAzDO&cXLF=4 zrd1CKSA>`8trMcp@_#=;W)#WI(sGCrQ$21)nLN@`zoKLsCZ$c%O~1MjyNyyk&Mbwd znE0iO0nOu*QI?4hlC;iAX+&k_hDkuGRP%UbjAdf7_&*#yG}ZGCNKZ5Ug30TgjEs#N zo9KMNO|vRenzalrIvrMOWS746(5KY%`o~|2s>qV#zN-x;?JwwWYQets#5GaDpXfJj zAT!&{yG|cM%+`nD4Sn^d$lP~N08EkPa*Y7nVqhuEe{+4jw50=nYNOD6RdNQtcW?g} zF%H3~AHe@W>OLTSW5g`@PK7e)1C4)|d|EOE5xEU#R3S6FCw(Kj1E+=DIV*#tZwhup z>b}YzNZ<+GJts3;Hx2>)U;G`ZhRs#JdKasoDw(}E^w+97v0cXYvktSMQ8IKwHvj?b zOV2WaUvt{4r4b%U-;nBu`)=z5s^?geNmMfluBYx!bYrc|mrUze`BXbxMXVr0*-}M)qKKUy_c~G!3Yv?=$X(u=8ZT$p&v5 zhZs-Ybv~M4b@C)`0Fsf66!8xK*9-v5t*RxOClJ;gG4-lXP#6LAKE%FewPiC61W5zR^lH0 zJ%u+Y;r)|QX-_a}(G}^pF8-iI?$42W9qoim!Kg;BTH5dz!q`P`q~DqZHqvi=Vezww zMujhdpYacfcc*xSVRI7#wX|Jm5FQVnNQ0-mM5`%!m;snwoDhtjc2G|(aKrRyf>GOa z0k&tXzefw6qY1_6@%x$|AOYmTQ&p0%Ic~{Xeoszlqlc9L6fUHz1d@LO<5Af$E$s+G z8gP%Y`k1G2l zj?=q4z0o6uXhv_><2_k1xAOUDU~WM|hf4Y+lG0rZg?uznxxjFjfh5S^Rb!Zu(gb1v z#G{i26?PO!VHvEY*ng=+{03lV4GQ68`RqPv(0`Ek8XB52AbS_%QS$s}HSrtpoH8gp zfD`MV3Kbe7XBmd>X*{yYC#H7Ryj1RgsR8$b0M%kQ>h)c~t zNIa8Qa(MZY{&=Ra@jwv{$k}J}Z8qvW;C3e0n3~ragPTDNEoU-gpc31$;ano0 zKUPq*4aKOwK$*9}Leu}t?|9Tp1KNoJ$1nhEaaxYQeZuzdluLVJ8Ia~v&;jro(<7)m@C>gLHPJxXj$&#IxTN-IC);$ zb`7?tj>{WW!tAx%hL+Ap7tFRnEdG2GTqM{JYs*AMc{6ngV<=qHLsfFNt??3q-*|wH zkcT3^9WD~7#~;f?E*12R2xD44@&Ej?B5i|$>beKH3Ts{FxJddQYi)&}tLX9;;+c%Z zQr{=j=i#fV+4`r$95kd)eg0dNM$cMSj@SfcN!tcZyLIhwIZK{8NE@5?i=Cz~B(n9( zEs34>FDd`$Y04&~-deo|#qHvF0~9eK%xnjSGIlBP-5opx%WeC1)Uvp}SnR?E2Y;FN zD6HZ|ix6L&?Xd3O%$E?nJEX_Hkn00Um1VTkA-7U(jI3;`mbl$t#RnyK(QV!bgC9-m z$8IxhF|2p>x&*rZDh!4HH5eNj_<~qWI2?RrIm~D6xgI{wrqhw+eANQ@09<_f$?>3W zF7Mi4-^9VW5jDcKc#_AC|A4aS!DX+|pC=xMsR|^a;BkOI;XL{Xqzc^4ZZEl2} ztArK$;9gvg?f6Ywn)-2AcDmgZ>k7v7u~=c8PFT^?NdsRyDf4P$_tX^2-G7YEN6fB2fyg&)PqOrz(hZ%Z#d8mG-e*T)`DMue+0`>rE{7{y{Sw~9%N`u z^eHd1zqNv=XwR{~+PB+55zMi_+qX|b?UdM^IhddT!^&v<<(Z(UWj-0zY;=G2#RjpaKl8(Fcv#tO{^f`N&6-#`DCF#n&f(vc~}zhpcR5E;q;CCr_i4V%2&GI5uZ|EQl{Z72pC z%+ngQnD_!E<;f>-!3I`B9U!|TG z3m$w;u`9ie)V6Ea(DAbvwe$5|D1`3Gyt$X`NprjwP9!V&57~xYez7R%4T$5BEP~nN3BIDUt6K`2cwk1)p*i> z#`%#wZ2Th-Mx^aAl2 z*RS|?Z_!WcA%T~vFUgYK9XrwKRG{4{&Y$4O{NDag$}G&uaU+*uQzkcgKjc01(SD~> zW#amdW)o%HO#7r5{8l#-GLp@7yewFoj_cN(PVVPP{V_EGC~oZ!5eTZ_Mo)i1xk(!D zPZH>-4qaXhjdd|G6ekuEu<*knbG_HCO!NAEbeyTCgpBItb+|At-2buZ!G4Y6P~*0l z`KWN&(E)J!khpx~q5@R7>1KgXW*=pC&rW7jW&L@s86QKB<8i2Qmop`kqm(Vuy~vaj zKDpm4buQRMKhDGpo`>#K%8z?#aI+lmzwK8`AQvJ7m=dtqbh7Nl9bY82I_?G=R*fU{ z&Sc(Pr5X{T-o7s$Lj4$`>IaK{<)11GUAU9(H^j==Cn~HYZgHja#~l|tm`%Hz_qoEjKG)X`!29cb=w-LrY9O+V2a|)1;VSqKsW;b?1^~I@2ha0N{}$yB z?=9hB0gnA#Vu}yXPw>tD{U1{6e9yU|-N9D?Nu9G>tqB89>950>hPE~xU#4pKoyM7I zNJ5=v4!v})SPzg`c>mmL@U*|7*}Q2}!Pfrn1isN@J41Mab#E}OGzKxV1zQ(w?pRmXV~$GN=QF<-hts#)s9>o+rWepO_X zNUm5%vU}ju`%-nA$!E~JTa%oC%hpJ@3Ezv28S8ah)$uNv&RYZn1KR+~tgIlp%r&7! zNc{AGo2)~ej2=B}UjZ(|9IR4g?6EY!_`qw{Td#NU}+$;?J1DqVs-#{m1;a(293pcNJb%g@Tys!Oe~ui& zBM=^ch8+A9*l{pZa3`iA?JOgr7=i3_uqJoL?lF{z98CmzP$K9gFu<7KJ`hfyq6SVK zu>y1v?9}fb2&Mn62DaY%K%?nuSZl?0k8TCY7TSrIyFKFE_P`T(ZeYu3_E@_ywGL_z zo$3`(AG%CrdI5952S)%N4Nm2k089WX0q20MzOUi~vdl$AC1EG0kUMZ@Vg4 z`|L!YE=_h<^mboCY%C``rw78OOBOf0b+7TxsTJg9-{E!fZ2{8@^hw{*2i60Dp>aJB zJup2`J@74%IhPC$67&>MW6+hKNU`vLL7#tuD*TSS9*j~_s~FRea_07>S!2XZfJ6HQ0?~n_+f4QlT>p=lc;;}P zajS;v`No|1K5nh`wl#D`9w-iU56)#CU_dCa!-Un!mJNMAXeF6|$5nu=4s zkXgGE_#|;?5xv1>IPF*cWcnEOhHG!=g(u@}hOeEL5AYn5_^5AE>9(y&*T@dSdlD1u zL@@#5EtVm;oSu9o)oM= zZ)nSGmR#eL(OQUO@Q)ZkDR z%NGaS|CgRTfKKd)6h#U&ya8uGp>+uIR4_uK2FN zuE?&?u2}5h+#%gD-4Wdh-ND__ojL}bIwtbF`yO<%_Sjk;Q@pb4iEG|tzw7Q^XI?Xl zJwZHSJRzi94w(_C!_b3aflK=h`4<9N^to%m)L|=b_HNIU_bRWIFyON7x-)DD4bQlr z61*kJ0bpDZ1W?f+;{HZJhiwRZG!Sa)15$PTa$xDKce zqz=#y*bc}J#18Nd_zb8`@J(m|5CI4QFaan5Pyt8*Z~^EpkS>TWur8>s9-e?AgoY7jVovK$O~JJZ}1AG9@!_29>==KWSP&VZeZ`P2}{P z@m*hQSR1v)@IZ=7YqTk{)zKbvT*a{|+=ktWecLj%e9xMH4e9`|hy8!7&ELwbDzHA& zx;^C-iZh{ABO`MH;ELqr z#V-n2Npz)nL1Pc{LmEl#v>qu((Dw*&$K3b~#t9T#h-<^I6QrV=Ks-`*&{M@VpDov| z`VETptu})93JeQ|1XJU1q8f(c9TvIalu(q#Lbmb6Y{{%+d|(iE`}5}QeX5`oBi_%l zB-bYP)Fe5CjvLF{>dUR`&nR70inTY|%cB|6ZMyR5=1Ygm z>0F&5t)`DSc6$nFgwszqg*V8ar&c$I3Q1%0xXU zL4T=Y(^uL=YLm1f(ug?9-m&27yizgd=Ink2V7NI6C)5Ewl{zyWE@Lm5|JiuW&2*+? zso3@F8EXG@#pv-bh$`J0R?`HO+FA~t?IPY=ts!@rjY8weF-6B7OlvqT@`@YkJJmiz zqGTJ_>BZK9F5_2qv0G>xLhuC>ew9rvoM(X6@P-oSOWlw z@|U5c0u1p>NWyKlQF51|CL&IqxtCT8j*BYTyAFC65fWG?{ty=#e%AlxvFBeIJ*3Va z6R_S-|6^99O<4#TPOID{At@z8n}k*%g{e~H-5Rf)rflL_)wZgvreh$bWyIO1nkjj& zs}q=CZlaT)Z^cnMP;TYoEL&ma+H+~gt7NDHiX*Vg$eZkBG6KedOL2d-3YRpr@4>}^BlC5Lw z0zxYEx! zmN&j@JAckx%hFq=Zx36`$_6;TZ?0wKkD2Op<@d2V#i^;C9l@!q?H*mdX@4b;;A&G` zR9T&f4KDsm`-6DMv|DG;b${)Tok~}5=hjIQxVnz@*R#XZ{`1jbXh^!OuZPsxPA^V0 zp0fOxQi~6(%zF)YMI8O0aVwRc9nf(5ta|WtAb4kkUpN!Py)I+HHUMA?b)=39E{CBo zlCh%NLh(%Zw~Kp`=r4?P_B(__R(Q6)Lsbfm;2RGhXhzli!or27C~q$Ia?yZVio0Uf-Gf@fK~)@p zG%e&15TpT;KxjkS5qlRw!Xv4^1=)aRz ztYVc~^5IdPM)KtmT%hvb1x(&Hu*F2KwmOnTFBi<{sy{A?uISBCBr1^SYhu%z{r?>- zet{52kn#u{sa!gmiDJB4IzWwg(=Zx*JfvA0l!X&eX$uoRf6CpdYs8(e&Km=8K1we()3vO&i zn`yUtiFj$DYuc7w>&{WU!64Wj>m}_C)T7Z!N(Ark&^8@{v_(5Z&)R=~BseCw{f`k+ z{hTK908?17wl3oXg@K2_97Ff3QC9G;5a^{9lqCfy>**6C@`P81dLE%*jhw(M!^(L(?ZEpY<(Y2BBN_2Ht>NN<2d^Lrirv8g~&tSog}<05*WQ>^^C15mcr5( zmf7jbb#`W-;RRL3-+g(=^nRSwx-SO_^UFZ__+qpWK~4^`f2&AnL{fqz+K}!o@e_8)FJl$gEj!R@usr8roiu^M#orqIE zjx!qk@)1v-X!CBzt)nGVM%igMFzMfH)ZDlFQ?1$+&hM)9UsiTb#7i>LF;O)TyttHq z2$_@>CtpQ>`%5duZ@eXXm;I;x5Bc$KMLR&vtFy#6=wCh@${0o6Hyq1|)pP&D#@KOb zda(f#6^YQq6Vp<@{R*n3ka}nhi4eSCl86FiAWRBK`N1cjY9fA?)_GEZ{u)~pxV#-;5<-G~K${e80369FPpxOyL%F1B!CH0&t=%9eD ztG5OwN;|}uv9UUCZ*{|l^>UpZ^W-|$LbY}tF{_T%#%Nbet0j*{e8YyB@{PadOZBex zYVGV|YaOfS(XQ}TZtjikh7D`w=MD|L8tp^n8`$~py62$c?a7VMQ|8yADEap-QHO)( z;M$UrEKobD#Q8EU;W!h1<>9}uv}FO2bvq}9f54ag_8^up^7&elaQ6Ee2TDMyzKI3f z>oC&>q~qorwybc;*gF|1!*LQH!rGE*x^w8^RsK$BqyqCQ=1R?u5S~j zriE4PSl!e+lT_CVu!>DC z(_SS-w<~4H0!v#u;{fO~Xh8&6w?+VMCW)rP$~5FbT(tNtsDY<@;E$GrKRi1FCH0S& z{`uvC+YmEFL?RoCkn<|c4NXy|r~}On_!zo4_8BA8M9{a$6~D_E?p}}NP|TD((Nf!$ zdd&2z{kYfS{&jPTv1k!1hrL}D`RwQ?lmk8t^ydCaIt^;9KS_{-as*%kQ}z8*ceP(9 zCx9j$#|5*yPP8 z-ohz`Xdjx_z=4O|Rv35iX3)jZu;97eVs@fOpZ(_aKIS8^bxRTR{?_I^=5_#``t`Sd zscOkL5lznh#_S!}S_TE*B52X>hdHUbl= zg#81q(GI-O{W5`fIEn;DL~+Pjj8^VwxM{}M6M^gq#Ssj>?+zi%jIo5(fg=e;z-Xp- z7c;ixalk^Xk0sc69Dc^pQIwqXcvN)zOoZ)SJbveySn>K1Ca32@l&(|$(#8=Yr{_|X zT>Ffd?H`W>(yV8T>@lq?7@2xDf(c&;tt*M_i4iXh%EMm-Nr2_Zw!OG34YRIa=4~%4 z1*o9&J6BscX0^e~SZkHHO z?|uX;IXo_+yDLZ$hY3uAY&is=PE!AHV%c&2&^wR6Z#5MeA`C4e6qp;*B-jpPduC_; zS^R;{&EMd3mYaD?u*yBzqvv+GzNdZ2wR9TG-}O%5irYx8Hd`H;B>+CbYMh*%{=cEOe~$9DUED`yC!A{$0zP8>n^Q@1 zEkD;)H&ixm^_mf2$qkYw;4((7T}{!LEG557_i}w^em*}AO?9`wFvel*N2>klnrpVX znH{A;q9Lbh%(&Jt2EHcD(`>Jk94;5biIa)hbQPh8_JEvuZ>V5?5Jy~G_v|dZfFO3z z#2o2cBO3igwuemzB(RNm7HI7{1J{3<&SZ< zlgdG!vo4Q#5`eAixTSf+YO~1ng4*hu<FSmR|_)x!ud)}(sY~4O_U|!*Rui-rfK`CKo>=Fy50fMCW{Bkja4MM~) zgJmEM)MDZ4n-^DJ$3<(0EQL#_7VZita%mla0f zTnd`IiTtB#(ltcCD8PA~VE8P<5l<9qACd`F{0ZsPend;7y2BF-EhRtTCYG}y9$L;0 zyEE#F4O(z#z9ZFz9ios~?A=7Ht9hc>I;0^kQ;6~Hog2}cRSR4t+FB>oMGMY|(pSkU zv+2}&y*s$`=(sz%lPDE-wCwj+RuQ1#&iMte28CUgi-ss_HXzz?vx+#6rVS^r*9#`J zake`(k#sAp)@Vv+X6~W3IgjUr(I**)aAP%WYj%!$R#mxn=9d#k;f57wAdez?$u{{? zyv4xpoxYwJ&yBI$M0SeE2Jl}UTyYap%c)aIyq680kfvr4dB|+@z3T;m9pZCwQEg8e z0%1o?;x|hVb>YyW|lgPr|-fP z>;|>bm`90+1Od*C_Y$I(p%$)g%XOVglBm zU(Q@b$HksK69ku>axz-D!DrakQtK&A^S$Nn(U-1GH(QP2BNtPn#TKDtc@=*DwY~!x z%ETgOvILY{2mW+%!Bp)msu0n!T0~;V@Bp!+uc3y)@zJFTr&a&7T%zrO|2YrJiZh_e zF0h|k?GRgbyUab$UFvj*_HEjV?PLrq`?x~tOxry@BVquOTQm3iJ2KKuoI0aFyC~hE zMo{pKN)_y8BjxX2@6it{Z!GT!)zrL+v;5DNSqFdys)BVA{5;BYY}+m9Qxs?Tnx-1b zpl0D>RMWj+@5rz~)JGa~UhVY?b9POI-*`gacY&b{yumQbg1&_zHNcJWLvf@x5{^OO z&;nYLVUxN@5lyN}B~mld75m%8?2{WbAshTjo5*gKX3VKEP%o47?QHoKv1)Xv*SaN+ zBL;x;Q>1;8vm)*QVcc{fBSOdQ=1+Fj{Q=e#m%PqRHCe9X-oQywWEOY2>}}T(QnfH@ zTySyXY#<6YM;+L95I!m{^P+nNYrapY5##l;^t@*4Bhb)*H>ihApj!JSCUIi343@6e z?7+8mBetmc%<+j}o)2$5C-9y>l+sj1a|-Zeau|Un;Ff-nH0Az=*E%qU=5eth@p|jy zy^ZK5ueO|1!zg9>?NQ?*q&K`gG3@>JNYn{qNZ0Pn(;b^^5vHR2#km>ucHPCXQ`ZQD z5X0AU9UFgP87PWBLPzf#ZXYTE-(TCq)pFTAdXU@cxg8AIe(#aT%hMeWbL;KgdI~UQ z-n*C18!O&ih@yGp(^s0dr3{4v2YxgG+?d|tVTs1>T|lF1n6h9zcYpl$I}Gs~m_ zHk2Bci=#HN+b36t0~%KSm_5tGF**7S3iV$6`tB79XRy<(jgom~OlBy}tZxO)C-r%e zjigyyk0pG(@I4}}F=Q*?a?tWC+YMleYo5=vVjU`LbE?Hnn|~wt8umIlQtdalt^v=G_+4dW@dn#+FBkV#&*xfAmNJks^<|@V$t27Z;!?E{R z_VjI+QG;{Sto0{j&vVo}K2GyJNKI?HYPXFL!A>%LtCGo^7BCLxqJ3#C93fG%d6O8uF0BA%I$|I{sV|zgr;uLRFJ$h4m#2Ai=ajFiH~v zCYOc$XF5Z*nJw|k6s3PDR3`JCRLXARn~jzB4-0Po^0khZj{0!Ry?}7d=?CJl%_z1? zY0*|4oMwG|c4c)Hv9oF;imRDnA9(LYGeiuqEF%IkxDJS%+Wo8$+y{Xv`0uGHJZp^^ zUOA=_$!+?(%PNm|dfqpOs_Dk(NnJJo{2F8pz#{2qLw<$ItXAP}l4=uRdzNd`KgAv+BBuDVB=9i&bm6%=**QSoDB_{Wq)18i>fXFrmQ(Z zM!KCmnvccz@s2AROGfro^*Xc`!BRZsiB9;z?RDyX1LvdWx?@5m=R%@%Q5U8~%2OgH zopn1|DFhBtrZ)rQ@3brr4mlQGvpEi&eePRRgg0)Lj^dO6z1ob`=bO4$K5OozTVB17 zX?APe`pE~W_yEPw3Xt`2g?nWHgUM`buO}*B8Q=P>=^m+H7%@N8v$kM5p#!LmTe*7K zcu3xLAqI6ha>I$9bXr=bEJTMd)UWkAOOLS|f)nm}r;#*l9FvY>{9+Ikr5t7Z#UNCeOwB5Sn-nAEBgIKsA*AOQ zpHHh&suw&PSEf85fL!=dro1lzUFct?4Cy9xY_3ukpB>y-603O@7>ecYF7uqflBTbc<<<3Q5U)=ezpZiVW z?K+x|y0c<9?l+@h><<9qXz}umMble2S?HU8&0`Z|B8<^_|}1KAtMeu^k}S+9I`UqCgD zA`?br>sMN`?H^}ByDmvjc+%dP6kR=Nb~Pf5(iui!0!c?^VW3Gk#$mVg4*nD&>btT@ zEyHMi^vu0z`Si?#0JJ^&YbFr0+@utfFg$5(voL)6Ya;3K+WuyZU7w^Bv#@mfS|C~k zy+Z^=S09=*eeF0J9lb+3g@Aq-FTI0Xx4VdE$?{=R04%)>U|4vzJ^WkOwk#Cdm$?z@ zwM3zJxq~PXu}d5$cNHxQ`~INW!>(6w*JkwY)O~{bjv#fZkw{cfu+eozmXxg>c=Kxg z4BqrcDoqqCP)GZq{(V)vT6kYF(^2GQxs1`sbNiYq#0ZxNV99lH9_wpwT)D(j+JCmR@G#?k?AnubG`=(%$Pc?^UefaqdBi&|P?lUKPgH1m>k!LYaX zD-B80>8$Cju(9b7iFJlqeGS-Rvdp2dZoVmMtXl#0{EEmvrjtaP}>w<@M{(QxK z9l(fJP0O()SX}T~JLe-VX!97BVj-2`b7%R%nRMV7U&(3U6dxM)Lri*v zYy&Pdnkp_e1!^?AEY7=ygWI)&L8+S+df)rlUHdOfnB{C13#-fK_BDRXeoTc&US%WGpHhEIf_+G6!p z$^Gd{Uhl$5gJMH1Hm-tre)IIeo!HTS`y-1J``Qg8{x)HS!y4(@V8qHlQV%&c_#wiy z=zTlxN})Th&9e?0JE=UO8`HU3ZW3RFa$xk4CWh_U=d}vEr4z~>Ax$lE|Iw#o*ZzmB zrUjgT$x4tVWN3QDlRH7mbU^}mjv%BJAW>&v@?Zqc`~L66~Bl+ zANiN)4BHF)ya}XYm(asgf(P2B76V9;f6y}g2W?Sl-xFvA2}3ArTc6rj1DdA(KNqo5 zAxN+4Uzi{8Z))4@s}3U4`jw3$SSjg|7PUkG%vMB<^B&GWV?xR$D1>8>lEi7z$Bb@G zWOx0>h7V_Is&K1r-9>5(5q#pLLWp8&_!LvsiP9OZ6``HQ1Y$xGCkA+8-miG@_!LK` zjed-MOAOnueV(P9ag7OSoS+yrs%Fb^F3>h=lcXg~BhXJRU|H#WmF3Xc$jLc(wNT#z zXjiqziyK&F&d7e<;P0;_Nm}$%DGt5u0@Ky=nVQ~K!@qNvOybn7dahi8-tFGV<2Guv z17IV22H8AvBSR(w+>oQIP@9z_2cudZ_oO3SeFA{!@2}J=q>ns3+P+%l7!iqH?%g0s66Ur5*VbuM?ILw*^VIMp(KSWtLprtmue53sd75HtQYGfzzIPRRqclV=k&TF6O&jo0f3G&F5**LV$86QTn*nPBm<}V*p94P=v_17y_W=Tz>4zn{tBbaR)A5dKFdppbqCG}6!L9i?z#tu(Et=%8|s z3VKzGw(qhqZ3x+!7HYz~*mCckXuv|Mq$%I|$o!VkEb3`2No|;mtfUkU-^sbUgI+6)r2Mcrq|t%p|tSB z;9G1u{XX}QxP6~0_r1yiR)p`w_WiewcvDPG-ovPNRSWJRJ^SCP+|j@4A{pelc0IJB z%y4uCiuTUG+X?`3U6lHwkvAn%{|=X7F0`~g{rR@89kGLu4>Tj#@je)`Czxb{@lK%2 zI2ObVWsL;g+J!mf{Bf{tA40nA^d%LnK3p+BMy_5+%?g=5kSm)i`^r|IzK?UEkSOiWH6x&freB+ks1k*+@C&0jp?A9XMO z{Je*HK~CZE92_h98l#oyES>oF2&<<~;Z}Ih3C#MshJ1nP?TyVML+;C zWH+?xcU6e0S&3f29(W8wWf+t4c1?LKy}|}a_jwMUL4BvP-uzBAAjTtGcGW|kpP+jR{huzy)^{3OlWaCRcD;)WjBe!d zG=+2ox8I@OgkRHEJHm<%`mLYW@w5l`Z%t~nNcH?v@HV{IW2dvM@fQPNE1VE(0bh0r zyEInR3I(f{&DepHAzfs6-QwP+#OIuQq?E^NlU5Zo?Lz+6yoOlVO(m71%Z%tEmy{Im z4^hF~d=tRPmHm4_u}hsT@ptfE+)wH>IFZLbC|O{Z4UCr8R$LC)T!|d`35iIQxc7(% zUlW>N1LB2EnKw-XIb(yT?=O@aTcK@P?S$5bjM zpAiWk6zsQ=XAvMa%y;OwYZ!9OF^^3)Gat8NF7VQnrDkfZdzHBoXjK}KuZkYFLl5x7 zh*(yFa0XRz1>&?W(u!?U9_utr9Cgg~xPaOqg1$=qYJ{O%H+yq~6_F z5%XirHT3N&h*!Ee^mY*Dilwx0bC+1F; z_d@l(H3_|X+4fkEmlKKr*T$`V*mBg1TCe^GG9a0@FhK%K!umy7{0J|~DE_Iokd#?4 zFn)sBG-OPyQ$$ccWMRN=UBkDcgGhvK*u-<72WMG%426 z>DE$AxV~F~5!RYtWlT_nwHT8IkZr|5XtAAhHarU=;LSYG%->_qyz!WC2U{SMF(sso zy)NkUSmyBQT$q7n2K$DRGxg}$J1$-3&Y9?d5}iL&yk$g0{_vMA%gmfd*6E`qfpu{w;Ev6sB}TCD^{>5FPaIue9x(#Ln~P;%FUW243m5KA|=H@kE*>- zmeRCrex$4StMtfC*x08;uP2`y=^Fj|4Ztl=C~O_+r+;^;Yi=3oUuQTreyaagH2h$L z^;=A9PaM7eYG#Jz&D=04f(P#dE}W*}rHHa^mhFolmnPdZnWv^dNR0+~C&%mbUp?EU zw2kxB@Sfmh{w?jC62f#Xwx`e>;{GY+kDUG~tsVb`+P!~40a=p~MI#UAM!&_@cE9+y z=<181^jz%U5@HQj-I^Cs!}}<}#ZEoq=_77t6{YkXk}+H5m>~Bak_q+H$18J%9k@t2 zHs&oF$(oH$jc&5St9($v-@A|O<8KT0wL$mq(Tie-R4S)>i^#z1lxj5xI~5Y9KXDU5 z?Q)a7?fm%!Ao$Q4SyrUQl5x+!jZ_O>m3tayGl;R4rESuxdRGW-Pdi#tMNO)1?2Shm zyJ^A1Qf9Pupx3hthFpmFnPk^6+S?))>J{gCxs-E%WS1*F7X|ENwX%|+~ zb8ksE1N|M9-F(7^;$@mIF6gA>ed;q$FF;&fz%q;iK=Wrmj62C>n1#!j|C3YC*MpG8 z3-!_e+J_iC*LxjrRPV!mn;i!{S6wplA=i6WOe%OQffGo!kBB8mQ{rDqu2o=LmNZ2@$S1X zBMsCh!Yd9521=FLVM9E!xdj9+*Qr|&mRi+swFP>tPeQ5kBMi9kvbB4h8YC2b*R@UR za5=$~ZVt@iw%Fwn12D16$MQ<%9>g_2xJo?j@BpEpAZI0a77?x$7YIW;O$?Jp; z=tt(;@8JzbZF|onNMiuWe8#OZMEIS3LtFtJ^L%{PABV(Uh2#QF0N|2&!XaOR!FYsm zs?V#!{Hj51+{B+DILiddEKcHlA}hCjA%@JVwVL!_w0Z4>jw>;r=WLbi3l7C)d!j_a zl1(UA^x2DVPtx1MBl^N=#xKwS?Fen6n85^jC+cPXt@ku1EMkCssBVpTPo2JRI);gK zjxUS)P2?ecaJ&_%V{9`)NY60}pHFcPG-tp*bNB>lJ?t_DoQzOBP1F5D2vL6jn({T2 zh+(55FZ~U;+m8W~4SXOsepFysNj&q*3pQ6?wAeOI`!A}w<%->xN}r%h^-@Tx zZ=Vj?F8%tWA4C2ml#5Cg7jzhQnC(^EXU~Gyx7=jl%%1MG2TX^ucR1J6omjP5nC*5$ z$h$*Q1AQS^{?m^t*&DDTOqQ<|?Il8>DWEd&FDdox?T6gTHKCLXOp3(PMgTWH zvS?Mte5rReIm%A-@U`)I^^X+z&Rp*P$@I6ew&Ps&dxzPAO5tCZ>5hgOQOwI$Qak(! zX?zFeYh9cJVd$^R0_7VX(+(XrMw=(a0WVt|J?sgsn8XbH!RF9|!d6KNyQRqHn1e!Y zCCtl$)iQwe<~KGw{tu4x)azCAK&!9iKczm9HS^HZ`8|85K7^(jtfkVS-J&9(dCV8c z!KvLuBGR>0j-P?^2MdJQns%tE6Bl9{y9Uz=Ml;j01P#j{{p6%0E<{aRO@8X9I&H_W z1jEcastnq%B$9Xx6R8e(4ZiA35}ev_q;|g?`2m`xgBH{AaxNCP@4QnEnhh+0b2%zd z@TLi<5Lw93$sYwyf0v0J>KYv28C3bMMD~Ud&Ob4K_Y7U`o813}2WICm}T1IE7^dIRZS7b+bNaLDgSw`StANd&3;{4UE-Kgy46K&?= zA*h5h_P&XlyTH;2-BM-1IDq+McqC^{kFGD$9Z)&dAiu^MqfRcIUw9P=CG&W`v*81& z?0CdSXN1Bqa#g_L1*oWC+OZxiYTwm+^GBe zEJ?iM$cr)3tQbak4mNfQm5QE zQ^(Fof5n3C&%zlI%Y~;n_?R4>7u4Bs%V{SfJ#THAY8`UynP>VJxZy#{65f|ISfHvmF6`5y9M8x4?h|Je$R zR&?1BY-~b#hRbSBZhQH}=C=B9StVqwEV2j|v4bpAQ!L7={q6y)_|Zn;KS(>0lY0Qw z{%BJR*d6eOb`h7MGd<`(yRHngP&r%Lhp2Q;6@NN+3Q&cf2-^~%G8eu72PlyKAK=+P zKs+kzRhYNFt^e1f{t^#A>kcgSZZ7o(-7*7^i^oIgQEYA>OAgUe*-|TI*)hytWkifR>N>OxtPDRk(Lh)rRYU@e zMvzOu@(y-Fr7iF)knEd4{OVLjS&35neKtr(UNR52c2cIe6|G0)!kIB!Cc&9+Xs46< zcLV9Mua9kPhG#Y3r9pfxi>rEUdK_~SL7@)xj9k0*XjT-PfyZrTQ0EpW@7i+LOnOXK_K>Epfrw*pZzk>__l#J5wzP%`t5?sMPU zTQ)Ru^#?U^oP0GG7nPZX+BwvnU*bXHgs4QEFOJe4#}Zc(aoeb!dOD{PS1@{7W_H@L zEX=2{tQU|7z)leG7Ur>-(~o;n#w?s)sRMX!5P_5h)p7iWuM(c zqHR>Qsw9_;oPdT!>(1%}^Gk+Zx-z~_)-{^r!<<7Qv__6MKz&t!oYrJ!Q-+bj##b{U ze9@rqHFU#|;afD{?05j#CZgBObXjUad!C2tmrW_1*j}1g^>xFbNJ{LOVk50=N|rGj z9A3rW7aaaNIXo!`;LSt)$_*r;?LZL`r3_ep;S!!)$E`Y;tl>yTJla+5(y<--WPQd= zUp)3awk{Z)&BYDGozvwyZ?s=^A$=vFz$-H*Kwu;3<()@UkSzgF=6^BI2j4++5RCP_XI^@nP3jJ0$T* zpXV;E`Qyog_h2NEUZS(Ll2Y(yJa9KN^qN_zl>U$;yuuUD!mhqr;owpLH4 zglNpK9_+|rjYt8FNX8sU!(Z1Uf=p^3Efs_QRoy2f>f=efyO9Ty(tHK;adZv zr2ZcLN(GR1$RF-=r8*t13t7T`%Vy3KajXkNOEuzklogq|B&8m2Hvu(y8F zR9Hl*bbMdPBF5dJCMDDAX1SWg%$QjwIH-)sQiMlqRKJ*;0Z2sm=^}J!)wxYUlb%7X zE{M$+Rw)JCwIq(ta8nmnsrUrst6Ro0w!uW_dIIoGu(>l&dN##YMCI~4Yr^T^2w+OA zO9>uWQj+CXw7KICF!P1-!IY|J+mpDyVsw2xS$B#LsPPV42-uEjfuyII8AkkE(u1)b zppt^ezfliOP41&V)kp4rX|GZV^o$=q0K-#IHb*_`Ic{E9j}1F{FmuS>?sKWx;#@0V}kJA zl$IPyJ6YiTyFbIS*Ws`Iyhusy8G|4$f&m%8P87@*>{&3*y=~{gss{T#V_3Z9<7Fpo zotBbI!#D6hR@fUYC8Zi@%eUN)0Vyw884`DjFHI3ue|x&lUAxYAArhcpi!9gVwl|a8 zFPFhadv-0YKbwEVl~mo&BMs$uD9QVZ=E0>^sYlneKlSo9rXeT12Cbf!hY66)|9^zN z1z29a@-K?LxVsd0cZcE*#ofJ7T-ri`g+p8 z{4!aZHIvD#tgJ*DFjr~{vS!t?q4DnXZgH~)&PxnBH197ve=|{1+8*Ea(GPUqESY(i zFvIQH+5Dmo@j?nVtp9zsIA$`T3vqjv+=z5UOyfF1^u!IVyuL8u?{uW_ZeJYo{?!P9+p9yzPGBV;_ZE)3p z7Q9EW#v)?kYqG8*co%VaMxj}BCRLiK%(BMtDm7Sc08no`MQQ(TrXKf5D5N`oZW>Jb zA*lAa?fJFv+X*ciY6Pn{AD#NRYbkm!D`%Lomul|zQ|XxecUyv7EeGGSW}UTVD1(DW zj)H6hyP4LnQ@Yt)udv(&W#{|B_QIOPO!TCaM^M6W_D8*{cl-Lr*u%jCgjCVLcF^i_ zibl?;>;R2wBMXhvFGw+An(+stI2Ee=&?Sf7P2@-Rvt(7_xXUeAOXP#tekyJI@n8Ode~1;6S2ZjHGLZ08l>u%DSCYg z?|d#wf&-6Ie)Y{Y7SVmUE$kNnK=ji(fcq?K`ns?3Q`0{;u!Xyc^s1wHQ4`GJnv zq$TD+!it0=JVrNojKN|7{6e2vQROn{_DB7aDx+w=!<4HBBu!~x@((B^X$BybO9M?^ zwt+}ou=QMAxGlKs>7j^*;VDrvImObtIiE0&z86o`hdzJ(5yueX%`=Se;+F`Ir}N$E z|A0OH;go_|0em9y?yuTFq z2)};|m-EC<9c==+9ZhdS5fGD2`9@>Flv%b5gDqA}wrR6;50^-ikT?D5w+nO;PFk2s zM+yAyKQNC@d6x0B0)BS|(e`*H3|WMHdj%1HL#ykq0gC1l5U*{-}HfGir62~4W1!XE`NlX8J7LKlb9lf0eU<Z>;kfz}Y{-?>w>EfxkC zI64T$UGkzJEhvU+OclRGU&L}44HN2YC`L$}kI>B93QK_H<99k^Rq^{U%n|Jb6%=+a zDTG}{`G04X=Fk}v4Y!z&EC#EHX=4i7VgD(Upfe^GzduAD(GF2Dtx}>0HrO{*!^d** zlMnplk!%8k$8yC&pM=od)mleEyJ^Ny4N~!$L?1aN5a;fLSA^6sLCl6yhrmhk*WhOZ zZ30m0=qz9aLuF1}5}hA4Sit^H%nI=DV7Jn;(G>Qv)N^73r{RlLC{4Mb7`Wot2qAF@ zR}Bv+6+x7dq6xC25)d8-ju}T7YmPv57v-a_(c^36Dkh;ehm|8aLGx`@1oohXOzp48M@c1nMmMN zhuWRA?Y?6qGkL;6XM0J4udM|cr(yyC$E3RdZmgU3mgtdnP0r?c>iUkYxv?+u3A<`u%k0>72pElQ)PGgg2+7iS|I}(cIx5n~Glx&dF*GdI zu>+_!TMHK>35g~7gj9344c z3fM-Z!s7vNs27MdCfPKln7fTD9%Mzq9;&TlUPEOt<(3VF1%;D>UfdNZ3N~I($i-d$ z`DT6~1U#J>CuU0!E|r0aSkD_s&@-z-L1VJ8WeX)o7I*n>Y4YZXQzB5}s(_6b22$~q zY)>di<0DmLaO`UC$A;0#*{_Y?dy$Ss!R;SvAd(RHCTKC{nh4^=Emy6s%D-_V7bKk;THn~*mB-!mBeW(h^T3CHy>k@0&IGSC$4Fi7#TCuLl1aR1e5Vw5baTey{4%Lhc>8 zB~ho?y(ZR(cBo1RVNlqEMO|BLB&Eqi%y*oh@ToctL&lN{TgwbRRu>hnbzz|wg2NvH zER5YRan@#c8Ip9J?m-v!U!glPjgd){V|jBKM^aYhp1@r6VcuqMju_MOdq9vuPGX|u1`>?Ss$P5Zvk!Oc&-XLVWShlo&W* zO7%uLUl36KM;^rzRtaK@Ndj<5WCkV(J@psJ55-P?t>`RV@z^qf1|Mk}gHu< z#w1r26+!Yg@9Y!|6hXmo3~WLwew%)_p&0li#a(BBD2N1J<2Pphh~F9l-cp7WGY;Hm zS_27cus+pdv9Jkl{tH^YPS|pxD2Hj$C>hw|yd(v{3?vRdxOM+W*Qca?E(X-M?#MKT zKs+4p5pi7n90^H-5u3YY2L^M*S=cZ)32hM~BtP&E{<}HEDV9X8A(sLE?G1n(g{ed; ze(;`Hn_%tv7i7TyrD%;-(hJh`0peAe;_fAhmj1>y!isB7+Co3dy z<9`E-Q^#AJ-Ci&u_6-TIuF>iLhQ%p4g@o+ppikUie&bw-^@bw0iNCkSSABCJ-s}_l zKlTaiR=s%{u?%pBL($`38;7A3P2hS!pUDiSPJ@sh+MSqnp(Mbb4va>X>SY(YC@2_$ zfel8*5BR5=XQSIR28a4lgU51;9lmP`=L=QCO0sl=>b_$7-Zx8M=au;2#acj zjxe%db)o@3VsaHfgg14%13NXWkT^PEYvm924>kYWI=yMrn{^`F{KrT=^#q3^Mp8w{ zivmH?n9|hQU|W@k`DUwB5sF2L?wyI0zi-V#38J%9LvKPUQyBb~3;Z;UiSO^^M-IPO z>}djj&t4pgh)ga5kE7~Gz9W-E!SM0#(~!C(h{e=vpMD1_neF`zwo49u-_H=I|EBt0 zT~bX)=bm4m-ixH8wr&G2ZYh862s({?-Z?yuqIqp`0yEU?&o9^Kh|K|4#EI2Q-rw4Z zPdmq<+VY=}_V_E3A;K(B!?MQ(($N1Iv=)MHet~WTw$f*r>K1!|YT-~> zA`aq%vH=~ek#^x6S3FAzB|0Koaa}gCWfhQx1WpW*o~Xk|$mSf-JKIF_sOOc%(~z|& z_2IjHv>yhyfi7!UBksy{i-szdt-c0dAe&F1T-HEtpZcX)$cUPK?RMlm8|9B@AErhg zyGCTvE837M+SraMTe=vFj7EZiOckE1Ko@h`1R}wl@>FmNsfAfi`T6hd(c|;^17Cve zyPIe+;=RjFH`1*ROW!IeFXG=sUIqNgKaPDYV+zD@VN;3nd1b9*`Ef&xa12~PCs9Z5u!HmB6^N%WGsr{ZXsgzBggLcs0RRGi8U4#MsORf4{rih~Vg za{*{42{%UHGvk*sqbV_+7$y-lRRWM0qoO4)u8V+R3FZ>X=orOBwfud{VIU2utDBLA z{;&wRsn;~q9tg*bdlUb76bLPlPIuJ{f;GnHxzn6lC{b|Mr%hN9$XQ)LVD|J7>Dcgj zC2wIsQR(uqnL}iX)blG)Wt8$}9KMVgr7UfF6ei{m&Q6c~6ERJF}$8yzt6 zDpy@47ES&W3W_G*f_C1&0%ao+3;3*S2}Y4gMv=Jxdmv(NBYX=jHn4!Rz;!fh>-PQK z{%H!yoQ-4E<1>o6N+u_^(~$`goW9`FNOuxygHv^Lz%}Xu|B_wUgYw?S=b{bNJ^FzV zOdsu02TiTa$`(CsTJCK|8^@5NgX_I*3Qo>oN8HLk&Y+HUmgvzF7Yh7Y!7&<<6C~2i zN2k-?(kKuM&-*U$#el&B2A7>!7QaVz>BQ8?QqG3G01FGKxL5>fX&QWjR1*t}l2L(w z68^EV)H%cv?f%6%Sxl^c)k0}un!m3&yLCVxM6e?M_oTcC*6~2S5_n)tfsC^P?3~0Z zJcBl`zftBdkLYaM!k=!tZ89qSz$u2t#5b74OA;|KKUEg{d7W~9VQ74|EEbjFjsO3k z)#ZCs)iq7n5k;CFXghL!OzBZ${s%*$MKrQ;CDDg7Tj0T--f=E zG^^9)*0_w5zHVdu{+W;mK#D7jF!T)j9=s=PzWD^k6|(GLI_3WS8`Z(Z15xeW(4lVl zGv&EI5NLc5F&{awR^)$87`zFOUw1G zOgH*6t-g+N)uOOBFRSb!Q$1IFF_C#@Cx&ok+lNa<_Jze{wb|Es4{9I-3I9LL$ULVG zfzfB<4wA`e@|u^X_tn;HlQwW(z+EQP)#dJ!WfMYe!1Jc_k}&FH@_WYI54W2$y@pjG zD~-KJz>AZhVaGaJ^d+ivwHuL1KSj%-*{>QQHK6SC`%xjmc|9wA_S-)-K=Juo zyjC#A=HEO$4Y@p8L1;}tY4}vrKDi$Ky^`Cww$s`(6FOE8u5}azt49uWDP*c-^Evr) z`!l~c*)UsK+bYT6<)W$N3~r3NVP|_LX)gN#cdCjZ)>FTVb2FwE#KaC zl4dpzn^^SQB>nMzzB9Zbo+|HePh?s*nv@%G(EZ!nwcp@tzcE-IIe2(TG!gFBBOgrL()**`~}HJoxv?@(b}; zkS{Z|<@+>0ZfHxwI6rD=B-lU5SF;y;bUM1t!$XR+{KlOXC^Z~IGh|2sV<_Ul7P720 zjK#dS`FH1NSWj3TH1rS9UB}#V$MI0AS*^GdRV^UgYQc`w zeE$0og;f+H(thHj{;YHc4cnwHqWw~1CZN!^9x^6cT*-6yZE1)PD9wBj8*>b}Pvp+< zPpr@KPvp)8V?IJ}Zf$p|D$w&5N95`P+dDIZ&$ozA=oi^H8#kO0??w+U@;Wv>y{j5> znoo8-AQEj>VG@VeVd#5FC^jFJthiIc-=)7B5pwk(v2*huNq6_>!7aTK94^l-jnHNP zEkWR-wtPqd2w4=5#nTQgV2V*l^hd$Yw{LWsc~=dgF^yM`5}I{~8R9DLC0CmzgBU&2 z*p3Sc%F7;6t5;qI?SbwlYfSUuWsO4o&)s3Bc;$h$pv#NdFxeC>$sVD8&#tzh=J+g@ns zg1JpMFOm0$hnfeKrvY8pO9f56+ZUxp%8HAlzG2_mvy6c<=!>ul*sbh+X9(2NOxX33 zzofH3g7bY_&X>vfHeuxpy{cL*Ioofxv`fMYXE#jUpNN??5ehSMV8S;T^i^DlhoOBiAC!0p^5IR~k3ilQcbImWUA5(uW{5zHsw(gHed3*4( z95P}W^#piJ^*ny9c4Xm`-0nK_B{@z*f+9q_885i}^gVPW;8~C2!=*{5Kq&Cx?d<-a z&~83`M_&n0U$j5RU3|IVCQvl&*&^3Kj!6A@U+tG3=Dew>fpb;ze zlffP0vAtJ{Zpn#g6bWV0eX$w4d62Z!;Kij&GE8J014vRjS3@BYs}v?&w)y(_fx zvi+a+v?$BpXm_apeB2*k`|tMX(XGpi*)j3$%1OhkE^5ffwlP&-bE#|J-fjM0c*hq7 zEU-xO#y-dMY|eshllc1@G+#z6=)8ap_P{|MrO1&88-D?$Yh1NYa0ncEX?iqYk;QU^ z5;ELTWS>Tf)57X=Ne~z|ExHrLIkjj)K#cLSCIM=ku`J_c~*`=6)9w7joTuq2?J_e)wZVBBr!b&M2_p@W)}es0Mf!c;R{O;Sdvb zaB`jyp>p=Au^ec|wi6Z}V7VQC8|CuNn}0DG$-8+jnbBKr*WrqK9@jj(<Yv=GNGUMfl`hCDRTnLo;Th++hv9fjD&;y3hk&?foQ4AA#)Qoz zj#agwMtug8!of7ief)ib6wa=^ZoA>GFmU^1a7n;D4kRJ@{r%_*lG>Q|9S#5mLE89& zf)H$cH4!OiAQTWe--yHv1ozVqLflJoccrAzL997$0#p(=mBn-s?!h0(u1dm|Z{eas zu7i6qdPhI`^N=IUCbDc73PKY(BrnzPV3<{v7a~k22`C3yKO(i?!GBY~@eDUo-#7G2 z0;8DS^uncb_58#N|C1hrPAXj-M4hc zNFDa(xH0jGNLM6grnC!b5i#rRnY0J+UyJgN=+iE$Kle0Et+(2QeZ|o}yLLMHQnhH4$B?tQxMJRfw)4Cl zhGn{hGUEC-VP{)FvD?`?abw$ul=@6X^Cs}-ZQxf>cv5g{X61&@$j=#<7E2Yy^~s0N z9>`ekCxP?ZHHoOLh;5`FBr*?#9e{XL%oO2jq(xmJ`4ztGw3@6x{EN5Xsjqx&=Y9$p z2NS#;JjyMQ4CMU?u>3ve{(hpSmH1{bB)zpYq4uvpWO*)KWSbZzVRw2K`e!T0lw0-p z$6*_6x3qyF(Y#}?2&QmP!Q2qyOOTzW#J=c^wauf*9*$N+-#8`K(+Dos$6|n6tKc?X z&YkvD^3(DE*+%pfD_vchJ%G|ysS5qBWz#Z}kd4~>gJ@_8Wu@cxDg2C%O5)Ijrl56ku5{@R8s2%m&ugBG{NSg_6{Z$o$L!*7Xolq)*2kqJFMd zE@XWY-^(+z13BsQ>sN`dMq4XaVHo^4Q%5paj+FaUe+}wjiUr?=h)|vgW_Bj^mQrst ztK*gfYrb?N{!*PFrUz!7X~*ucNSyK|aI-&wq%|HO-`?)8u&tpcxMXLWE@rurQ*{`6 z3*(Oa!y~R-sQP0))KPtyGl8s?z+P{3zng5$)>%^l6W07EVQxm{UjpF@*HCJTEzMRQ zhaNPp6^|q8#7SwqM@tOO2WzR#r?lG89nJh^J!qbY6+5*> zkG*7U+y;_RIYC=eCV{%Ju%~qzT58dxjN@SgcC=sis(ivdYZ| zQWL73@LZt+bN|o5e5i3rq9}OVk4hMa@>kZp2bh1?e52F{sV;&*?dojp=7}EgvXm=%@QBg*C@PV&D?mZb-;Ln z&RbtF_cgz7kfw-M#olEEzP*c{@+)R*=N+zn9}ceqJM>Y9&oWgZ`q!1gReRB2P`ef= zLg8Fapm6yarrPkQykkwe%S5euCI=tMo)&9;fOzoS6qKvBgquP@%3v>Y*`k2q1}SOd zTLZ+ zhstNCKqj)m8K{<0-MTcdg~C>zHRU51K@Uwx8t7k7XY=1jp6Yx@=ZfkGn7#8x*@z5- zhxboH z>tg_$vV(qH>OX6V-EO+}g~PK~r-Oq{saEQE^%^FsCZHQY9j`U>eWlGp&|<|qhx>7kWN1Ex{phoSyo9fRN;_-gECHJu@$ddyK!M%HD_U z@4I6L3fd|u=rR~U)fU<1B$g)QqRW%RqRZ!U5QWZ!Cj3_=Y7nllI%d7j4cRY*jt&n3gOxA!-?04074A+-p+%An4xyflId_{tH$y^z-f(iR2sfJACw4Tl z!{BH%vBThSG^iu}Xw=9!X;XtGQKNO%k;=^`(tAdH+8uTTJwSOSy|Qub%Lg?*HfWl~ zt%8vdr-@|JSy?CzE7yyY2%$I&C$IKdi(W+5b*kR``43EfHV*N*Ga9ITgWhA^CKoH~ zPd;_$rW?#=$LA&h7~Wl&fT`jeJ(NjDGVqrIf&FR{+X~a?ut2C4y(ncJ2DlPpGT~!- z$A?+8Z}0C+l_3PMJeMv_NpE2Ka*;Xf4+x`0Voa_lUl zEiBFR?~6AksAX_8WtdsSxHQIcAJh)d+e(iD)PWveul&a1-On9c{l?TY?|dIN)e?1o z5`yrE)SZ6Hg5dl=$D>0(-CRC+c6Peyno0x#?+zL1Dr^hM=bk-%K6)oiFedkpX_WLI z$(L@)?$$KvHd967;V~yCXgZ&|$U(RHTp;J68O}l&M+zVOfc>fy&{7BiL-~l`wOtb4 zW(w#Q^4sv#W*8xO2w%@8ky%MC`9u}76h5T<7@RY^sEfClKM3=8M_mwHTJ3J*ND_+m z3sG}r(ye3^G>&I!=FgyNPrhG;UQ5V;yWpC5T~+D!AcSkn97rU&gFN+0i9;Kj((lMb zEwM&zBo5CLk8VlteAnr-YP72qpSK%O426N5K);wMLrAK3D?lri^+4X5EP)M0fgci0 zdUbXlK=R&aYP%85bLdPAjo|(M=25aYHWV=cCv0(-9fC}H6|*Tp!l40HNuPl;u}OUJ z9=CA9Mo-y;;iOkz!H2Vg5AR3wY`YVS#uM2;-+J2^GZ+qj4Jab*0H%8H(LBGtlB3<$ z1{6|UJwlRPz4*elpZ*p*CH*?}hyZ5&;o3LAtO%IJ0JDK`?Z%s8z^NdZhb6h_@Y_n< zZ4Z#)Vl>aVxFAqBdT%~5YHfF5!U*oU273u(Qzo_+BiCnaMI`gJA3&~$su`V3De7n` zHtczU=hd~cl#2DK!ETNsq#?b{4^Q<2c~^%4!Z?*<{KM?EF=6s9DCzQvke_>SarN|y zVfwIk=!S;ZKm7p+4xCYiSqjfOp-g|G{%S5x`?~F z=n&>X%|A{Rsm+E9&6jmEeor_mb=qxVXtNuM0%>PuHha;lfA!YSkNM4LJ_wFZlJaW` zi$w4v>!Mvs&!vmxvx5g=yz-+(zi@X$gQ-JQ^2Av5c50+5^>$jM8cI1Nnb~zSu1mRQ z89u=SwuBZ6oZ(4UxXj~BdOu1sX%%(`E9^dN`}F7pyFJ(Rr^ibh0vK-33A|sP122}6 zrkcYqLX%Z)%#b$1<;-|oAU%e#VnT%e1$%c;EJ86dZR;y06I*cgYDG0gQK*%CycnenC8(? zk(&#HilfH7?bDNM+X<8RN;4wL96)BEwi`JHWr`(uVutDrMQbiIbYBkwepB!MO&$u) zR6#Yu9O+gN_eeQ?{w;;+dPYG8#|q_Ag&y-Q$XCb*afq_Q_fl>Kye>|ZF>uSEVwKKt zJr)v-VX4zd^I|dP!f&383dHtWS!X%`e;K~_u^mT ztP6eIS{EPx%J6fmc=pk@y^b}H_X@x<9+80XV_M9S=+w{M=7omW<$mr?{l}bq9_VORK)h*GPwP`m z+z*6A{Uoko8bU0W_l(D0F zJ=toa;AMjB}sxse>OMp9~2RXX%>+T|Ll zmdi`z9?KtM+gu7{jhfY{1Qn?5)g_c_3dm~zrK=r(F8TvZ4@CTTtVCwLYlJ`=OT_7NnU$Hv>{cmu#=6A-Q3 zsd4`6B}!emwf9a(PPT-guyBN*RwB{$L$Df-`Ep`mss<6hX1~^~Ps{H;0>dwEYqQ`E z96Y!7{7#82Nwp;kw8q!d?%JFN|By(OF-jUiNFnIp#bnn|& z@ay|MAE{3#$!BGT+EU&pv^$?i`@z)Vr^hEx`HND92<#39^}l`8F6Hj^3?9Yrd+b8j z@@WmnFD=oLj6V*Ti5uYcF`;~3uxO=O;a&EKXRg}2Si10Jh&JS2<|dfDc4;%7$n6|3 zT)_<|E9~Z}4`lln6$J{rUA(lbj8pK7X_U^2WKoB4hB2xsma`cA_|P?|;QYgOL{(zn z!87>%AheAG%iSh}LZ6UhR-k@oZU(*3-PXt;zWCnjoyZqsD z7NbNfgQl2CTPbF|jd$o58DjtwirU4{g_ZP1hLgU?YM8#rF3cfs*v()}1o%y9zSLlW)B&MXSP=s7pPpOA z9-||BRJG7Qbu;bav;McxG)&&6#ith(=_^QpZma0$mI0b^$CX{wB{Kx9|C_V+TN+!A z45cQNBqBh!w-Bw1g`Ad#{WnuCq_<5$eqX)Idd^r{GmNZZsS82I6Nw7}&)bY4O6K5V z{s)TR%q5vA^X61OrZyy(~M{Sf(jdxAJrY%_O;C*m9 zprQZQ4l>6QxxuZv#!0WbJ|OloxNRpHBGwpcN26O#N)w__6Fs7o3|~`>t<>M75OL-+ zGQp-IBSfwigyeEnTyUw?hG?_^h9}c7M5qOX(Dqn?8XWoT~XN1aI_tdaimukd@% zlye4Nb9w(*X%$!-81(-oNZRh&^yw5>Pd8+MIO{q7A_L%K>p02O8D}Sa6)V&P<6q|j zJ}*coCc;;>$BOF3BV<10-hH#}0EMz&Y-<|&*IfFD*83!R^vAp@tF zB|@1qu0OT4i6!-23fl)qv?w)Q74#3XcObyA`6 zexJP<7_ZPgQo)AThg5#=Ta9zJ16}|Na`0sVC477Fk5CjE@-$wxuo_uD*JZIhwpXV-$Q@>fSFmKifN$ns{_I3SICjsD?!iTK*rpb(KHP1XA&c$O$3vLEbxsu++gtv_RRp} zEJ~*6M#EeCA8hSv7nHyg0|}G0oI?KmF+0veacG4n%iAj2vJ5gyByyy61#T$q_B@0G z&LBe`Vz&dsR>Y*xR4{cy0 zW8(WlrfX$})*mEC5m1e{-E;Aj37~&gu^Sh4JAyK$9avz(tl>^8Owd5>Tbt6U6+P~A zSZ#y)iPh?SiP$$jx*fda+fvcn;8$t7Nc)#PUkY6|oNP)<=@}*$CoAyZFIKpy;F^>e zP(f_BZSo5pW|o=yhigI!iWr$aeET(8+{kdI9%PIIXn2%9R!>ZF9;lf5qScBpTM@%% z%RD?;mrb7+|E&ZECQl1JLQjls7BLF^`#glC0A&6eJi7T#A%?%kuXu|VJ!E>T-|Wz>@X8=~DkY#qJ?C5M?iR z1hP8Yg@wN}G>}j65yG-)h*O=+^JI&DLyx-Z24dP05*&V7Rv`D>Ow^i?*`4}LEK|gf z5SRrhBU%qlH^vJSJq;5+eGjqM;VpZz(wIWfU_utivLJX0w$4N8ULmIzPGSP9Q`q7SxV~ zqn@9PjGrQ7%CxE^cSVdeL_n&RthsM@1Xj&`q+ypy{`pU=x%r`XU-Fr+CveCFjgDL= zZlEvV^`zk}Ry(GfLIuhwvsb4TDYh>wT0oa`O46+O1nnk;2o4{xRu!?j_K7!4BGx0? zd?TiT@YkyF1dt}Cy+H;yexh$XIUL0_giXfe;Ie;r9SfFp%R9Uvls~&g*J*5-ncrCu z$cYHFt5KwRLM`2G8Fg*6r-Vp3cQodBk1NAhi`5Y{22R(uKf8Dut*321x@BPw$rX%G z>$0W^s@78FMX@`0;ztn5)i6eKEqpI$a1?g|Qg;NVWCaqJAP!`H%!ph3@*P=vA69@R zcT)J>Vy?7In1qHcB|goDx`=r^^fY}LUz@vGK58Jnp)MoXps@BgGyXh0%7+I?$p8ok zE*?8O5z=z2&^q&Itx4CR@#T0Li0NwRP=lK$ViPtFy6$v~Ux<==B$iYeA+ef~X;3sG zz(wgqu*Kdn)J_GIE3NyYbMlPrmT7+5xkdDcFI(W*$|t8%^xKaYO2hv^W}(}Lo~y)k z7l$KCe`ZaYP+l!0j#3PuE+4CxPTr#LYn?@QkUP-OK8%k^E+<4ssoAD!?xy1#7W6}& z_JzaBIZ1CMXbwTQ5Dd6lD~(j=Fv3$R0qQ14{(cBmC(~q4^D@k8iyAH&!XwAI=E%=w zCoUOc6#UElzG>;4PM98tR{)(Gos472x#^F^-1A_!v0S&gvV4Eb-Zi*6rL_;D$0YMM zwMiU+w9Z53RYS`87DMAgRtU>8YsKGV2A0E7KzVT<|0Xt#pwLQf6c@@3o&(q{kxpZbdzrmcn+= ze%7buOWbm~!lQuIVw6pok^;;9IrONf*sWb`G9=S?=xVC^JJ&$4Vwbg5)PiyJ%b-S@ z7s&;!b?PkwA5c{$c<3yy%3LmLY9;W|OS`Ao8L9H&5I^IZs4lg#oFk-DRmUBuQ2D_;MQ_jgBO=7n8uWzsAy#C3S$z0iTDs-<5uDCh9KXBwLDg$` zx0NOlRQ%tBS7WcF`B4|4=)=(N74!qh7u)4ju;p>@T`BZk!mNfNLV9$g(@4y(I)F%D zmPtKi)|TG`YIbrKdxQxNKGMaR*-TvLSQwBxe-b&NM5+;AuzXnh=L(Yd zy?>F*E@#Z-#K()Mxs5+#R@4>7D^FU{vbnmI zq$tQm2MNO&dgWRh`S0!v55G&-%Vw=Pm+_9&v+N?gW>R^vrAA5(hCdw|=`|;O5fIZe zy!=Cx1=<}~c`wLNn7)x6|Hnfo8A#m@8j_O~W*V8#*EH~UV05zT?%b{rotWFWcGbRl z?Wqt|2WDQyTYX;Ef2{ZeysbW#ycNfGntMhW?QG$i2W{>35B=twQERhngEg1n85@U` z*IBQer-ycwM;!7)N^hT?pnF?it_8j57hi)KubB3i4C*F%EgxiV?*~d8c*yOngqF8* zuP2>uPl15(4k7c2{$=eQo$K$7<#SWNW0V;;nCZVhF`0192k7P|734wB;ldugmu650T&m08V?#M|Ev3-F7+d zK-TJ?%g^xb#Pu3y786#9PsZkgDtPG+43>HgP$G@}gKL~iA&*CmFaFFOZGS3Oel+&K zpfucljQ_h_+1Q$<{TWiG@3_AC*4cfWh0N<0aC}J+*f5dH{O;Gcln8qm!f$@BKg+B? zDGNLFl?*1bZ@IR5QgVG(SU>w&#d#lodkaKBzDj-jvWdGemj8#{&5i6DU%(?D*iaIx zXtJK1;HsNiN`4kxd!f8EQJlzr9k}alLuPznOP$Q-8s*_-dFhGNr(0%C)4`pd{AH@QiglatLvDu0OF#8@D~LVLI4t%_f7h{M`(Cl0;@- zul3S(7{IPww&gxOx6#;PB^a~L+`l}T?0h*j9yNY_#;ZB3Jyu}m)Vi)2yL`erT~W*( z=2CtCyCpTpbRe#PC2jfGvIB5Je7R@Y;<6-bWdib_3!BE|n)PYYulr7GY81a!eiZ07Fgsq5YkDf>q2ZmPAn2Pe_=T zlGbVzCN=YLlx(}Fci8~RmfSNBE7DxF9fWQdENu77k;wC{@#}roYZhxK4gJe*)=L#| zU-Wvu0n7dp4ZIA#ZCVj|#-qC9&L_$zq|z&5`FUM|xiLyOPNn(n(tm=-)*KzHg@ntf z%p748Z!}5Zp}!cl{2?NU)oqi`xx-hWPx{>TquKO~m+Gw;;0&Kl1acUFGR^OJ*(;$9 zg_h+u2z$Y5!+g%O)%fb)$M)j#>{$C!pu&jJC(D4I9GLa#AV@A0M=zj`)v&PQxw0zK z*$sp7Qv_R?UBn&#M`J<|EIgkF?9)V4af8BnS`%YZUY2HLjjRM3!$(5PpXr1k5jaZ8 za0QVN1#j>?LcZ#!*27=Sb|6BG^HX=HbVVqqogU5Xu$g0<4w+Ojp)rT|ie$dWm?hA; z+sbl{$a&*oK9jF3Tde5bHN>w5UsdFX^Se5 z2ZtZi4`dOmSt6S^^SS!g;Uk{m0iKDbkiP=%-7!IKNE(Qps%_X* z+f!$b(Fwv2wpo`MlhfY)CWESE1tl_mI}gr)ZM`$TEIm8&PEO5&8evjF*xINF+PDkB z{{><|oxdhO5*~G9TR^rK8gG~Dlz0BQc#-ebFV|u)@>_g;`n_D?OY_jc0x?L6S<>%$ z@&~AQKZnnh^gVyb^n0;FP4n*_Mw)&PvdpL7bM%$;yWcFQ^!h z2^;Yn@+^|AFNS{*IU|w$?!<>I7R%H|!|(Yvw*`JLbNk}=P|xvqzk&(m-`#qH@d1st zg7`=acCnSOV@uoN_dto&tvYEt^d0$KwH*oo^ci0{sB7T|5HgBnUzwr;3Ai#0#lZcr zR|E@pRCjw`2-uF#ML@fleU5wKGUiEmH@X z#$lFZN*xI@jnctPlYAYTNF?boWG!V9uwJ8YWvP~1iECiy11?g*%;mQ>bAkL;?IGVB zgIZu~IW~h(5}s;XlNc@A8h(?l;f^6pOMzW2l?;XLc(%+ZhO|=z&}$7MXXvdm4Oj*s zziWT3VZ<_2n&uEOG0#PI`DayK^kSJ94|Y1vQ;R{T&W4_qZcR=Yvf%eBMGtyjy}>;w z#BZ5y`DdAFCQ-FF7E$v&3gxA_boy0F79+~Na52uksy332gFx{hwJ9|1XCgDAm}COlA&3ni1HUu$h)w2GY@)qD z_CAnf6r(h$jB3g?$tqKws7K5&N|fjOs-wsq<66b!%(9*_$fJ76bcX-ORu@-qJBm2; z4O>0Y_%wZo-H$UxC)UUwDj5$Hp6!3Alc5&|vze*Z7Q5Wcu#DMe(u%lk_`SiY&zFs6QbR^gyQEvBbyXcMg># z8w!==u2qrsU;c+kI@~cK)hF4IT(HpHY}|Pu9M%xj7n4^zvt;p7A76T^l6!< z#_~H2`mmzm_n=)#zgS~D%)jSpN{ip~4Vo(dUZiO+)3n8DysmT(o~H}QMGpr$Ub{KGZL?_S z-L!m3^O^+tp~4WxO#2lSDu|urtv<=}NM57?{G488<8zwMP*SM|9QZuw4wAJW#^5Bs-BJ!5QU=p%n!~H(pvp5 zbv#y{@1nq|!Aqlv^AWpPBpcC@a6-xeFDdf?pM!ZB;ANOi1H6AWG8y2-ef%Mh)hCZN zZDx@!M`NF%{nO7OnoRa7HX&Y^R_!6LgdC0i!bK`KEt0&jr<8JYyn%A@GnXrhK)K24 z&@WzONS6?(_{uaoNb6W3DAI(I#}H3@P_bAUgUYy;YCQXc3eV+j#z7jMw3H_sd^xgB zBN&V-?}OAzmi~X;p2sFdqk#vByRkgoz%oqcwLlbtpPM{-V&zU85BC+2jlP12ISm{y zZVZy`DfMo<9&MAm3mCdJHQ!heC84wmV6Nhu*MH_Etyu7%R%_e#2C-p5R?4$1Y}ugtVyV6n*- z|Gtv2I|-sqR-*JA$D)O@f6w~{E7)Xgtls{tIRXslc@=P*$U|(T?^=t5Ml5 zWh77roF;!5DfrKmIU^O=c_mfd@?|@5hHZne>1BqbnqCkm?&$uE!7gQl0lvy9kI6Fa z8h@79dkiCb7zR`zF~T;jWlrG&6qHsOB#eN!hVOtspChiBrS#+UO@?BmpQq3yjcrq; z(7)v6hZJ6nYYJ6(F)k_K+(aI&>X$F)ar!+p;CA{gp-B2YU!h&{Zw%K^D7rzvhVeo;RBwv_YLO!I&Hvxo zmwtcQQDpg%0xhH@2mwOD{t!a!@b>qLy*7zLr7EpRg08M^pa6joAOsD)@B7{}J=4=Y zy?4)e8L#8B4EO-UJ6y zw!^uJrw*gvkW#`jl#CuXY=c>5WJt?{q23N6PeHGL+gjg+3$HM8a#HC*xoZ-GDMs+k ztlTpx?BK*^QiyV2LrW-k#t3Zi?9YG8U)T|FDKfagnoweP5zNcP%0;ktYYGT;5D@A& z1ccODL0FYS>XvC4$ZJ4o7#KMA%ajel3IT{-VFE-KoIqnexTLm^2NyKgW8TC4P$y{P z3g#HiyjBQZdV{U`3U-BUDG@OTVkC7Mw6Ag!kVV;o{;BK2pg&!g#wcoRM3jF8TU8f| zM#Qcsphr;bYtYA%GC`maQDw|w2!SuH8RDx_M^#a}vyh2)kcm2X)LphG+KY=Eg0 z3ByYaEvuoTFa?(j{KzT@cME^F?&B5_8?*)M(lvk_cKbSbF&;|oA@1@?1t~+((^iPO zW?8)$b7ZNoDvqr3O&hyZ>;kUN+hO0Un*h?Q_W*Vb&I4wl!!Xd7Q5#f-bi)q8+(3IU z+BxpQ?c*jOaimReNs2HkU~v{p3>ncuRs9tjM5~Csr#wn$*LW28Rjz-~v?}($XsGlK zjigF%%SbA;{xOSGdsyz-Svj0}Qr6*NQg77g1nOlOLU?LP5Gh1c8W^Cxg#oHpJDo8U zW)Yk2*Ud=cpq_TD)H^ma*{0asQtyPVk9rv1L+S%|w@8t>0@d!(QJB5-C~Ox&RD~$p zQ8-<<9)%U-LM~V3-(i1h03&cGSudls5TK5t+8)^Tfs}j09wR(m5R`%^WiqptnQz5% zDYyd&EA($s)0qn51?)n(3?9PHMB2URp$Qt=Be@S}k2E($duV>U_DDFGJt8(QdcM(h}d4aYJj{#?uI1Iv#TslJPX;r{g8998Y6|%1;T#Jn!H_5B(q~ z$z?S!%z0N`>CqpSJ#f%Ud+3QwA>q>pNII3JW>J|P)n9iGR$%E>%&JEktWy&_>3zjt z4D{3o9a>f=&lrEayXgT$UfONM_?flWMx;1s6;0vPh92a)t)6+Gn1?c9I#l`~ffzqy z3=laqfUib@d*DYf`i~q?xGbCiAQAh3 z&=NU=l!-M&Uz2`P6`)F?$e&504s=!-8g6t}<1m~0bgim&rL$@wc^+CM5>thxOSc7s1MM~ii$$5zt|7Xx$P6}A z-EnlIJKle8$@FWN)!CgYV(r6Z7M`q_$VjhzFeEY>w$`#V2_Orz!KQYEkP@nPwtI}c!OlUEpr^h>bPEK`%e^6Lq z$f=;f0RTiuqps;ss_U_isM@Wd9{HfBi65{fpCjMP27$R<| z55|8{2;e5&89@t+WJlX^@~ibPx^$?oEi9u$rePb7Ir$@*GU5ti)PE#H?P8h|QlXmC zuDy)90Xf8vK)o~?W_PQ-+6be#`NJZ@h)1M+bWIfEuvVGLvi6GYL&8Gw1qyE;fHD1d z^ddJ4M-7#OwL!&A$`Cik&@JH2IGUnQib#LM6cUAzA&YVce625ZI{JnsHG$r`CvJ-# z5wJTJ0txX$ER^%8VXJIiSowslD>Bn%v_jEgyo&awCz)#R=2KS}H*&hyt#u|-=^pHO z>Y*}tVn-p9n%H>*BF00MOFL@W(n0yergQA*OwSNhxGbs5t+CHeLQ%%B8&2J8mbriL z%(<~(Bg+*?4acY?*MM3~uIWxT$^1c*ZgIojNeKz*wqPFi zB@X5dERT=s$@t&=OLgvJEv(LcM31AG(ja63XH6to+N{`^fhFO#xX3jtxpz8)0aA?Mp}we4c=WO*(iS!t#uLK z(6voYW--zN#atQ?3BELzgR%ug65XKj5Vb01YZ6>TYqKgW?`FAd!<&+yS~2@R1yJ@4 z3^yLCzpJFAUpdmm@Ty0Rwb7$)^yZ`VfX;YA9t)2^sY-4>#dL5_*$=yss$@GB7D2*_ zPA)X9-H0cw6Z{A5ti6X&%4UD2;!WW3l-J{pn@}dXj3{4?n_wCyD4Vbe5L4R(jlqUI z*;wGzyQFHVaV3T;2+kSRdnws5igHJt2Q33R8l5R1A=7A}(msOJ?`3e@3+I2Xo;nr4Cykz< z8=@!UuX7%4<06;(DBIbW)ys1o5P+k^}hCPPJJOqeN=I`$@kTD*)WWP}@gt6QqQlb|YlGpK6O1wfX) zS;eG}FcSDLjFd!KI1=?x0A)X;H|UQpNxY9;__Y$Ctu>ZS?a{84O;KWcjcO8zAyV!s zqHd9F3S#D1>d$eYnLFDx+A}mvV}&wNW6B)r0amcWKo7{`f#HAnZ(q;oe(Oj4rieAs zjkjE_5RT(Dj{4H(=98n7=%flVB{Iku00Z)c?T$r{X8^7?zC=VMtw@4Qjjw&C4LU|l zNEKjrh};*uLZoKm4WeCG;Rdm-`asI`Nx*_EN6sXuf~xvJ9}Wn-$y4_$#SbqUssg4O zIi`Y%Ld~)POuBzpb=cuir*e~}oI8N1lh?T*a99t!SVoN*_yiVu8l-}<7lC1}6AZe5 z*mpD0j?obzw@Q;m_P{;rm{k%jmBQm%&X)Zuk}NTQE20OPi77Iab3JB2`ojM>OdvaoI<~%2jsLBD_Ub9*W@6f_F@32~q}19YlM@EfDIGazJlN z^-*uC2ZDc)-f)DcY|98U47O3ZK(|uagCTrOii08=LX-{Llt$T9XOh0wYp7;Ubt6CI zuzT@%N5cnkO@fV8R4j_ozAHC$u%lRtZlWF_P__d^#qv<) z(lXV0(8#7j)JSn1rMRlK2tf_YtFqglLTp0rO?rQg0s=BNZh;JTC`BTS+=&7ipqhG? zSQZ{t?cOVL4)r4;%_n5&GL%gdunf5jWoj2I!xhkQHGcP~qvgR^Kki|OM@Sl4!5DH2ed*ub;^IH zbi#~Hu{=vw(xS2{9kIZsD#=5Eq)c0-pIrnM@0Tnq$;^IW`%`JVAn#;_9;v{dB2V{ z1<$C)4L_e2(|{CWb9|(M`={YPsh!Y>%f8oh7HLfU~F|rv4^q$3O@*A83LlWh&3N4i=RuT+TO?Ge$A;{ z#6hRhod8B^)qxO7!YYhF#(Df*^)8dJpj|Evz*E%ecKJheX=XyKKPG>q-9JI_OU(vl z+X@HioUK*sR>v4={LFIshkInI_5ZN(begXrD>m zc0W4QBL>Uea?I|i9J7mK%M=g5JW@d4K%#hcJ65HJGTvaE?N{D-Ia~RaH|@6R9mfyP zAhcK~E!=TETw}+h%P@c8PR;nkVQr+TW9g3T&cfVj#5qKMFi>Tixm5sdRLo^I04zbh zVy3`ol-`175N*3b1ak!sGZq+2GM(i4#lJeMm$K`p4g%WN1B%J2#S1B`3X#gHN_fN1 z)^t}|3}SJ{%C=w~8mXZPvPca*q$pAYPA#4MvGTQLP|_0}jA4Ja#(MbZ4e%lm;PMfE ztpO;vaT*!n6AN5BNc~WcIR#Zm;cH0Z$zW*u1rIeGCa?sNaBHKRK7!;cBgM2~ylukI z&p-+E>OC&%5!3J(g&~UcluLDOr`$xZ>nS(Hov|*0N~QjW1r}^eN^fx)K5V{m=5OTD zFIkT-Es_~jP&|LlDd*9SKB)aDTblA5h^rROdDt z*O8Oxr75R%%rJ2mF&WAO{KyCIH+cWrHe1m~;M($k+w|azh7OsF`@)PssEYyoK!^*r z1mm(w3fNuEGz75O@koQuUz zP*?F!U1>sftEw~1t0+#3#&x`@Hm)lp=A|d8f-&i)x;*iKw)F~&POLE<7Ko68U))5-F4lgdxOfTx6;>8@$Dm+u9Q+4es94w*^0_RTaL@C*CYUxg4l}Y@G zsi)Zgk=}p81VF8hZ}G5?8rf>WwW?0TyBX_EEmH||fdV=Y89K~U;9IIF9jIZwad0)P zH})!Rz3O!8dJ|2=g@Gk(y#Y|GBS5ZKbzDo=n@+>^T5qnmqVNLi*?Pk~1-|KeIZ<`J z3QNH))(d2!Iv}Ex>%E~)73Cr^j))V|D14=$32%R4oN~P?He+3{z9iE?X0&%luR-J9 z_9KEiPZ%2rDUC~FZXP^qo*GvwIzHvnpNm7hZvv6-25 z{A|6=YOdpFoyQb($e7e6;UD#h#h5bc2-Crn8+g}6Zq7p#+9YL6u zmhOLVWg6_S_SXGPdh7n$JYnpxzZ@vtUrtnAFGh`$9*X^qGd660^%$f3o4CLB+g64A z20*Q@%CBaWv~+*dX}G`E+tOy&$*<01IWeXDrd~GpmlIXjtFY_kH>wN!8}9ves;c}V z7-9QsfVzn>pyj_t@>`h(`)fX;2C(Da;X{AMLVg26O5=6%%ZaM%#i;RtHSBNv{h94= z@EoxA#B<IssR=WYnzf@T(DVwy9!l;ut_HToHOJf>o*4TvZDmlu}>0U{{11q>*k&Ww)Zbuv>rX z|LSffK`U;HhLp9x0cv$s8r1^_KI^8jpHnQQG3l+lrSoWRz0FhLo9-4Ts#|ZI84%VR z)rIw1Isn(3+&J4$;uPE&0JXZxof`jZ>3Y*?xL)h6KieDk*7fQXXTw@54Tnv$ytK1zS7?8(P$~2V`blq+1HFw(0Z^-x-BN@&Pwe(0r4zAp zCPA)S6*yeZP@3?NaC`WhP`!2i0_D(eJr$X!%7|)BH=r!tczR0F@9;n)_;1_s>;Lq( zf7ty*-Z^{z!ug9Iv}Qm4(rarEwr1aY`@>7;Uw!Se2(cUsr!QP+@%3GPZFYa@{k4}L z-n-?BE3UXr{#~(c$AA6Fzx~7RD|h@RyFcIGbOHvB^ZtKk*XBFI4+FTN|6kdY`DkL~vi3rex1H{4|BEeo zex^;!xi`Q%s*gnqZRj; znZMuOWSHM%zI}VXuUIVuFc&-R)$VEoA8Y~^e7}|XC!3mOs&8ig zshjfa(`Kfux&watV19o`wIz*uw%zA5KUX(^EV1Wj>RJTnyZmeuPC~bDWd50^X2I+0 znO|sXmRY}+`Dbs=Z&)`iHt(WeZ0adkeKqq(YI_Qu-tctZ4ypi@Xs3~3w3^(`G@RHntqk}hwV+!`*r3Yu{S~Hx0&zM zkwKcezE{^G!$0KvP3#k>)(uP1j&E4e%cIZv*oW-AVt2 z?6%rry0U+*XZ#nljf^vL%l=E*Mpis%TP#vb^bad;E7c z1UYcdf3IN`9pS+h|J|lm;q$Zp`wgu!gZrre_J)6O7`p5I4;sel2&

Kb$a5^tS=r zCI8c=afB;g_CITC6|Q*I|M{d@%3L`2uK&xXaYSg={EsJ%Q~n`b_X+=J8-nb+>-?W? z2y*D&_djXEO{C@(|0hkY!m+RUznCyf^w&z=4gN>jf&8}hkS|21%%}aonJ`>Q(1Cl; z|Dt~hEfL5!{LdRsbHbmW^1t5DBtv)E|Eg&c;nh$2Up7o4Z2p1&Z9}7Q=ZF6H8^U1Z zuJylZ7)G|{DgW0K4!4m4-R1vkBY;D<)BmH50QTK(|GNgfL@aLi|8ygxjNCQ;pEQgj ztaYdVXA?$=w0`dYrePEjttb6I&bs*n|A2o3uN(QFZW-n6iCxy$wcShpXInOPw(gPl zAM-!o@>D+lhj-T>L2}#uZ#LOqW$$_a%T4y@ADeLJ{I53IpMPG*UGTr&WPc5T?C`(c z9QpYNz1?m8cbn_ZzenvJ^?$Xw?);O)?neLnKiYjggJTFfk5m5t{?V{bQ+E;AkNJQ9 zv)S&@wlDhso!yg9PKTRaJmSXw@3U^yFcvSvg5s4_gu$R<0O9HYZHJ}ONzt! z>Rokag+Qun#6Nqb8AdgUoPE~r$Zu+Z5pD1N%gyZ_*zlK{+v!QX*t{4slyWRQKLN-v zea62&0mzm->)&VwvO|d&kPEdqn@N9m`}~`&{N|c=3s8#8y)_A-FMNG*5=`xT}|^CSs(SSbw~U#NFS%ugN@BS998dW zZ0_QWd~aiO2SJwm8k^gQ``y1Tyuj!T5x>D7sA*;ADV&%eXlfP3G4u~MwTgeAGV%{K zwGN!>bWB+g?2?q#P z-QCn#w&w}owLnAIuzUQt30^j2ukXk3vY=c2D6SC#+~S9Ejo@&zAH+2s1WKmjnl=J1 zkGeb$HB-33cr2=rP09TeQH6i(;nn`}s6yu1>7S|AnqH<&cfRJjzFHQjHAV9Y~0icU`?$QK+9>Uy5Cjfu65z0H(41hEF zvOhinKnQi*pPT?7+j-2Nm;lhl!T#(703r2Be`*4NkouHAJpn+L`MiIA0szA_&rJYe zgyqZx0NJc_{#>m|Bmi#(UTAI~A%L;c+&+M-wc6Z1M)2e#&Fw>ksXp4=-iI&tvF3Im zz)Sw)kLJCJu3D{|+HrsT{a3Qb^8O~ecEq{L#b{x`X~}o13=p?)Kl< z;lIDR zt|K`p|6miR9rIXw?k4}k?D2fCvF_$Km>b%4*ZCi1w?ub$c29o~+}xf1$JsD{Wa7Z< zm*fukpJe;;J+Q0cdP%*h*Zk%9{)lxS_;(W6p242?? zQ)pg3eG26KupsHpiT3nOfw^$_sKLf z+INIzn`VeUxY~Rg!*IR>QZ-&dy3Ub;IZA(|;MD*G+7AmlbJAh2;4r7~J(usA zTR3!RVRd1NGcI5-L#m4*Si4_(92$}6H?TkTZ%WVD&PAUVx`BUnw}!b9Ua{iN}Jw2LmiDxt(}D;`ZZp z@rb()T)B8;b%y&s%PAi~+j84Y^V#7(%r1A`ET26$hat|oy?C6XcCfv8RA?gX4TgUd zRBx8{xdnGGUKiT#F+Mx4&1ctj`0TmIWkrEm-4d9SNZg0s!kF?nG;+7$F?M%IAMEa; zOtj#RN~H|EAOan+q+3SylDkIsJYhL^Oo+U&v^q_tDuo&6q`=u8kpY+8v(i)6J&#An z9m1pQ4&%{t&)_j|JMb8~?SjZMp&Riza$`QnZc2tbGw%+{E0vrO@#Oi- zYo|VZdyP7Tiup>&*YZrS=dO}XI=Q$oD?*or;!(H7c#6z^LU_a{~m6zF$yB?1&xqr5&lGb-O;xTahV89~mpN0S`_NSm7 z0`^cPvqRMiCHAiXBw*hYZ64WP4)+m}o5=pIF1wWy3V0Lhg%S!@SfGDCT0+4N6YBX= zBSE3u8o$cfGJfs4+vC@syQ7=|c3fsyEoXpM=M3jcC|GlW`WWn~*2t9iMsK22qPMQQ zKYHuA2SAvxlSe?~XeZwQE$7`$m@T*kYcmf5~K!400cc<|Xw*?agr-2i-T~^eUlV3?4JT0B%1-gH( z>-OW(b9dpCj|VIRj$%di{^$m@gBS!PzAbv?zn$DgNL}VGKYFD>^k6U z!JB>AS9&mfTcWM_^fuaxw=1^d{hRW=6&J^7D~E^cD~=9_`;7Qj!P#NOhwJRjCvM62 zt#g5F*h`qO>IiY1&&fDP=I4*ip9qWpfJW&ZSq)tyLVZ@2x=iB0^^_OOR0wsTBWFR0QATne$Fm=IBYFhh2 z2*e|snY!P>sV8;_&bLU)WT!9Xs%OYJzz36bRyU>)pwQ0zqq1|lkbkPh=?gb#axLid zR7*|m8{ouEE8JXLU`=QfD?22~IBa4aglMBl0X`s<3d`&ljGAHMQc+(45unqypZ-kTNrSg9hkLUs@C3>y~LbbD8LJZ&XRR-G-3{ZF-zW-7Jajtby zGtmWzBYe>wkpw{yxFxVw|F;x^N%C16{SJE-T_Rd>X5p;0Q!MisaTt`6%iXc&_v~8M z_G^DezmMHMc$Fqnkrd}pcm-^mCTEr}m1Ch3Vf|?6%LtP&zqu_1>Ix(ap1?TUNdxk= z7@Tak+#T6{2<_YZ`A7^F7zIuH=cdGS9ouO^9qeyy^N>^Io?j~Mad&6eD6uu-9V!Gy zL+q*K0P$#4Ct^!CQkL#NS?#UGyY&sFbdi6!{~(CUuUz`#@HP9%Q9DYICX)H;-WL)s zph$tpe6|sc=N{oeahDMgWCId*XS2tuljX@6JD3f2j7|~E4q6E_9@<}`c30}mu(m+9 zi*Z>pthlF5WxD_igX?b-Y&^p9eTr%wDmo+K9<(bz8{r71f_H-bi6y-&l{BPt1Ok7a zlhqFC1{Jcrd@w?gTYmyMK0!HmBK_+khJg~^Vm88gj8DNEsd36|sD^I2eNKcT4`^Z^1MI${ z|DiA=%TI+=uOEQ30RRV2Jbw>s&RE{KX<*%j*6eI*^qQo76olMS9=kp9>3{txUgL+x zgR2KIhBhT4ItAdaTb4>W1HpgcxwAbmE27B6Lu}-ujmc}UYBc1yXbC#~g;)#QecPUa zHe~<5GO)bQcKV-pzy?pl6(_^%3<8(#a)3=_z{>xWv0cfVJ|}JZh>-T``ik85JJvO_ zyTdtaBz$&X?t(u7z7kf;uhn$O;iS}nGAmQCZ@4ZAQ%fLU%_F}t1jc`k6R6@oWUxDe z3#%iee7%zbW+voHftsxoOlK}4-7ZznlX{#O+;3jLZq+Ys2GLE-&7UN9XE0C=THws_ z!T{xv>|wr`Eo$_Enbg>B3!C^Mz`#syz-iQ0UN~?=3H3}~=O|_a#dX#F%p}7yq=v@I z`gK$Jidwnnv(^{$8ZqW3 z@YqlIf!bLmT7kPRvXXAzWB-r4`4PPV#=5LEMR(G}LU=0lB!w_qD+FfZ*Mk}5L$d@3 zCJs&2NBXVa4@d1%16AR{ap6A;vn{cE>Bnsm88%`e3{PN$iy3-ljp(U`L&x3Z z9R@RLXDG({>b)rD8YibOu*MJ>(x_pCU4gQ#7K~!|<1By9AO{r9W70M0yOU5iJ$R|dSyuC1@A*9*#f=)xLO2#cih*0q^AK~9w)iClAiWWYouLw2 z>C5rQa0L3yZQ8B(y?;{G#=@a04;DI_!&hQbNlLRZ@myZ>DZT0h_HKfPQ&+FAN;OUf z0oI0|*j~%KA=lz?DLPbNW<#>gpQ3z{nRJSbpih5~0;Jy34UHY9_Y=j)m6NFg)e?t+ zH~bzO4S#*ohwdytrOl7*9p~<8Zn^%)y8REfu>Xoya@~%xvEp=7trD} zC|G~+aeWjt!M^yMir~oJV+qNAaxbP{16(R92C}aOQL4KGElWoV=T9l-;u&-QT~xmq z+3-$NCkh4WVuOCN3{WBQW+W5lWsU zyqNd$OzYJRmV7f3!3xmk`8qo63UoKEOlE&6XVF$lLr4)wG4dvgK4J2A{qgC}*4k??<} zF<9zCFcllBhpK(hW)Jk;x1+9FEz6>1ItJO9dwP-Wgy47g`44y@wEb6j2aPB+$1U{m zbF~IQ4N@y4KLH5~FLJP=o%Ur4|@^eg@7P^|=v($gjj?`>5Lsdv~xH7YEADpXOy%5R^2j>o3m3TK7 z8c`XZY6-^%7}%unzaR};An@DkNw~?h87ZFWB%ONTxqAvGcUzy@%!q3j*Q7tbFohW6 zu(HS}bEvUjryJIn*-s$DCl7~?H|nELaG`ekV=eH_@ksTeQXwZ(UwvZmnFN0fc&B8i z@n=*8xGyhw|GPim(v;SI8=@B%@}Uenl1f$1ZFRi3K}BRuW+YvX`_Z6JuX8TA70bw> zp{2v#{S7z{amqqe<>gT(D;Oc61N^4cWgS_0TzBlRp(T6Fgg5dbLb{0DUA(-AG8y9{ zaE7cVI+g#F+SJhBXEW#Mgynx!5c)beBH#f4-0*FHUIEi=%1##^!jmH=1JJ{<```Pa zl$mwRnU~p6#~&hK>+{(UlEKfr7Aa{T+n8-bd}^Yoy(rMv(%Ch_dI^f;K!#;|)U#aA zrX4Z;Cx;OhGh{94x(n!UH}9KK3qXVdY=w(`5=8h-2V0&5swa+N^5TE+_bP>;Xy9X6 z&O&?thq=A>`I@+s%5hzHbS>ue2)17Uxu;k;=*EUhlx;7!6JfksYEeJsyRIS(L5+nw zG738J>ysg5FkgTCQ(<@Ge=K@hid++6P`}>5g7-2sWNeEdK=?PfGFNJnRL4B~Bx?%n zsdYP_1&iYUhDxU{=c#|GrkP!FH*x;jd(oyUQ^{Mlmhh4HCa$VWWodgFwp`3rp9_Du zv}YR`@L(Z{+%bJ!=s;hn1+4enljn0rL}MvC?SD?vLkf^LL4z-O_IS!8Zkv1cQ9tc? zSUfP-5?UH{m#fez2ijkFkQ^zuQ^g1&Xp_x)yHtzFes#6peKp_0{Tb=SZnr`6y^laum?&f zyHu;-`djAEh3C_md;La0+=w=Lvn{BA>vz;R%dRO_b{NQqcSUCrj+_f*=;$utuh&?5 z=(*HMsLBTuv`~NiXJM__NDv(JAy%K5uS{L`1$75grl!+UdLrdx}DA~x$3Qf#bnhR)45`B6DvYD_O3bW~hGH~Q*P!=qO z8o<`w?``kT&wLI)nC>#ua0v8DGr5(Pyoe*Sy3xdgMO=UV97>sOa6$qY1{doGvhdwC zKhX1w+!lci^n61=)m&G^ig+yf6vm z^1kW?Ytu8N-@RrUyR-Y`Jy>#1BC@DYwF+7jURQsa)T}GDMP;AA;Z#H)N5=N;=1;tX zc&z|YPxfjkEHNV<%H~!ikJ4-`flxE$o>F-Ez2+h$M~votL;)Rjw4v7@L)w5nnw2u5 z2uSq_=enQ@HMLHp_g8*sN=p~98xXxoFO3QLKG?<8b>>yx7@?P1QgsNj*E_Ym`(7+L zyWxLYyI;tGbnS(qngt2My6p~Wf>f2NbS+Dc>bE8)f0M+&B)w2q)=?n~RmTe!y%%q{ z=~oGCuE1FNH6l7_6-mUb@JxC{_+eO45Ht zJ+sgc8Co+9ebLo!Mze?JD|{Va!&o zG2UB$5nBr6r?UPKkPrd)?_6#bM-zXlh2{r`fd$Qbf*C^5P7(i7WwtdM{+oy$xZE57 z6eyH=E3K|MY#K%qv)hXI5o!JeOdkUmD03cUz*F!(hnEElD4sF~?Z09}^*+xi zif6`60#gfN{rBAx>-!F8$ckp(3TOzMCWu`S!B5AP_0oM=b|6Q|m<|P2USof)iru4) zpKad#FqK|>%PDcpXF0(#6knmJ+3V_NbYcTjL28=I|5F?V~6m6vcfh=RfRSi{s zS};_;Pr4ROhITfo+okK0%=wq;n(5^1A$K6Zm=e>smi4-=@)0L%$!UKeW%8A}hId3f z7h~M{-@{GSBeO~yFYO2&sV;x~vk7xErM-BDZQTUC`Lf*%|A^T;;HDXxv3=uNr#Kn* zexKtl)JjLM81>zmhl-k7z`2{|F2u(~BD+fEzU3pzD=#KA%rmnR&tn+{9NL!U>yh-J= z1k!6CV^xhsUZ-jaIlPCRLYW!J=p;FnKuLtp+rIxX(39M3YxhppUuOL26`*R2Jq;ve z5R0j09WQfb+Q<%I!;SM*lAxoxLcB21Qgm|2MRDF^ioKe_Y2F7CZjNYjf;3{21d@d zh>;lh#nF$|Om2`63DT2;Erf6siP1X>HYaEMF_&s_cW!3La3}D=_%N7%o@_Nd7Y+N?zefB-8=w*s z4a+B!AY6`66C#6rjc}Q-3tPvCb#8*DxWg+E>a{W$?!8R9vNX#Tln)!44o3~`5n8M+ z>_r(TZ77e_dZ#<5Oi&Zr3>JCH!*8!u5mn!?bVM*~U45oA8@(22GJG29G(a#R*OsB+ z)4$vimrM83PhO|m?Oe>HpFP_63}jyP-y92mjO~E`=v^oBX-)+BdUJwT6+1Zh6P?T5 zk*x1K^TI9fpk5WVy(8;Bhctb~*@9iCIH@%3N3daMh5^Osf13NRB~UJW)~mnD!WE$u=gehUeRkCnWHqyh87y5dGL z6Ua;)(LaTfI;cBE9)#&cu>y~{OPicN@XYkwDT`>FG!`ACGVMcDjJ|gSY^U{6n`ZP* zJr%^-Sf3GVyHvC>vBmm46d#A|F_kHhjx&CQUOxuALYz0apn$1w}SLUaad?*Q4=t&be7y_?Yb&W zUy=&zLx6gUUUJCY>4vbC!qps@c*%nF9^ZBsT*Q;Z3Z(-n$55DI9Z8wWjfNx##bpjf z!$onT$dE5CM|T;C;JxbglAxnX4?`%BPEk5)armmuVvBtsX&YPFvMORPkW^mSTP5`i zjL9mYFC4Z^IEFnC0|Ru!zLM=`RU!-#^M`J;=kB2`Z{_Io$r*kg?0;^PcvQ6@Q5$rD z8FoDARnQ$zh|mNnuFfyd7>c(2Za!QP1$lR)$2IfjK7pkEzVa6ju9l!s;K=PeRw?jn zA5D`>@ekE!avF+Um)^WS`%=H0m+WCnS8=+m1P?PC3N4F^55R(S3tc9r(z)x~3u&M* zS(rGCRW>5*V>Top6zmZC@pc4*FpJ%8#3A&{W6en=qK;?110jzXGd|$eAMh*Dz`D~N zr;=`;Js>{+itdqi#)g^diPjeRN)j>?Tt@19KeTGPp4|%`Z3T8i9*@B6R|QPgA}45& z)Bzeb5CVCUK!RQr>#y=vrzI|${JIG*LlkwvyBb9^CG)j&y}F2uMqoLqJ_}@!A3mty zp`t*}&iT{%YmT20e1>@~9+K`D3xoWSdS>{p?4sPToH;6k_PlA8uW7DEp3R5(qAiu1 ztlhwL{*lZ2X0#bty-jRsWHIFj>~a3VwyFHwn_;WpfHShqByGR&jRgJw^x;}SCm8FM6B2+?xVQbrJ$_`fV&L7A)_XI9% z#l#{3w|glNxtVBF#>5%!eNrowAXCNO{)mqGCu_(0m)T;my2)9MBfk9$0o;Vr#f+mX z|CgSxz-!(MEVOE33!mLH`Nuf<$d*ZGb4fXTCk-!W@2}`L-_)^%stT~;(*$GV=%IY! zg3B?iIU#B{+kR<#{a#yXZFyduE0NyRF&hZ9aCZ2mAJPi5s!HN#eMH7-zZ3&)+>p_4 z7s(DAJMf)flX)!$Z)9m;A)EmV!#F%7I=+kK7|8b_@KX|OLC$ADZsSL_y3z3^xs+q! zx+xDfrE%I}y1nO`{#kU!fsHJGv`x~TH9Pnj*Cyzlq6&rDOV5Xx;7dmQmDdN^Y;+#p zErN)nl^x-ZNPT8>vUgD&~EW}d>_f4%dIsunL}AaSx1RFGL- zlLu?T)30~2ZW2e`c8Bi2K%ATch}+%Gf=tXL^uWEG z#*(3Rihk{8=omY{ce$ZPMQFRFMB@B?>1orxvRvLMxg#KMY$9 zU)H8zoq9f5?#~W5tikKg<=uX63%YHV?`Sz|MKJnJ{f}jtBhF+; zClyYJA>HOHvg$^!UEBGyKaIqDbE~W1N;&T-Z6g^&&uEpw-|UHv8k*{9B?-c(7QVCQ zSUM6UQNOoUCftg0mS6Md^@9cNl74^ydCg((8~+N48;(pGzmdG%tF`6`Dl6a{quuew z&u@me5*ioJ+Gz|S!!DUi+EYb&c`GE1{thbQ+HQvL-rA9Ov(bA+5hQIYRzxLUCVmfh z_R<+YLzrZ#)I8P)mP@i22F;e_?|WH6LPE!3RG_V6k~stG?I85wa4YrVrN(I}rSv$3 zj01xpw=^HPnx$m=M``C^F)@Ip+*Ht|kV?5gX4heM&o+1Nj@Rhb_2^ba>Z70E+$whp z4_D;Zg;8ZzV^Uuh@0DO$|3GUJPBG<_t8GDWV6|jjYRcSNP*WUsgDRly8IUE3ULiPk zt7~7@_E9^L67Vyp_dTA0ye{cV*}4OxQ`FS(4&n2dea8@4WI`{2Lj<;E({Su^2u>UN z-Bg7$z!)6}?~9!mHdrbOGhR$TF)rLFGqg~&^qFe%yug#FOFwR)ik}dWWOHJs^k+rw zazyt=$VwOMO^7xV^wlV~*2?Z9JC*WA;WWK2w&2GL$OOKqQ^n3uMW7h%jA)I@=gZ?K6py0*Tgq^Vv`r)bEz_y*uP{ZQ#xK6Dg_}F`OA^oEyQ5FT%fe^aw9gB~;<4HAl z=!m)~`GsK)YzZ)s)uLm%qr#46@Q}cQ_Z`hlHOAIOx?%m-VpVgN+~+=fl<|nO{YEQx zw$(4tXYpfED0tr6-mb{-b*>L@{emk~cE2#%)sHwnL~K(>L=>teNx>1pPOp-P&rS#J zy=}XYO=#Xpykxv$)qd|NQr{tDdpzk}wa9f4&-K<{3%mJ`hTIz&@#*DVj zqW8zly@w=wMrmVVN%|FelGeLQbl@zzcin&2^iJADN-#PgKvtA?vIm`El`5R^``o;I5$>TRyYEK8 zgh7~`w983{IckO>$;Xvd{$uoN8P6WlYbWmsgOdprJe;UIObJc&ClbE+LvBU{9^RU56R>K>k=I;a#!prW4X^>B7Z z`;&o5)RuEuc*~+Sv8XYNiQ}(%kY{N}T{D2dO@?xyK!U&5xr*XReT|o^XBEQ>m){$A z(HHakkKKdyLfcIgn0z#$vxNXfA zYom7%rfFf{-RV#ep%Qu&f;NqX)5wkDd|^YHFP7lsw{FYXHgWz0mARt8DVQ%^y$RPQ zjE>ghy!^3o{WfcSaaqr~SIg{Q-1M5OV-@eFo1IM?V8?~Q_rnu@q&+hj*6w-i(W#}Q5cxP;-4QoF04JNMzKpN~?>vVpt{(5B07 zFtlNGz`87gHu#KbwH{hoQv1rDKOPxB0vQ}WiDkPJ8-@?CreT)6ym;0b@FzA(7HPWU z4Y_PJoKCJ8s+*v@-8EJvo!e|Q*fPP5u6y|wzir4$8LON$G3cW~PvKp;X}`baGY-hS zJYo;HzOt0{IB5}eiM9B~QQDXSqWescQ=%TL=R)hA8oxH4YA0hpI4M;npUcooQ#W<2 z>6D`ujtb2N_j8dXoTK4q?vY1EDw0JdYoazMz8GPXK9i9i#64bV!B^BJS~Q%2Vk=|T$Lx*({*i5zTN_g`MLd62 zIKG|b!klM#;ltiES8GlDmH1PWLHm3cCyWE}H`TZAez!!yq9;&~m!I};~jGY?)x zWj6b`bUT-!t{;uMiLF65ipsNrUa90eE%Pax5FGO9mYpDq7aWV^Cl+%I*QDokDzZ8- z5jH-4d%mWd+@u)5mG;aIBI*xlV$qcmerKRPqY0m#hUqTsD2UWD2p6t3e9w!+;F^ko zMgylg0uf8;S!K{+(yTn z44&n=cWaZQBfb`fyVibH=9;egELC}1`PkLC4~B+~u38?a==lVrt>hRiDEe+u_DU{L zpgGOM!;cBrCa&Yk7e9g~aeuaYaekS0*qeaaE@R;LoE1r``LyQ#=ZMG%2DVX)`MiSQ z!-?+QG_cEDYV0srgaNLD6b@j0h*EP9AsI`99_bpIITh|FIsN0&jqre^zK7)i2sy{C zd^sCiU*qoTQA(ZI+x^{(hFg!l=;FZYP_lk=jn)AKJ*++5DyH^UDsC4@T{x{8MzBh3 zyRQ05+v^NWaU_S+|7o92U;TsBW44cv0anHOeNLvPdN+;7Qx$C^t$u|{)5(QML>RP( z(5x*S%~JU37Vvrd;_ Akfw~G`HW$7%B}GKKkm%oOc_fGoyO>JZtJH+)T7rI7y95 zA>RPF7w;vh*XM5iUa6emgz^bjeh%bXkD=IgpVD$xVUeDjVYQT*yL%)MPwk3;lV^}=ISW2{$kip1_ zWb`y=#~@7Hf-B$~D5|21$H8Y$)V5|&DX$Aa4vF4XQ?|>Rk&#;XQf7PkM+rnMv-?!; z^L&_%oABFMp~b?<*(v&@wT%+a%jK`9CO6?pD5z)kx^XRMQJ*9Y##o5zQ7chI@vh*d z)sQETewLTQkUzU`k$X-iQ97yNKd_{RTjde>u=a@Jyy*Wh9&d+`8tI-ROF)E?&3+G1 zOG{a+;h{ftuTR8y;HYx#`H;K@7rGN&A6;8vH-V!UKDIb-vb0Y+vH*cZGd|S9&Y?o6 z2}FaJjcLOwnB!r3Y!#u(O*M(D2!@xaTpv$2!FcfZ~QO_wS;D3LKsT1Gljv9B0 zfY0~xUV~Z6xeD&zx%3cJhJq^J*BM786`am1oguC~)FD}XWH?(L;i9=2vQqCuT->EBJxS`LVDPU~h2CfRj{*7$&CoxT+|k6VW99&m2PoviZk$DYr_Ixv+6(OU?`*HPx% zQhgKQ6(YRMO7W)Eg^lHqkPq#0_>b^1+>yuD*PWmIOUq$o@25ly_2^ok*GnU?m>p*! z`D;$42*PkdErSZo#_n$T;Z2h*<1e$@3uh3I1TP^iAKB(}e}!e}0wmi!drkkCxg#JrDx+WE|BsPpAhnr|>sqRni5b++%>V#S~=D zyzs@oz%MZyADvH`ZT)^ICa?roRr&ENIeMb-II?Z%#Qri?Gl)R-_qjTqLtVD1v?956 zG`{xE&vB07*6(x>vHLQ%A_6Emc%UMV+c)uP5}KW@T^>?2^A zR|R*kf4c$q|XE*?*73s$)Ndhw)^m|0O`cMB9EGco(b7&%Uj zQq9Q(*A5UIQ8&m8mnIs$nlPxLUJ*&Jt*_MT%Z8I7OLtPmw%bQ1snE~*RgWRTj>;)S zh}Vu=-dr+nxS(HbRK+z-jy(*AI283~6>&X;iWDPM zulca0P|hR-XI7K^9(7Q2a`k@R)(sROC(Akh&XDoQJx}9{9%wKQ@#jh$^i7bF6QxUr z60pqr7%6z-#>%paTOJJ+Wnl;X^U!KfCVy!!j}b-dM-zx~^3uv57qctIm*#3+m8(kuLZUQy0LJmd=-2Bds_31L7kuJ{sSuyUD%{Krx27>$`n| zE)7_y>2F`OV8zadgUmgX-E)#=nC;0X5~$CiBN zaAYpcCNtP6>VXNqw>wv7U_*(jy8Nb>_vwP^3(z>nV@|AqE^VlgPe;et=Q3cAr>)kK zXG^FRBX81&WAl?0pV5y`zV@yOuHz~zjAx{V;2S)igRn1RV0mP}KP-8;akzugdhaDb zzB7)n3GwzLB7~wZsyHSbS94z~2v2#3p)%wO^ddX63`y}}8?4R_pVlrwK0xMYP@Q(c-+tYywWS|vld7i~HyqeM_+%4(il?&4X7|-S z9n~RJ6sGFIJIuKy-t3^bt{ZnEwTTW$fc9lZj=5go)phaqn|`1cTj_WwbGVbiN_CJg zS8Fxl&b%o61IrAB%d2g^jH1RQlm3z8xOLCRcVKK)zgu_M{P`Y9xh|Ya@mM#bw*@P-V?@HyLEl7^{?+Bmy%v>$W_LQar9B&hL5amgSewNJ1B)c|qWAB&e z+0#zR1~TZ)ZF5XR)ZyTL!N79Ffzj|loA$gyg%nUWy!wBOw{Io=v-DH;OF$N6H6U^m zwz#M~5EM?*7)Mcj6Sk5IL4W1Ze0md| z^Op6s?%$v{ZExeBMDkP6D*jbu=*ATw`KG8Q_&?eH<@g$4Zt@Scr?IKYe{=sOsA76( zhxm<~Uh+SJ{-K_6`xo{9gOnw|9(TM#LZthk|9xZsQS^5#Y~PgXH$`xNasOSwKk;U9 zKKg+Een_77{~Q1BdGdyYdkc#Bm)w8HYk*H_3PB}^>}^`4e5wQyf)LA6^eREPE`Kqv zPx3WBzj-FVp^*PY{G*zv{O?ExF0O9IOzxJZwo0YA>a zsqSkc_TY|p-~dG#a0qk|5D*xUX>ffN)d>ubbWjiwYX}e!=>K+2O&l3K?QCO{4IHwV z(1&x=6pU`0_>;k4iz*XUL6aaOLG~3E1;piTF>N4zG9~-Ib0F#%EzqJ9i%5at z@}>;27$z+22&Yd4wWzCG-B~>B>tKx~I8fP$b@;ZhP=q!C-q6X{&lT>189{RB;BxcH zHnJ#hO!fN=2MHW7taG}qTHpq;?1nJmFwcpJMaP~39MIeLHojFPg4OT( z=>4H&0rzVf{p-V{YQ-=UZ=8w|&DBvf@y>Tn6U41=2z)1s)F11CoxWGlbGx23A3>Ak z)GV%;(OyC7jH33p!twV|nhX-+=Vpe_{bvzy0O`p3oYtdKkP7n+>^gYeuvjwNx3vYM zwgNgMw1qR-2zL&~lW-={FJ_jI;8=3Z&9=~BXMF)HH~073XgY~PjsIQ02RyyyD?AVo za{T|Vey$FV3}&Y0c4=mcx_W7$^C&P^Nd28o$f$H}Y;5w5mbd_=<^d)f+q#q^B1BN= zu6#iJ$QHll4a#Qtb9P2WZOPG61<&mI`KF%7j$mtCe$wxrys{wtgT)~2yW7u3R*~T$ zUrZ#IKm3@6Eid!>ugsq1F$6k}Wd&eKZT z0Z}-P1R+rgQ7yRD?Dne3Q!_jilfp#JgWO*^Rm7B5xVL#t^$(6QGq^qu3! z)@_3$rQfbhFrz`<8q*xtox0#)dZE`(vW$m8;pE^$h`ts?7kRMWfdjR?E*`#F%!M+7g{3hbi{?5zt{9Pgqen_U- z;tTgbyD8^5H~wh0{bnax4;`c0j)lf^wAznXugkM3ws57O+l$Gk_w#$j2G0=e=Nr?C95xr0rs zkeG8iiloGFEj@jvIIFEzEIImn0e}R9utFNiRmU9-Elqp-qD2XdG9B^&0xQcGAKhi; z5Q-~$)bOW8(htIL8(sYxI+m0If&jPi2OP`5?gdNiotV5!pJT`<)Ao1v-7n5c*%rWT zxq6GiS>KH>oJ6;yK?NV@BFklu1Dkac0fV{Q?|1t{71^^w{B{{BbvzW^YtTp&*Ok=H z`1pN3flB%Q&&4lfzpQunW}Xcze5bxtYnc#kY1x~z&RhpVg=@~@LBb%!Hlk_dBYB2? z6hU?BOU8hH`eDQ)+PAfSXM(Qx1(?(`Y|#Z~9$TW!u)R0S1tNGm0d5 zeb!nM!2Fg=5iEi#+<$(2s)>jWXKM?D*Z#0xUg-XHzLQi28Qa(toA_J%Xz#ZyMmMd~ zAI~s@g+Ex4s-Cg6y`|KK5=uwOzWRC1cjLo+^4AH(-gdsfdzh4Y>_jr>6ZD6xj-Is2 z3AdQ*6h~Lf54~=0TW26ikz)W1r{3Yp9qzw~m0iz`PZgJo%B8nLw*DPp$hM=fwn9A@l0VhArkok@1wd9;IituK-Q%#Y-ePZd z9hTbw(i7)C!5Q7}{q4PyXb?PLG!Yz?8JxWC&{?fqe?rk}g&TGB&qgCJHYFAU^nR@W zs>Lo}n3Cpbb4vC3Qo{ryMXxGN%r?3&clsh%KxH~xiu^3KI4zRqSKC|7=P`-a6WtkT z=xM*w(@8uT{olXvw`bRIsr*bo2N`(uRf&&fYYuFP_x|O~n{ySV$Cadrr-4 zb6^x~+kEL%aH3D^_vmu1_kP<=_TL9Om9U4({Bmdc?x^b7$Xf-9^oe1Ti+?XU1X{3N z{&2*i=TACHd@@^s_~^KMR@q&)eZt2TF(MNV%&KfF3{%V(n*Z9(KUT?)G1iPwYuhhi zQwn8@3pWhE$v=$F7r2+3^(^`+Q7kK1GR0&ekg)Fti+cr+l8vBQ(N-g(JD9lg^2(z( zC9)k9`g#q`VGjq`YW8ogr}%aq=Xya;HvLlw@g=lC;RU;S2=Ep){O z|9J^Mn%5wQBeF%rx&;v{$5z_gCjDosAtu7Xo5kd}*#ZKPLT}9qro;DAz8MZ?coT4@GZ(K96?P2^U6GU>Qh*st$ z=NO5&bS;VB!z>9z-)nY>3D-2W%RZL(7RwVR=>d9y|1ZbdhE6wdXcy96UK|%`Z=aZe z7XEG^PZ3O_MEQ)vxHF~e`3n4>fjN9tLBJS1)!7~EBb>(fEZXOcQ)qoh2Cr%cFLnlR z-=0cy(Z;}bXODlrQuh=={;N>CmPGeN37n~B287schzxxyfWw!ZLLiBvSL)xrs z9j5tIhX?q!YO;5n^T>B|GAx|jOss()@e{uQq$94k3r+PLs^}A8rq-rslBnt>1C zXywRjM&Ot1-o9g;uT|GoO{F>5gxTS#qLMkn1_Vv;7egrq#aWBk9z$WTU?Tm~tiuRp zu6K5uOzlQc%ImQ&9De28*rv#7&QvZh`+`V9-7E>Necy6vQJPmmvel zXvXgi2ncb?KsacKB2&!p;v$`GMEH0RiP7LZNOjrq{Yb^XcnR<@rJP@EWpNiiyfewO z!@}(abo34eYqnZZ=9AzvXd`FN+Rh zATN|mStCPcnC-ol69@`0I5$#^++=R((#n^CCr?BJmoXPNve^0j$a^2*&R-Al@T$b0vNk-mcP?1jxtm7&#}ojfOY zL>}v_Q4$8)X{?%l&R)=;uIxisH~#!@b`pG*JK7qR&&*#Ej@gf2(z?cF=p;yk&gnF~cLXp-)eRM6wbU6Mnr791=-V^6&}XfbTe$%eoRtu+h?gSF<_uMGj$g zc}4m1ZRUslwTQdx&T_MKe{=6M31_&QUp%?i;S0|V%F)|U^rJL&!3+T<&0AaFd|wAS zZ7XU?w?|M4Zj|t7SK0|fHkU1P^Am-yZ}VLT=&HWbn8|OyA(f=VUjD-t+_Z0}BH32= z`&QVtn`^YJ0Qya?BJe9Rdgb|5PEURT#?XEM-1pnD3@-4K&u&7#>E5=6t-rnea-XND zg~K3RIy&}<8xn)ARS;Onio$U{Sy$vgiC>pWr|fkfmK~vK9EJ_g@Pg?%&L4?x%l&9_ z%z7OB?yzUSS=KUg6xm2|sa-&}@Ko99-z5dbTZ%7m>H+6?@2eMS<2A?6c)V6PHyz9o z;E1c3=*?@KImcb<_V21!-%c=bI(v>cx_xihIyC%uHt=QWYY6aLJQL*2@fIIy((u@E zaKN=VWN@HE@;1ldiVVna6@^PrJH%qcRBvbe7TRQyGA#Mkg7*)ugyp^t(WEkEJg*6tl-nvi`%XYoS?V7+x#D^^{4#;PgJd z_gFNG2D9;A*)!q8#@zHWG<1wHT2X@`8{&Ouct`KnLr-m1np)W8<=0nVauICU%kO~; zp-X;c5CDOYp@ukZP!(3>B#kQV99<5a;z{b#=!Vn@@)Ezvc+Te%HA9(Tgt~8yu$%y) zR387{4oRE*q}xAIHUsH^ZFg}=(D+Lux8%Dvy%aR4vGc%ZHYI-0LZ4hZv%JVu*hIBt9z|Y{t~U{N6Yi`!6!67z2?eux%ZQ+}gsE5@moAu>C$LtwZ-5CDil+`M&hzD7g0w9^$% zcHldk>zZdp9OBwX3t+si&!~l{%EGp3qrk*h+#WAyy~6niPi&{dy8*hJ`%oyFQmwmCqSOTd~Yf3AMs z@N%rIo&1@-joh=JvSE}YF>s0e=J~VG+-fvx^e1?!50=5Y5GxO&ok6e%C1hCx5PFuC zKI50RN>Z!8WnjO#+RSwhN6)00y^V>i&olF~sk*KE0>2n~Ccl6p-N4d*G2kr0~9a0}x9S&$=rijE#1C4Au`<>viE@(Y$M!(;ErGe*gl z$?9)Pq{K@4u1}5NpP?C3qrWvQKpMD~e6{5#3|ck!oAP`n99}5hvFgkH#r=hv2;W1! zhMc&qO14D6{a$vwTzXv5Y6^KW24)sNca}Kp#rc)0|`N;|f zj2_kFEE5R{@g~LNVl9FzuiE>_;v~~ms@rV;MT&Zsy}mhvl3OJY+<~vA0(x+8sTkTY z#UF!=d?{KCUPz5Cp*i=Rc9QA0QU3@ufrXO$6$jnvs_LBw{{}O@$N=cgmb zYI{^OP!tAb!P|_6tLUR3DJjt*?2~FgZnJECeLeaKFtxH(xHx8(q6NVr9Dc(w8pZ78 z_Az*}LatE?#ZQxo;tM;abNyd- z8Y`ZWa3}-|qZN&WH2xGd5{fCLJ%($dF*tt}bG}a&5i!cfDKAjF7|9Lw|A6FgXpK5k zE13;N{i&oAzUCdBSdXj z){CY(LHCSj>eY)jIEkhwaXpJ*AM7Y#+`NbT8Hrk2)U)XiV4u|v@x zTt6|QS40CtS23c?hFxnoG)G*nEetKe_g&0hFp9~f=bc)^P*0EwT+lo*uE-Lo{qK;X zVJfd$snLHhBJ+=hVWOz(lW8ZACAcYt47{pXs<{#MK=EdS1By2k!YAjt%YEsHP+{O% z;*lS@`I|dE;O*%YCFz$~!b|5470qr)yf%*z5SIB(Bg zmCVC)D!m7*{1TTQ`4spGoK6sZ%e#r&9&?QM)9nD_l=}kWXDsKMQ?;tc?yKrSd-({aA+m z$?k-kLa+r}$Q-Q5&dtu&d2-jCU!j-k{B zIpBiWU<%J{-0L=l{7BPDB>Bc*%7Cj|SM?y&ka>3sT=@_e&Q)+%Rh)}JgOVpV0c-oE zSC<%KY=gH4;w}*r&axX~CJ|#qY-q>?cEwYo7Y3RPf3vHeToeWjN- ztmA}y`a`mCH}!WCI&w6)i`m(*6pjP6mS-AwyS%t$c(}J&X8?Io$rn0n4{)KVB}l5i z2q0G4bGLXa05LAVj%Fam`k85LjO^D*U5ktHCu&Cg-vDX66x zU6${fLa2)ma`xF<3Vi?ta)^2!Z>nfG>ZXtu)bCBH&8a*sh6T9r17u5MLjw%=v5_)# zlySW7cF)hu`MJ}Aj{Is79!VYT5U(NoK!@jxU3qMne3V*t3!M_$t98R9-#fhmUz?wMo zJKM{>Y+)h+_*T1Fg*SNDb|?H1{T@ry(?>9OrrL>L-GdS#eOlo^x`*jYO4GlbBFfcc zeXu{MvpWC$8i$5WiP1AnRp!_Jq}6Z~S^Ax|x?5_!*?KI7g5fQ)T5#hWkkg(?Ik5r#B-Z*RMR2P1$kiD#6y$yK(<&>;nVu6!k-9~B`)lQQF6Sl>ltC$&flei$ zQKr^aT81}(JRC&RX{VSuyI85!ZABx1O6SkemG$_q(^cJD-{>cXHI=jWaBlRVXC{bO zsjkztFCms=XLZs5k!~>!H>yzY+$c_WGkBUdE=tqE9_teJF_}D}^7o?W?Nc+$D-UV0 z+kMc^g_$y+yL20m)aRrMxzw;7KRu0T!ZEt$z@}0sl@xUU=$GkC3zudXWAf)CVRFg_ zHX^HzsrVfc?*-LLR^p;t0}L1}OXMrE%&|2}(9tJn3pQr}n{3ey{06#drj&IX`tvF_?9S#$kgyi|A9D7U?v8%KlCbTagj+v9hT)j+Kwc*dB{QIM2}Z9}R!l zD|F@ioiF8Yi5x5$J>(v><_`Dm9>vzA2F4?$V}r)~jg{et&1n8vq*)&u@;dn9<^K<~ zxOtV}TfXv9D(n#^-)Bzs(GAY zJ>@k}-reK9(Y(@FzruQ+S1coh;-0uN>~m_TukYNw;$G-=r7h~yb?$qGL-&hwXl~rb z-}&ipvDzfv+WO*JBCP*RH11CgCbIRUKke}cYE{$y#IE{q}@9^-o;7-bhB%RC; z7wTc{I2gf2?gSvwJZRw$y_H1%5~FoXe#TLrA8V(f1mc56>pgeP2ZOxNA{Eo*N%Y^-3^;`3V7T#|J=WrkR(l*lYT^ruSs zX)@G-wwSd`nCc|_j6XF=fnaAaitbg-b-U*Os^UK;}8GiO&7s`L1z`e=9^M}8MT*Xg+mX`Fci(1 ztu~2e`BI@iv;51tv3`=xh1a)mu3y zCoyu43D`(VZ=R%5fynApb5>j5cEz%*Dp$k<2hl#Q4^y(ngn($gVY}kGMqO=syIO($ zpzY8+@()k4^WdPTU$q)UOLfV3^EbP7m9s4`5F&ra3sd!chw{gRXi*+W8DdR&QQ5E$ z4vIPMO*S^Em){yv2@^Iok=nGTy@wYfx{c$F%8YWLK7K&_0s47OHVBp&EU%Lre?H9^ zjre?mY0Qi9gmR8@Odlhgur)4QJ$rwPnMWFpWrK!US`?HlE<}{HgSj@fBsE1=uFLJS z5G#L`CtJ8_ion9w<}Ng-w6ae^>eL($HCFTG-?G>cfkQ`oJ|`dTb7OB_SRP3IUgwfO z%AXJcLQq*4fSd(a{2zys=Ue2zW`4Jc4d3;7DELC47u8SeY_ne;j~jdWX;E(+c}NlL zueU_xt4_!1mB!h%7M|U!n)DQ1k&h>=x47<;O~+*|NsE?e5e$;M-<9>0l{8-UY$P|f zdqt)N9wR189Sl&D45O%WZlrQj9u_yIC;0}yS%H;}1G_}z`f!|?N~^qxicNhrsA3jw zf+9F`?I~V+?Zry1VHLD)1?Nb+@1VnJ!?|6$o^jS}bkhYGa|2MBV?~bVvZQ%vD)mcm zF3qqC3k%AbhbvC~`PQ6kY}&$+bYDmCsBH}@RiJh|;498AyRyatk?is%Y>}Ybz3?&8 zFRvM%z;C0elcxNCXHf^|dc z3H%1wsax*I_=3+%23N%|_m+iUn6s<7F1Az^)$M`3AFuD{*l`k{u&glkHf0r+9dS3& z5FPa;l|ChiteyRF6yl3Dd^K>nlyk)*%~e8>yU-^E$%(Ax=>DP~svk{F>WUM1hwQ~- z0B)SI6t(!fEV>}tZKov-BmFqFFK3Z?l3x}Vy*MKJj;Km>v=ZOTgNS0$_q0yMWt6eOEXJ)H z8zPyKq5fuhsOqm5XRzs8!xRoDqeFL)xnllm*!IAre_bJvY^~zD78))(S?fQn0CY9* z%q~iBs(48XAF&AW`7KG0jm$;$E$?WyRJ>Sg3uHKiUwus;&0!mNPy-2SoXDKZKWA}$ zJn-<{)_KF^GBd$#pskYS@bCkbBJyi^OebBV3G{YY;0dv8*VJ5bHc!2mSNJztQQUV~ z5i3OS_fw}z)GZP@=jBQvD~?F=fWkX7EaGc7-GQO_l2nO{>3xnDbo3C}NdjHi1oWeK z=Z}iqISpdm8orX%IJ&K-ah4d2ZONppOqEfGJla$*sSCTwVzkl&Lopwd*Ey(fMOO_Q zeR0)@8p3K^2E;2tngng)nr$l&z3FF&--P6;cP`%U>oo4S2qO-=1+u&_T{=aBof$MmQjJM6slQqd-vV zT`W{CF3=&*1~2SjJ)6f*w^(IG<{OcTxF!?of$)S}NF4276&p$>*HVV0Hk|OugE*#w zPnG8_NK$9KuVIp^^cEW~fMjNfq?$)%VMg7UK3?jQfxjFoYIAmL z&#R8?gFnzdYQMw&s=Px`FwZAdXYLg$><_BE(w9*9c9ZMiO5lC9zW zBkdj|s2kaWs^BdCmC?yPS81$q`9mD;!QuVz_dzpK(BKaM?md${6CwD^j1;;JggOmT zTCaXk3Kvf`wEl6z>5bFZQQ0%=jf9rUyZ&4|fb~S%f>SXij9TilYJ^YRIN`A2>x74#GUE-}{1h!tl63WU%(W1=>&WZv5sU{%jKa&}8~+n7A4S=mv{O=w+AM4yI5FEd$TV=bV#6@&Qn<@>YLF1UrKpL6{MTTr@P`VSA=_ z98Q$%TyB8|d#N(n4c@`j&tbf&;D$gYm2&cU!rzCaCLI26)dKpOylo8ah38glWqKaae^CEEd<> zZa9+^iVbXxobcB$)(=T^kbw)V7E{2oL!+~#&#A@-)Q}f0qFEve9ErAvnr8L z=)9HBhSm3gQ{6$#P?&R@IiIdd423VG-pU_>U`TM~J%zgF>bda6|AyB=+)~TKURwHN zjgv+UQ`^G@Vy&+8TM=TCb-D`EGVp)d^i2JL-Yq$Z$Lxr$oGI}ZN;GAOmuGU<==>A? z*1HV^pc+GFL+89e{DS8b49q*G@^r{(kx32+f;5jPNKR>B@edPQsY)lTmJyW7y7Uth zg=A^K(_t?z;F}gmYPjFoCmaeV8Mn47Zu;xzi!3PS;KID7-FO&90B>w09KhPW$bk*S zUVBDYmX;;?X>79Ut=jrTwRlA^N@bvbp==!>Ai=j3@ulQSQ!{FQ3;RkP&?5dfZRpK_ zxu3hlY=U>17dM2M?~cBDR7O)eFGwjC&?j{q?0S%uKnI^CX^uD+x(wWL4bPfv@N-N* zBE&MnbLweGjv@I2iQ;0kLdxt^6-oz;&;$*aN?mBhP$$OZzUwN^e+9q9`&sZy4Ox@f zW*mpweeliqou(EF4$PL$ZS0MAxAa~&%ll^zf;GcdqMlBGNe;}Sp6q*D`IxnnZHlVU zVde2lHlMzrOlXwB=?I0FMOY>V;noP(BhJ4(LSz<=g;H+CbC|dq*t+LHNlpW!N>THk z`N{CS0#Es#685nJSg;@u{qDt2?7#M}eLQqs)t)eCoYIDLz|)2z@i+cFZU3pG$g%&# z{7Mw^fD_aBEso({vV8oH$#>6@hPFPQ)%BPUq`?=dGob25XDy;3D+yV)n*_7Du(2L* z6m(0I8K!OvFB*(0w&`Y)+I1Q@ch)Tm+Ts5s(5^c#@xXTkF}++&n}6=!h7<8O)Pxxo zyp}?xd`ztjRHl;Ou9NT07d-p&6BcvcOu0#D5U0mROYk(ga{Vp$hvvMIgXP$yL>miH8OlgUhXTR3}B{ zka&>;n|^WVW=x(nCY1BJAM3;;@WWLiaNu%XXoF>(Dma}us8A-6m-NW~2L0cCPz$r= z6Ba56$TABE2<-pgDY{r1IhrkN`#2UZyRH8BhA?g7o+-cH3c{P{zGG^IY z14_5n`NmBe5(W1^tg$1`V#c)W=4)v`D;u%w;D0C53B$LJodHjmJC=py)kmX;vjg zH{3erZby%d%!#w$TiK}6_vqQI`0LKjdlX0SE@vc;NioxblNmpwqbIo%z2wfs>OcOM zhh~_fpK(}<*r}nVHTyb#0LOw^1BZw2@36;2lMSU+SJHLjf;b@|R9n_{DuF(aR)=S; zEH7*2h9~swL^59KmCIZ=P#Meehwj<jY#=Q7`5Q3w~^=@ z@Q^tVxdR_JJ2MZ$hDu4rK=-n1=K(R|?Vz7_4)eM1!_MQK1&t&w;_e zQ+gHyp+2ZX=2ZBH%L#_Y9e~EY$(LX+K*5_?52bVCghNEnGg=v|AXVw!#-X3lmulBV zT0O7b10$hKsYHJS*gb0N4Etptsk!p&*QSQSn?Oe-9fD`Ag&=Udf^)UQ4pQz z|3o~9x)0Xw7p8c1t4o@)B!Q6jml_djphP(cH!IRDC(6VRo&4__RtwLr-wvo?T|r)> ziR<5IWkDdAM{#i7(JqcOPF^*$wDq?32tfJvFWi_CJPHcyZC zK~nugnyecG5RSQ(Oh-5eCw_HcI);cdrqLRn zWgcrk>QddqPCHX6yy0f4cqv3+N%I3@)Zn0RC~6Sg?siOBinrMM&tFC}E}*<|_GLPf+ zZ=U=>nQkzQ$BhE7<+KDO0Ea88;HF|W^lFhFBddU)UZ%_WLzQk!EnU!mPv?3li0{uq zv_lT}25HBz7Ddm%&GR?YEg9N#WG(Y89hwa=+?w8|Og4lj>zf@(t4cgI%l~C(i~o<0 z7>p(!)-uoxtXiF^?q4l2azN$r{4$i&kGCJ+BSSxK%_ySwon75jKOoVLPkS}6-#(CR zoR^I|jaxuwg4eyqf=Dyw9g=Yt8-$w z<9!*~KSukI6g~47o>@;=Q|UiKF2=(y@SV!)h&IJhm7l!#g2 z#3TZ_=sDVl|1Y9#=Ugc#5jaMLf&;l9V(UEie~|$WZrlIs^CJtte*8b1&|P<%EdSn* z2_jQqV7bsBiol({|F0$8T|3LPG8g0jP-ytJn4-)|K z_^zCO=d0%b(!RJyqP;}q`5=DV?%tjCyPgFWs6txO7(d1bf`xB?QedWg#zoe$=&lHw z$4~b34cR;OrVqkc497(5I=_~T&D~L>Hn^nsexw}NS^1Jud_B zSj>ma(TpGH;u8B=R8UH~AIwqoAzv`V>Zn8#%wi#{N(g9gP*Fcn4MY>r_SDb|$pnC~ z0`U-{0_>j;xk@o;zl?*1i1OAX_AQiTQJ;yF&_n!*hSBo{MU@8Fmj(@&U@P0rgSngG z*GEtq;s{qZc-Fk#9MSjNZ>Tk>2tRKXn9zk$fY%Ef1d(~vuq0H~>W&Qto708>{JA{{ zXnOvBeGY6Uq#Q@?mxhDge9mnSBqm@c|9LOqiraB+eR1L6?zlNLyn!{B=cnzU@FW~T zh%S=ArSpyWXn#gn@CpVGOea3yA>4duSn&M}f(WfEiWB!sj15#=u=uF3XDCwJWdC)W z`Ar0Ca5x?k>3^^rx99rdE^;;w--2VG#z~(ux912(L5bZNowI8wk}(5xF5t%PXkNGb z2iztUY5zanCGez*7>g_C|pph|x2xxz{YSJyqB^F5o9LTT>&WIscJ}Wa}{-E_F30#2_k{tC*G*v zP{Rn1H~i?F@h@pq_GGvBYqn@k24b$PkE|lmDx>yVW;jf{` zr`3MA$Q)e#z<(4;D7+jThKFz*6Q*BgfLadLp!007($?{z5$MTq9qL2NaN^wL;QCy& zQNoE`^l|~#V8i?d=D8#za-}4r12uw-3}YC3Nvj|oM>k&;Pd6W(U^jLSf9zhy5;ma- zV_4~Y@O=G)v8O<{-EE$?mnU&IHlZG4n8n)0j(9=p^ z0>T*9-WP48eDf6kw|{bwZST;i=f*gltILi#*ppe7e7hxGr3;$^i!rQxcw+|@`;dS! z40bHqh~myTJ+TY>h@#d&R#_jRF$HU|>F^TyJ`HP7>}Z&&(Cd9LEoJ0pxR}}3xSdI{ z3%^PXU=Ks4j@?tc7}yoQ*qh^(GIv>nJvr#`4ZWN7z^{?59IJ1B-}yD(9_EK z8N(MzMb2=22;9N6Ap7H?VMAfUN5`_cRct^2gzcy*w?Am}&aupY6?^5;+h236vyS+; z7nf?P4Z@_`K$(Dtkuc%2W7+x&w)$QNqlG^d{9Q=6sCd&zUE9j#pGQ{B_*O6OIKirn z#}9nqKd>lVl)QGN4t*uP@d^rlKxb|`|ChRn=AJbmuZw{Bj~Y1~PBqqOuY$Qs70}a+ zmtj1{u(pVbZ^ea6xK&U#`WlgWc&4)Sq7;sicY@EZM!9@}xg4arjKi+h`tMmOdhJ&< zQ%05uyUC(2Wu?ncin8Tq0c^rxyUk2J{jW|C{a9hb(MAl?L~5B|@sxc-{li0#W7(1;$`NBVf9v*~|i{lIam3!&4W$`iQySl4lH|=7S5H zSGN$(>|y56@$G*RIRx)|9(3#~R%Zwy(`Y8_5}`=BwQ!J703sWk^Kpsqqz{t8;aU5%83RTMcv2U5_fjEeF} zV?&dh)Tyj0-Azb}2Q?ixD&_xMOlsZ`Q=6gl#a3+0NokqUr7J91n~<2r)*G6u*!<_= zHWJjXT+NB8%rX*`(y$&s589uoZnp%toMW9-Z#ZAWfd{XiWi2C7i=0|$U8!CcrnV6i zBwlmI%%08gRBrRiDhr>SkkPUQ|Fb1){Lyo9tk?^TsNcBysz9E3HlATY=b_9 zM3Xgt9y|+|!fIEyqTv@7He7$kiRo)q#y=92A&eM+8hNfQa>@lWeQ?qVYNdp^_mb7_?N>ieoHa4g^tz*JfXkvLJ2qPVsz=NFRWjD3d{4Njr zFXytTk)Y)Q8XWl47V9<5Iw-ZDBSGR7xa^o!$!dS8G#4stVuILfc?{PLZ>vUPf-qWf zIpI>TtOuwx8!Mwu1Cpgp`YR0dY|woL$|{s@{PL~KAbd!~1p%Z)W&!HnCwJrC6!skk zx+y~LqgN558V_Kc5#1dEJg`su!y}dnI6Zaf%FaXop7!X8TlnW)=~JazrI1P~^Z2uM z%WL1YMIfs>_fIEeVx6TL=j%ozD``=jZNtjj+PlTs=(*Mpb(gO{!qbsuS)4lOzFdDn z<@V3kSc?8oh%eKB73tPeXq~;Vkg;B+zs>z8ZrVDQbAhEaab(HjdZgPmS65A9;G^t9ZK7M(1qbLT*Q(EKi_bW1GFux6CQB&lXFsQJ^uawU-!u34 zq4bLd)&Yc#MuTcX%dpGH8YQB0>!3@o8a4PScIVD#w=-4Not$s)M`D|i%SiJjQQP3= zj(Tkq7fFR>3!f~Hd#Glk!e(>nux>fdir5}>d~8LIayfthDWt6`mUFshGVqp&wStzn z%y;u4_2%hS1W6$4?3R6S{90+lZ@Qb+xU<8w8dyGL-@yAqZT5ntW#8uf$)J|@rUh*= zgw#AZ+uTu zU+VrEh61%w+w#I5cKEl@*KVNk}L+=6=v_PO%SKQ5U@qblAv zNT!m`z`xvK_M6Wn0A8`Y3V{=caFC@X+s*yvgVN)Yt8PE}5bf#!OHeU@wJRB|S_!_l zaBGz^2;>~_0pl{&GI~oqY%`Rm=`$cVZ#bFCq@JW zl*@()_g#}jVbVWDtE1ekqvd}?|L+mgIt1211_20&tn~k*+Fe|YnthXy1+cLoLtsV% zn33SMZM)L-s_BF{s?1EmM_!Wn1;~INml^&Y578}`&JJt7yX@YM$C=ySuW5z5X3e4F zdqe?2es=!LsHP=aiDC+6mC@h72yzANEq7a=1zBFVtn7y>F!W=;*07f!#O8Vm!LAQ zX4Scoc`_m+BC|3h>Z<|uipRQwa=da?%>r_qBdKxaEM-Rcu(Sf`KT+6)206f^6SmT9 zBJNal#hO&$tr_3vuvIc#QGg6{Z6#4I)bw~NBOq52m54!$Clc*SFGozGEIFxqIed-aPpNj1wYYOxS3v08hh)Vl(M9Hy~KS~<3UiWkmGi86^k1IzF3 z23DIQ?gLWdttj*ND``{p?)$I83YF|`e1KcXp=x+nC=3~7<(Yca0g&({C|XcGu||IO z>c=BSFb(0!h_)m+2W6pK=*5NGz-rgq!gP4(1X7dF7m@7V(w-!JJx?+pa|PL3c0&{K zKH0LZU%tWkodTZ3sVQT_`>y?_On+twig_5I`X}NiVfhab5aj+G^NllzR50e&6v?%? z&RQQ2>(U-e8)S}W1C9>ov zx&Kw2;1#*QpDZs84(aH)vp6I&09>`FxrJ~nb6-t*l(_0_c5wm>nb?8zZymq#V_yqg zEynxr`jg`?1c?EMQbw!p#)Cw28`O>q{V)SssaOH|+y^_%Lp;QXZQnQ9ACxbt z1ayrIy!+=)r*<{WSka#z@i!-Z13O0VB|E#JM(@Y^yDtEeF#=YZ_KX0rEB5$l!=TWo z?=m=4K7Lva9%T*$WNt4gbe-g01?Yy=8=RMzMf#_1Z}P76L*$*d?dI*$+STXXRuP}) z7w;Utr{1W4s3poA{u1Y}PS@O3TV7O_9{#}OVb4r;K!voFR8K{!SPBr@^Fa(TTz?9o)tD}b(aO}rUr9Bv-Hsl>=@6O)g}RF`f-grg<-#M0!k^w;6<1B0__|5c~_nDZRwlb zC+XgdEmwNzlCvxZ#__JMlYK5_P>c;Uv3{7XBy&OlU8i+exY4UYDi+y4R6>Qx}7OdH}8UIhW46AY56y;DlHkBj1VfH-@1T zqbi2lsX+!^)$D+qwwCoS?R!&Evnsr6Chp15tQLLnTPUSX%n6S1A(^>1)f{{Jt|^mO z`1re4n(pvDz4HyV_Io*<&BB0nYf4Ty05CUj(fZs#=bazU?R2B5{oY1*IX`gaWS>m; zDU9(|8Xj8oOwYMY!Z~?Wm`XFTv8Y|tEROs%dWdTNNoe|#Pk9-C@@9P4RrnGwBhNaJ zZTt$9no2gIYxMfJ)lC5d;bd1|`&||z`gr%M)lC`WYkJ^ocHnDqfZzEB;B*7{=I0BR zQJYx=JO*lf`kh$%=LUT(E3$WNA6qi5PUqEaxTgsW$FJc=$i?oqCf$U1UvV^`iuh!4 zJOKEiUQ`Ru5D&f=-x`?JjgEIR5xQUlraCYWI1N}5(3me;x0D`QHMTlP1=u3cnJ-+o zm>!DB%6OgCPHa={#-Lg)-a7oDi-QZs+Q#^U&syKQ&g7+GTXqY`Hayc4hbcl0@Ax{> z_#adba9jwvALPE|KIFc|KE=MKKBm4$K7b=%3?B^NWS?J{1hy+p&jZvvW92-bmR7n) z8k;`5vWJ_y=WAA5`j;%tuBjf;bysyCJ}n46Uj6Gs86N=`#p7NfjhyA z&N!?E$QVF|fopwn2{DF2Zhe7s5P$AP;uKe@C)Xt$JG?738n9E zu#GHJ%lE8!*T4_4W33IUQtN1~G*c~w8#7zrCtYeU461O-)1bzIO+XxdTDp1k_^N-X zL(%}FgGl=f`4o0D>2X#A|J)mRgYQxwsH-@-JQ268R7=UGna|rk!5WYjc!rl7Ykaqt&P7JqmTG6I%Nv40^ zkCyqS>e_wI!^b=NSAJRPnp~n8=^9_MPIgW{!X0jkJklO+9-ebs$umFEnRp|(5j_8R zMtp{R#(V~SMtz2T#(f5UMt+8V#!j)>t_MmD((Q~fJkS6HWst7L>>X84us}FR6dgzNr`E4Zs57=2OD+GQHll@QTE4Q#pcbBb=Hs3NWCoh%W0C`A2Da+sk^^!K z+UjGYN5%k?5_xlUJ$iIYbLaN{Vuf){1Cy)Xziu!|r~iiOD9zaW&!JL>ssL66vGi%^ z=F;P>1^_KXS^=|xX!=xkv*~dkF84T|@6Wi_Y)m-b|JRrj~Ik*38gKdj$lWwD4GhIVpQ(a?Ub6tZUy2T&4 zg?~S^UvTpbncH14J>i>fnZ5yOwgdY2xc7cWTz^C6TvIY^MNe^5BdUYafG7Qk29owQ z?sm`vt43Bo7&=;`AJW__rr_K1=3L|IUv|94@rmpv(_^j%TZXm*X$0Z+$?T@nW1j{& z2YLj4^ZDoo+9tf_u!H1)#RZB29`f1ihT0~%2E8V^M!o*duezqW#=Yjb1}3^DzDBl# zcEfT5b3=23bHj53aYJ!~al>(g;DBp~X@_W!=NHGMh~({s={J5Z0Kb+5Gx?z*z!n3t z5Bx`fPvHkDu&4lI^ZeK1+fGfXe}j3m)}D+}E(%ejC&d*{%0JoLp98p;$)a zsCb3XaP;nhruitvBddG_{M5lbeB4DJ(iUUD4Bxg zYtqP=FiG#zqHE?kyyevFZj5Omwi_5vv};VT#&SH^rb;Q_w?bR9IJD?qU{V`eac_hh zXZ5rEkN1HrO=>}ya<8~BF2UK5Io5G-r(fV69`3?U-le-8_4&6|!3bj%(Y?1q9ut?q z@W=%_AuWoEU?^($I{}cE1_-s)TRG%0{O#Ehf@d(AViSxUI&TuJ3gA;*ywk@yeaN=*KWYi99J+y{Qeh~5_><nh*Juy z_hA*X0A4I?e5<od-XVFVx$RY;qsRPx93%(44G z(YxGr9Gx-yC+M*FDAQh8Su)XP`gynaE}nqXhMpKbS0Yvl#OyZh)}!|rd!Ko(2Iv)R z5tl8sBTZg@U(%>q|Qx-E#^wZq)TVsRV&HPl#3<3mMvyW()u<72=J!2 zEEbG5ELqELV+8MOy$tzlwk&4$Te()Ka}u}v@ZoS>0C=B)wuIL{cj)6YkoQWC{MJ8 zSu@eLu}_y{cjK`XHp@u{p@d3sYI&2W>Sej-z@rOn~N2sN^Gb_ zuz@IcVbIhpB2izVC{}&5d^PBoweTuUTc`z2f~8ye#c{1h^40P6w)Xx48h0!3V&d{d zjEbc~sjtPJquz4ce1T{FE!^l*-O%L-P=#tEEx;-ruBuUxb-jZ1*>J!?c`e6cVNTj@ z2+09W1;6%+_*H&Xn=0PPesQC#yhG=kEO!O(j3w9bkHID@vsQ?&V1o{O%5>4N4sh#8 zr7Vx+Cf_tcrfBr#6{~?u03Bdc5JX+;CK9TFOT$ z4}6-AIB&bxCQd2xC3`K8vQtjUw8%)opsJL3#>OS2DASNiBvO^hyf~1SvXqYAYdJTR zR<-sfG!EJXRdU6jcC>E6BTr z6eH&#mw?pz4Fi!1kj9WsgG>2H5mE=RDF7=2Yv|YevgP0mhvmT4ivp`=NwxsgC2J&K z?9j+mgkpRHQGX2O1C^tTMq}3lTg6MJBhra4+ss-8j3G|4l#UQoAEuQsGaWNc_0KSv zk@mij$;}b+obU8-%w-QBJFnewH}Q7WYq+2^@$w(Mb~N#dCr`9`iU*q>6927QoYv$pX<08Xw{t42D6dZ^f{_P|sCe^5O?bCOT#PnRZk2h$cyuk$LR7ade?LrIkKN5g zBEYeyzuzV-_XRTIvX*3hTXj@SdC60Z7sd=g=~5}VAiVDKQ~Tm!m*dqOy=*0tX;;OV zu9q&rjXBl78ihzl#DsVq)+r~{HTqGYyDZ)#bPp9q{lVc4?ss4u`!FC3s~%fFpN0uu z#wkXkfeAPPOM>Ro85npH3Q{87nB5*2I05H6>&L%DQNzsnuPBLNCI1%1$!P(o?B>)0 zPaEy*^dHj*f`XTiyp(wN=1%IUEA>{PC%H!#s~WuCg#P!`T;W5rvHqjMzK)j=24IGO zF=#hm_J7r#H}G$7b^MRF#v|wa;Q)11{5bz%Ew~IaE?7q>fCp*%lLk(qw7oLWUI}YT>t3}hY^R(%4r4}Pd(tjRUv33gh8md2*b3VyQG;WkAQ{(p7F09q=&$)>Py4j^ zEnt+OXO$U0fI&x)pJ9Ft5{abd@^#rmaxMT6B)_dp@dNQ7sC~ip|FZtyB?(tsBV@Fr z6j~h9%IAUbxJR$YQ&i-FG74qp}K7jZowP9~n)dvoaf#n_!n0 zK{0pT@!Z%YM9MDTx;wIwP^8e|EtXbP)rPJ@h?WFEa8(bX?EU>tY-KdZKxp7yVn5-tPvS?9{}y zn2+BvvVc)#dHNkZ@nMw&_u?k zf&v8S+Icb8m)XR|k54vse6H>{Yf)?KouMwsC4+S;C(YpqMPS{uFCTgNI$lncEjLe|V~IHA~? zJ-Im)3|S8fC8clXb7r`QtXc%E#qjgWw;`c zmUsm8POE`3C_A&*fL)z^%J$)4391d>B+9x_{k2smi`ao(xq&iN6Q9_Eou+{@OcS%% zgPn)re2Dl{uBuoD(_UzhPBgmx(F`%&UwZ1xmGBIXgTanyj3~DBh8G=c{fw~oRReK= zX7?)c-$oj_10CzYd|G4cLN!giV(h<-i!-VN6`c4;Cgv=d}L1WaVd0tiI@6^m5RKj9t0l7ILuWE3MdD1X73m z;?^?H;Myr;=F?i*7nIIZ zyfIeTRJzLxr3aihIppmxQC7?mX3}+QW8EG62o!*b@qKad5y}A>?#|#8Aesi~gI2s# z81IZ3XL_=QDbZ=cb-M3!D<(AdG>Dp$Yq=)4^i4$1@--JKy5ri&CRpsS?T$!zs}Zf^ z7h1izMcLUVU~j{Vnp?BbIZ6MVc^zeCyqPKATznBExN2i2mAhZA0IE6`Z~UEQ-#V`NF6cYO5BPbu z39MTW$L2hZ)Bfr>ikZ!M*0FApO*Bd0;mv!Jvs`daJe3`CSDI(H=AE?C%P);Ow>iHK zJy6}gtZtaqTxu4+v7K~kbGb|vr|O^QvUf+<``l%_B)=~q3SXSPf8+wpH4jdwP9f57 zudcdUn25-ozT$sHqWE`wUGJu&$-p0I_3Ev0F6Bf-e@TeR`Fx2;Eh92l1&zc6<;$4Y zuH%ZQs^RRG-&i1Icn8LfBnRaKn}>b>3wE6B^^ofAjya+f8WS}&Q69Nj1xjV#+J8H_vyc1V8Bm?QfmIl)ofpdi1VNoe$o6GvD*G)UOz-F+ZZ$2os# z{n(k^eJE0{ZKlm8;ZHnK)~9{;nC3O4OdSjEgg0oScfpkEAun|N9fixu6CXYRA=g{E=Xs1FVYV8R7am!fXim2|r~;t@dWNK!S`$ZOIo%dsoV=;34u;t86EBlso$jsJfmYE6NhpXuKi&-a@Dji|XA znH*?d#N~6qz60bzmXmy&Qi*aczSdPYR5tE(n_!_{^pYk#)ZOccuCX2 zh6UHVI_)gG{^~r2*TsH!niK|EN|+W5C>Fi~l|t=x?WgY*=vR2{1a(Qy`%B;VrZ9d< z6m$%9p~ZP$RC00Mo*;}M${X!wE1eU4XH_2l%m-cD!5qqk3vitILT@$C^Z?uHobAX9 zw663}0V%h5g8o##f_~Ym-R?)vG(smQ*=3No0jF%X|n zpzq}6=(mf@0*I@8agvA4@@W8(c()_i`2~SA1VjnRiuevb%-_)z2;cAI4w^I@RMec!pAye71)LqZDNHGd5?VSqx0!MwJ~evLIBDRTkLIV$*0 zSN2`E|H^5pgWlO_#hM}}^NnDob-lLf-Y4z3sQg#Qx%04$f~u$|LO2*YjIC?5Q6etS zS#20GA5Ivj7Qe_ZCq|J#1VngCV~&(WzKzftLwW6)v2Q@qJg!sv4^%9?kJZqv**S_? zRppu)MSvq)A+JS~&+0Ffl4*%D(z`IEzF`0Cht}jHYD+0hbBH`Qdy@3TYGz#;S3a`` z_;EUP(QLDlz?MkvKhZ@$aUOQ+QuyucA0S+%si~HagZhq9w^+pqWy+csB8A!?S7~VF z2%zokJb!sXJz4Q}`r2UbmJ*bqfjM85k-{Usz5^P)Jv+93`+f=i9i9w3fJB+UfltbNnOshhn~6|zF1Ys+-(4xfO+Zc3Pj&h5C^Zb=Xg z*~^`5@jK8JD1=MTJzu@NsF-Jr6&|4EoW&K)Me2O1H4Ev4m!}A@x=EcZ8=eeo z8YxxRit$Io=D*#hAc%cHR(Gs-$O2QxOe-_*u;fA!(dRS%V&#SD6fc*VL4TY9Xq_}y zKvJ-cfmwujN^Ec=yf0!6SXWXb{;E_uBU=Nf|1>hn76VLR&MZHlr^>6V3LHw#*Z?|% z6R?XyEeiS;hSUJJMz_V^DXEzH{zD7MNd}GTB1M#;DwXh0_;#%CoBb!Y;CL)Br>!FU zS-*qNjQVvm+21#-pK#Kt@b1EQ#8!C>e;{q#R~ZK}nd&i?CutdFjyQU^E~b0%?D05{ zbcF?sMiQv98{dzwSfxFQy1HG2g#c)H{w7=oxEcPW{vpSLLi}?OJKEW#*4Fi0ljjk+ zLG=<>oyMw-0kilhvFX#$xUR`!Fzh_FMVAg|_B}z{CEGtu+>7~C?_)eSRwHqd*?Z+B zFHnA?sO10#zf0`0;%z<9734zx=_ZSP9YkB-zqga3EOOm66)q4>jT+_cXao>`NCD^3 zQF^wc9islTy6SXp1AW|aY2?8@639v6xzb+OO4*PX!xwRBsjp=&@Ef3GRF4%*fyBX9lepPAO_%NuS^qlSnlgtu{iAU;*`kfU=n`qaBrzLib=Fk=__WEK4>pQ$>lWKVmRM%kcBj=tq{-9|; zTm*MSQyEXwu_jjW6u0J}=^Hyk6rCC`Ll;UT_?_nS&-k;Sd+;H22zvnLU3#p)h>q>O zPEqpT)uUHfmU}rkr?$TaYo=NadB*VOX?MW~?{aO8d9uORFsJ;Y3vPzp1}pe}CK+hW zgfnHG(~msIbIJ|YP22>iN8QXi@~r5_**6WgwAE%o)Zw0m>83pG`^SynVfCPFwqX4H zX~4PoGM+(M+MR% zsMq*t9ikupT!6qyZMp-lhzu)DJMVi3IV3y0+ey~@)CsN}Kbj_V$9oL;a~AgHqY?2E zpqunE0@23Izl3{Z$;_uVkc|i!c_qDM%1PdSpZtW2Sabieybh2pw@pVBe~u${Et9bg zn5FLBxsy(<3(9>m|FtLgo5po_k%@mu)&qq{7dXP8PNzdKc^-w&tY+DkF-bU=1mX|dkwyVr5)m!nZsA3X z9U_JTJ>MU2KE$MzF4kA89{rP9BdT#_B#G?>7I!IiN{Pul1r~eC7s6R_qjQIpDv~Of zYmx*YlPt@K;I#GkG0rW7JAkvS&#%s`&LUQ3^>EU~L-Zqmlq$aT2{t!K@9+Hz&eI=X zSKQ~J9*)oZ3D~DfRDzPg(F48|4!*e&hv7EK?SR?pr95v;a_tQi}s^THU{Y z;?{n1CYPQo-=&!rs#ji>6e=bdvJ_Q$ur;!)$agALEtFf-z&idUQd3%T6d5-Ul%*|J zJsaqlIjQLo!dJE*uVIv*`^rpKrWyZ}?Zf?&X`w>Bf^+H(5m`yegG&|`7-j#?>tG*zWzE_J27ZSi2qSVN`#@(1L_yK$R z1vamC0kBtckz_;G1b##!e3Eu4Y$_83r^$$iAPJs@5D(Khr zbIX~PM&(x=%$XHWfXa&yIzUt;3w1!FLRKsaaR8$V4rP)}7*mK1WfD%1R^Sv$(8!Az z9xBWqC6Xx-)&&H_Nhdp)|K3fFq%46%|-AbA(aAt%J^mb|y)-yT5hVic?LKG&Wa^fQl%HERO3cMIM^7JR^ zD(;(6;KHoKo6IrN0NiEyh%%K5lo_xmUmSF3J|8p{cmNZ~y2hcV>bn|A&)CwSG&i`? zxF(@CNp4xBI(ngh>-xiK>;p)33__DNcF~gD5=oVSvIQZA5SiMyW+knHK&fEl3t-gzT`_eUe0 zVHmok4%l^0dIp#Fj3@0JK(?*!{~?`W8Y-BCX%MQPgsC42Lt`IHDyFe3o7CKoY)Hd6 ziHt(SID-6(<_29_rmi0r>El=Hv$U7Ocx}INQgbh|n#L}+bo&JImPx3q`Ywk0u6EM1 zXi|z{=vB2yB574!KaVs`wMZnXO?AI)l3O$>IzVH$jpl|=nx>|oHc8zi6fLRwyR~8H zg8wdKX%WX!@=tRbnNeXSZTmuWkW@Qt9sdKR@Fx4-Vg$WWx$p$<6W`s4AfbYYsiU0g zHJWo)7zFd3f_n+hh=2@wOwHa?@ui&Clv3}|Qa=5XkJ)52(^{lsOIx8G>UujF_bnS5 zfS&vI6N1cE=Ji`}(NzcUZ1lM}>6?$&Fp!m6~4gHa-U3WnhN+ZWA#n8md(tc z5cdiYGNayZ-4w%Wjg8w%n88BQ$Jol-fZgUsZAy%=16hS&(Iov`uxf3ZB?(EnvVydC zd^)JAk_B2g43XPDV>h@60c6>Ut-e5Auv75%xFE5eIDArFU<>>~OA(==Me4Pj0^Vt< zAC(jO);UU+!-!G~v`A4pVSPkvA?xBJAh(R{ka;ln9RhJDF`RSM;?rgPI}h+H03RL5 z#7hF76D2EBOnH;{Pogyj>iD~~^Hp~6>wyV{sq0u}!e&%;$Rnkh{%TxVlUiR9iWqop zu^qarIg*Ol<@1pIJrO3u-0Uu{(j$fS-KE%8-e$)cuoXh*a??RW-0eo=6Y(RK7RAmxc=h zu{mwZkZ}Jhk{2&KJEX;twoJhp?8N90{AF7R7Peo%$9WJWeVZ{R%I7PQnQSr|*!Ga2 zBhIcbjZ6PrRADmAm6A&vv&XBsgdNLJlPd>^o`JQXM6`u%^ciBzCo|4 z3BLty)cBXcb=G)AS+$V64jh&oo*g4QiUWYyEH+%Fk?9@9Bw?!fyCBaz%8aIuJTl&m z)!hoPaQ_K(#qpq_a9Moq>HHI#?~3{12eG65x69rd@!q56`*&u)mm*mH@Dy>>7IjLH z3P%sAF8AIl=JDT}fDHWH0o^PXc zo8#FF$5cuI3FfCqf-fUG{@R`_F$vn{LqfV|c|V}QBZa)QJhWb9)V{Q{b|>en&LF~s zS^_J{c^M>0c%dQMre(%Vr%RdK;HgcWV_iC7VO*^B;|xJia81Eg>Y+vi43NMYSF$+W zh)jh|kWG~|Bmo;a(I_~wbimnU>p;~B&y?##2rm|QFe{wHrw~r++)?1WVeo-ZQR-Z& z3V~2>QK?SpKMKAAF@I*Aj5b8-6@bkm{M8)zIf4F4PJ4gVGz}0qE7{m(&Wbehb+rOV z9s9FBsWnUAM6si0hL_!W02t>{hcBoj8T#AEal2%O$DXucP#~cM$RAMbl00jU&r<{j zU7)Qji<9Y^+uhzOd|;$z-` zKo*Sqgm8LAdSQ@y0{IHdHhLB|34*GQ>7Ljk{b&wM<a7pYlaS=^K+OzyKJGNuxHm6Z3GeV5I z5YfKos~|*I91JFW(<%4eD0*4F7Anrv#puu~?c{Ee0dJ;Jc0E`o^+`L^LaGQ)^gKHz z+%Un53GU5+14xYHX}sT?Cp({!s-d@x zpE@OdQNi>v4{mNMTjuTXZNQ!C3ws^%Uyc=pZa^G>8sNqVQ)~^s?J)Kk4W7B_TO#px zz2X}g!!b2Z!0v=A_7qFAXC(Eek?D<0{+KxK4RGclGaNm^+|45fyKb?wbe|lej-+A6yw2o>B(7Vvjm@#dHZMu=c$IH2rr zxL6I)5y(mg1hW z7IY~-2gERJYdD$@>ITEJ$4JA2dEl+qbwt|5U)NQAnQzOBX0C43W0# z7g%&SXjQn&b^chW1b4nLBJ4kx^${!qd7-ydjZUkVX&Y+HlPuJ%Q#;No(HsSCC>{GE z!+=OmnT5{2BF<#g=Qlp8@F202filsh>#>p+$f#CrHk2U-k$cEpG+ z1t4QIH=<63A4OKX(=WIj0=B%9R2@lf2zg35R$_y4N+=>b;GgP5)q)+=fIS@aeeNzK zDeoHTq{VPjo+PQu9E-n29vO^uXOU@#6J-ZV0&|L{ps&Zy zi4<3AfT&b`a*4)~&DAxGIYYL0p-Np@4}kShssgPz;E+`}{->w^g=s%R6h-5gaazdZAy;43{QwRl zg9OGs3q8quhCeGzn=lv4YBYwO!%(L|*SgqYx74@Cm3+9+w4g-!gT<)vDfLYD4PC1Qx+&gs+%aXZs4avvZ|ATDn5dU2!H;;(OA2E&@ zMRGa<3eb3=`d?;5rhuWw%;@|MBWQ*U3{vBgQn95Y?06E5*H33;OA?Gr#QG5WcSmGY zJ)JjdWfsk}1I{^>f3E_V(yluQ?(v0z6Kh}}JgX#NncgF)F;Y|Xw+J;4$xxV8u#KKa zH0YQZ$6)-e64PbI~K5Mtyb9e>)fBubZ25Q6M| zMd((D4OC@IX=qhFtqs$RbCB+aNvOZ+Z0!?GJZ~`` zfEfg?Yho1n_g)d(;2zeL%NhEsF9NXtmas8Yk)r%FIsb27sTL!kGXrUw(4D808iC`t9|NgHzN z3LVe=HRsN~ey5^@Fy4Pn$!Q`S@2wD&09RiW@IdbKPzvGT>nb@znLiphG_}EAmB&V=9oUlg7Ny@P=l0Qip|TSEVh=(rgfcBEU{ zu1cT=bv>!!j_4|x_bmGmt;i3OQMMq0vQ#RoEcWAvun+dF?rC8^bX`U0t4+|wdPOb9 zmXXy=v`^tqWw_exYk{xHSp6Z()@OY3n;SXcNRg5MyF;oq0L9P9Udr-w&J>EhE988W zClip_(nEs+xK=nkd`K$p5kl1%o=E422b2E&8oEf@#;Uf)$}Ajv$Yf|=mbHlC7q9`| z77i4>$fK7Uk^S5M@fWI)szu}4Vb|x5bXY#h8M!A+IL%%uEOFM*+5KB^`wa9QdE*Kh z54$MQM1Xi$l?3V3c(Gk~t5-{$W!qIf4KqMS#I4Jep87s}mB3qQVYI4DB`Z)cqYAWr z_=uMO<GBqq z2MR&bqXu0`0Z-aKu65PRivv+Nf(j@~0*gNzn9Y2>Tn`?@xjJ>9xhRYK{9}kn_S6i^ zK$4qB5+#WYPJ?fVwP~+~QDc5lO7*BFXMC)JafFQFnZC$mk6k}#)_t%n9+b71R3-%2 ztc3jtuU)duyyB0YDP|p~+LUMgLrY`ZGzWS0$61x~k2MRuwUgq2hhltF_#vP$lB3Lx z-m)XaHf@5<@^_ql@r4V_}zl$@(Qssz-gH=t5amV zU3ocLZ%sN3*Iq;73nMk{uPI9&Nt`e4&gW4z;G&s~ObS`I)n$0Z6{KJ5r@6 zbg2SJS{%%4QocxgC3sqTVo|fup&z`lz|q!jgLYwkPseS-Y4%ty#ZDRz8c9h-HSC(c zIL5z)SKF8qn!!GB3NUZ*s2FjI@RcHxIP29hTG_P=uo(j>01fyVvi2Y>=^V&{VTfDk z>lrP2D|R@0Y@60+c(-}7xTKT>cPi*T_*g_XF5jKnGjErdhyCt9`3)yBWL_}18}Fn! ztQ%A;)7!ED7M-AV{)B0;(yw9%vQ8WZmQi<&PcETlC>l2H-fU6io;0Jjab*B%r~H|C zW8EwC;4(G>7_`B!X;63}w!zNf#=CS0$ImA-gmdVl@rn<{2 zv@vU2ctqRgOn2?vtPY%u(fY$Ccv5DHEcJ@GgiFT+z}J}kw+Crk_&Bq>DZ$8Qu`FBJ zKPh9;#>H3$c#r<#mYYUP+@I<$c~gse{B9MyvMwEO(Z;r;YT6_oe~^E`Y$epZ4uk4u zL3j7EA5`!$NhgtmR$oVIlsjMV&#hg9+LB&IE&5*VY(pJNX+4(*Jylcri?Ct_ zg(1O@DeH?0=k5Uth4>po%u-wiAEOKS#kPJW7-t+Zr?bfDyt<|%9>@KAKIA<&YdhC^1!wauLfl)vLUrIg@A zm(?c@vt8G=Ei8R?S=2pr$+aC79dAslj@g6Ukppf+%Dlk)w9_{)3UNJ)9^R- zeFkEDXY=qf(xxtuo5+8$Gt!28J;VHOxTHpr1|!9v(yNKm3uwy}U^G+%s!O`5cTjbe zjTFc9%MmS3O37$*y|J;rCUrBl0WY2PEX(Wb0c19_A4ouSqwE`o@~kW!{nqiA1k#W2 zdFS%)@=KawP1cQIS8&WVc-Bu~5{#_3YW9+mP8)?|8bsX(NphQtIBa@{=(A<WPh6aKCT%i9O|PU&G0T}8p$Wmm{=SGnL!e)esDvn(X~3w1cpy) z*SpS4iguWhzO=<@5dUBvnERCX<`QkPM zY@$CHB~C>Fblr)?@x2KleiTSxHN=x}$fb*ifBgbJ;M2EN)^<3=HWHY|J4=B3;g}UE= z330j|_eFYFZT-^c_9uA0UvmfD(4O3auuX}2GN0}Otfia7SF?1F=*1Mj?kKn zC1#36PSiYWuqrle@Aq;cm!RW#sXNC4Q{T~7(?g}r_$LyfyJ@B?kmg`(P-!{GDS73W zf;|HC*9*K!oeAixbtU_|@Xyt5Pt}ka+oEyOPih3r8RKnyH|QF%R?5j`9=9p^YiQ#q z+m?ozh8oKUWB@6K=?h7gvk%L-)hU$hc&dyK4Jm&y;dD$tp4Cu(v>Q!;oMQWmo3 zk{pX^kJQzhQYf2-#E|r1&Y^ERzERJH(7hi!+heQK~z`}AaEhq@C~5KC>8*y z65{zX%|-v;%arEEDud*}f6OGmzt{0+(xRolm$w6}Tn-`$)?{t)WC`Z4u7?e}$8v^T zQ~=g^0{2i>#z6af1+Br=#HhjTnYpdZ;>y!N}_4r+M7OZ8~ zxml)wS%%hg8&}iCG4@2m|pR)k*- z2)2QL`1mCb8-uzwiID2D)$U@{&j{7e1WfjPwC-Y3a6ugpqQ@+7k6**#{RXs#(g`^d z4U^63Pde~3?h&#VHPp=}O_}BoHa2sT$dTtuMIDb~(6$)nDD&beEJUk%JJ77R75O&# zkgp{UM&(tSn|i?4OGKjZ6R`ljZ%#T<@tm%cv;|2Fw^%==NXXMEVmTXs1{XxATl6L{ zvLcP9q(K^wGfr08Xj)h>CF87B036!s^06c<@z-OZL_8_6sX8kXfUv037czLaMi z-(7@^U8D^qsE0+lkp|-8l}UZ%4^=8hO$t&vSDvEa4k-D1&WPH@@r4Oo;d)l2w4@YBJ{$iqGqouefZZ`CX>KbD$-V`L)M#n_FnKZ}5 zW5Q}&?c<*HXfOrs!%09(iAQj-Qdsbm8{CuA?>;F3K9_Ea8Sw3Yv$L=Y|{w)6I`@2nVcogMUaAmB*% znOtuju7?te*#ai4t*xCnT7+b8|JpO_rVhk%fJJJ9!oTm}YocOW7+V>keSpM;dRbtGWcBmC#E z+Gcwr<4Mi*iVdf&;vapOkc%JIISg;JTd8gTYr?!sKnTky$T zqFDU>OZFO~fD;K|-9gXlP%liqM|wJ$&HNZBc6d=enEHySi-;(x*+VJD{F*fke<*Ck z&8RChPsY8a#dKNl>(gcS=QIUc@6+9+t8h&j=d`^}#)Wi5XyYVjZqMA*6JJg^!&8TX zpI>n>j;?@)7&FLmP<_y##DJIkKYYCfR2)s$E{eMo+}%C6ySux)yVE!%xVt+9ch}$n zf&>o^L4p$;?&N*H^RMrmbMIZNYCpBBx@Wq2OYN?nsnV*VEt%Yidi9*R{H|}D3jCtX zVUhD#oM|b|T{oHLpWG)bLWtMP!bXU9TE+H`kAjx2JnN0OgtFFlz*CwPSc?f60?c9TUa&A8=Ml<`h^=#$k43g(^4}`a4Asy?#X8YJ@MiAQ_-RGNlRYN zGw1jwKR?aUJY%_;d?3aQHJ6Ty%d8Ct5AM>AWVO;xj0Qu2L6_!RbG&Y+95A{=SU=z{ zpM66MT1EWXHGzrKyY$c4-KE0)hB7fBuJMzLN_qB?23e%B)rbCvVu`Uw&8#$xC3cD0 z4KQu@#;#`Qj(KNGInmCQQtzw6W)a&rWIH+Sz3<5De${+BYC`$T(0(XuKcY%5zqj|% zCL_mj$`H3skl2VTr|rnDR01Zw>9;y>2QMU8Nnnmmtu zRZ+neP!{$w)0#0{N1I`)ta;PaLPHR;I(<@u_89W=SE~?E5Q5KpVl8Hi*S>cexF^`1 zbV-VZCOR;v*XG-;8VXc0jJRK|PVFANxbpQ*N1hmZkBR%4?>o?0>jO|Ku;PdPPW>iR zH_{zWPX|iSq1_ z%(f4~fX>{2vGaeyOnWk$d<947wILktRz&dC|3Ib=7l^mjSh_$Hdz7M2-68|+lohTL z6oocPq8Eaitv#FGy^(9gDTEHu%aBT49?-GF*pm zOK;%e2gGQ5dShYqwSR?5l42Om0C|*gm3on8DmmARps9*4RO^zu4K=#)OzHi&^k)5720X-D3w0G@arYA)OwVn zA)_1#q|UkSQda7Hh%~t6Yvw^XW`kdbYK)j}A>OuLW6!4i!cNYl#?3#MG%NnF{whxqcJx??dYYW@gR+Gi;|?z(Ugl(z?Yz_ z6iE%)Kxx=entp_!|Cr3$7h#XwRq4|`AQg`2Akpy`HX>Y@bRj6vnOQ*^LV;dals+J1 z!TPysKt=>w3SyEQBP)@M9Vr%cFDMHkD8R9NFsj_vE{cf~C+aZIOWo22zt3UM5^X39+24&3QxYag-_5Ip$vgal|UL zTOASCar%`ycyHIk)W{0pdo1q3R~ftmBL@#K;G^7dtbL-vSI7{t^^l;FjACFI8ZgNX zR}>J2Hn}{^H*vJl@>x-mc1t7t`dG(?R8l$+2odvZK<&3fGE;h;y&)P?g zt;=^rt+ylfFELS9UWxw{qph=0UQ{U+!RG1s4f!NiVeU1CPNE?&z|4W z=Gu!bZ6r+s7$Ela(Q$z-(t-byO&Zw0Qu;?W|A>Z@G1+HEu?c@K2h^b|xPyOT32peE zN9?gJ)b8;={Ym~W(by$={S^&#tTUsU=9W%4NJ^EJ?$nRulicusWiq)Oq0%P{`mAsj zAW2rj_0t0(cef_ZXvpSvTBmPrNb&$L)^_{e(pRnF8d$(7&RCJXiECT$QZ_TKfvA)Axo(1u-7( zPpCJ)MQOK)!>|7WIvfD%2{+vMIRO+d=uV7eUxKvL8OdJV6?WSQKCgcW^3J8Hu|d zdD{%L%-NAHb%^ZreLEf5X=xi@&ldQ!>z&C=0uxKyKMZ%^ja&4BLh-*06c@fygy


qg`>$0qtH=ob+1dQDLZ)b7LJ>zaKT=CzAP=(i>&}E|1dwry(}W}i|1JE-%72Mx z*OEz_P^lda$b}<*k?5F9qf`}RX2slr-~hP_0EDe@IGLYZin1ien8FdhNtmJ4H;h`y zb`&0qKvP4}vy!QVz=wb=@c~aI1X}hh1R@4=1L=<5Bm$#M$3SQ}A_yYY^opTiC=EyI47#1$bTcU|TM}oX1luC%u=$_hl#}F!+JV=m}bio;d z4%FxvFoeU&mL<&iZ#s|xWkv2ZS~gmNlRKdt)JAFY0`Cyi1OlwM7Xw+!T;sBb_u~jn=w9`Nahin!>W@wzY1Rz?wy%F=gN1(Jo&22|AfLRToE^F3|D+Ohig2(FQZOobEdR@KYNsMa ziI_r@P%<}x@=%GIrVXoO@WGvB>5~x+`GjjB9zOv58x4R0g(3!7w{Qa!YS1^5{{ws=^Z1XI{0CAP%Q+q=!t@6o z)T;zqpksx5VL&cQxqk3r!JhT)7Jr)B0{;a53y#KIj0kiuoQ4T|oF!H#(`9R6s1X$X zFUh|!Szvhds5&4)jsC6fW}g-?Ay0|cvbmY21r^{*l3z^Xx0I?5#Q!RIgk!;z>3FLJ zJZNMO>Hb&ez2I7*UPY(5%R}G5=y5egpy`uB>dB<%NJOAt!8L|IgsMuO5XRCEMOb0L zlKkH^F2!L88X1M&#zjT+3q1WL02JbzLH$Oiw{cbx^_R~J`-9DF%XJd1QiL2bl@tDN)AKFf)S9wHB8_NA;f`y%v^+Q-U%5UQXULTCv=h51IP6P znZYmSU0Sh@0flhHX^9RIhW{lNP{-=ZKK_JD1gVn(=oX6=LSRCG)aeow;2A^EH<12y zQfd0t|LvXrYSTa7X$blsc#J?s$HJGz1%-w{9A&JW$X{PY_19OGfK-a>dXFm~<7)-9 z7wCdvhoJL8;Z+LUaxxVw)DDC=TAk|M0NS;=3OW|9SP&Y0F@*Sdf|#Vn+}dMOMKprJ z(8HYiI5e|qz!}}Xn0mB1>dt@Dwuj&tm*u^?%ZI=q9wM)?SKyfS+Z9Jp?+eeypA3?R z5$m^7d9$b2(>*LV*kgWr)5hmNe*8ay9B3cu$65C`;x3o(KP6uStRJXRORkR@V7Q4p z{tTqSG7Gxwgt()oI>WN9_?qadPi~)I2MH1x&vrw$-<7(O9OXWBDMCi-w-%hq#&k_D zX)87*%DKK;=L2f{K{ytQ@Z;GSiKp#IoUKS7Id^jx$QLzCb<|^u>7?l#-r<=}{qSn- zw#$z4lT^;};1BZ2Xz!80JFVfy1;s_q@gUr0(GvY5gExLcxcSo@3np?3Av!eQ?BgUJ z{9mc|3*zrq#52zk-U?lt`Uq3NA1#T?f^S|su8}&`-(T#Ac``Cvg=;aq$t1L?2w^;u zo|L;Kcw-L2O&pPDk$#NuxxIc1wVS9S`RY5#$If&3gD#rX(|nb>?m`1^@Xm7xw@v8% zF2r9yKF1k|aJhl6j;Gu@rL9!l6{X#Wq$Tj^`{L;NnyyHDglH@ErXmXhMGn8<4@Q+} zxxK`6IY1?B5B=o)r}c~F`{%toSK1|>GmmKJl5xRPe4~SpKd$1xm};F!OCQKz-!(B#$+Wtk@b3W!A;ho zp5^Gtt%$|9SnY#I#Fx7RDPxqh@m0!CRvw$*J(ZW;yNu@UnwWv}ws*WtSBsy4!Lt*+ zwba^G`u+!egrdG4=!w!e;Qud>LD>s?o!Ec?o1x0F(XRGWAeeEusEk#hg)yv%N>Rth zMwS*8G)@&!6`e6)Oy0@Twzm{+%tN@zBaSmMk+$FRwH9a%@u`GTa>>ciG5};nU&6zi zFfbvD>CZ+wLjWS&ON6dmR+e|N18Gp^0A@d^2B(rGwuJAzz|Zm}6}V@&|ExAz!03 z3u#_@KH5QJbJSKJFIC|WgFj^>JO}qVLkPD^Ft066niO6Bc z#2xmO=!<*9>W906nf~8O{X{eBv8Yrpb?KYcUjz(2TkDkUMz!aEqAV|*&W=f1Ss`sP z8hqPB2ABjZoC<9UB8_+u|NlcxbZ2EvEM4hvm20kv$5Wzpd5E&07n0DI)nf>> zT$LcNXiq8OK?ch{fCDasdMPx}4OXDoQKCD`sFe?XoaqiF2szJ|rUQWr;3dZMO3&3K zfoKCGGlI;_Z&kuRXkDq(TaL=ypwZNY*-W=5Jar{u^T}gt1>VPA=VQ5jCI^J(0w(a}6Silvnf4)c7xlL-TuyP*L%zfDPH zU+KATDT=BhT$131yuHAAaYYZsFVBpbnxy>ec#|sCgFL~V)liIIOVLa+BIgDJ9q!LuO4TR$Nu0SR#t--DLQB zRku#JnVo;Ld{^1~n@=hKhVL*Jq7`7`FDvEOb_BFUy&zMX>cNA<(erA(w1T|xeW!ps-wfqh7$9(dG^EbawL zk>$YW33aKo%U4g_561WH!v#;)@LksMR;Hn+r?U$Oo+LO)m?M(=P#pbTCBLgqs^2m& ziGu(EBXcdiKVC(BIjb8To_IGs!(62d&6k^FiH9#8#;8%EKV9{`WUk-t43XAE7!aA5 zS{mjy#Cjw65Wm?iFcue}8nYzqotktB$3FvO?T-~|cLj1wf%HqH+E)-%SV4kL^9iBjYTm4n)92{}jJB8KbJ*Qb2IYc>T5rHV2uzz3f!reU%Z z@GY=HN?3oKI_Jwo1lNa|4B&#ahnf6H2`LYQjWmt-tKwi*wV}x^B`HhEtuIKQz``=I zOcWa?iy(XiFL4HLy!v?*V~-g57O4RsCe4M>$*3%b(eTfm7tJ}_f!+S|$M4xIAoW+X ziDi&V0|qqq7l{~X{l!0@f23Z|6S!-g|q?K1sf2p!?}G_PQ*dZmN1|8tKw)U}CjoA^w%|D`jX)Q)A;jW*09u zvJd>&nVf#AhLM7%$6@JImgZ+%0E5V@eba>jzXVr#)O!o1+wX$bpMl3wtW7;97EXXs zeE(o(cX4w3A@F`BaI3f9XsUz@k`L+bh<`U_ikHbzBU05hp9edtqpR!CnGXkj0FYv<}lOc?UHKr&i#8I zE;AMmqyvZVo{SvD-8Uz0z~~n`;OSF(;ngAqC5G;ErtMg9czJkJeGj41R)0aI@t#6C zGHM)qC+kXT;x(HMM6vIeHZ4i|jH_hPC@0oZg-S$zCPJ$(H0-U%0`GnjVhO6es}zfeU;lA+ zT-e8kutowHU4-F~?3R!9eLtPbKOX5Nxn7gtd`XCnFTV6P_&M;|b|94^cN(co`;Kl1 z$W`C3MKY(nh=PTYs~SFj2ntwSs+l+3f(0C!AIz~^`vktF}w#uH?qCp;E6RA->*QWQ66~|&?gr>3 z-qIl8ON;tPGveV#*sGOD>M7_T^ix03X%amsu}0cI=AE8VRF#M&PCP6}tIxf@vzyoc zCu?$geNT&dx^^;H)TZnShkgDWet%`MW#AG$8nOc}xWbJC^%3-PQ2uBc13eA)xm6gsm$z#kkPr&}z>*{_9ebp=G-mg<1*2iJm{37*Aul1vFyYNtyx(~EiY^4+? z^fPC@6g~8_UagcU^mFSMDV@JG6dk&T>f6}*SjO_j!OPbb{`2-t$9JHa5aqj1RtebH z=M(U#G4~dhl>>Q0B<$3_mz5gRT`!*kwz(>W^VA8Ihqpd+xP-=R{4~4;C{OGYrqUlM z7#338tqPa8p<=$Y{HOS!t$_Hsu=Zq7|R?l>XLJbMdIc@6J9YQI@0*l4G< zmz^S)SwB8x?~7k(E>n^Ogmh6qZtI@d^N>=Zo<<#%-NNZEai&F)Y8s~Wz{xLhF5!e! zf(}9RtF})@9V`bGt2r?YDjuD6-RV~R{9+BybB?E!jQ>2j0d*`mPi|E#+E2-oo4@3% znMOrCzqOYA5OJyXu|t-iI?2|96bv#dX zcuu^-Y`@9BXUSco-hKo3algt1zd`Hm>H|2ID)J7r%cj*A~ zI>)_3va|fPW6UQcgpLcPb__o1xJ(=iQ(F-L^6NRf3L^*PS1)(Eezwa3TpsqzxR83J z0MqROx77G7^)p-_^KH+yTjDLF7OwG8QmR{$Bs+W4&@S6ge!=nFysfbry#azI#9h=idn?n=E_Y5MG-by;Y9L5GTBDm7^UMt0o1yO?dliz~E~-9*|83}TUW|ytgzPP7*#DYp zUUuLyRhZdRaQFKfm-=J_zGlplfyfKXM>sc9V8MTbnuwCs-vp^`?6SR?%9XL@v?BA@4-o&-7jE()kNXe5 z^O^V0*lLAS{CNZ6exS)|I9~!ni_X-02C_ws9R#o5oW2 z$>2D4Er7_0@wg{*o(@Mag6&ppbZ!jkFg50FQpa=7ZD@M|>2MsW--=aQ=z(i=dlTt! z5i%&c@dV7SDk=q~w}9>8Rv-|M@%P(R}I#g^meA)VbAfDBcRi0tgs%8o!2O<>7qEhE4PHht3$SD)nku zUE~Ry>=QR$Lcf4NVf=VQsq1U<-46PPJ=Pw3;SaGWt^5{G z?2HrW(o7HcZ`X@A3Ws|4X%&2o+|EB5HFxK`Io!9D>tL>tu067qWKi}G#vb1~h>W%t z_<^>Ij06HBTMZO96vMl>n|wWg0`#0{9qDj<#hdxmg^U_Hmx%OcC_JWuH$0l8$2`fc zpm^B$F?t&EqOa<-9XF<&ZVM-3R2w})$p+eZX0V`@a=|!UolaC*%odAmzII@9t`Ovh zm2-cfI%@V531ZQO$RO4c5QX-8OWP_)7Xj)$f@t(11n;LWeB}#|t{*pD;cx9qjj8!U zjp80GRjZ_oj$a_Eq5L>^GxVtDzAe;Wu>Njiv73|6}<*>~}H29B}m} zqV;Zh+MP2DaQ1N6w@7FV7}&Sjh^GV~TBEo6MWkLTTDy56i?5vrGUo%}P6bKM%thKS z&Jtpj_Rt#ue~Yl|{Yo`ggV8U{8e&9o#Sf1OdKTSs&jg*g)Qy>fV;l$w5-mL^fF3AM z43UjzNiGP3YbDW8_cx#!-82e)*$SV@2Sc?(ro#&o?nNK!tzX}$8QycHI+i{T`LZTN z;c3--cJ&DhIUP-9umCs$c~w$7ns^bOiZAWeTa)@rwaHvxVo76456fcQ6q4pTW3GEB ziUclcQq4_8+nqKIo_FAMMdHh#Jv5tbxF5eLdOLO%{DAkmu7*&6X?fhK!AyI!1<$Bb zw&l=!lFBgU>OxBSz8RGyo?mHJqOVm%B0ED=`;-h<*3z0!Pzo@r#*NRDT2|RhXcz

kFdM**0w$t_$Ks)`CGsfRUk9>uS$i(57-(;}Pgr?VV z7VWIHIHuv;-K3MdNkUIi2a^3J!+8S5dk&SPT$N!X ztP348s4U!7Y)U&wHdg%0-O>wr$Pw)j04Mu3r;szGjiS8^dAwc2P~8pbX8&}w!1e2L zaRC0#F(NYf9QH3$fCd2J?(@9bANb7tm!O+ZB0S*C@n`7Hd%-vrLM4qsUfv#IzK@;h8 z3^#mk%0=qJ7=z=NmUCY@*d<*dBG4RxIp#&nB2w~u&#!s0G~JN*G~DZrLwc(RZ`Q;VQF=Tx8c#yz!3Yg$>|3C zg;{&I(>e+{7g1te-=Gwq7eIkSQm5Ex4b1yjb*vuJy~`UsanG+q&9}l>0Ook2m-;A0 zAh({_zTJZq)hyL-4yfR_o9aSMa+#))n@xGIqyGrgP{p;`kEE@Y>kuTHcU3p_5(<;A9rz z4tPuA8yxIH?|EMtfB)3yBg_l~Z-K|y&XxSpA{IL&0hzd_=Aec=f+qga9`$+CA-4P@ zI|PWTq*pm=9&Q~Uf~>q3Pc@+5a46|WMlufsK!VSf%bbU zp=K_LV)m0Y&3`heWHj>6d#{ptGouGX+ErvXe;`%?1NTFU0(V9rube5se+qL9jI~F~ z6f%P^*A#)xGkR85R4z8n_@QQ0y6%l4V)V-JI;Kn`U4~qoywek83NGU&wk-8lY>{^2 z6d>PV!}{YpOF;ffmDXj&D{4xJ+AUpd`r!PxIUG6$sXcuTa*R7@E@Vu5;P_-zd*XPe zY>kukhU8J%#sxEtLo^3ae55sVd|+&&-_KCCCd%3)drHpQBY13j{EeMfvPa@l(Tgv^ zQRRX5QlWJp05y^4T5%eZ_Z(4BpiToj)Ab@^n}O-`xI(dM?UN$iFy+eQ*>CgE&j58~ zt?Lycy?q=s)A?E6F+~CW4{(e5H^VkzY@_p*>5qE`CPAKQCtxbV7J2+1g8Cn1n{>hI z0oVARm7)7>652IGk7Cj40T5@={FY)|ew6HQL!%u|pdJ2vtoW&Op-B6Exv`U16v}yf z+SC0k)Yn-k_jP*T& zBRAh!Uk1eQdUj8JS}^tPe@%f|(?}ydkhyKM1?0Ffxz(oSdIv%8cZ2=xX85J(-}#yP zufm{`dO_>nlDRdc>Gx#_cm+ZCrtFIBpmgn#-98i5^@HJegE73B-nO?0zp$Y8!l1Hu zgYCRb?P6}jb%Np3NY9>0-NtldyFp{{ElKZI{ssulr|g>Sz-?QQyIp0Q`cI>ii@%|K z{tH@|(#thAhE9HZPxhK;8`cRH;~|x(Y2e?;&_~ma-FYd8H5B6_)ju+Ewo0^EO z|04{l@xSbzrgp7uA+{xwX{2+#pnVQA{9d@-t`?@i($L9A-%&mresa^y7|7f{-Cz8y z3l7H#*tfPpA@A6^UHo^NVnz(?fx$t}xcxEt{#|J$J{aRToZny`pOC+ofdwK(@vWrB ziAG7nkOswRz!*{B`@Y3_z}!hm{ISl$^tDhh1PG`ii9{7iR+E{y5e-R~1F**4^#O%90jrAE z5_gb{@!x&Tj|fPIa})eX1ug#iS{^)5hL71uR#FUb0+HKz{?=#czeWpO!-!&Zsr|9K zbif!rrvA<7MxGR4AP^wv^7{v%LpK+>#uJG$PzXc59H5c9LCbJV4WHKE6?EMrG>cyMwrkD zh?`{bj;nq$PzVKQuT+E=Jzvt-<&6*_CfKcgWyT6qT$s+t8Oo1^au8R-iEJ!Bi)hS2 zEa3A8K(H4c!il2HKOQwTsH1WEdZ9E7pV_(-kATAkH#MNm4&`J$3 zOfe$js=<41f`KnL2)5&(vOn-SsS!ej2&Mh7wj4%YoyjD)A@X=4qgsH7xgfS9hen`- zgc1VzTP(174ty=FU!lAH`Lnh$4acK!5g$?fK#Vqi3$hE!a$ZOWgj7LE&vh+wJluL6 z!WrC_g$1dw)4Zs-^=V?%EK4DvV>XO@N~d^Xcn?-V>SDI4oGE!Yx*j2mE31jQ{nEL` zxpdw+rl@WqLRM=&6MxIh!U?FU86nH3YrNUOtjYP5V7^2hN5|A7Dyp_&ne#Dq{-RQd zQ!yu8)^i@pE{h3)My!k1Y{fSde%OGgoNXNnTD5D8(<%PZRLbat*~t*l2ytjt$BZ)N zQBf3EzFe{aC1LL!92M)cVzv&2Z{wXDRqN<7O^e?3>(n|~v|T61$b!_#xhHB?D`0v9 zD$7BKpCX(22F!!VY}t3PgP;+iOs8wBnV6%}RXyafe%r#F*6JzNS={ejrx`Rp{9PND zSf*sA0U?aRySpe(avl?~3N?o9o#%XNEuoiqAY!ag#n4Pbv{V(bocBV>6Dj-4!YP_Q z4GNZAr?xqd_T9C&EUop<3 zznS=~jKVswv8?*vrZss?NDBR*idY5fwN|VGnX9W40vM9)+3f**y2Fo>Z&58unC$K! zSN-#w-vNFMXc+G)pMnN*%qU@%MSzvi0Y|;};|;3r{T}Cg==x%Z>GM0uHbxd!6^qNB z?_!dXhp0BMgVWC@C){xto(4DrJJsPwlL;gUQxk2yZa88-8dQ&+KY`yG(C^_&St`VV zIt}$gde{Vn=}Ca-Z+SPbk;=J z5wF+Wp@sKWM8ewEMYgwF{~@M#Q^M?)*7=r4&ib&MT07O9y@D65POY7F$sLnD0&lHl ziM@ZaxeudSU2h{A=TXIv#}UoFER5y%XVulLZ|bi*)m1>&*I#clnoHSlw6CykjMc0U zoGv0wd)I5rCkpRYt}*9#`no=ih0V&lH_#Cr$1fWmHUyUYd*v?sF30hBG#t`D4xBC1 zb5egwk5?`h1P9dba37Or?F>|H7PW*1-0)b>7XBG5)(fU(PVc_oGlY;n>D!IMi#(KC zk7))9+yHW|Ck+Dy+WUp4wSVp}vs1_Z{8nAF^Y2iMtqZr%!p{;wn*z0$s$Pf_=nR zD{3Jl_Rn08-%-=+v8{A_SsuKZMj@PqHEV~J0NB0OfUTk$onYYp(t;~}% zp^4bb)|nMTjbLL)AHQz;OlE#p;Q}It#gG(jk(WyCLcVt6&FcJ4bpShew6oTtqZDt; zB@o0>EpI((pOf#9vLFkJWN+K}pYV7)knB?!$y9leXrbubcW5%STkRXsGE~{#0p{>~ z07a(nhy@&(0z2@;8w#4x9+>tSPia9vbnD_lX;nWo`xJDd`akeoqAPQ@FR3yg4VGe8 zP$uu!e~eCfNeb~U=i=kG2~_KrvyK#}JfD-7&wDfOu}S4t*eI*;B-;QD_}cc~tZO4A zgfW`ILktSk2p+|>UVUcluPY}4USTI^i|<>5YZ5==Z?#u}H3ZoY@ix^s|0oZU;kjH~ zwdOp59ic=rX-WzQ2-`5e>cYS+HZ$R*!6?p+rawFTYiKT%z zVR}J+fq9SK@R#&rdU_!*dFfk1;Z#8DA0DUGg0XQ_(EgwYZt>$xZmXKz zFkub>|3uFoNpA(Nz+nLl&}S6ugU4R3;f6TONwpr%vaz^n$_b67 zB$fqazMK88jHp^BJz7_v`E&GC9olf-S$Wt8s;fhu^k}Qak(a;Uy+c!kn{-vB`USWM z1xj8^cz(YvbXD14pw}%M8r{4pd;88rp2#)}-d;i40S4l^KBcN?i(Tc(?EI$_6YqC# zK9=C$uw5uzG|42=@-v9?LE-o1SpKkp*u9=u{D*Vt2%~K@F(Pz|MHvB0T29HFUCU0M zu;(}npYnEc2PTXLdTLaotPRms*=(=+xanBmYl=I9DgT%3h}uJ5_Yk@L>6MZLOOO^~ zvS*T3LA?$I=b_rT98e6u@`^aRfR2_0-45d}9(@MzF5K_diL14%@YJaLCvwp0oOfcI zX?|upm*dK?HOd^NuSw_5AlK>yZ!JmyCP6PQEG-PkaI9K*KFspYdK1hI2$FLzoM_#$ zw48ggC0^nAA7=z>+4Ie|L8!;jp)-?+8K-4Z7y3?_>7o5_ysFvPWJoc0smhez^n#=Z z+W!7i99NiaXY$Z~ukmk74~$RbDn=2lRk0uYqJ#EdxvR98rNsB-);V`k+!O48zTPs` zGUo(qp;$ZCdbxrglSp%`&X-9FS{kBVyPVEL&96L%gQ4@{NL}^}nTPIEgc=>> zxS*4y`7ERxD`W6pXg1>GP0NT8PNuaMu4f(s&oEUQS7=&{W*sc)g@e?#x=)9C4%U;W&KIydcW zQZrChn5KldVB>NVZWB;|ZOY<>Uk9|-=12?Mf46EKOI2Fl;@!*)8Zm~GAPSE}L^JF| z{S8Ff-!?GUO&nu=lLQXZC?cGU36T^4XmUNaz6P6~#g-@yU6W4uj=I2)ACoP`z->>T zRJncLQF>4adyP7{i#EnVB(WW*jp+9y2pD zCgzx#Vi+?sJ7(sX!v8q??%lojJHL-qkEp9vDpg5mTHO|<2AXYI_Pjgll>0Kl|9%Iw z&MCV2ImFGg^BgMgJI7v%Vj3#j+2qde%s1qaO+D zMMj%{@en;b=KmnMux7t#tb57un-#4DjSClH3GWsJa3E8ySQgBpp1Z65aO4pE((2h& z@eS%GqWU{z&-rxYSxE@<+*gT*Bt1TBPA)CsHl>2q|0uEeu8Jv0=E+5LZLFt&z|2`w zdD-giv6wl~qK`BP?O5!*A>$i1@rU9ea&{NvJ27(|OVNW>>!ACA>#12DxxtNi5Zk*u zIk*@7O(*hs;@^+u5&JAy zbmFvUd=mifiynFIT!o60>hy^XMp|C2)swTfC0Uno}$y=Zt)u(!Zav z7Dd|5V>o8_N9ar0OJQRble^Av0TE|y-2R_OKw8?Tj$}A*-o(fJ=Y*24g_Y%1flnaG ziQg!P{JAf-3^H-KwV^0V>L(csx0qC?5JG@Fvw3nV9vpl~8Y~K|*_#jallRG2{BDx% zh2vB2T{ay!9T}~pFl9MBI<%=ne}bdbnqsvr&eoqdWLJpixVaoI% z0GKrrRR4CcL-#+SeW<&c7JZW8a-7CvTT}Ki>hYfIC7423+=*K?@w(y?m|i3y8lmkG zayst#Mw%IKz>~$0K9kuw`KW{!BuKt5{b5qK!=ePNmej!?)T>#yQXw_(D>%FiYI5v( z_`+B;twslJA{Igq6tws6e{imJk^1#H*ni%g{!mnVuv|1fu3o?GrHY8Xa+D z7R+s;4Iut2VQNO!V!e|6&{!OL5p_4IVW@PIO5b8mcnND*dXi#J^b9F+^I}e@ zj4<)Ds0n~J`kRP|30&65W1B0+wO>Ut4#`Q#kuD<_Ts64^X`xq{ZznZuQ+i! zASM7KITD}%-40_P2T(ZdmcVyrkIU;*Ws1+MH8D=}uS&UL-{gLHUean3C?G zf>+s^Hgm{$d9m+gtnNKVg9B0Y$!RCsH`bEHFrNzVKVq9}1pP+r&RDkxS?>3#Qyk26 zNZ(yNL*4PF`U)xZgaNLpVti7i69GFi*gk2}LJC__*w3lpZiRgjfZJPzEj4V6WN;YZ z4pV`YGNueLFM(a);Fj#R?jIUtDvoP%3KzP$f&)Z!A%zQ-v%`uSRFaJ2D2V_B9?-Ls zw{r}rvmfUoiyzEBL%V@O0jjBBhE(5dfNC0;8gNIiAS{c0Llg6y0zLrDD`DHpmnH$e zD_8^r;1%|n74oTLC{y{>unFWXvH`O47U_Tyd5e5NAw>*QYIb<3tt7VTHH<|ppj=^} zO2LRKhE8E0!dy&2SOxowB=&4FxIGn&st_0quu<6ORG3NufrS+g754R0eG>tNbTM$L zFBA$r;@EKjw+KL{yhRQ`M}A)l&{&Pn$Hikft1Os}8R6!gU9}0n@3CV=0hKt+dDp46 zPcmB{;-h2O!!=gB?k^c6_TcM5j7X3%*rnMKjLko% zCRndzzoiurjw7u9xe0}EBuZY+=(HV_C0u<`a_==%ef@;Vvq6sTbROZ?WWTk+oPOw4 zEA#J(4N+I#tX_TUI0Ox(FMN{)2TFlFhKJxXaPtwPuGp*x*FGhKUSFLX*Ot40;k1+( z%CV17x7IC_M-7je&IvNju5QR^@8T_t&Lzx2EkYp3EZmUgXo88!jDr~-2Y;T2RTqBp zz~H0N1cBm7N0(jh31fsNn&6|ED$f(;JW_3CGgFTp%x0LiZ^9;m{EO3dkKOy|$wIi% zrQ$L(1-72vqRC_N7Fp80=12DkrhCW06r7b~3X)JqmDA+gx+R*i`8YQ{J)HC(?0(VP zPA7q&!H^CsL=xv@zOD?onxM&rmxh=$VZv{lg_O6vI3^D=779tWYb}NjOKOR;7WcH7 ztsdiX5&qZ0Y)3AisoK_U6go66VPe;8G%v#r*P_Pm5ox)ciV9E=eqztiM>b=T?&>Yp zA%PFnZ}sGbRU=}SZO}T_v=87I@qos`Rbpt0Io<9P3#uM@y;ie8vE#98-vPs`Vd%I^ga zL=MBNWN?h7?u(i%9EzMY&8uW|%u#c@rhK2R<%_z(tdS!pzsDhepRMbQ3WZK^uLo(F zB0Pwk#KNnjcZ{Xs>qO}%eUvaaLnoVgklmBex=z=K{c>T!YYYUU(OF!|^SZnLd#`+-hg60d6 zo#u%0h#nshJC;Ukr}9hQtw%zB8+)}~g+i6xLh86w!2)-D^@e?>Q4}7n`S^zw29=@8 zx&qpxT5YHZfQEz>>FJjT2hD(>)Ivq%G9)=A)Vb0&CSY@-;MoA4wL3A`LGl1x|=b> zU@y6&)HQxCT5#)U?}r9Mq8XY9k~gEMWX;sgH61y`J20CfRWLy&a5un_fNBq4t0zyP z7ml@SXTe?DcejN$J+^{TayBj?7X&e+&0Z;lpZN|WNjwK4n*zd|0k%Rf3~Sc{WVa~Q zQ%QUWB41t$b%kDr8n(N=`e&2mK(PD#mgdJ*_GCx9B)bOjB2ae}9|@1W@NpuTJh zh;RnH1=21@LmmHOdBA9yGo?bM)X}yv>&C#|7;omG*2#c6w(cp2@&dK0X0Gx?`nZ$s5^LZxSdM2eKxhoI#@F;@XP3L(;oEi9eLW_tnrBr#TetYxD7N0!{Ilqh&|NLBI30n#q zwCYQJc;jUao9q4gOF2TFG6NLovF(!^}p#k2!4e=G(SCAHJ7A@}r2-h*e96NVrLnP{S}=eN3eTEgZ6K`W7<+JQeOkUJ~x%B4NAb#X$g zbbkh44cdUh`0~s~K?W!0I*lXxLRr(= z)}uI}xB!)AEkUl5+aE$?P9D;{o-Mb}#^zE%e~QDL3&$c8;eIzVIgQ;Ec{a$zIN5#t zGyAu)G!D&l1<7Qx>Dyhsv02)WUwP?vr|NuV2KlKUSRWKJm3yxd~Hn_sW}?Ab7l zvp)Cp<)*HI67>1c{BY!3I3$x3tFH&3?ta?mA7X#+%q_Na`Fe3VdYe8KN$%UE1A+o# zE2$v0-Jn(LudfU`Ht={4p8?l&Mr@m@EQvP(ke9Vvl|JTMJ>mjC`k0rr1Q;ObORBWd zHv1c%Sh;uGx^^F9A78ON`0RdXx%BQ9Daa=RDFC*~`3SJDKa1o|-!3FzEoWMm0qQpd!&5e#^1+y8r$VI> zDWit$PX$p|qT%g^R$ATxEk*>a-)C0@ir9LR-P}8!spD5;08;5e+3jiR1Q90jOkFo@N|57Jc?$0yGcFG* zmYC=r$eCXxwGhGdr0z0h;j}6au>E=eOU{jJ&jd{brkeL>HmhJD8Cykia-vH z1)$tYlxJG~O7h7U7>b1mBOFx9LQP;9ek z`h?4`FS{4~6#LdZV2|lSv1Dl~YuXBCZ_1vMhHjdIcr5xO-sU5xq|Ih^3-PL1t4yZR zog4hzBAzYS_u`*D@)oMU20e}aSkM0LY`gl)%p0v~MVb?2eStC> zhywMe!J&HEA=|+_<&&P?%;AegkKP$S>A_~KyN`T9#tx?ts}h+H zWIOOMWmB7Dg4r+)P+tzP#1*r?s!r!mOp_g;ey}imr$msk81KKG4z1k<%J;E9-eNlF zfpd+NnuoK;ILDdb3;rmq;^Sy@WL3-SCWSnLE$Zf2@%c}S4?^10jE9;oJB-FeSf4Ie zx&K?S6SRt+abLitvSxXYA=eQr(GjNVSt+VtlFgWqRdN`W6(?pyH}ypP4?#2Kk2v#s z?1YBJQ}l(pD`0+RODmZX5X}wr)%GFOv@f66kZ2{*R~z736t~t67%f1W>w|w;rv^!z zZ{a4zLBd7@SuT;wL?nwzQY})F^`}19K^xH$_}uw7Ej2XBx8jnB)H`bK9_Haul#+yZ zPne(B@1%pF<8LWsAr)6{uNC5uieoet_Pjm&yq%BI6e;R3ATQpO2-^mvS;TPlgWQrf zNqTRFy||BM49Ia7`A&oE`p-#>uAFzG_)=ED39Ee(#>g?@^l|VHHPn;@4O*PH_O{VZ z`JC%mLsmHH>)$+?wfyYpv>Y0Hdf zPEgb4Sx+cmE5G%ab8Z|34*YGhqP$X>GcCe$MTN=n_Xh2693)AC?L-DV`IWO6%5$n3 z!HClP41*uZx5Ke-2v%U1vtM>x0h!A4YM@o5X{&^FxN)HP0(S0vWzi3;!p|5bsktS< zDJ&!^B_i6g=1n;(`yne&MH(tYNN;TYLdG&7J<(64Y)Xq9KV`PWT4#V%Xa0`9gml~! zJqvT)e%h)!4X$N=$AUCrW)PtyX7wA1R9Ul;w4KXxe0jb@OIjv}vaFCTh8>8uEXV*^ z!kR~FJ3EX?QW0~v+h1^$0k_Gm3(LdM5r zPPtq#NrL1`;=ryY?idb2Ysz0#OmgSawGzA+bRZp_(O2Liy5h2luBy$6`A)Egbw?gP~YHx(xo8KIU zdVJGL_^A$Bq!giYSo@lB4sTjKKDp%D^aoSmJe#ziHilv<&}CnqeE7?=RyueRa*);un>FPrMCm%*h62#*kdSQ27}Fk;;FPAY zbbce+HeN?>ie0qWnrX1jLh<`;k=7$qmN6bQ5i4I`drHs??Q!$o{ewkdQ%`n&5SG{_ zf-OrD$t-Y9k4JXJ0K|JO{3qbR(|^TO_5F?50(#g;R)S}ihs(ugliS71-ipEMf!fmO z%KHQJuXa36vuKiE+_z2_?@%UNy*_XCcJ#D|wikQdb~Qy5X$$e&UH*|k->pqdDB92K zeSCiwIhlc)FZVnPg8j3~_0VQ+Sy9rMStGx^g7)zX;rMBk&H|{FjEMWP)fNOI$j_HK z4pa&h78Ls0+>8xHdHb#F?&s}`1*h>=biaSf&7a4Q zt%8LG4{`!{4m@sO4`Ihsq#x>O$Uic@cVxjf#wcVPq+@`OL7Go70gG=1WI|3-=d|#u zIfcpV(UbBmw5@|adZXgGG!9=_Tx{%o^>}c%kPK`QUo&|aFgxgE8hwvzPUT9&n@A#F zjqdkuo@u378F1ww7lj0NNs(G;DoP#tqos$Tp_DKfk2~!0hm~1K^S9~r-E8EJZ0%8; zQQXU##{Qi~*xKpKwogrohhXUp661Wi;u4ts+WUqM8SfIL4wr$v`1`gR^Z1~da zZm+xp9TfawC_HZzf)S05xlcl6d01YEar+Hu-4Q5@s(weQ99Ukkan;fH9qiNTri4*M z8qRv!GU-tftvp)4c}G8?Ggnhh91ON-i858A#8rd-)$sGQ!}&liW2i8zGS}fvQ!eUD zY^O2SA(C|$LlHG{pA}G7+RsQ|j_bHWpmf*qSXCyQF5Uk4CqNE2aDJ;Q`fE7nba4@} zzQ*l4j|!>2^=E9x5aVlHw3?Pv7|HM60y zec4|Y6+li^7IlTK>?A?B$$j{r78Tb^n*}K!I2dCNNY8PZ<47*z3c5H185Fp*u@N+v z81(R?b}TCJi7I3(0oY5$T9wRc)Pj1Ta7%8zaB5b)a4S3K@0Xvzeh*k@ag(BY=>RKd zpcu`h4fu0uF1(&L0?c#w+(*IYj>`iKV>B%UD6uo?51iQH4zjd*+I+_&6Bfy9NH5pP z<~nZ#RrTX6jTy`E_0la(-DIX0l2M z!Ae%r^wbQsHcL=(slhB$r@~z11AJfNGtu8E8>mO zvt9+yY*dto@R8$eWB*_e5khOE5FIfKF-BcBR1Xa)f9gqo1V55{<_Q4dve|n#{N;?TRpTQ-bM={Lg~YQgruabR_6NrB)6u#2=HONYQL|4Zz%v*lM2i1be!d2 z=-=2@pU1nE?MZRpY%`-^$tTOekz=Q9@FdEhYYGxDA&hDu5~R>)q?06xQKYHFC&i5# z*e|YImeGwNB3naA%#&8_;7)B*RE~001~{Qu<{pBrNC#|Ai`}a75uE{PS_g};HHy~; zjOgc#j*%!B(1*Nq!gP|vF^5Yp;Um(6ZS3V2q-?hw!P{yPC(?G*Ir+pzbH(yo_y`|a z>p*H#!3a>^YIub>tO?TY4uO)EROW_+ivT*>Kvc8mcY6X@8~n6kGW=bkGW^-0GVr=4{zQnLt-sbfq|%eGaUX>3U=3!`r-axX>cYK@!sJ^S6hw0<>O-KF!lp$RCp zbL&F#&$%G}89lw!8k&~?!dMzngU`s(Shds` zEzOf)1=mKyq68P^kJ;}%7T^N;?rDsTOVRhiK$q9;h3hgkiUvDPCa z-^wFyPbY6>O{@yAg?HO>fcat_rK~2jBzR>c0oDvF@!Sk6b*6PVP`zmS;D-&tovx0k zUK=daMgL7uH%X-~u-WV>cBC4ntB6}bHWU5uT4-5K$O}ek0cu07Ak;b~V6C5ulzo5- z@M}MaVTMh1zx}KSWd0i+|ENJ{YcBF!X4DgiKJNnJZgmp<@uA9XP~Ni|$TD&;tR4Pa z=XV#yFKLxgz9vbOckiII6|4<930FTSrs{k&Id?P#R%1<}i(xMmA|!rA48g}^(G^nj#J^@mB`xMLIm0gYjrHF=srHVr*fhMJdW*c$ZdYG@qZOGKPKM;igA7IG2TXF zLC5eqAGtZ%GY3Ncu7Oxp#Tuf}MA#;gSR9H(dyBpyxAr|C@qF3oQ$1tu^YvqeJdB}| z+GtPei6@;3nH{(H2JO{`yc_etma^^B-yZ^v!)41|9YXhE%*uABq$_9zIp!NY#X(pMnqF-&NcsPq!vx}qB(Ce$E z<3fG?<@PNXWL%L-(I42iF~l8Ut&4zV?Cd~<1+y7%WhNd{=zZ6H|PS=`^ zP`4`A;f&;hmo5iAxFuzc9pKBWW^t`TN5oANxsWG-C|m`Smv2h3-jBk`eBszX9lyt- z{5loP@G|ZBM-l^G=+?gOE0JgC7m=GDbNQRJ;`i;X3zue&bysGNA=?8x!QJkzcv^GH z2#9p}AY|!bTwJU-r4~5uU&kv(afBKv`w6d#9hIjLuyFQ#z_Q3?Dpm<9sLEnl-s3#w zMU!+1*d&X`wktC0k!eVi@)Vm+E#@53*vwO618sn%1bk*xj)B!qBx~r{9GanF3WaIi z+}t^Vc>N{d2hsziHWG!qdbrI5;kASh+1n!-plR+Fi7JkFK*~q@rMmwPw-A8LNAVn;%QhNfx1MM$!W<1=VHZxiE0c zA8j9w)=nomoNFDGMcPZksQPI=8Ka+@z9?|HjLj~Tu4UuT`iJlNkG6dI#POc3z}{YY z5;TZJT5V4izu&|CxD=_n`B3n^Dw6tb^H3CBv_b+FmLNOTAd0NC)nGI*iQ_0f;mpBF z+0jxoSQU7*{=4Jb)2^22V&Ch$pNrQI{yUguwXf zs?jXP@tEB}4GarQx{M=ZqCD5b5SFTZ$mea=W3CoZ+aJnn1p&+q%FhWLb#yBoZClI* z=9U7gNLtzc*V!|N+&0S<&M7lHEzH$@@G~PXCM1jZM2`udo(y(|TzeB}n&)>$7UFmc zhTAIwE^WT-h;{yG16uRt#P|G+l1!a0`oUbUDQnCz>1YM-5|Q?#=`dfEbjj8}qYIiw zWwL>6N-&XgP~K{t>3z(f7EAMFj$*R5$)6r=5>RdBic0Qn+xW(VSExBP&uI5jma1Yn zgXHn)knO&Yv@Shr$j`Lx#>Oj`$v&VgjLICP?dn0@w@xX8IgICe``9~(qq8bmTU)uD zkjUd&p?^q9rD_RE_KqJ|h7?Ne4SL+5-XlduY`9gOk!&LheMsD?6O)Wy=C; ztm`VHmnzhD^fg3X#=WJo7Re5>`=f?zw-Y@n3l!>OLJ7(lr%*{o=cu#~=_+~_1GpTG zn6cS)0OX*n4uZN-Ui(N+)Z z-r=*K;_MaaWzc$(L$#usD*7C&`+xhoJ;^pdDgC=qd@v?(V?b#{x!#hZ|A?_H@P+I`B%dfe|g?HGZyRjY=X$W6UM! zyzozJ(sZ;A-Go9R?!Z!A+G>NWwF@X#Xt7^eh?h_zs2G%4SXdlB!W40LLs=S^P&82d zSw4{e;Q^v9IJKqeiy&o7Ry{i_5dgeZWsS-xwEyT9nNObFp%J~(39Lw6p32~IZ^_>h zS~jH2VjCt7LM{oaHC7c{$?lnIksPAn|DuvZ@Pch97!1=9?GWc`qZ9+8+H< zYC(>*s&4gaCM6gw@49jtcEXNm%hY?ny#eaAW$(PL@Ibk9U_N<6YV;2F7}~ zx&u*Qs5qvC2ukDSg)qt2<;Pwoz9W91_ff=V?i19sUS@t{b7 z(N~P@Ol59{KG8$-NL*$=v5Q~QoXfs2SaTJg@M3Wi#Ft586rO~nM97c2@Y~)hX>D2g zOu-Ww&op8mlQNnAzu?4lR>KWXt+>F4q?8dYRTz4XnR4%D{3O1YI z)dZDaLJg#gP-HhDz-m4945rt|h6X?RnoSgH?V}#EKjllt+hUS>^1o7d{`d+wbbiti zE<|)bsaG8{~fcOpHRdhXwX= zezq0v=;vv;A$Ng)m&zKD#ZQ)zGEq)6Vwe5{p#PmEbylBU!(N#W5}we()oLrvZo(VZ zmOwSsP+pI<_@{`Gequ$o0a(=3I*`tlzJ`v1(Y!p6{t3O@$&1~(CXB&n2_DOM=8=^$ z-c3M`W-6m6jw_7o#6JsjvLpY%STP01L-c43TH|TVY=WE!qzoA>-Su6J(>^6aGzI##;rY z(t8Umsiy>Z2lHRyIutUs5>wbu2$4J>psOBd2@odwmTn1<7Lb($r8@(9C|I;l36V4s zQ!tNSfo_jhXediyRWbA@>xqE^qL9%{YbvI1N4_Hs<%6rhrs8o5r;eiZdX0g_B^lCk0nG8%5z-)XL>H%$%@y<-(H3-yG z|1-N@p|yYeP;87BA6V_oth_z~nVHPWemm5Nry6Yv?ACb@mYms5DxnOsfF5Iz=94U| zWgfWIaI{_Z3>u{ zjuzM-xqws?ZPXS{LR2IFfxz`k%4xKw>1c+{_-qJNfkN+{xGW}ksmotsRYpR5Gq$`A z56jXJi=zz!|D_p-o|r-iH!1#?f@;jM!Cb)oZNg1$$e2QU_ZO-{3EM_r1$4;#w?u&d zl4!aA*Ixx$I9Y=B$~XSeP#D+P$^U4G^{<9D0onJ;gK`)Toc(MsLXaicPRXbYftL%3?=Kp(0 zNTh|w*$KNwc@cqC&X~&U@B`^rl-W(Av|5ES9rMutP5m%7sn+T{lr0NOmLis@Bm6eC^|-!(#NP>d9)51{!NTond;GlekYKq7M+h}*@Xp&<+Opio34qeSmONl7~d~iMl@X|H0 zhdC&YNnv6g^^@FD$G&)3PVj)R`79_Wc>bHFS`Nr3ns6JAKHJxBuI&kU_vc$8ObHZ1 zI+Mn(n>x$^>kw***duhTl}HJ3SkM~(1*c_dS@%E>=8(-xJ%|hKHk%VtI9t+ z!vC8F##qu`+9-pnK>-7LKfyjqq$4K%BYY6pnUB87@Eu%NIsd|VN56Uh!$(ngqAjr8 zY4XOD9#R1JRG-E_(eSa7L1jw^{;xC1fcSsZa%79O3A2fR6}cb7l#;Ubt9bXk@kk^v zo4JFYTK^%efh{F3I>M&zy$D%Y2Gc*umVgW^2-u%x+4I%rU0SW-^1uv<+m!+@-B%L5M_;KH00w0Yd8Hd_T3Z7 z9z?-MilWOL;is~~6A&u@N-cgK5dTLZg1$0msLsGX6{U9g2y(=+} zI_ge&aI++V>2D%IaX(JLTP&i`M_|;L{p9IAVH7LGG~5XOPWIQLHOi`CA`GWK(W7{T z(tQ00dN~^5JG?{J5g3gbUy5oo&i`#Q^B+JKWQf`K6(=$^_PyO8S>` zigw5Sx6ApL=NVM-UcxfX12qB<&fPhZh~z@$cg)Diw0^pP&h!$|VaM%rX&`R*wB)PGasMT`!1pEc5ztBZq2 z@7}H2whQxww|7Iohrf4hd@e3}i5EM=&bDc_BLK8(^+nPtXU^h}PM@`=gsrOo;a_nn z!NGA>UaIqv{yZK=|K|ZOR2QGWy*~#|mBrN}#1iH33%Vp`LtB4K1@6Gn@27@sX75R! ztk@q=#h|)=Pv2TbOEmh#r{F{tTr{3yyGkgT0YVumYfQ8ZiAy{9#xf#sBIF11cku!` zd}>AYSxU^qU&}=7EjD3doiXU!M2gqEWgD+hEjEe3ZCugLwsTH+!~$ViVt?`ZIS@>20h#*cWpJqtbZo)&sTX6Hb7K8l zpxRZbafMB-u5(4=Hn3O3%k9h3fGxVH&n?sUjN_LjvuCadWjs!eF+IuzNrwol*frjY z?nU!n!z)uRyc8!XUWyB9#sYu8%(+MRKY{N96~t(B%`a3C>XJs4t8Kd1d%b-iuW?eA z9e}=HRqd4R!d3T%>jx2du*^p z0CcR#H2o8I*xDkhg&J41hzQ0nx(s%o^!|tpZ0J};M0!Tb;>z&g($|a`Zbm-^V~B({ zbXYm|+!m3vhb>ty&HPr_1Ag?esL%9!&^1_7B#~#@C`=8N_~+Ew1^b7FdYIVv5Pe+) z)Anuwfl2kB&5S>y%kT1gL4HY9o$g<%BC=b_>0*S@s(^eq(VWN*NldjMH)LG7*~Wmy zp%$M^-h*6-q zQ1oAc>!Jmcd=U6oXnhcP4F=qQgBAKv)pBW-{({5&lEXas|Ah0hnB`JPP!Or5Xxl7* z-?Vkf>+Df_Bw+&i@aCsPZiHbTDd>8%%ZdJDcwVlCst^@aCnvLnkY#ufFLCMbtLxUI zr`AVc^qm4yeVlW9Eu)gIS6$5~y}OT1qmSm@zU$Y1){(awL+gU915pQk+icHcq!$A+wSwtl^!bpS7-><9%Rk)@ecd`Joh zyexiXZOGrm2qB?92y>%@_+Z2W&x=^|eK3$uw!;Sfo{L>~MCc(|1D4d8ZiH$494|;p z!umD;zbWW{6_x+We`AAB5PH|ZmP1re^lG03i=GNK5zrZ@vM!nIZhJBk8rbZUH zziWx$LfYefJ0DDv+d5@oLzEmZ5HQC{LFDmNY%R`td?_&*Y&EqWmI*bU-Hh-F|CKHt zQ-$qrZ5-b6L~}Y+yp`B{pw5_w#sftoReV8lHd{+%Z<7vF`79Q$M;y-RwME3Co{%U+ z4PQO)W(yYQ5xkBktdt!sz-pdSXFaB(=Y#j3BW86U-wd-Uu!}`4W#F`%uty{4JiL-{ z>{!x?J4|gy(2C={aY9PM#}%)Uv6DdE-=#uDATa0eKpYy7Aw*{#balmw3x=qzt+yImz4x&@n=&Jv^nP*pB@BDo{p(u62j>&I&V_fc(`wDAing@L^~{IvZv2ab z=0}TGQQ)(h+J!eC_$kDMylr%OvVdAE_a1QFN=XJKANbRkw7`M28JO+oAt;va3yCj3 z-O_LccH7%8w)bt6D$?QFK&(v((}lbtGdxAj^>Y5CFeyd|lfP2%!^4nA+y;mzn4i0! zI=<{^qyXP_inZl!F9Ky#@iCRi3R%3bey^1I{Fupp+NOHCTPqJMi5Ugcl+pWkpVAHw zpLYZWc+`o%uj?OUan}t`F*A8x)n|paqTFMfQhq#)DdqRaP zrq57pyFE|Fwu_Co=4t&!;$tpCM)h0m>NF<55bfCC4oPv-by@+UM0G zU4InQ&C_y~(p=HoUq?v||9FaAs=8pNccXK$e0Bsp6Rt8e*}nFfybAheP}kZ6>xOWz z)dmRT9g9MC$-u*Qh=Wr}A*dK~{@E;Q`oTlKYHW9C2sI}hZwB;h{HnpiE~%StQp%R~ zjS+S7N;6hAb#f*pRyGy8gju{emlNnI^kYF@bi7r{_TIV?<^QZq6sl26isIVaTpo`VIog`H`S`o; zeczfzgDt)Txn^aMdy<7)*A+rky@io;%#3g49H6;H=q|$)A_>{!H#0!A2PG7pM=-v7p)7Eh{o@MouQZzXker^MBBF z76i5Ao%_(msy%f#w5AZrj!vPX$RkmnOs{s(4zIo}p2(bmcPJHNi-$aBy!! zm6j9c-oDY$LY*ECjz?}PZ~wrZwNtlXAu>+O>aDgifMV+i;{S9WOFZ* zQ+3tFl-U&LAmNTj#dXt$`KR^BQm$Tp*F-d?)Tgh{R@A?0yPO3%io77t9X+u#pMoGU z8WL0C<9Q44GN-@8{EeLR(B9o^-d~4VIxE&jg5R1}Fz?cB{)+nUxsHFVFg+TvF783OlP8Fqcf)H|KwDCAt;J>Md$7^WwH=XF{qQko&A{zTvI|N>GR_Xs}vCQrdGGto{Ch3Yr!Vi(RQPlj_g|hV}?0+exk; zHDVsvF&KZcU;?4&pAr)5Kbk<>w1l+{;MufLqX@uX3MQ!uJw9s8IrQ>-ne@cvZM-vI zs^D4-={6qijdA*ZLqsGq|L4~e{8hG~vf`d}d4T^)A>ijWtMm?Nklp{j1!!M-$m_({ zp2zEAqI2!KiIhKU9BSD9Bf?0OvcLrf8R)jbVloMHA3sfNaWel4_L5sfxku_t`%tQz ze_vVFN!(UGThrLHelu04NCpb?EMA9zu33tll77gZ6@C9@`vR6Wd^vR`s&bSAQFdZ6 zXG5F#nGCeA2%;i_h|HPRC3St45dyR=^g(sjFaq>jP`&b!4!{oyr43JEXEfB=qXa+< zLyKWI(AKFgZV91F-b@UoO=jI0xE1;h+oZ9oENeg-xK(_o_EbqH6xC0G@0)u!y_mMh zdVgn<=M3d+L92ekbzO)FPJVG^zgPd22^gI zS>}zy<%rp^=w5+sSd++O1vxx_m1)bB5$ycQrnxxyO2>w)_LUAq2M=f31b94K-3cF= zK9n7J8j-%61vs+rV+x!sJuBw|*|(9l(W2zWVf zk&ZU8e8-~5R1Q_mvt%cKNd^m&p9Wgw6<>u)1~CYo=Tbbe(Ftl#;Vr|{N2DR)ASdV< zkkT@>fm0*o)`~3;-$-dyCps>n`f$%5WR=nCqAT}O*I9j!C>DP2dSIT zt5Mc;M4o6QGuBC%AS(^?v}8eRxS`!^oH9V#Bl8aPjAdP=%z5ekDHq8KpN?8x`_`Az zQ+s4h%{F@PPQ_Mn?@r6M*QtAtZJ^{)+%d8u>7wq+;(Lk~s|e!2BT>m$@^9ciHc~^qLqJx92SDMbE6YPyYI0H`6@Rdj#THi> zPX@dp6N^gx_?X-Quk>LR2h2l=-g`<>*BEq~C_s2jDmwuQAkxFUus{!|w_|*2zc4== zh2jMV>r(9EA^O5Wn}h`Gs{i>X30*H9qH8zH-z3rJn0zCcBVQ4`=pLY4VmTN6T^!#T zO`c-i7&g^fmSPq zm7|Mt{yo6Ix^rP3<7=jS>)Gp=88_srjyE^7zvlgn<<}*oE=yPdKiuj~@9uM&x$9}m zqhlH%_=v4n>H8GSODo7b9}`oaDCC4OYvOKTKLI?$#hGm`yxIhoB!;mE81pWg7lc$i zwq;?ULKA{I-tVv+M5$ioef?m#=ThY2cT=Lae90WvRA(tTdEa5;Y%tTkS+V7B-t_O|6}T z+!$h~nKUFa4K2lj#sL<)0@HL$!W-yq6FDjpI{y)Cn z0yu9UcpD5i%-k?DGcz+YGjr0A!<>ehnK@~&NgHNr(l9m5%yjSX|J~f(cQbc0(rP7J zmMz(mB|W>_AGuXIdMhQF&rNsJ^Lz9bhJ5C0oPe_xEG}B=4L|phx6|w;NW+se5`-`L z#)gVd{=c=WXtZf~n?3rM5h9M5zf{=}3zTI8moyh^XZhtBh{zBe;JF#1$Pjpt`hA%h zhR6^sfWN&RNj*vX8mV|Q`6IJe#Y2bDdW5q>!GpK-OR1{d-dV)S@jmU{Lz}e0x50Jt zK?nTy^0=m4#$a}ieRK(q_dDgjsJJZ#--l*po{JoUs30n3((ToVp-H4}ge;d`0ZLwH zw&-(~;|$2eYkLgYxezpvXJxEz;r2zr?^OXXb7$JX|9Ou=@U;EfgJYY$X?S{X1=CX= zac+j5b(?w0YX{R~BJLx^0nG<)%!>tW+F*sTvGgni357+lKxt2^HDKAGxl+Hf9rUan zT3|VSkoM|lg>FC)6@IpzO*!dl){XctG>V2o(Hhsb#g0{9)N@jsSvHZ?q}jqnL!h;< zNVKNAId2NFRZrsD&Vz4Y+se8yc3w|57!!vcwXX#iS+ZJFc>N8VB^p-}7*uw>bV_W+mvRt2GlD05q4oHshD49|mPE|)#Gz|{p?GAD@}cL6L+5xhxZ;csvt zyoOe)YbGdQCI9I1l^7g~T(gdKl&`>i_8V`q-}s#pxG!GG_n{L7 z4qBNI#uL^=7j4yrnM22u$gxDN%lW-G!#+#I)*%E-^L=pV5EGGOh%R#NaAyFU_Q0@w z%p;qy{Ce%KJ?Dq8!XKb4MURbzmIX4$G>Y(tcVy#9@l0K9!u4Z3+qG8Cw2X~nn99ju z?Lp}kqC(C6#Q{d&9rFo7qAFdMgqHs52@fMqx2WG-EnErKoYQF2u@k&)e^<2y99|{^ zDP+T+A`_mc7O?^gT;7=BY5SJF=iB13+rvsju9e}Sh;7e3w12?Izc z!&u)u zW#pnm5CY+Mi`etp`bx%FOC$vf4$izy8)^tqw6|;eqt4E(eR*I6Tx|buy8T~DDhK~v zJeZswwXF7t_?wiEgW!AmP6UIpL4^|RQdLU-z0BLfKdK>S|8JDchyM-qpwXTTH10Pi zW!UoV%abm;z2d{>0lwx~t%)9l!5l-g%4-fNBm3?Q$-XQQ)qnTO=23Re9+)Gt!c>f= zuG`uFDWL!UxK#>8LJ;_t0z0D2Uj$X%tr@ML&boaz!^$5TZS2=FGM9$5=9F|mgz#g7 zY)Ty4p<6OLg<;t%_6F+^s=`DS^e@+vq35m>NJjd*EA9PjI?yjF_XU>O?iTE4T(8%B^2eWjc{?f*}Al{@-p@rDo_^OFzKxWNmi8{>+q3B6k0Jei5)8936+4EqqEUuCrSy2l z*whWBjXz9vU?6FwxpIG{z;Qx&M{{%Pk5ujhxluO(nSKmz$nETqVd|eS5aQ;7oi3S`X z);>|W*MF;j;+e6hXX|F-{itvU?dBDX(OOB~{S9!Mo5kc>%R}^7O*kSri+FZb-tBTTaP!6mX&r9N6sct%3z)eRbkk&u}>WDef)`Y*)iQA8{% zt8x&6g?%;Y=V>ZS38C(XWq+JkVirKf4v(wEpTaU=5oxP&abVePblF_cm)@am^k$+Q zf#8np7RNzRoV*NCqG}sL*ccsAjxPs(4TcBLR^8t94a%1wg@{`GsUX;*2?m50);({7 zHqzZ*hp@)x;UrG@`@0g(tQ7sCT_jFpSgCY=7q<#EUz(29C~B{9B0ZG$7G-#Q6awa z@q0yi=B%KUvZrTCJ?fU;{44IE_V6egoTsv)^Di1-NSKBj<)2<@Kh_{KL~$Va!M|q& z;6P}D6J{*pKv0A4XT0M;_zAnYs_Lihu0^{%hRKs$b-R&Md#XhaG& z)S1RV8MdaKDx{oM7a||afhu7#1>wq!>C14TL5S4xUY=$gS!Al9yOe>~4Xux4>r$fy zkj<4RGE1aVv}>?SVK%3h#?@c*LNfjHhlja{R~?vNFRu;{wRV{ zq3M@JfFqo@tR!jH91OuLxTG+eivLurml1nii#JkhsJs>N@86+1EH62*=9oq!8L5M8 zMYN06Cp(MbwtCRoUxzFNy z^+ZBfaKiIbFIqkttGrY#Kc)CTFEOCvRb)#s1TOWram6Kn`pF4uiPM1FMKIzN&Omtw zUwoj^ooCE??<(*}MjU91z(LdHYY24B zPTk>2QdOV_Tb)S(+f4o$gbwb3w8DKu9@N6&7$6H~ZM)i#LWvoaJRea98k<)u(?A1a zzL-kbSwKE)rIm@ey@q5w84XC5y9)hRL^7v57-g9%DR?T!1VDxKi_@UOQ}<(M;Uh;> zPyabq_Bj#t>vs>7kBE2QG&Xnfr2P*XC2Kf72ORD@qJrl*zO7n>vbCvmUqBkY!s^R` zQ+wchqfx%?B!lpk@t@0f;tUsHeTytiS7 z4!jw>gQ`5{Fy?Y`I!z*NBktDzD-IpZxGdHi9J;uhs2LQ4%w2^T2_h79bZ{XFD=H=h zOo&)vaYPKL(d~4pN0TEKnw1=Q(>Nq1_?noe$M*an0q^AkJuaWe@2bJ{f^hBJVNuY? z?c8qv`FfrO>7{UfS7Opbxu1pUt|1I7ReHm4%{CQ0I=5ESvxQL6^YG|pSQK*fGO1dL zlD4iIaOl!$B(56!dzjq{>$+rzlt@$Z_}Lj89L%;hM)R{hrA6Ol#s4Hz&eoSIW7jak zaWDd$mU?Rat);!Tve$pqG8E_o%%=fO0_J)DC5rCaQ@9xw)j*{ zzg}LigX#FP3zs}zF3-ylF+|Gp2QFFs_$o6@a>>mZ8*?+IqG6h^wb4n?x2n}|V|NX5 zFMV{nJDRqIMrjJEy>^LIx|=$*F*?l?HOYWpy_{Vqo#1U(DfWw;SSsM|_xSSk;RBQ< zo|*=%lCRYN0V_MN2A@ykhR>q6C{L^sOJ4P}U`QN$PaiK7v9)#xic|Z)XWVHzc>rN)?POj>J<_<<(0uSfa zX95c@5{`+wi<|$KWAx&MW5!bY86p)F8i#tM5Mhynm+WXI^COFN#p-{L%ude5H&W6` z;Ny|bkHRKNNkwt6iIpayk;TWMGA1nW$ioUb_|61Ma&Tn^+c3b3L@|*A&?HdZ25`Sn zy*k<4BM@1eCepIlOC`DCO%v$pD~Oi3;=d!k3zHtT&$VNa`Q@UfW*;W?oF0ho@^T0-UCBcQ8`Fo#T95 z6}C=58OYj*z3F%jK^iS941SSykQ6LLJSr4EDJ+K=s!bTlENuJud{ndCM6stZd%0fO zOBN{a;tUi>9c>_$OdH)D`;#)St+bq?YSqv z8)v%<3zJafNNJ9hHyNu%Iq2o8gC!}(vcr=!+xo^F9|9gU6m`*(secC(Td5*SIaw(f zgGg-^5oPVoWb`n^X?mKeB2@Nyn(n@1l9r^J&K(V2c zh(rRk9S95!E)$`OI~dA%iN)Qwti7lZldixajwzYQctMCaW8fxR%_OGgkyg1ylwSGn z41Z-)gNcY!0}&NK4kn^;t$q2NBK^$k0zQ0O30um0-ORAkrQty)*|ZWv2}ju#gBN9vK#4u|6PXn);skvl z8K@p+Hd73wdMzh%OqL=%h-sYcVM=FF8xyE}P2^t8;>$mIoEwp%*6jGW5*cfA3IV~e zQdmS;G~6z1dEp3?5o(jRU``k=uEywKMI;9+6L-jNHWpjhxUqb*DZKI2h+l~uVN$PO zm@G9=eu^ZXLDx_CkljJV()krtwRu5O`H`B;>KhcCh~CF#Uxpi*Ao>Qg9zhRlFyjta z`v#ND!imnuqZY>*c!sFU`6fr1kODO*sp0&-t>aZmjYCz5B$gBUi;!_uu8l*DG(SYS zVgq=@An(`E+v))`q%)!Dp*xst0iVIh zM7LrAXW2a4YrGVUCeGfDOijYpAXeL=_gRUZLO8zmAkzKmsiG1q1TDSK?}HDVCBRAv z{v)1xOt#O$;B@~0B(A!8IKBe7`iani6qde-G8jdC3K6(D_v?|(-9FktwXeh@ym{%D z(I&Yl4jr-5k~2wZnTH>w{=nsT)a2p8#50))iw0JTucL{rni0RIQt*@iy{egDXuv9@ zk;XDi7m&iTFS%6dqx^TFONk zbnA>SX+?JnhK*XIkrhZr1^!hawYltor-C})nBP~jD`xe^6^6Tk~swRGr~UFg=o zR>i;Zbi#CLyYs228oW%3aNzpX%!nAGgk9jIEI`PwH#mq}YS``&Ru*9R*Bc(>tu^9g z0jrJ+Yq{9_EGfKtQQ#4}Lv|5wCNmrawFD{;X~-`)Gz*OeUvO*b2o2`Sl_W{cpQu(~ z;Z;Vk?m_*j81wh{;s8j)>UALM-c(cx80oAJ!53KC_680oL}+&{ReWlh&VVvkY|m6_ z5!b>hvGGHDPj;)SuW|XTEWX1UI0W%bJk?AjCEWg@Y`&bg=%sP_@4$!5rV8}j%Z6_F zZ;T^{(I63ykkaXqcRNv2wG41b!-t%H_?DBD7bIM!8J&!vARzvZe;FR~YpJ8>5&fnu z&MZ$ko*6Cf*O!HG=hQM>x!cEPL8tg6oEfVtA>MLaHaS^$j)#e1sfEcQ6O+40BKT0H zekT$kLnGx~A{AVT1JV6T?@&{HnqKlHBGBkD36#kjG;1 zsxC(v9Ugr1GD)QC6nnbIcQ9M2Uw3j~7Bvh>*z0kvkK2A0nr#71;)8bX!EnL(ET^Rx`1TH}VD#BgBY7h+~kqA-1 zt3?QT$iV|jZWGnNTvLeGtAWeAqlfuA`~&BhoHZ zh=Lkca7w)*jAkDNb4E9aCd^Gl1OA2V;*-1-La5Dc;iKzOxO!##wldHq{W=%yv^}D$ zh=?3Bt)HzlJ#^d~8u2BAHFE~9oW7;V(*278bfLwRHd;lK=)^mGY2g8*L5zu7NBB;iS&X=$6&e zeBb@`m|17?~42zB&_`p={ot0$BrB*5B1pv_08OV3_dwTA2>wc!sF#>jz0BFXMe%$6w+zyKY~$x`*Z{`9 zohwb-d%GERr`%TCPq$YJZ56-x$DZ6WR?qye$eT^=%$mIWrcw6ogId9oleYmSEB*yb%!EF}^%Tc~ta90vVc zOG~)TT>+y+{)c~2QX&f+8|@Rn@e%2GsmYxKDZJgx|0E6nmvpdp#W%w!f$)Sr1x$z(98gv&7kuMtP%+GY zfPv?5R7^cOB~$!LPMNKJAe1L$ZacT%Wvg5ykwL7;j~p&tf#R*_@FLPPRNi0$VnWJ6 zj5COFs{k>9dJejamX>oBa|j#(R6is#;tPbZ^owT%x*ay|b|omg)}3C^3Lq5wB2{q^h351nuZR(@@h!1`Z{oe({mT6CyC)?z4qFlux{xcg%$hYz9l3A@$6 z;eBUPw*@E7qMujLwGZWHW3CmVTi}6``_n9R^OrVR-H=<6R-!W9e8$;adX{oyfglKG z6n2a}`_#=f*11)uIbF~BqOV$y7NULSmW9?M>qiFQ;=!|=hw!;=_tEY`o`{F)?2iYi zFr5yD7QElDbz(N*8Ae!{CS2nt(#!to44Tv8Gc^)Gb~>o0>?ML`vNM=yN`}A>nVa^0 z!Q?)yi=?+YmxR5U6+a4qWrO^=Wrt|20O?WY!=Xd^hPJ|VN1*fKxV38Xc2IkJfYrJP zOgRoZ|D{pqcPI80uTAiw`z*xFVIg$>j3~kzFLYx8{S~G=HiC+eCX-7*Ias*&KE#>5 zJoNHXI(%aTBi1%tkwy^YPc;Di`@9_VG#`E!EJ@hy{6*SqOG0&z!$S}p85sz5f}J6^(*|XQ-l4NDI*C?G_9cu4d*u&xc-w=q|Hqo`;dr5Ra%SdA z+Yd7^-0Zoo zGcRvAwkJp@?7~=g3k&oX7dXTpwr$+Mo37PzjoddIHvFjYnycsUG%p;vJ7^T@71Cu~ z4VPaan)3mPV^I;Y-bZCgQ=sIaMOrggC6RkmpVlA7o?G2_L`TcI9c}(OwP9L((hv+I zSxNa{=ys4sekKSa;E!9A{v|f|+P%}$#v@VRMgyNmZdKU^SL~mi2M=$)TJM^D*PpAc z6V|8u_QfdOYLP{=uJ2yP>32dD8|{2VS~*pQ*{i1GsAFoo0;z z-%`n?o)A0bdCkIR@Hjv|Qz=Of#h3h2rXl@7p>4>ud3Om{Vd$MRgM4=e zu|bf+x8J)Y0Z| zL;dB+#mbQKm10!N6TYZ4C^*#wDON=cU_9#)&v@NPnflYcTfA|$e>R(BnA^^iHyxG# z07*7uItx<9TF({HEz6vYlE^AviUNl1I)9&9FrRKk3M=oU*AC7u2KdB~Ii}?b&`AlJtIie_hrJhOcyo zaZYebe>X1CFvrUx7pMTgAegR2bhNZ@br>2K#YfL8HO0gCI%ygM+#XI zmzf>G#j461e0Ovd$LM`ZaJXW9DR4NEX)}oAB!fCVBG&XdMdqxv zU~5V~?w=NLB1*w`wCHrGR2z4+$zdzQu0LK{lvo3TC6#qS+as zq$ml&mwaKx6801j4uF~PMBlVaqFs{h=%w>_n@Gyni zBmRe4{YNnxPAiO-lz#&0%0JVU9hb1AV}B3Uu|_uiR9p{p$6Z4iIv0v;qKx_)OSRj% z*b#ork`I-ST&&KLc_d3PoKAAViLc<{fxIibeN6IV8hK4|<)M;E)vt|YIDXxF2gg;> zf0d<_;YJ_?{FzG46SA;xryDJ(cihff8w6{Ya zDkBX;(H$pltUEx3MHmPe+1QKO!9QQlV0nWImFGoA-*1ftI0q{Tb_Te84ad?^HppJQ}{XDf7294%_c!&0n!uZ9*rGJk}?bn`@ zItaQH7w;8U=cRLxcOJCS7=(9Tm;w=AhD_9Wo{s#Aol1DF(n@%$8W^tF%q~fJs-eL~ zC0T~VEjf0JQm*C~ra2rmS-wt+wd;`J7NHTxCOD2pKHNeCsuFVR1h>H`)-J^zU4)uN zA$vGLIJy{#=@r?#zt%0{dH~z`SKMzX{W);N`gRD~!V^VU#@txU~-P*yB+ z&wO!&cM{yrY#;7Djr7xE|Gffr4F4#OK~3}FKv3ZlN!t;g+8?~J9lcFU3d4>JYQhLb zWOI2`NRIzrsG`C#pNQ?tuY*%CplBih9&!?~hl{zd%-BkMruxvLG_vn{Kkp^xn$ch4 z@HjfM2|Zq)NV_J_9k?)@dLEPIHgvTvr2ZW@5OD(3y%Q9Io@yuZAf9-ZeLrT$Zot@$ z#S$eMwf~_-*T+&)+>~Z)3)qgZ#A}|QR(3Af{80ni$5U~uMSbIho98DZ?*=}coSLug zzgDnJ4cdd`8vM_;F$A`F)|r$?{u9qyJ`~J(oFeeQ*jDNui`U_KPIPdh>g?)k&)Km5 zsR7N!D>_%ccCTkCe6LV&sJjr(QJZNaI2}@TfsJm~xz#rE?)B~~AMIQ7XJP{#pbLkj z5BudZ5Tu2g3cvY$XmGHds3(rufe33hn&VMt+Y>DN*5 z647#zwKdKgww&ipUD?{a@HRjnVQbsU0Ab1Lqoq?ut8qMBy1{fhiI7=o?IY`Lt zzazklG+G%IgN1PFvz>NZOqn7@MAh9GHx|G;Hx6>FFOOHi!EKyHt0U5zf9HK5B# zrgq>069>9*E+csePy%;P063$gy8P3-C)E;@(84@K3^v&n_m71A8yAmqi}|Kn?<_v!5Hh&i56R%k(J zQzS2(@ZuGuIJ)RWrUnAX-T}piuP^8So;<`GLMH^*cVmqB)H7AT z8%0k<{59?F`u5`gGu05l-6UF@py?xjX}2=i*%RZi-fQOL)aZ4=anWnbK51q<&umP7 z2odzjv!T?5=|453Phv-P>yNQMeb$K=CPR)Qm?6yqL*v~D+XKI6;f)-&0Egzp?_a%b zNd|Oat&cJx3rRHjC%&U<5Ce~xq?Mav#(?N$oux239lxa01cgDp3i}^7mrloY&#q9v z8=nVf#6MY@UpW6JC%H8E*NtG0M9d!AWa0jj*afF>G(rv;5p*6Oc%W&E&ZlX+&#%1u zS5Q2UU>2iBT^FtPg*BS4mELimPR{Wb1rsPk;>X%Lc!z-R} z)5e;1?)yJbZnP;UVj=0=6+8JuQV_7wmlvCP@u4l;J-O@G^J?C6^B>q-nm+0}+WXbZ z3fthDZkH2p(}()+#cxcd2JQ(ri!7XCF`eO6<_E~?BXfl1-|P4l2%Wt%`Cu*^f#~3P zq}sXVv^i^c5S-&Y^M7}R4PYc*mZNTt0*|Xc7j4(;_Pd=+!|n}8?}lFk0tL}87nqNi zw>$iaw)(e@zt$s48sQ;zvEh4IavY7@%%;s1(wB&8w?zeuLn)j_3+zQXchkv8aa=3v zfrl@sL;sE^1-2AKT)z+$MUyfHRM#?(9)V;Z6XHTzkvV3s@@T`ym)86=df~T9Xw{=B zk^I!)o5LzBHHqZHEUeKkhwAjhNOBi)ez9glNer`*rb|c+|0W$rIW#)K+Q*&7u$27XK&POJ@?p0IrgnrEn5Cqk-s zPr`bKu&u0Rd}+ggQq0NQd56jGR(EWK*vj3R@r;Snqew8uF+E)E{5y2Rxy#YM`_fQ; zrqE8%m(x6evX&^i7V75!k{-$4OnplU%zPtKhH5BXilQcg#hVyz(p;N_sKDlF5^0$p zhS(pc%c$jp-~-`64*4J?zbL2YY?M1HWw7%@(4*z|Lxh8xhLvRiIgXJ|>!K~gnID1; zNU%;w$azN!PynRn@C?_tF8JNoi7!#$kEv$n%po39qqHBj>v~T(^lleTo8T=1dC~9V zh>UNC4h}A4^WLXe84PQ=l=BCZqdc$@zF4>`zn(wVnSD(Z?pt1V(kJV%9xJf$_ z3~IcjM;8lHYYuzBiZ-Qh#WJlf3hwGnngwUiGV?f7MQNEL_npm8<@n9@%Z#-pe3``+ zO#;51ll0NI=JJjdCUswj!)8Yhi^8RhP5}reETqrBFaZ-UA3k=(-t71#dB#75nWQt` z1R!|e@sNN;Ov5nYoQez~K?pLsfY-fpd(iw3x_mmb7wSMnnX2%hzR^%JYSSc ziR6qw>eWl=L{yejbo6m9C;zG>|Ac6?YFQ?AhscoXFLrRc7=IE?ej6Px2J>a<(S`eh z&l$6SC5&ikW)4$nga{8R=Wer{S-j+_{IQK!hF$-AozVr~T`RDOTuu?CM0QZB0_jb{ z_OhAZv(j2|eh`F$0x{+*LlpM6rzdT8d#kMe3GX+PuVmuM?!wRGzYG@LE0kGIfmsIr z#;n?4xR2JvsdxA~j>ZpKyhM|3x22(xR}d~G6T;(PQHciV0YqiTG~gcee& zD_mPws+v}I)wTgNI7IlREB0Dj8qws;k=GhSd?ULL@^REp!x#R2MxJ9VR}MX zy~jABBH}e~LOtiv)kGt;7*S%5I9B^YQcdXn5Ab;~G}k zKeZF%P2oFWwxTC)W<)((_x75Cx)gDMwETrpDr>>{xs*c=gE&h04~`fuQ509Jx#&|QAgxxF@4EG~1E+#zf$#bAJ0V%C z3~e(VIYjgpd&aN|_!VoivAkI|x!#tM(>sjQXLN@)yR-8ysU!fDcD6z|ciD?chH}*n z{WIbm@)>|lvzWulU;rN;X{hST`0a;;D*&QqAa+w1eW`gsG@q58n|_9nv|jQwbDDV< ze2nUabyKL1pWxz0MdR;8K|21rQTW?-vtoG}8*k=LQ_XujYb~TYf)w3R`!R9mxFVe4 zSp@S3`jCwMBJJT>dUK*lRmbL}RD~QYsQU!hp610E(0K!2ZG0e+oC|l5IDlGk^Mb}E z>!rdcxXdjmOjdA7#qDnxDsNDGIYDn2Hd|q9#I?s`W|3tp%PIFa1Gngxpm+)1%~EJNHK+ydvA0aM0wL-TXuVy19fw?KC? zom6OaeJ+7L;GtkUv-80N%t6l+oMMQlsxI2)+#m!*fd5}M={ z;!I$k`lH-JF%e^paKKygEo9(dO=!Hw$uK&Kx|tV6;K$^oApU8Aw$Rx)$`1`lS>cX= z#Y>3SwIhf09ir2qVmva=-A(PSuE$Qa`u;7UTHwpzs(^HuaW1(8z#uD&ql1o&-rvhb z68|$iAh06GTfV4SZ$swwFk-tMhkH#oCdj;mz_hiOsWQ zl^^u;;={U1d`f3)iO!2Awr#|U)}qs1X`9O@-9)t(M=^mZXkHYq-1N}rG|fUJC&X?p z4?otYcW5DOvY0;2Dd6wItF>2-IU3#17FfLAHFacl|@a!6dz{H5!wySk{PP6M=F0;Nr%C5@pn38?SRX1ygXpwYyRAk3HL{S`FJdyzN2^O zp0Zxr^*!d)(15r=H#K~!^bG&+qbUldVdOc1vt^cNhhF=bXSqRW$gt>e<9@2m&jHE? z*DnY)=AB~xtjJsed`!d%$5ThR?THAud%HEJyn?lOx4?uRv*%KKh-iL!%O$+qZj&XwcLt7z^k@7(75A+BT z8z-7~E}#8r2AXPadj=+)H#c$KJ6$RbCG{Qb86NL(OVqDkO&MGmiA%3z!kVnMvas+c zL8+a*JF4_7l5yMC&d!6XWKe`>U}9bq5+%z3bYra^<2e;Pp`3 zIbglte8SY7jBqBjdl1x^MJk>}&=wIPX#g@r58ZMO-v;?Bhe7Ma_9|9Q%mL1kaJ&LL z*@i`$Ys>wNH%$Vg#ic}iiq%?uQcj@Glgt*?p;3J~Z7;0V28NCvQ@$TYZCt&Dp2opG z26z|*w{?Wc?vC(%WZmk6hx2?$5sY@BD3#DAARWN2^tVX=^|xKtdD}GGqOT>~Hd*lE z{B(7dNH*)=_lz^qRrCxk2a4UQDVdG*#3O3;)FDb~^J>F+8lKtR zpbCksM)I9B@>j`sy%U~YM44pQ(jS_O0DQsNR-}}u^n0OsZmKvqEs9gX%`S@@a}#4n zJ&qOBicFjW~wj+*cT56E}r z`r=rGw(qH6Y8*iJYPH;Zc|SjcOuGdFUA~=ZHDa$pmX<}_fbnX+F3=w~%aycYVz3*8 zn#pC=3=mK~Jfq=5{Cc|svnL*wAa(b2K_gBx_}c-9d^PGRpJqOP2e_6i?IdT-_!mA& z51aKgAD$~CaD5QbjJ9WfCzYHn9NARk+pSt^a#P@(GDE2CfFXiWciwCt zvirHQmC4eyvK@&dWo|-V3mvPjpi|+zH)YaV(;1 zrn+qk25HuwY}^0xA}?Er)J~16Rr8;a%b|wqEg(IhhDCkwuyO zg&2RowK9MDC=g2^la1RZ0Sz#9^NR!DA*Au&aE0Ip<<8ZG-K{^x1>iN5U%ZbNW`y+F z{jSUp7MSzO%TnqXE*E(a{^)2;^XX3r3moJx=LrTVPy?hnk)MONVqdM}5kDyMr1UDE zHc`A-zAd4AhA}U^TwW#R!yx6{PCrM;8Q}9u@4P%`vGfN| zJnzv2bwUs6S@?3+zLfPIPESev2n(Yt>;kuYC`w-jvNBz(A5W}(bUr0EDupOgkf%&0 zW)&+6@DBmv170E_2qoj^T9uf*ylv4jJR7QfQoKWdmlC<+3Z=Gb2;*}RW~a&lRftUu zzMBb>OKXpSv+=&oC|FtIQcb(pIH~{?IS( zTHSlZ(Yqqg+bmi|^B$N_jpRZE`P01Xk-*&{!S#@!^U9JB!$XD3;Fm~;L#3Zne|kLN zm&s3Rr+QFwYLvjoD&B{93&ggMCi(k=TOxli`xd%jKjBpP0$ukk|9lCg46@0IysDSP z-x~)ZiQjosP@esj@vb60ybg&><27t^#r?h{&lH79zqAMrro`|+UMPqnguAaK%cjC@)p*+@xvL=U%qaD`ThevuJG?@@g zxxO3(;P|`%Cb@Ex3qtemcKpWC($`G2i%}mQmuI8~Cmg%$EZuv%8sg-{FDoVwgkQ~e z?0hP{|7I}Nx%cnTu0#X^{h6{PuL#rMg~qJ`AEyXsr=367XUty_i7$?gywcoBM0uW5 zMo3rE82k=WQIz3?C)I@o%-=Hk?$w=tn?4Jts0)Ms{}w_UVEd(qwmo?|p2a_z6dovS z2al8{sQqX-@pFYHQr*UcH9>a#GrJc*Z!^pt9 z0OH!qE#0lYm4X?EY;55dR#_ty*S3}-;2&+QsIK}bHsUpnA^c)$F`G9jdXp}wlJDJpiJvH_4Ez*b zoMaO2d=ae3u9xrYdqE*=ZrLEN`?wOF$u#cmdx5OTo*o5iUqE(&86NFxKA-+MCTv#A z1`cb^52I*)zK}v6W(XU+OQX>8bOLWlCkX_dU97i|i+!JodR7^iH6Nj|4L)~Iubci` z?~gr?U-H*cyn8@ZcfLN+-L2o-8w(=pQ;r^)s~ZxuH*P<#fa2=9bnk@>P4n2}>d%_Ry$Rv~G`8AHS+&Ql?#lXFc)n;N zP4(F0($`5lE7rX7zMgD-1?zs|+TO(l)yKk_`rh}OIr8jy;nBILeaHI^<0DV$is6Eq zO_$^P9iZezDdQslp=I$MhbLg=_|~ub^5sstVBz>Za7(uTK0x#y_z7`>dG);54ZQ$& zAHLWPwIEnk-FwMS6yV1FPs~o@@$z`TLfbjUEN{BE)HflBkG8kt<>O#Z8)xLyZ|nIt zQ_nXXtPbEdAVax&>+R{eMD-dwKAvf5(K+Ca7o?j(5_=(QCUeSK@BN`>LuhyHQ|_Lh z_>(cAUg&P^^y*0&sQuW+x`CnFgcHZdi{w4!yCwJV$Ft;p8Hi!|90DZoE$5uQzCUv_SGV6=aL)$r z7Qfuccp)FRGgUX7jX90JPsE+ zDP^>yv~Ao|**jL!4fED7c|S$8q4|-(RPEeE;6T0ir8@lZvgO;8vyldUO^DK*u__~D z`JZ7wpysP``9*IZp!(CQ>!F^vK;7{tKY!BaZ^^dnqx#5?9m)I268@^dkKz}u+s?dv zBJFlBmnBzY_4kbMZKuqy))_$O3v(`NQ%gqq3pYxYW#BX1BH+0bDYK&Srpyddt$MBL zG?@1{6!g;fR(@27%?aecEXJPnF=G+_l`5G4j5{koBE{yYQtk^3G(O#{Y^+@SJXGHs zzTEEuz1@*shhm#WAGw!XKBlZre& zKH;1C6YDp<VSEL@rYysvog-Q&yG?Wgy?p(eNj_LhEfDOkC8=cfAJ^EfzNU!VzWne%@I z{O(bR?owCw+qFJtYbx09&F>S4G-lpzx~q+^PL9ufjNi?w^8b&r-T}yy;8_0>e!u;BpC| z;-2DD=65FaB+J-a_)a;X=fVlFdIIHMNS{|wznT2=Zzb*Ua)@<-_)u9!^c&Fm?)ujUL$Xc3Qdgk|~xj`DB!QA$&O$rCdFYfC5eqi#ypd9KjyM@8<$zGpC??EMVyI`fBgdG^?G_x(i!Kne)^7y;zH zx6jV(^;{8Nb{WUM*!|4UKpuPSjuBpT?Vj+xKjw39_m&2{?aXZY{&SeH!znYQf6MH} z6%)vBT!+v%M~mz=#Gc2qss-s?ngXrKr(MR~WdEYF*70m+czJnKx1*%*o>tFGG}FnT zxP{S!HXQut;9Lg2g-|&098k&Mg8QrS+=j1(^~dw6nP3w>=i>Z=-%WTkl{D^Z=_y9- zD?Ltt-R>ti_Ve!iYllBOp{E_k+m>^wwxZTqjjLGigz>@ty5l6Xf`VtEXHcNLW8x3B zL(CD>P0RGZ*}P&mH+inZl9zaoTH!G5FJGllg>kF`x|_aQ;FYjFzkZ=pkqLJS_qbSL2I11`w2p9x}aUqtRp<&&iu?0v_Dd6N|8X8X5ymT$QuTN28xcX-{ zPh!Eoo-@EmZ}uPv8t&P8+z~`X%c1R3-mvVVzAaYUqK%dX2jqI!1LYQ8n`u4+k@^RlN#2WOhSFp1;k}XNq|$ZCY8R*bXesI36xnx` zazNAuu2JfsW_`%QC^+Nf+Gb;|9GIGl#4;-(8yTA7Wm_z|waTy>X}HLcpIRa->=hA6 zz-e?(7U{X1{-Y57`Y#|Dr}yN*)DDW;dyF5T77*BMGNT+Fi(}jyU+CWHn>Bt~a?E&a zIh{LgY4DKxyXkn=%q8z_^*@zK3;M4bANiDT$6>8U9qq)j&9Eib-BGr|1Lv61rcjRv zwfyWDCa+M=V)6(@vvn;b`}+36VO%${^XCC7Jb_pcGz4nu+1-ZZ=AF#UEKHV~d|#$b z<(#)sa3J(HA$xT~9p*%c&^x)P>nvJD8Zck55`o;4<6WNUPJ)nf#_EDUAoO%OG)FEV z`1$rC7fi*9{<2ZhB$ykcG_5)Tgz{o#lIE}7EUAVlAj`S_2Fw(bb>PI-P4NGSO&CQ9 zArvYNGN_Tr`G;$D{tM~FS4_6Ljp8_uL3LQ~A4UnHMhCUTq#)736Zha0Nki%7$jwc) zpYI>#4z`8@_brn!x@7NI;vLH?i{Z^(rZ;j%-q&=Tp{2k$de%D=7M~WSUh$d1#`S z?WO%f&r2@C$=INzajbvw^)eY&+UX^K&cJ7-O{{-0sV~||6)oBkOy0?Q`9PVPI^DXp z1r%KUd2ws<#)7(SDSi>S{&TCBg+!(E{Gso&K*L3@IBbPpm-P|{Z~-#83G2XDzPZ|4 zJVEOaJh84V|L{Md&;Us2`J_3GI~;lm9x9_#tV&>~p4T~br*2DjD9)Tn zVPf8!Vq-oOg~_CYFFR>tnPIq8W`=Y}%PeQ<5?1Dnkg|D_O0>777f!S#7xHbX8!lvT zDMmZG<14!4=B|VbkP0a;0GBw(zzI5u>Dndo06vw2>(Gs|C>1I7LVW z86h++3l3R5%4+=15O*KeA9U@o$(G0x@5PkK5U&YmbHQf@m|JI}Al_|$(*GQ&LB4$t zVg01Q9rx}9^_ER4sk*E}@?S#Lg%roMIWx~!{0VE<+V9bZG*LBeKDcpKr|scWrtJ|p z%;H-(Aglj+usRv~BR;zH71XV^akOz}F!H3p&}?({=g%%Zx3iN?FaTYB9kbZLZ2$nXK_9M9MCUJfG`ZCu-UTU>cznb$jlOL{<~(I`~BV|0Y)qi9CBtmD2+u2N;EN1zilpI=a5;KVr8q_?kgvqO)O(qI4Vxa8{zzt*k*W z;A^G&8)uyX-7lUB@;&5jr$c>Z~k>CB6Jvx7V zDQIl;(O+2)7%>mLzW3W7(u-?Hb2~*0#aTeC4b;{TSplY~MBd1z*%R*Ho6O`3*zv^+NQX2N=ml99o)^8b9pCiue$GT-?(`7D|Noo`x5^FZ|Uq^TW{e7`nk!0;cWwh38WOW}UDh%zneZ2}wZ4qprJ z{Ee_(I|5DOZB==-c&y}m8jAt`*PbU~YMEj8;Gpn|QXG|rAB^Y(bT^FA*T3OMFS~Qt zeN?sOSrb424osf5r*kmOjHrxof2mqy>JRAHhtukLg?q7f6p4X|J>ag&JZJS}sVc!F z!_eeY{=w;S`fP_VcF`V7r{mWyGuoGha)p8x!Cc>^)7Pb|bh$F|riu}a1lIj;`q-@a z!L$ThTPGJ+zmBSKASbS6CqvF^9Q03MVu7stQ56B0TlB*?|NaSGK~(>Y8Z4Q8*18|a zoplb}LNVarhtlI3A5b`IK8%&%NNz2DhmT4Sk^C5FO z1{O@hLRi&@D7mj*^@CR8SA#rkkD zbu-WGJBq%4xwd09ZSCA&v`mH@wcv+UC(r_}izJPG^IIKkM+VjKC!m0YcFsn&r=G1s zUW<)5!OkNGjswUTI!gcP8}ZUIIVen#r7cot4QZ=#=*`-eovfHA=-Ajh=Y@M#eSjZ; z*2e9aM<4P!a|p+4FQHC)d=?#{-Ik4*DQi?Q&(NlCK20Oz6e8^l+W7AqIMiP#C2~%*WYro!s)ugYV^2h@}@F}Oc(M@2j1%t(>*jOU)Lry zO}YgY0NeuOHjpU%5fB0xzLe5C;#w%6D~rm;z!)NBK``5O(^)im8n}Y$+_XcOt$a0} zj}DBxj*4(OM?FcAip&<8I4fv0F#Cl-Alp4tD!(j&Y>a7+)3=zk?v*#8>5r&p898@b zmT~ZBvZ!^@N3^bI4!@q}VGIS@k)5HieK%jTtTE3QylN*n<(L=@YLWj#n>G``SH!|J zu6J28)VQR*o9RkpKClIV4R*HiUBH8bzP16tR3aqzfm|oC(@`)ZY?D*Il}^l$NJ8ovz>$OPAdd>~ zC!0M3UBI#;(gY8Pkx(T!ZPdUD#kL_tkI;zE+P+tbc(Fr}<7e3pi}6@r+Hj8cO=mbJ zIy&rA+(IA`!CS>l+}M(}TkBLp5dfbU%mi{ak0PcFa99MbF6XqIz)1w0WcmDB3~hcK zEvK{`$wZlDg(ilGBi)$r9-O7_2V(6lB*yf!xhDkgz;ttXlp^oI6jHBLhC8ReGI1De z?__0Wv7rlVWc&qxCgbhO0HQ22CWNUZ{R_qD)+{_;WD@81V~?!{fAs^>^ny|Q(%pxd zzFTp5v2L}g-FCfR=uZv+P81naL4x)i_G)3kfA;~}c-TTO(13@FYwWVTo7eOisr~CU zzfvsFjCyS=Vr0rvJNIvJk_z%wqd#2f^99;p7yC^n;sl62p^C&n1|UlAP|Cz1&huwy zSypVT7+VzR-uNTIDOF8M{qq66DZkSGJ?qXQp|K)l+vuKQb)9lRx7Z8nE{Rp0$+(iNO0kmFY;Gw=$H-(#wSnILNhK5bi$@JKZuLIhrY zp@5cCOq6;bU*rk^y2$7awldiyrXvp>ShYp!Q4|NIukB2s?7AjW`d!-IGU}f3QQPp0 z@$!$LMP)F(kEd8CGWcl_X-sV`jouGoSCL??!cI<2EQjZvELcR zq0HMwRXOsYa70AFq=D2WLF0Y|OBl1&;?Lv~(o&4^1LU8Z(Ucd0hm`4^^{`2U36}^= zH_C4eKo_=?I+y#RMB=S65J4C2>lJn!`Tq1U0dz1A?vwRAFL0^MU9jYvA3kq=`zp-b zTN8TvmL~*2(_*L|^udsk6@f~vOW}8W?7b0aw(}=>ZXEwYkh7VxZsyc#Ugpi;CRV2@ z-T0hJj+)ino$N`YObtjH=9|lE(xSp6j$861hr0&zn-2?k+I;?AnwhcCbl-jS#y0UO zzpsSE^Ww$ENgolkG3Nxu!Ed=Cop3+IhYXFcp&A7M{U2^=zcT2dAi>omF|q#qIk`0= zaM|p4Tc%r37xW$*;3|*hBO^lNd!zNlt+Fx$%sTs{pE&9@8~7MMH>QFNdEAevHB5(# z1;XiV{l{lN)Rke(F2hVm?iA+hWhZ83(=!+LP8fPozkS5Lq2pW2NX=pu=fU}HOE*td zHd=RpU?Yz1LjBhI@TwbPRG*#{BwNj2L(6;H{SH?}PPQ=vyr~+IrcJR~9E-Dfbf+`# z@E>iwu&|4o?$`btQ{7Nl4$TcOf<4&9q(eo|k=yoIrN44PI~C~V7Z;lyOyj8Gow%>j zB3T_IfMu|BGMdcEQu!ZkFG|GvCrii~k~v7gZT*Df-?!vX6`8(E@|e#<#G95Mw=tZV z2f~8O!kMguX&<=8!MWnz@o%b258A1Sqp%0Bs;UIhxcR0$)^Fb6Woh?8l03pF14|aa znGU6kA*khYPPl8qtCrUGifB}N!x;F897v<1pF=!!tkn&X) zYJ;`5vsrE7-H=v2!=(uzGO3=61;OEj-pHK+rIeoMh!r7%4ZG#5 z8`KYPTQ?@j>Gz3^Dw}+KzgG<53eWYjs|NJhq16a{C>4lK%-d>O4LHr@gI0OP#7;5Y zH`}h*RN0I@*5DEQfM`od`0&d#%MJtqBzaT{-h_nWQ8#{R+9+hvofHHj(x)<@O%^Ku zVYq$iZ0fl$73J~tH`spnL_{Bf7GIf41tOqfc-8}kF-*?s<$%ey@yzzS9L3E=_Te^l zBqKVddQco}W4Q6p$PnULI^Q#Q-HUN@jKQ6{vVnO$brHsJ8ly0;bCog6QQ71M9I{-S z2oR7po;`M-RUTXM^I}P*?Zr2MnL15CAxp`!$3t!QEQ~kdC^mILGwC)VE{(aCT*&Yz z?dMj-mA~xdpni<%Bv)22PA@i9c5SD>d{CF(0L$5GUxN-x0jgqNuI(?kDFsw~!)JjL(Sv?y5tT)ykWKeK(XM>Vvm>BXbk;2zmIRA$p!n$}=rzjO7B+oonP= z3*KF)o&BOFu;R2Ltn`JdJReo2?5ur$H=9&X0;2)hG!fh|JDc1vFFm01*7?+Lo;nrx zZa#F}#B<>clOn8}+7kjul&NgOpjkpn7R6kouvk%4aZ&8Yjb)kO)l0tjG0GK$Fk)Qi zUG7`?<@B>%_3oTh>yX-v2LE;HG)etOLb6z5a8i9#w%q{Xm<#Y7J0{tN!}Q5Mb-js2 z%g>NpCG79)Bvmf3hSjB9K1U;e<2kG{ON)gdxLtO1?>vU> zN}CBPffDlsKkWSrj%2eXN?p^SBCOFPbs*o6i{=a}BG&Um0#*j)BKUG!!|i^xIwW>lI_;5p~os6)4>`3BQ_ohB%pFf95sI za5YVV#@;!Znik7&{~mU)4L$LSOW-m&h79WIE6tiq*SyGy@d3*IP=|^RBG7N#93&6Y zkC_k22OM|6hrT9!qCY0g{_1Le99_oa2PIm)#{rJ+P-qfjZAuzzNiAdQr;lc1B@BPC z2q3^-C5l209P#cD^2(cipGWGpi7TG2B%4D|YgwZ@I8A61g$Ul}RPEcw8&9dMXg4(~ zRkUn6*zh|m2es2A@^GL{Odf|vj7-&R4(S*`ftU+`^>Y@;f>x%^Y5zmoIj;A|{mX(i z^ML#Jd$X1*pJlx@B4$?UnM=TQe~-S=W;lX*I<>l2siA~A##E$Gz7k>(Bql)~(Xrsd zx-!fN13PpRosQ?~$uY%~=x;j32R7OE<3CB!iwIx1qcrj%BHr>nPcn*Rf{(3h62YE7 z2l;jY&ZU?f9IqZJhH4#qgDpy*E?6~4msA)`?`9cs1kZ=BQwX;`Nql9)lh&I0q+iS} zVWsgsk?LNK%lNAb{IJX*9&Kw3H+tv`lVWMHwdLn>7=rOr$O;x=_B)XI`2=;_-}VR5 zPcBra2-W$^OCQLb?FObP+?{$90>OhCW7)0%Dg2}WzoH#?EI9_rA-ua96Wjxs&}2}O z5Ub2Byy;Im`NOzT17WF&^NeJYuHvFpJl0);wM8=OIEny{S`jvJ()H9`FlOC@EqDsk z4Ao~(Dj@8X;tFqEY|X)*&kiu+FNn9epNHBx5U_-P%e@)%j(3&I4s}+_6_hBeRTK_@ z1-B77yt_R033HUb5TV2Q0#pATw(5G|gWOeMU@#`t7)Vp=anxuIY<1C*ZP*s0WV!c8 z_=pb^U})tthZu@dwl}8$uoeRo0D?E!!gm#<0f9pbA8%RbaZ$MeTEV_&85dvU-8HS> zIm{c2gb_p(1jmE|E#d6WtJ7>0ziA67ax&`};r&UJ^AN>_TCO0h3jv1d!%JRw-$Lkw zQ%Fl+m-ER3z4Q~h1FSl=bCkv8mEMX{MB{ub*H;W-(207m?du^=oCY-Wy#BULoGOgVu#Du&(Q;TFfSUxIpAX-j ze7&x>nk#{i8&Rm9^~QY|5nc;>F78e@fpnx5ZJ;bB6;ln z8r?EfCfh#2NwnPiJxGR#IcNi9`I-|59`9xgpBG&(KRj9E~EO zaopd~@XlY?9*CIZHy!LCvD+-&uKR_sKLRi{@RpCkL33<(=1!XkA6>`1UH6r2I$9_T z+6~4X1)Mr5HGDWCm28X>JV~il9J=IpcO33<+&@VtYons={~|ecf?}_UZH2DPEVzREDS8rb+HZjk2EF`;#r#rif95Z&m%N z0MQG!DhxrwfH?;PAN1@5>RF1w+NH=L^qBKF=ZtuRhB^j`x(s;R1}uo%ptX~ zxUiJ($u>3ivGFfto+6Nan)k1y6z+T*m%Wg@@+lOL1qmGD`iz!ZXHp>r32%Hp`L%D+ z_{~77tu)5g7hEFnOWc$6ozmQ8vM)(2AzJjz8G<+u+@wgP|b5`&>`Eq7NiNyv*^C7;6`Zc01 zZ5=Eo^>3!iF2t#j7V&^ZJo4%q^AL?>(S3OfZSz6^#u1H@klfkF>P^9?1AfPD)Y_Ly z+{3tljGuzmZlV!*G_KZdw4LA|8wWU~=Yi3X@!CrD6FvdZ!f%{$X zRV9|N*1vuCpPIdbJBJN){q1P??SWI(QxE1)RX4t>iK9j~9=aE{TnrlaY?IcI>_t+m z%jS>(U=nGEzf_j(kAy=r^?0T$zchS*A(=$|4X7mbx@C!wKdXh_bvE(J9`kh%4EG~Fv+ zXp{tW@9q1=yafg6v@^N-lzon8?I#h6^VSKxV?`|(R$atKDaJB5OH@Rdmaw3OVaIHM zps05t86e)VblxPw)`6P>)P+k_e@t<=dDu)dlxIhC<;WSR6XtEFw5k;P8&2xhcKmA$ zn@W#+5F+K_XAn|$oV8I_J&lN777hDf&%}<{Qly?lM3!p5zBNf#(8_MPOH`sxWjod{ zl<}XS$}lVx1R)*=Yknp+12bWqcwNQ-2NjqqYveCW2aR;BSc_2Y`t9hTN%A>OR(#qc z(h2uL0vHAtHI}lKiW`&Q;%Q5U3%omz_#1;Os!_4-P?DIXn4bihNB-*a0y5BiJlvkn zpL~oVkOHwtHUtomwE#Hqq?0YDzf8Btb|JMS;ps~zo|LM>FuF+E^)7016!3+j6`!x?O!Ts)F&7Hlo(6zU zsK5jNAap+7jY+(F(gj44xFi?Yt~(;8lsj=qHxjsy{rrw1j&8&U`)OE?dT7yVy4{g4 zO-UB0F!6}g%7gD_s+gfJ7+8-tmdc_;;V7xY5{AsOjc;wy-cRC+ap@?4cmUem^di_b zsRO^ZFcqU>t^gjud1uQCu9nQx%c&rzUkQ^>kAANJj;brRp_B+w9@w@E+SiUbS_>MK z>mx7ASE~mE;-S#Ck)ZrQmXwk+eQuJJ?vFIdO&`#9 z1~|m>C+8`|cnjNTR$*>g9%OiYL{V1=(?g1$DHPCx1oGJtO7K2F#W3XIFfKl7OK9Tc zZ;?smBsEMS;l>?lnMGYf%57ST^Xbhe_IR1R+;XOOZUFW!SCt6=!(PMc?i-hLiVzr2 z=hgwj?G89b=GhuxrT*H;BnwxT~yO+UzbXW|EBG z*_+tQ$aYPk@;w~@81o2Y7GciVC+D0FsReZ#N(+HNAD>&+z=4Q8`Bhs{&bv2&D^F*jh#t;y{=-}lCgffgZ9C^Y2I}x#*iWvPg@DAh`3f^ zys~gA?1;H}SJ2SQ4p-pX`yx%Y)es`7Q^S#k6#LR&QMv^5)o}3%O1kpEE!i`Jy@88o zQbMNw@@*q+97j6S;bp~JcYEx)TnltGjH!6=lP5a?PZ<{yX5a3mExS-GX+R{@Z3I(N zEo#iUZf_%eUTQW_38A6n%1x!)7kiC6D8l+YR`)+G(8v(Yy}Y$4cU9QHs#Z*dW#+4w zOZ)b5Txtin(D*0xy{(5xJ?a-sFEClhRohBs-_BfIy)Kx9Cs-IfW zFf@vI=b-;`y@g3A!tjAgQDY;F?JF|*^lumbgrZV;o0LA(9$13-&6Z(`JPa61t6pFU z4v#f=KH$Tz*+ul_GzE4~PUyJf#u?6gOnsk`SfvJBMNijV@=fl){R@_nJ%vx%ATDRp ziFzTWxDH=O5?98d?R|^kQP)`j+Vb51y5QeEodct5Hka zB<>1usvp_@O~n!!A6XY7kQ+pMM6nRw63V}pPML+5dND!9SvV~su%4s^_6aNUVB*_? z19K|1s?f1eupaU8X?Nj0i^OnW0#{Nx8f`pY-HLc@>@?09+4x{}e^iS{vkVL7c~!}* zvjEJdhyX8T9x_(+SzTJU2b6G=*CzJSstyfUr#nJ_*wj>=ll1oglI|xEiAK5#IE9Ay zL+hef50sWTY*IyxB1A7RFac|#l3xA@vKbggyI?e!f=o3+dRCGXdux_V@vR8sBL(N;wt-m5fdxBbKH@l9#~4w_ zWU^KVsO271Nb7ShZu!!nCT`r--!DnEUdHESPUyrWKWNbvcDwj~!$b1Mixku>JS|H- z-ewt9Vu)NI%cI>^`rr3=c>O#?`-K4j7XOO6ab&sGhk9_a2tK%eZX@eUDh^e2cZ?qV zBj&z~v&fn^c_&bv0Z%sH!lCIH@rHbuG2z+{{Q(1}rSsLa;&)sOpU{`-n z=|h98%3=Q){dI(7)__3O)YpH@;kDb;+&|i5oxnk>XcSGOA)7;keYBg)InzS`@U`8M zbF!TO%)MIYhBFwQYPy&EPOW98*^x?LP4G!~A=%i$d2dXFexa}ZI|@`UXAep8eQsoP z_lqNu7cLxo#Zm|-*B|QISv6J3CH#RH{*Vc80cvjQX_`|P(y>oPyW^sN<^^*svcu8= z_NyvtU<9=M#T(MA5IugqeW|eqr~3WCFD6znPe`03yGXP;dJ%%#PM@9kX!SHB-!G`U$$b`}1-Ld7skOS8VBMh= zMtsIGvS?CNl?8hVUw>mk@qftIlIN~qDVDdmskF<=$UIUE&Mqes&s#17ApekN>|0nr zL-zU(YcJTTf*bA(#WH|wr#PtFjNJvj^oDH%v7E%F7J~j@#*npK8~9khwq-edMY-ww zTIjP~2YL@_t6xfYHQAD@f$^@HsnPAQsMm>t#@N^4T7GLuY)$w>?HqIZ8_VI|oosK! z8=vaimj=^|+)fEG1s{*CG!^QU z++?p=?cv-tRPzm}Hhs8rVXsbVnu%kxfe_>g90A&@*AS;OayK4(dg6u zkc9Oa!ai=a{1RGI3WIJ=t)dsUNP#jk)YL^Gcynr?IgO4ZlgbYZVi+X#c&_r0af)87 z98jJ6yxIsilImH2e(C+QYmfhEhmtXliRKSzUq%mv3z!U6|t^kh)X#Pi|Fi zVtwdfNNHV$g76J0$l zdHy&%Ve-QAjtp`jNzjlqfyGihJwnf~If`nme4TqIon)bBPN>qAH1MzNpDYx8J^ezJ zfW{+HA2Z?tL}m2sf{y!oQlw%ovGS74Vl`-%566ZN*uZSJ)hL5s1yQ_^6-}Hai|MNr z{fy*2m*dD$us1A<;@-}4lEZyu@c13bfo!ngt1@8HbWEV_Zi1@&3b_T3H3Q%T(cG`&Qx<5F1d=n4bl+JDfQU>x{v z>Vc+C%Blj1dD&uqPc=<4X{#)j*M(chCZ9H^Ruf+0i-oZJF7Pjv3qH(SqFJ867Jish z;1|TbNBxCtN?9MdiqD>w={k%Nr&$lLk$z>*NbbBs$*#ec=*QJVHSCZ*u-JJ~Z3xzx z*r6B!U<>fq>IOY>7G%lUFcnes`n^;+*`Y$p3BQ@S2RHSEfXoJs2`@M}4bQ5{EFo_u zHKxBMiC_H@CpbfO5>m#c6!gtr4m1fi!dmlP;qB;vD$68dB~oxDb?Y z@!0E)Akh%=d@D(;GIec{k=EJ4S8o%L1uRViAg&StMz2ZNrOuh&h&a-PB$Bswfd@uo z`%tq(MuGj1tD z>_jl@;J;t?Bw{WnHKtBcn2JNhb?=};N7S{GWju5cwQm#AR!sscV?Mj}JbG=k`l^A0 z-mJ-Pyk6=7?1mmzLA!Tzp6^DG)2g0%(w#K!BuD$*C$2fln___nN@WK7A^o05d_X<3 z$eYUfC!sAT7fY^qsED1L5aUc&zg6b|5TkK={@TSdoYK`~5amItK>F#*GMZ#mL?iFG zIEy`$F#`_N6!7AppcUlnAav!Oiz2ky#2l09_b?v#z5Zg!vKHhNIf zTZf;&Ie>mI8Vh`z|5#hLh*RHm3jE^D)>@qg;F%$*{@R656TZfsrwCp@`T@5EpgZ!5 zG?yde;916H+iAiP4HmtSA#8y}5Owy!_qe#$PKdIRayI8RBNZnVF@eA9rU zHCZxYvB&=ADUQaBqIu2+gI&|r06)>gn_6~{b&M9rX2OB817mJf^%$rH)DAt_Dk;cg zf98F@E4FMm9dAfpFBdJ~!C@@83-tgsrS@%$(;a-<=q1}9wIua2nU0Q7%+Omwc+MKp zub3=6Q@2Ksf}PCKlYf3V9Gy7fY(dForS3{rONtEZ3^67=yf4_p*{-8&G)6j#_DRdq zuIt$|Tf@et`X{-pHF{?PF3yBa^&OBl=sFQPK_9o-+Pae}8u;!HvBRx_0W{2Z*zED$ z>l}9>u+^@nzNtu0)O6rYEZOa97nhX>*sF3QIzPALSSLW zC#et?uK<@X_=hau#)+Nd-%)dy!0g)e9$cLvhU&4D%AA-@c^R&RhPuW*+Z?+wge}hI zDmrqo6psz67@>O5&;^K^BUm_PtW#4LMM3Hc<>6OaPpsj9)kc!GSS^}!A0|D9i30@i zk3Z}w5)(i=KG`5fJPig3?4ta8xAnq@#BJkzeRXFb5Tg%(w)WcE{zwxaWG-)U#TSM; z2(skPt*RU{h>mFwXOT5ctoV#R>c;Nf=_Q($qUS7YeWGyfv?A;Sn7`5p z#?hfR2eVX#j*j)|#xePme2f~^`4<}Pw>$KvWG?%EtfC3*je{3(+>Fm?)d{r3IM8`i zFsZ@=H%09MbZ3S9u7}!Uh2#2pRJleSl(XZrw+-d!yO$$V05pu?OO12BGGFGVgtep( zYWPV*kwY$JIC<e{A(W>HkY7qO{ zz{uY;q-BUxq&ov0(75gSo%qp3z|xGuxT2K{Z+Ym?p-{n6j26lLmCY7~)M`51g2g4X zu2^0HQ8?G<8+=x6<}$awI)#*I_qanC;I>K{Gs8oQ%43(`n3>r*dy0guN=URrqmN<pl(#~;{Ap@N4s zlh)1MlJ=f1N?^giG1rf8X-!a6k5 zQD~(4r^q-ic0J%T3n#OuJ*(rZa}G`}RyK6R09~b7ChJ zMscLa;)Ftn;qfuOsQx8UOOe8Jn}-)l9dC;~lju5MD=-yVNK7FU zzz}FB_AqK&{Jz+S!2xRtp5j_9-vMKa{lb#hB0N}0UciA~#!hr8;joiXTJ|Odn32yk z(5GNP32HuA*qqe^|IV~3_>2%32^kwO^Ewo&Y{hYG1-?bfKrK6597lGu?I8Vq{Az`q z{^|Q;;)0YMDBE4jD};g>T(ntoTX(8pTjlz3nyi9u1AG_#N?))7QlWZrA4M-0zvL4h zLNHS0L>HC}%^wLZGJ30^pUa@bX)53F8kA?F=*z-Ceu%}*d+HIGd6jV`xZ&P184E1k z9>kva^WS@?2hjCZH1LAnbW#-}LCgt(q|>#qu>wrt(6QP=!`nv`oq_*vZ0klO2of;J z0D9|X6bKbC^x!}3Baqws%vAgs5IWWWG|v#1Dm-z4fF}MGNTC0gpMXAKARre9M+P%f zb43|o5HwJ85 ze=BmUck|9J8fP-gI7N*>0hCjZmCly^H# z6Br<%hAw*&`Z4XQQ0mqko6nV{~4bD;&%4^-`u8V|Gz=~&zAUY zIv}96e+A+{@c%780YZ8p|LaM3*#F=5{GX}t4+r(nhJVfg0sXV>f5}gPaH?M%2+6-^ zpIQ(H!ttN$MjVJ3or$=VoVL9Zi-erD8ldUm}h>Wo*tD2{(nWng8sKJ delta 65869 zcmY&-3ZR>sC`{(8N$H`=7&fH{jlgY_F zbH{Vx#&h8Sc`0xRbPx~_7!VZ*T_uK$8;?{_5D-fU5D@79R*jA9Y29tCqY`E8GWfBA zrXOq69W0TEyjR$xti?hGSx}*Zh+O3`O;bEoCWzHxzMiAbWx%R5FCVi!OjkJQz0RgM z%pFwFG$+VZR0~T6%}ulH-|7ns^>MeO>leiVRoha~An>>Y86O&ik^RrzkY76XqUV0*VyF zcys`+DE_wfzl+D#jsEw~kk>R3_>lHy`|3)ASPJTbMGtqqIK03UMP0sSMEvD%*A>ya zKR(U`mP>etPcHJ|#Ev}WA-riPMwbHLTt*0+07RxdZ8FN5fBVN45@GMD;yYOamG;r7 z2(>9vm2SvJo^s@Rb&CHc#krEAA%p8R0wn;?FcvaZK5dW~=I>>W)PrcCF!y1URdB;S z>qw6%HNZYx-^VK+-hfIX#BN?0tG|zkIOOp-Fy{08~I`HR6w)cA`F0y6g> z{%`)A?d)kyj7@D)T;$PpU#bZui2#Vk1@6w@eQ`ZzhG1;qu8 zUYYWCu%(D%0L<-%j~`WH`zEI*nEoR98TPzByV-+~vqc4Hfdxsp(B(ylbVaNf0@(RuO(JM9D#!6IHYtd4E|9xb6wmCG_h z-VKadM{j2W6Vr?F@pWc(Vl+f^;cMvRI9R8AH+>q<2XwhgiV{p+AluDL18jp&m=ZG` z9#ze!gM84A%NEQhNuDrls%B>)2&B!3eiw~#(=E`OtGLGAy1u;p8g#y?X7Od9ScI%Y zLE&Ajq}UQg>T5-6b}GnkN29nf$sIR1;aNW6)4iNW!`#;DHT3v_Xv#d?XE4xor(5LX z$D)HV4QxR1A<52!pRE7;VOmH5a}A4eY5+0%{@q%`ui5?dbQ^)&KAisRhet_3#rzG~ zVlrpb(fy%Mh6?@7Zr@w%$^Fsv&6rEzFrp-NNz~)~U7W69tvvstz|z+%k-xO?a}G=n z_7GL~Bg^*jG*+LlzS{eTat*5ad2V$5mA)|V1;C^2&hr!D7=Bq3EIc{cjPSQOEOG2G zat-`W6m-$9z6G%?g zwl{oyERF4sv6nht9M=7I%ec*XE%N;gjP$Rb;qpeWn8Qlg8ihb;oaAocD zq8VjOy77bde;DTRt$0#1;5oBQYy;O zDc6avuW41SquzSGqHIbd2O(VxC!>ImBQ?6U;0K{=Lt9C1CZ$!BIB0gj*2 z^9`ZAXk&A@7kxJS1)esWwu!l7Dis9ZYS});;CwTS_!qT!P%KYMqvpWtC$Y8ZXCO5B zTzn)1RPFF|L@eVSeFwOb@`fADQYIStQS+znZ>kg0e|z6Cp2qDQ%~BuIGM`QEP`3Ee znMf6-CY-tDc(A9@HLq4|TPL_A0;c1Bvmi0v+_kdE{VGuzu&?O1N4}zlS3E}=2(xMw z#H(GVnU6MeQXuE7W%i6@(Ri#&gVfm~$38{S zW>ggTUJ1%Lfs;)}(bDeD9y#En94q_kxmvt3Kg}P9mr65SWIrJsnvSdKfN31dSsDv< z9igLGeI28Wv;;aYyahq@Y4bi+M@C-+pF`UIa_Kp_06OD%$UssRH)>%%->NpUSU%IC|Q@1+0PM! z-zVT@aoI;$oq7F)?0``v1q9{QygXVbE4YvEqhBVS7*k-MfA*t{K+2oE(>>&JLqvHr zr-nw4#uVrFJZ|OW|32)m?<5H`iO1wb%bUH5jU@db%09>;$FmWj+bmR|3iEX3geUij zc#UdWqHVW3-rL{T%I8blAzP#<w&Dr{}=*HI+_?C)ZNIG8?G2*=*P9_#*8Vz&tIk z@^Chha%r(tb{vr4UwM;Nu|~pjhOtVItXAe|_&~;ZyTH;H;9;DoPRKO2(lubaTx%Z0 z&00>Wkyv4fj37|N0iH)-(IFRzzn?^LxC*N9(i@&HC=*-OBFhJrqY5gCGa8y{%caWC z(IdlNX~*!OTPPWu#PGgqQENLNUb58*IdPEnYj+|E7ERcb4JDP{$oeHZNGNKUw{zl- zH29Met-_~OBtjIbI>l-CfHDZdGd@wX@3RLj$S z0`LV|-V&v}gy^+SSa~N^Wu@1#O{IL(M zICWx_&gvOtP zjQ=FesQ6%#X}^b@AoN#&g*Xbrh<01CjIUvd^;3X!Cdf}w6WH4POqyf!Tu;QGFJKy$ z!=%s*`aypT=6ky`f<%}~a>wrtVUUI;V*}k8`mAfW<1KzIgff)mjl&z#d(ZVs4NsT0 z5(V-*0KD`lp%R2JV?uj=6w=08cZk{%i_o;>?umr6H}q!K9-wxpa=+b7NEPK$6bYKM zjM9UCOlc;ky=^GL_YCFbyT(%-5OIP8m>w~OjZiJi)!SNh`))E!oI1rb%QMBFUM~B$ za@+12H2WwI_s@FJGof8wpT%sYlFs!6nxz{(?*Y`lc8Rcn#Pl@W2Tj2`Or?z-0 z1M=^hmdBFe2a=Ygsl5!%X$8vI_BgTLG%N@?+@XWzKZM}|c2BVi0^~|H;vw{<#G@6G zE|so&b~A zQC!?q27_-+wK6qp@S>*HbLFu++So#eG;8-Ed#{BGD(*Ze(oy)n3mQ@MhMJ=0>cSj7 z38YO1_KSyJ!N1mme<8da7z@sVK)AGX?eTx z5ojM2bQxPdxm9}JH<&owfSJ2_e>G1~I5C&i=E-?651;fKn5zhW?wR|!xD*YTV2cm6 z3Eu7be~+jTqMH4V1O-K#T}H0NKu(iX2Xzf32XM?@BBgB6*PfS zvRYT>-GXXk2vuQ&MIYRoyPZE?X!78mzpbskBh<`0} zZp%6zDSOyk6x2o*KXM^;bg4gYWJY4Sb_xnEQd8NS^`IDGOr%LuLJUt0We9rH1I8ib zg~B_=GnoH#W`=(g@M{ppJ-q0?ro&tf9VfuyDO;V0=G2$pDfG8?=8RZv*t~4OmW_*& z0F^2&u+qZ;-cbGM2>qlN$}ibU<-8o;$>_`3s=J?hm@24#o<)XeaMzrxOXm zN!#Qwq2$ev5bdLOACiAQDVLui*2hIYBqy<^g;e7Y=d!YT$397)n+ulu7N`e3PA^Mb};B z6}8lp!6xyPC*0EQxv}UH?{yqYgJx^#`%tR6I=M&Q(;kPx9tRL^Vk~H6!nv$pOFa7z zQ>Q^yO{itku1awAMNJUREpU}B<0|-9oS9-?%bNh;jBUtJCDr#kd@OKc2u-TbW!&1$ zww`@wv7*#v{?z3qd&RFbsc`?Mg;PU311F3h@3$I{4OqA|NRK-hT%OzoF~D z?G=)2pth#}Wt|%PEZZ|A+qib*&~Pk|3Vspvx>g(gE05F9r6%7uU#65uN8s*;|MQoC z#zYdB`T^h;o@OHZq~4O39u0>lJJHOcm&;WmEs|uV={1F zfF4kK&qYP=S(l_~j2%NWRhT#&oht1wV|DcN_v&cxX6T*y!P@#@AwrTVpftPmI~d~Kb==6m8Oa4QKh9V{rA2@jt-fg46=HTn5V zcZBO+l>uj|cR03*`e6L*WZ4&mPp~Zuyv=7HN)2hl^B8y6`QjZ<>+h<<*d)C#6DL@_ z{SO;ohK=+RgIzRr^&1x4e>g*-7h!kNg0er)`P?!J`oi=w$>;SHafT%`-SPk^r#6@P z$wj-m%o~@KLbs#vpBgNQUET=N&;r(wChb;$D+do z*|)@hBTvtWnayK4B+Nw07hMA?e>|1wC02%98m>_2X^tSjYz3hYZhd@gnHdMeWM2@_ zWC-u7b1+qn(92d2x4pGIe0Tw+x!HSlWd%;0JvQCcrFqr^M@}WiBp3Tn*Ny2fZztd3 zd7oLB%UFIQsXm2JeFdx?L8?$i``?omb0q5C75}jOT*WE2Tc7LJ-rxb$eJS|1NS#jU zFjtiT1&uy19HRmvBN9HN{W;%1Q(+*N=`4eCR%dkrMdV^hBD2r=;DHd|1KNi3f7bS# zhLn5?wALa=;F;}58>k`>Z-$Y3FJf&Q?ECAF3(ZsQtl0$?MAPG}u!;Hz@@NsCPGrFJ zKR?u2?3c`1;6nmkF4TcuJ%hU+#1e@F6f^v0RwON@;z|C)bbpB`v51KO5)q4AS_EDi z2iln3YdrZT!&*O+x=Pc^PBP%*9MUJO90VMp!a^Ft!lJhS1wky@itxoHK95jQg6lK6 z6@RoJ)F}WdRgJaQCBE!?-+haaD-e&G`!1RI6(Tzf>^7tu;kW8xF55ikwb6O={-1I~dN#TW z81>u80dE;n>tSH~m5TG0{p}W=?10#Uc{?8$3usS#>?HE~_UMM#4D6$abjaivUa=Ri zvDi$pG?$PSxDZcRaUOZPcnQu!5+8j@3m-sPulIBn(u$p%yiY&+%wXkQq7f_(qO-WS z8v_??qjOrWm=HSf7)9?~Y8U&k%%~o!C%B4U%&57r1pwoJF2z+F=$zpYI%#rOLI09% zhmc2)9(dVhV;+%oza0^Tqb@D13!?dmk|M4cv^6sH+V*r!jMNWm`(E=&<9DLy?MSV` zxM{KvWE_(JLA@isgH>3;oLfxSknwQkXyIBkuwzy+<)B8?aqA>?R=%0@)UC)esp|K0 zv6~L8(gFS8a=OX$1g}25ChL|dYden>FKQAkO?E+WwM-?+P_O-4>INcOuR9tN2n>cd(6v)^a9Zr$b4?Iv7#zRzuu&E6IZrXSGPkV zp;hp}v?SwN*JwMrKs&iuFiV1=6EA&J-TtM*dnprD$Zk|Y{T%#YZu9XUm>s*n>|84f z3XW2^h6m1|UZu4>-1ILv%Ro^x3HC+IlKV1m4<>b%pcS?ktMXoq1bkREQXCM zI)LDKL3i%7ae*4pNg;(-^u2-li3K1{_peBHS~MYwm=`mV2uDM*(yo!^CgJ#L@=hAG-QN<$zbHe>ZirhvUW1YJZh$U4 z!ePsAb7PZJ{SJ#asCIDVLxeiXqT(@O&Eg`tTfwe$nPawk19bMGS22a09uTfdtRdNS z#G%h4JE%5L_g?-;OBC(hG|+$BWUblTW`CGoHW$1$5C#15Vu3HNiK0Fi| zm5j45;%E`PJty^d(()DVjsJSXE(1AbK5?5MM)2E7Dx9;xtiikNT7 zI&UU2-}d#=T#)l08A-COPp$}ReFN0zz%Il)_gnISIx?Bqd5*y^=IIhZXvJfZ+#eV1 zx1z}70W}$(BSUFU3+5zXtYW&4`6FD|%f(UciH%GD1*I93KZvUM)rGb(A4pC*gq^1U z15LCJR)xlJkCeIqMSk<<2|K7AZ9O)dzWWuoqfdhC}8*{y|vr43SIe2tjfT< z$*a<4z!Dc!%sChOS6b(#;3sXj-Dln9f*S=^(TrNQ-z_cuU`gvC_bgUIAW_ue{8C6C>}eA=4*+yWBNUo54wud0z zyS+i0zfl9FvsK-!gg;qHA_E=h;gki?qWdM6oDBipp)aQxT!+8aaKPTwupOeu9nlR0 zYhEp7!n9Q0>xA$uWp9*>k=YtdM-WM-AIm#rnM6t1E~gQMUSAr%d;IEiPM7?G7}^q@ z?2fB%2tVJpB2+1<<4NeBux_7_SWLMTREpj}(0nBj`N1==)(`En-xI%4gDf4>v|aqw zzr<0If9vHd(~YDn{sk6{=N5kZ8=1xuipGy-e%8`;h=Q*J;15sHN zMjQ}FO>_D^H6xA6CC{4@DW%hXg^iXFB1(=ouh1TjJ9mtG%3D^RGLFz|<%cV%Z$L{o z0}f^YqKdJSVT19Jj5Sg9X<*Kn;OGp;bOW7AT9=4lh;SZ)D+y>o3TXcgvUdE8wo#5W zpyS6qR3%4l-O!dEQ9^CmWqG(K;wRKM`8qVNs6N@Zt0AL{N&RHYq!aqYdOGoJC-rHo z{^uo7)_pbZ>2}E$RJ9Ax;Uk2R!6BzCu`$Z)<)tSsX{oA!%C{CJ3)70RNjQk+x#2^% zivCkb%uUD4AOf%hn2R(=Rb|FYtEJgf3K9lb3uam?BRQH$Td>T(U4<12H~E|-SVrHf z%mj|Y08?j433U&St4sv=$v9!wgo;FO0#5S@K!|%=F|G0%@kcwGl!cdHwM=DY@h&2;iR3563DUuf8l@PkRgEWkF^2 zKxHEGPR&%FlW{I+7`<`%bv-QYnb@+Ku@XsT<&)U>l?t-V&*^cZu0Nw*+_K58vM<{g z;M529oy(Nec#`GEGv-OW=@~HQxi{7ZX|l;kuFn`dg~sXX6L-~^aK#dP^%&db9VdIu zf=Q>(28^7j&~>7bccGEj7Suu|LR6&w1K%4p*v@{7qWI-|luX+0=^#FMA%KJ-rQ(qf zTCxnfU?2BGk$=fBz0#=r)sO9m#!Xvpm(fhqHl?$GeZx$>-15b`O=jL+&&*W?mEIhW zq(WeZ$UMme?tM5 z%w}kWr0iupGRo#--p`athP-YI?-SX%YPlOB^I3FK;oa6W@LfDWr0WW;!2b;;T z^+&9AnUnkh|*>GUsuxmwP%y(Ca!% z1gh^c_fY1)q5rjvRF2=Aeeq=rp)~@_Jztwn&yc~RvlznF{G-%hs&4(~CwyPhODouS z)-;Lsk{jcJy!UUU8l{H`)4Vn%h+*wc;+!yvP~$1E5&7v%a^3%XGpO|TReM+vkV4A; zZ(lkZ8!T$B+pdpb>vD{mGBr7+vS$R1nzr`X0+^k(WM>zUoBH;!vB{ z?~_HJ!v4Q1=Hn%@qoeLY7Pw1$hu)}4Ai&EuqsSdH&P0SJD$~yKBYXVVDyr%i{TDW} z?CvpBZ!|LP1;&_VR~(h?&++wXutFsNh5#f}K?1Qgx=sNf7=1Dxk{kE6Mmxz~$e@1_ z)0(ac*hnn!)nKPTXs3dB1aW!-ydh0pZ6`$QerYxiL>|dL5Y8-a>DF=V>oOo>Spw|l z;9rO^6b?qV8xHHiD_r|!*w0fIJAt2I%QK3^AFc5j(WPN3!^|@cM<)oU^=t!+4Dsu(DI>u@ieFF z3H-t9Ez~mlD{}i{U??utWuJXJG#wE8#10>D*hR>rz*g{RTIb5&Tg8qk4daDylkLX^>x?EWIOZcG3~9{MlIWn`3@0T4JMgb5|h& ztK8O&T2}o*dfGe;eL2gNJ5Ek@LD;ZFKhs~Q>uqG_ z)qpv^*M^TQlQ9%{((^Nd^95RCFVOJnIc1^lkz?Tu55pTU^vcUlu{ZL}x}c9vxD#OAGCmMOmUjPCHN8D|YgIZu zl4>k-0ArzS<8-LgnY75zlv%tzSe7S^kaEhvX)yr({a4&tw-UyjUIkE?8`bSHzB9ac zk>NUXd90LsBq{HM065CII>t4M{FJ&0+1DGcf5TWOdu{pyzs>BHhM9k40WZvmx&m^h@Gc?RMd3UTUWOs&Ym)m4Z(ZpbRZ`V z>YYaqwNQsXX=87TjO)iEAKgdW3>eN4q|9i_SFVxc$=d$h7G&FKGEHYO?bC78=|w_z z-MuF|tfF*)r32Pv%DqT(a^G#?1uvDbJL=4W*bSeKPb4zH=&GCl#xX@|9>c)>lpHq=UD%-k zh_!?LC%RmZ3Ou@bf`qeN`wa^vbl4OAD%4?0wRy$@GCgZc7c`)ZmF^JZ8 z_o)nwVe9i)zDnD33H&_#@6@+AoTM}2@FtRY#nhh5D)xs@ij8tmRb5-mGovHoR&c3G ze%wiY`-H3Fm2%D*IojHm_TU5;L(X~;{tTi7<&Bxy47c^dFTc`ARGQ>&(C#L`@o_HU zgPDK6L<4R)iLwKJsL2wYX^!ARJRLi0*|`_beQk1(}nMEKV9?ngq3 zER|3zQn{~A@7${kH6!L#p3!TQwAo;9Syl z+!|^_Y>TipQ$-SkaQ9yc8>R29Zv$QJmLPNW44;(0o%Rx%tT&Ig-N(*$I+fGQT{KOg;Ko zaOC&+&?lfjY&T9+)9HR|Tlgmsc_~0(UZ$&A>^^!RCH^)bgFV$ldB`ZX!rpws1Vr#}P?qQMCl4*FAl;;}|1uvcSz|Z;Dh9muxHrc~*oC0u26*KhRF4eEwejivL>C2h!tv z88y*_l+eSUQ9-Z#S2Y+gNx8AW8LUo52ZHdDje`xD<69mTQ(>q&2|Q2Mub6J(0eg*b{&04ZQA7`w-Q zrt5}&K~;a1yof-IPF*=cAwWTY2xuuqVd@f&8B)D#>8WevMt%9?iFMX5uO-A^3cpQC zxI%BF1Ofi5;2rdF*Tp@E_t)$O9S0WP>?%P}rbr&p#(kUCLz7zy8m)4;cIEukBQ-HiK?S#zt z?-jZ<;aO_Bi9*F6Sr!LZ;{gj-cu!#)&*>;jx$_q$L_{W(bGWxpdDua{S=98h&s=obZlAmQ<+HZ9)mbszSU33=JUDK? z{Ey~d_p+MWK!VDZtd_`-#M&Pp54_0(QgEPvKfX99c7{|)lh8uZJbh}0*@D8~fIP7c zHc`yKL1=Amc!qI47B&q+GNJf5)2sXQ>Ag};002b6*PMKh-L6}&-`nqWGs1;^?+Q8H zp8W%%5jRsaGP{Y?w)xy9y{oz1oUiU$N$yJyCDrtt=6Au>uK3caejGqM4F*CxUHlrw z&*ozUUfy1PGSr$yh~uJOfP)9uk0idP=nHY!6FoPg4^-^(S^>Gu3!ct1?0pA?Hb&t%`C&+AgY5Q6eGrvGS+IcD(fqDTCseZ5?gapKx>K<47g~P0Y&!=*F=} ztdoLpMAa)MCWIA=n2aNmC#s!AhMmgPYGbY~>3P}7vFpxXBZ73S&gFl}Ld-GKtxmk!tDHF|gIREjd#(xQz$J6K71 z&B?=B(Vj920;S|^y?vLNtkX3afM49@QSz~G8+%iY@AWdMSLD4Lf$5{#`LT#r=v0UV zrMz^m(Ld-#Si|U>SwW}&*ae5f&J5S2uSZdr(ZMM>-*~HwrCc7#z?T_P#Vv`3fF{+? z`gFdOQj1Tq8l`4dO!k989|p#`2N6R^-?R{EN?C)k7#D5u&AG_*{3N&@NMv;P>2OyV z?89cqRZGNJvP!g_=^r4Bz@{ zKv1FI*od5*N^D_@+O0c%dr>bV&Z~^C7K-?XODV|;s#OUuYQGyTqdO3lI@Kc!h}kE^ zym1ALV6k|~S&7l!kgUW3Ity_;Rrng9`{gAVR{zMPOApLf*L8L1%QD}x--KP_C0zwn zI0_yOu>&t;4u)YoR(NesMz8On;{qtC@nPST3yp*h4O} z;#cm}p36guX@^p>pK%JgKvyd{xRS@)cMjHFk#6)%H3?L|3>61rY#(q!Qh%Y)cG=kH zquHv$8X*?#U@k$v_90`+^@{Nz#f)jKQdt+tmh2d1hu*gUge#lrCI4|){aee6jp1zB zemRkC5Gj|eI-?CLBG46ZK~~Z1yYye@YBNxcQj0Ki?b7D$nS%dr(Q@aqPmGRFvXALu zTE0rbvE7$6@fN#P!UD-)hivCIH(3j&ju3|X!3LS?YBv`eM*?%Zo#Oz zzk%lFQH(oTA_BCBcOdwqGL~)J4(^qONNxNY&ZAm-^gZ|^Io9l49Pg_2T7nV}^6$vs z=mX**?#G<&K4q&zE%g|0rIF4%1)}jgYRN`9U%8Rcm+obVLvPWB zLQ5GZCD`Sj1BC?cqg-*!j|^*~NE;N{jvKhgTg|kjtR(nDiU|6^NpQ(H6Z0G6U)u_0vsI*8t9wp` zH!`MfzRK6XSfM+cwfb+$gFwNq-v<=I-r6JAFs}izSq%erMT_5ZRp;DRj9;pi_rEA` z@ti-1fQOexM?slomdzHZsAui$J+Fd4dFUX>d=*=#_Tk z!}yNHBU)_wpjWyAC;FW}lVr^+bObA{e?`ffR+|nR^9>eJW$>^pN9akM(M`%U9P~T_ zXpf()8&@0M<0@P8WONfcgJrz6%z?EEwY30a$t ziQd!Hc-cEgYLdR!Zp|G}NcJqd5e_mIvtIgMgjoMuHo z|3RH*n%*t)hd3D6mFNDj9WyI0jPHIjUh~n}lh>2mV_?p<_{0%W!I$7Sr7u0R zM1YShgp#o%RRxR5`yG<8Q+Ax=HBX-F5ZxIAL2X7a;00(&1@PTG?%2}xMgWr#HsjLB z_hc_ZdI4M+u$a1*q{x&beYXnu6P zBwY~KU7zLa;C6_pBj6A;mKy=vY(?mbdBZj%uB|Lvbj-H3HT zJbNWvT!NdW!Nzw%=shCL0%jc$x=5TUX@rMTH!ONcyq5^G@RJauCgdk~WG_Z~NLP=Z zJ7nTCOaf~uI|_OM?HxWlWG9-YVE=_nwfoC^NOtoi;F$)?*aZRXN!`F+Q{QK1B^OW*BZxBiTdA8)sB>x73kxMkK-! zf)wD4*(nikWx;QIEbzmu03CXHLozG`2g8C7AdE>AKqd)8rk2tq`b(3XvLF;I#z;Iu z!hEsHo%kCxgC{t|_?!{pNP(IFa>21c zEp;ba0JkRugvWy;%-u;a^1ulV3U#}>Pef9YnP{xobrhi}QjETar~iKpbXda&^8fJT zKS;tJsRseW@$34egV;uwm;E!a_UaP2k?2ij5rsiW{m>4vPHy#hux5d?t5^O1+2RMW zS1eek2J=v;dc4$dmjZ~R8i8>WCXp^xND+e6JqAgZ^olj6+8vIV5rW)32DcXUs72&C z%X|?cL?}D8#mrb1GD;~a(}oXXGa#Xb`Mu(TIFSH)%72H&Vuj#l^b3E;aCN@ctD|Rv zga&8zir=I;>hea24X%4$DYRq6~zkbaT{lYkD+zxNdh&>Xb9-=TK`gmTb`#TM4xU_wC zV6sy>d?*rL0*e3>5@UxNZ{JZh=%e(MKJAMXBa|)suv^Sv^c)MdBVyg%ZqR*@!X4lB z=IrEvL+Vz%xD{AYPGSuGYq{=qQ=TcFQCx=QGks_-D_$?G^0D*LC3Rm9af8Fyg9-IQ z&(Py%;Lh(4`b!u52Sd-jm7h&9A`%ue;Nsq+ssxSD23$*s=?hV8^&2GN{|6VI@| zN~~-%geQ!dcV#@GV1RNaH)L%ZA%7Lg5kf7(|k<)c@XPb$Vd1jmT+V_>wufr zE=^G>lu`nbx;GIcYUc#250YwA(v z5>5y9W&a@p7CN1ybLRc0UwCpPR7O7kisCYtO4M;qZG6h3V#SwB zR$=~l`LspFJ}hV01WkeXh(??F6Cio^%&m-S;YjG~)FO6LJYY%{eAYnEN{~hw&S=p@ z6^3q^;?E&?w$t=a#kz<$p5P~o{u9lyz@OuJqdt#SpEFx$yvQ`dkJowww53S0il*yP zT&J2*6g}F^Nk|bMYhA?A&f<&W{1#1ciHgdomWck8i%~~C)NWbeqgHz^%!#j_F`UN= zFa1;xb#B};8IR>(-e>Kb3UU!IK9@L;ZCX~*3i)N^NomGP)0zGdJhXcpRjm=a72GC^ z$V<6@^T6YdHJ@;o!6%mm>>pe87>V6`D<=~V3`v|J&UBsD2?MZX@yHTr*RP7Ji?j7`0^KW;V^>;5VS$P6~9`h;_`n;06yXV>M zPev5dW|yBhusbwfDma}Xv9(Xnz1bg=ZYsOBLX{SS+|F@5@mB{dykW0qn_o_tIf9cZ7I=}TP?{^H50 zQ5U%@xxKMwR!sBN_FHbDIZSoq(p+|%w$IGQUbT9W;Nj3Aqg|FjXaB^s_4d_A495xF zbl0Hn@vU%%zB&oPQ^_1FWlVIF0B6Vqi09>C=BB4&J5>TyxqNzS+o#_jLqzhm-G?i= zHF9t;@zaw^PUida*Ub*g-X3aCmNY!lc}b|l_g&h4!9?uY+bq5QqL@stH?hmiLkdmm z6~LL%`j2gDqHBkUFKBHoVE2P6a=u>VXJ0=1N1!_rUDLFTS!^vvO^rNQc7r4CmfX0lDJsU*i<)WXt!?UZ9<1jm~kUX5y}7F#KbiJe&@_c-iTr zfk&lm>ACit|C@io{XClv6P?ketZ);NVHdWp0NK*+j9Wvqb(})bB%dT9o>L|}FzP5M z8Qw7Oano~H^co1=8VwQhqPGiO1XAKts9iC_%6f*8#vh0%f-Mx-75bOXjTOp-3&+`J z^yM4;e``Rdr4usA2{N3CFiOKQI6S@&CkdyV6cCzkFSGTiT%9;ZM}^FIi_n>+y-}*| z7dUL1=c4Bu=AF1U_61S44Wm&_Ewid@Ye%B%o8~3BHm(Iewhh}+h%K`MY@QoYh^?~* zY@BkCuZ}|Z2VIl(465Ux!2fMrB@O>TPWi9n z@j>hVJBMz@ria?=@fqSje70-+yGs4L(|U_3=`zYU%Rj$(#1L4!=!v3pb)+*#S7uJc zIV~laFF)MAj<*T;zOT-@g%uN-bQRuYx0^<#s7lxiV>1^Vhs@$YujLd={G0+ajdCdQ z!mt|O(g9gKDDc{Q7d4facDlA<=uowDaORupAVgwrd@efqeB>?)Ulb_Rkhy2F+I2i1 z9qg@lL)Yg&#USR_<9Y4*P5t+Zh&-5&+a1BduZ|4!~HT(nf)YsG^5p z1(;$?EB*@^b-RKX)k;s&+yihbGT+bcmNj)qo5wLG;CcR9JR(!p zqdZ{kVS~P1X|2Eu(1;MsuAL`DXm9}YyZOy1*++!GGt&b#)P&@5j284v59(}qO_&O! zF3>%9yL0Ay0#|WL9gWY&D%TaUm~I8CT-K`V9lkN2@=LyTpFEApe^(H9g${y61UI*f z{gXt@cF_<)$%!^ZTt=Ej*ZHBa%M;YEd5UCeGU8@sxE0h zYkpu3Z-7*>c3#Mov4~ll%zavbpkyCyG}dG=e6hc&*L}Y8Hj#iOx#UNulQphKbv>#- zoPw^}@?TxeKS4uiq-V3BxSn-3E}-?ryoaW({@Ss%5P-zpw8zTYYd?Ysl6Y)tQxKog z%_tf?7Xn3;wJWFF&7K@)n?V4Cz#fGf^K+kc8V5iHbGDaaYM!;=Z}d)*Ry6AH3<5lT z{V0_a)drEZUjl!82VbPBnOWl97qf$vvFF*sX&TKcyg}&g+mNh@6`z)!#g8niPqdXv zN4nVJ8gQ+?7?WfXfo|g7{+bMU&W@-(;PnWV+mlTx*_Q%aCL((xY0(pCS9IyEjmrVY z^e?Z7V+m}ppVw_S?&Q&@gY2aGYPo-0y$ncAx_cxCuO@gWvYp~*o^(B;4%7IPqx3o8 z(vjvyn-Hk=8!-FTg9}d$2PT@M7M>5cw7u2{cMXQ@((^;KPBlu-JDz*-0>@{DKWh`-%hrrJH}0{^V*9vQc}J)o8}74iiDR9{;umh8Dp~z#G}33S zIMTM}1QJRwy+^g*BS^)#S#0Al- z`i&pK7;02#@5i$dN57*xw^kl+XoV|L)YTqOZNZOP&ksC1hd{2KEj#8+rr+XMlHC0x zSmV{yKhSp(<1qgk1=cxj14)xYT8}+!nAS_jVOJ*~l*AMFvxn6goex3sUItHf0=XZ| zKumMwPLv_%B;(XZ2pq#@)e?eMsHRI6U6>oDsFi`X1;1vtNds)?Z!FWGgl?Za9ad;q z^;4E?566V)3q+JhvD=4tD6FAQvo>;u)p6-%#$y{l-;CE}X2RxdK3sEqt@`Bu!`EAY z#nmkBqJiKR+?~PQo#3v)-QC?8JcGMC1Pu_}-Q8vI;0}QhBtUY9Z|{Bff6lr0@^shR zR?X_FRn=>jbpOyFN?W#j02%MA{>;6xA{wC`!in{dZLJb&J+TK8l+WtxkI+7Av&FM$ z3!A8alzs^r|CQu=-R9SUxR15RO7IQcy=#DLK<2JFmb2Wcq@QqP{0Z)lcYfds$$rkJ z*cN52Nq``v(z(1}V1&2-+iQ<*pg7ZTTQQ!c(1vmH4Xt}_tTWFjry2i&hV@+ZV=BF$g= zn;aKAC#r04PJwC+n4si>L7}M7X?AU?cdPJ9+NCUyuxq`)O{jl*83i}Xv1_ITW&-;R zhF`oMsWW?5O__h{+a!jf`44n?4g>p`Mgm4yCtps&wz7Z)cJbk*1ihNWWEjBl-_%z; zqOAQ-d9RH4t-s#YwdZK{I*JqTW-zs@TfRusbyE_H1k$@Nuz689+il9lJSlJLl^wVF zI*WhLc$cF1_wyR&mn@cc+JHH;mSdNXN;3!0dm~$@&P8b=!WJm!St$p3lL43=yy}n} zKWG6|ubjrfTp*eu$wrw|c1QI8c>!=r434J7diU;g)Z6M2|9S@GV`gD~ndj?6H0nFz znz-af9gXdgneOPzEX(7WNdC^K7@$v%h6!NVC8uUYLV;#P!bRwNC##lzDABS%RF5^v zPkijLcx02?BzL`1Z0(JknYR^E*V!iv!Q1)6FMc%I0^s-C z#3Zgt-G8R+t&q*Phq`PDP6)Yroh*$TwVw1k4CvFImxs5Rptr%{ZncYcjpV3d1Zv7abX}^Vf`BO0w{jUPWmOYuusVfY++x3~>mR2|-OpAtH z=o&Bhw2j938cNNYwIDYf3!BNmAZHzNVJ}W*ot0MkTTo>jGMF_bVSL{EMw$@dwC)=r zm}2-<EU+NIWu^(X>F6u3YI|vxCfHaliF?Kz@9Oc4}$zbB?eVXWnoD= z4C9)d=UsO@NCo3cqpzf7A#_#(mVSMA%oWaJuf4&33op$i4rJz`^#n6QL-nt*Yb%Dk zP+@eN!)R={`X9iIxdg(D49bN%MSI~BbgCVNd!f9$l|B`jg+gjET`CfHPY#4L#! zK8Fm5T0%2?1_m!6r^!_i!yqB2(N_?^o3N@N4w*pjj9W9?0AR|`J-n+_Nd}yChBRp= z11?@g&oTf}{$z=806FN^_qI6zxdVSOTt~JT{XPXOpLUXNuCNTjIR)g-ur=HBxCrqe z?e%?q;!UI>UX}*IEJGe1;`>O;TldkB0AyWKz+~$>5L`G*cAI-ovrnNG^oOo9Z_Ux% zY`gH|rM#fj&G`e=4NtOckzzx2fkTT{qb<{)B5Efuw z0@GTyI0NuowzvQQC%eZamrE5TmdvMui6?6Y0z}H0g#)DJ%whrgfGF4G%-~{waZKYY zD6?chnd}~=oB?H2x$K^8vd>33AqmVKX-wd3@=$Ba5SLs)Fu+Q7k3()Md5Bo>j3+$N711E`n8oCMrZB-kj% z$lym!PQjbDH*v?S{et#N+o#qmj)r0dAN;zwQNv^8O(p0J1|mqN23w58WIMZxV1a6Z zzv)z2v6f{(XE>qjvqE;~(JSwTJz3F72P0~x_N|(N>qA#n#*Dl}R<$j^b=FLMJz|da z?Gv)uRWu2)Z(tLaS)=k2%n&O07LBZf_L8%!^+;(vj?H&NcVkdFusri~GuDR>CH>p^ zCQ7TpgofMPZ0OV0%oXm7{H7jG<}$D?0OK)j_vVW0B^c+qkMA6g4F6~)dTrs1K?fzK zKU~TqZ8E*@woQ)($Ngy!NgGSa-GJY?TD+WPs&YaEAP|D%X_bcOXyRXo^36>mIDFP( zHfH5>`xL(m0961f{cd0bzD*HV^6Myuh(2RlJ9O_19-pZrS_4I!Rs9SvODPTcWR9ch<@j_aU2aJS?gAlJuQ3XOWaMU$#ppypn&Z zboap{6OFT}u3$!6<~PgPpP&Aq(V68qrneyvi&TIdX@*54;{!99KA3Y1-hwI?H{sKIPX ze_l9rdqmTbEqrl+DZGu{Ue8l{HCj~}9hCQf=mgD1m1Ty7wqa+hKawCzx23vA3oceT zY`WE)2qP=WXO82a4=9DsOD;V8*-_*NKZcc@7TPAC5a4FCXH z8XKjRM9WQ!fV>o>ls1co6&9r}8$&@Qd8z#rMV1x?b>4L#xyl~}izm~D0D=_9i{LF% zedfb9evy>-_8q<-9PU9Ky3kC2hnja?=Oz$y4EwX&!ShFm+1?YKp1#}eR(BUTmDUMn zJSi7#wb;8x3C-l*h||CO){O=I#!69+QwM&9m`Ap(C9P?0DxzLTUgj@Bef$>c&AlhQ zEF#3=-+}W2#CLc=Bl*$D;NwlT&3QRU)#%+4S`dD@UHU#qnR|bf@Fw4p4D#_qC(aAD z!$U6GBdq4pDA7&6^IKs#&I<44gK5)+)Q=(94X;=YGIzM2ok2i8tX{CuAS$5^+c=D*E zkMc))yOgOR|ISQk%3*F_lhL17NINv|IH662QsaK~dA=LFz^XYZUAUDax#OI1wG`Dr zGqNop%?i|K^s8(MkjLw0c&H!^@PBJ4s5h@Le7GoZB7C-468asg9&<8F?U1fzHp*qJKCZmA{dQiMQ0bmv zH;~W@If|fS$dQ=@eS!~^&GXm53aSe9v$#*wy89IJWJ_{q`l6ABj=mgT@Fs#+2Z#vz zUUJcXX9_%2(7Xh^$pFuVQ#0gd1$m0~tS{j!tw@d~i}0SI?@qldp*xz# ze?7a3&Rzg5D&&$L@yilHsNMnt%7iEH8lK~DgAlgAbipi3yPQ2o)Ol`h=9 z-(Y?mJ$vabF^8c9!UP@r2p?Q~S-=o_^{y7f&v?M_yabX^)fJ-YI!vv9^EQD=+#qZE z?!El>V7z8#)%1N(W>gR0><&? zn2cs0n`+gM=o*iIVQkos>h13?Tcgj*Qhj`_L2KcsBofej?2NCY=uCLSeq)K$r{uVb+G>uUc|svK@DaR;ky$8#+}l85VKtrw(o;izZC)kz-9nB8Zi{>Hi5PE;l9>buZC?w$eoK$D%4hy`-mAE!>|#ZPP3K` zLJluX+m9WqOH(z2VgReb(+%-xk5gD6f`Dfjh%nz>^hXu%LWOf5mf3paWe?{Uhlo;@+Go{;Ya?GY7 zo9s^`W?Ug_duiPUq)pzOKQRG~Np_VpR>IQwP=0@F{{q1n|2?PAwoO$HkH^Ae>p+$4n(uXz>Lp*>8f-H z0(&ew_^oWRo};-4*kaF`z36M+UkS$Rl z+lTqqkNr#*?z^{gYxjs}wP2~=+LHl0Jx+9Fv+~?pa;}N;xy#Fr({qC0ILvHEyt-o( z*IF2BM!0nxQ>e8Aa-r@x27~mPA2=H+u8$KN3bd$mZ-TB=^_q8pvn;+J&lh_SFFMGk zYJ*k?RRE5{MHSxt*F+jh&AO=S(<}`*x09z##&jC(ae4ykm501EBypd~MA!332D2A~ zo4?WH`sG6(te0ll(;lotYr%wMNpcXz%$Ii#BN(!|g`L20FFJ;Jth8Nwlo!pVu#qp- zWEb7nD>CdRgvGW49Xh*0)_l0K@1&{5eYmiZpTm;Ad@PPcwF%zZz;5{?2@mSf=d3b} z?7%7<8Q7K-!Zo}NtM*F{+GS_fkaIrAKc%x=*x*jtrl;CkAxDM0!k`h#a!8U*@0_A` zKTc;mJ1v}zc%6*l1RuUT5^sE?;ET4pE3JzXNj+;MN z5*FsE<3lp*1e8SOie5`>YcQ4#=8@wx^eH5~iab(T7e<2?GIS|hCRku zl$3dvqyUi`*vZ%saPr7YW9nX4#tB812N(?4@?_S|oB zJ#BIvqO<#@wG%nxK#y_yJYBCa^(2Q#XW}Z1RFu#r>HSp)RcK$Bk~67K?{#`3(!TXDTlzM zj-=N*q`LGYW#*Hu_C9;Oq99#dm0((x_s9e>iJ6F|qBL!|JH zOA8_qBU_MnG$Cb);mptMagAekn-XCg;yADQE)xh{#_kLTPvZh|s(mz%ES$(LI+Kunh$W!(m*reT6)=yS8cf^pT2g zqDms;Bcu@0mG?^)(B9Z54WxxMCCh4sGI#H|vnEJjJBkTF7#vGa_P_|%-w|{PXJT@y zQof2<6O^KB{5IQvm+e>M5#^~0qbI>B>>av{KieMZV5jMfea*k~fhYu_`9sl{PaAgHZz<<}$VH@L%D$bqaT^0Hm*L_eO0galY;p#L!0`XS>%>jz;Invqt^ zj}#kt__!sq1LB!8@FRWCn&3``Q=7qfpRdQ?7nvWxZrLX@pks?Y0auqHSZ7l6i~Vur zf^!#`hU{AX{q6M0frj-m|F5s@SIrPo`Wok%45^&Nh6fZJPI*Cfek z3Rb($vT#JY_UnagZ z#CGL%jfVt#p$e6Tu=wXk70|08*GO?_E9vq6qk0OeCk4c5rbMe@{p`)13raSbJ&@J2 zd79dsbsj<^Fe4B-_z~4MQ?b_;J^Y<6YWRDFCf0rm2DpyT2ZyIRVt)JKhYT0{)5^2WP&++iRT&I~R~Y>A6$%T=tC^b!SA^dL_zvq7fU1f%+h5bu_}Z?8Q+_{lkg|#(}G8HU%(a*`km0 z$V)1bHubbDAfC==VzU->+tv_oHKpP%+3$V?RF=x5O|?CG0mxtr*g+mxB;Zt584RuYw@By&knyOK+B z!cOkZ>E~8T(Wp~tU?SSFsaG(iPzm6Nn{(lZQ?cNOTi7^u+-U6y2}u6lYxn?1ji{Tm zp)%e;aPy6>3<_?!zwedBqf!euBk-jN_|^1`{5x$PNJBbQ_173o*xAUYjxGwOHFS@l zMJ7&gy!y(XPR4BoEZiYj`(oa5gz7Opb$(9=(O;6z9O|o&2Lc>5l>W)QE~G*vbAAEp zJ0>Z{ge=lmQqR6ZfC;j&z-+9{k7?eL()WGTLX@dV*jmD8W?~=Y`f&X`(oSW7KS(}? z8L+)|M@3)lxAZ2<@tvDB_rE%x3T=sw8zp!BR}^HuY^}ztk0yS>PpWWNUR`1Tjk$;VbNUd8~PhrX#uT{-~ZDqw&HV}ftJR1tbo$8FxUUM_5DV4e=gr&+d^FIS3)#?lYdh^56-v@KjNoC9;HO3s8rp_Y(|r?TKu&Zk?Jh2V`LiCjwwno-wH z?z;>P{fERojqkAL`tacjnc<97t1xAX&RM#ejEEwEI!}y?K8l?tdL{@@M zViwaB1WP7!$W5z0vlbbSmbovsEwsDT<|=Oo3ekmFbvA@WIJrK8Ca&>~#b1EjU;rX= zM6+c;UFKy{EHl@sz%OLjVvXrb;YQ@M#oa&W}-%O^*L*_A8HjYy&t zG|8ZqDSq3F5xQX-tY*2%ZCcCwv=rohbmd4i+4S3}IqBk0>{YNt!=`oM3VY9a&E#0L zT;%wiaFsT$YxM`cs8V*aEJOnI4 z2#FiHE(Hj-fMoT3EITa34e^{>cejuPsEZ(mON z#;(Zjf-InXgm#Grvn7H@$<1M4^qrS6&J|WsoH+-6cnKqZco;o?xTN{zeKl)jKJZ*# z@GgLjCaP;dD=@u7`02%lIq(Ilc>KzJV4a&$8J_r|(JMcP`!OnCcRZg?FV`v5=*S8+ zXvoTLO+b^yKAaD&cvv^*08(^h*&tW}s>aykGXbV@|1xrAy2uxmq3*1)aqW-^b9GvA z@vvD-`G;q#q+io%1;Imu8wmNx?=At(S9fq;%;~*(gEVMSn9!gsUt?r|6w#wjf9iX+ z+MY)O+;Kakh1$3imtOAG{C6f^dp?0C2R+6NZgEK7BsGT{nnW`WE|m|`vqs+GNJoCC z_9~pGX8m~FN|`@XrltG+)Jc^IU175;6%<4Y5NGwp-I1Ma326QEv1d){rFa5|;Etn+=a`HXwYMn+~IsjfCd{dtQ#j-DN^q`m}Wc7q>Eglrpz|%#9B_PEl`4FN^F) zwZ;3m>N#;uP2fAtPg%INxP)VLdv(#U6y7}{VtleIcEF43v`iJ&q0@Dw{JugaE?Oh} z-YYQDunS+09#4d0do5nHKYh!&ja6f`u^#c?y}+HM5S?-B(e(b1$JW-EgxH+`rZDoL zs5=(fZQu*cOvGcL6YuNVw3(k-bj`Ix?woHryon8o4^R_X=@;BOS^o+FM(_AYwZ$mW zH)UQ2nM!zu>xmykEP5>?X;VZ+%E%sR8)EI3sJ({+PD$pskzW^kGOoBjIzK99$lovC zoQ<&;u{mqoeT<7hJ!Ywjh7n2T{6xS@8Z5@wl!0v41G}qNN)kvgQQCcfaa~Lb>(-UV zmJL5`r4C$5u{6FH_`Ub-#Nk7JFUH619)ak;f7%OPZ@;wzS0|en`9k-dyl-gj&o!5J zQfbAL$#zmfziG1jmui;JUfX!r{QZ*fntB zc2DU4efVo(X?4-98yl7v*qRpzRb>qXEHTXQK|@1Fm5N^2OCR`Aw{&IcYK~{{atd-f zEotQVjTiFg-3ro}qazDJL1Y~S;g`n#uHl?+^16xNS#OzH|I(&)ecs6v_^F3QoJyUKTkHm^PR+%t zSRE@b^8_qOgjJ)o6zwIx@V=#=^L4#;7tS8ktC1p+BiycP&j-$vAs9rc9Q~F)djDsr zorhc#pF9#Xwc1)fI{4{Nliwt;R$UI=M8x>gG`qXuYYC{fr8yR%m4k9~0_4(}n8B-> z5ew6bj_7227h_L==rq21w9KfDrKspX0MdtgzpE82*2XdUyzjSm`^?afxaf*&()PoUmDi>~k6Wv7rqc1B&J7g26VD zJFa5$-m!ds4FQs4LYyW@L7ZE?3t|0e0ogeLaE2uJtb)$?3(o+X9mg6jL2$OcAXv}D zyN>reE?TV_QugV)-63&cNJVBSCA6r9gSeB()18kQEe{NS35iEs)DyWG5H0~`TEOL2i=AXhbaM~MNS+KQ>1KY#1kzzJ-lN+G=hTWk%qTO zQIx&Wq75HV`6RE7CGeR&W<4&|T&UlRivCZtNoSHwXA*YfMq2|gE0TwfyKF+~qzTzE za`p^rpVOxz!BHWt@k+BH_7tpXZYLCbnyL9wJI(&YMb!MPokCZtS=+qDNF&f(z+^cy z*;x_33gmheGSKuVwuhJ~kiRU>Gp_QO$3qnc9Igy|V{|WQdxZL=tA|arxTl-IW^kQ( z-^pEeUCPNEXR8b33z6Vf3Rla`D9c};v(4#I|G4aOVD9z!1BShlol+cLTGFQ<1X9GG zzhp1Vt!Foki?el{f}b0&>Eg?6*};2>X0b=7|kGResE+l9I@Z z%?l9-_x}uro$uhK^r-S>xlqN5U5A`e*BhosF6cnv|rBzpAngPu?PvUsKhzr)~+e`t^6ebf@aw zT}$6*=pW|*ts-$a1?344BBml{D?F+{%^W$)3#1RGad5I6nXeI-uV+b&8R=iXW+SQ4 zAKBn=z1WVIF&~GwYu)Pm8l!1X4DNQZZg@8eOs6$<&xeGF5Z#(bj3TXN zQ0|jFkg47zI#UNhN|7m?(hC1L?n3{>jx9~v2+925p!;q})t@=t5u$ zOJKqvgykttcHmv3svXB7!(FTd|2wUMh)qo779jvFX=dGFpv0%J3GAi`-P(LC=8JUD zvSOq@nuh`+wU;a75Y;9UB4Vq!>_AOoiVLG8^(K-nqV~`4iRKx8ZHc<#Bn~JS^-;=- zOJ3|=(1)9ncr;ad8H49gEYLfT89&dVgi%x|GcYjNy@e=aZqze`E}*EP7&6Q*po)O2 zg7dTT0m%GD;O-IO_ooZM`sKVKHA6{*$T;(zr8%4G+#Dt+49 z@=}tqJ5qFD4LNO#T9~s#GAgtx4-df3twpufu6?^sMB`XuCH~e3s3d%jAU@$l<^4?_ z=cS=?zNhgrB+QvWyIpl&SWg#$*uk`=F^7p`s9e*+ z7bmu8>Ya-F9OfBWZBt8@RxF&}SrL<)V21Macn3S;FO5y>*s+oPqc$R$?*CvW<2VPS zOZ+n1u@0(x{D>?wY+QS71nOvs!RkPnx!PUDKqDlzvaeQaZ~9!%HLT<{>X0~pm?3rz zg##pJG@WTl?vXcV!?f%~H*>%fkG&KJ)f*zPw;)rDWK4Te3}I*6eSmB)LuENZimtf! zK9t^Hl+ALMQSqsY4#6e?zU+dZdd39Je3pL52d-c2^B{y$K&amL;6YQNu@Cgc=K2bM$rBo6Xw~zT!tn=7gmMrtB4vDeF!qoWZXGBk@gnobn)w<}E66Ea zi-iokNTv8PDJj^hUax=q8_-ScOXQJe!U0*f~ z*qAch|5eOy{bjkoO(?_l2NWTYkz!&V?pG|cDaqw%iGvioC1W(bzM3M=P#w;2Y7Jf4 zzlthDiya(c&hP2b`e z@^SLH*Low?$4>HZmtym?AFIbRqgy7RlwBnCzljAKOKetFr&5kLdg zrv}b|EcY6~*tJ`2T6o>yp#dXe$mhv+Ellwb8I3g-r1a*clBwNQ)Faza6#qn{MNIQ= zwo&vTM&Q3+hCmJM70q9|Q1} z@VXIf0Gu}0oi>5-v?EtOW)8Gsv6lc){c|3E`A$~Da~^%?|2NS2AE=V8zbeP>T(>Zi zj{xJXF^q`CV*f*FcPN#6q;dmd_?~bvXy7IKA{fb^c{j8&8yq9({};%LLS9cW-vA=9 zx747UZy>SvME`qSZ=eM|o96}Z_CE$w^@C|6knJ#QXq-1H#Tk=!2cVoUm-t+#6dD*m z38oQ?t%;ILooS1r+lU9%UxM)e3pbXHpSgI)c&N%8IL#&?fHcuK4Wi$O&1{$k0qKqL zV4)ltvpcjTV;!Z2z;A#)1cnp)57fYzIfRjq@E|xr?zxDFJ z)B`5{3>jdB?tey<{69zZ{vqWr`GyXtJ}x51jtE)3rJn5&6|(C`fy^4sKdM>lFqz;% zEOSUPnczfZWic%<*W!&);c%jyxbii-$fq5-#~cD1h2k4Sckb&tbna&{aIIYVlwHJI zPy81G46(n|J?9m3b?)#7xf5*QpqnSCnhAyd*hBcA)Tz=Mopy&@c^lZojw+7NTmPZ) zGK7AEG_&CiFxWKF2YC>Zw|Ef#8T)GZ|1J_o^c%pS$qDHOd0T0V7@H*#jC#vwlL^us zMPJ-qY2^P&4}=|JOnUb?;(Q}N;WbQWHhMzjhg{}h$YyySS_wJ-d0|gwU~5@isN-Uh zH^1~LA#Yaq;WT~1942M+RD`>u^Y3G`{D5C}0X6t$x&Jm`{xk9kcFba8s$CjEX^7PW zrudPfY{^y}Bd`|w_<26@QJ`*i^`%+3#sZE4ecl*xJRt7iA4~r?oP>4OkbgD@Qg2#~ z%4fP)hx4zd!KfL`uwxw&bDI7G%@BWt=w6%UbBe3jZZ*IT`c*ez7R6tF4sl%|y3z1A zs0hW6FQAyHCwIy;7n|b89Ws#9jfZ7F)%p+oz0RuVQQS=JLc#0oanJWB| zqN(NxlK%rr5=0CqI;ZPloEps$r}(cz`K@C)j@yur3^;H0G5;sMrH;?4%VDqla|vsH zgSEr#iti7EBHCespbS-y_8y`&Zzb^SP>I9j^$z_F-NtQJ19LC12vUFt?p5BS8%!@Q z<8sv}P+XgUIDRBX>3JGXn>b<#v--+ zkgQ+^4hyI6^v-HONKGZ?9^Znpul(Km!V+4t#>*X22JvN%{`-MFg|IFq8Ah0&_O8G z8;!n6u>Y?@&c5T6ayGS3$5TVDSfJfY1@hP@-sU6sX}}N$%W?L2r3nq~s^VA%pVffV zNI8(W6dQ8`8ZtZXVjSX=szCr^d+b8R#Di25H=>gKEFn1;U=sKEEq3is_2%AFI2sV! zU>7_hZZx0y2V#6blOE#BO$pu6jmnxuH_80RM*cO|@vnoNw{_$%jKkgdN2Pyj7a7dl zD7{XqvK-^f{S`F^)C%L3I{%3yVXD|(88r#)@7)%=aAp~j}5#8Ey&1t6i ztsvG00fB#6$iEHc4N~aJ>K~(0at6T)m3+R)?4j$_hzG z{i58YG1O-0C@0vn23nAIfJcvd#kp#dshrWEx0?Gif!YM(5l%#BPZB&CwEe8`RtX|) z>9+(?+7O=mMG%tkwOf3r$;{<58=7G)Am&ZBo3QS0MfDP&VmL{`0f7?)A0+u3k<{Yl zefAi~c4Og-b8kd7`mu9$BQ`Fk7^d2-_Tb6>p}>E^ziEUb&rvQK?Zl}|ajs4Z-RR#p zWt=(}=l-FYICXYvT-=%Bf1S?#FW`7Uv~lWmB)@g(6On0iq86_Ws*Hr_<6pjpE*zp0 zkX!73Am^fjs)xsXYlMjH&AcI24xDMTo*{Ucg7_+w5Ppc6`$nV-{@*5!e$^TZil>Gs z1G;t16E6t6KlhyFg)WqTR58t6GmH?QZydAoe#J@Odz#PZs=tI9Zh^<%AXRk`ECGAL)nB5R zZ4eB#aN4vW#pQ}|xxvkkh22>}7S-aeP2-JEd*IXaz0~tHgS^ZcuZ>oMjVfGda{`1K zo^{(Z0wmF}D=Y%g1d<+dLQQ7-dQCt53D~??{oJZ31=vVL9s3QEFE`4k_GlF7+RQatOo*}q zTWy5oEYhb`tvNn8Z;`>Ph>o2!0ey|D;-1gnZik+%EuJ0PDxGK)<{ha1aPsx*DK*yR zR^`eFE^e>p&FS1nu6yz2p%G{_w+a-f{lHnl36pDn=fw$ggusN}M!i_RhpfrTh^`AljIW58ao;;4&*5rEU4%odrSof^=**~VK1 zxuWGa$U!koE2crI0!Fzene+Vg-=R?%l2j=8S5Q|dcne>p{uPeca`l+Av#D!vl^~(| z{|F-4I?QijX%NY*6==V1{q-_vgU4Er^uSVO^4D)kcU1#Uz>g8g3K~4Tby*=ws19Z( z=I`xMs(I?>(SKdvJJ)zQJKjsgs>u83J9JuV>ULDQ8(t1Yv!)3<#&;f`-}f%a zHyXv*INPRLQH34h zGe`M9lM8(27vVLiCGu^hJnhu56ZEz45ns>6zAB$~`Kx-U|Ml2~Am2495PPN*KH}$< z7$iSum*lZ6Y|}DUy=a$&!tj>=|DkM`$1rS-ZRoL|4SkV^q=v=}<70CVWfLY#hoih) zy-4QE0+WMhi385QW5JUN`s{yi4oiUBoU69P<(v$*m|6=DvC_)d>m z9N7#V9?z7o4Pmff3LlMSz{Le4GAxFT*r47QNnvsFZ0+Y|&n4ygv$=vb+`dlB;A&0= zh3F31{cJ~$jc46uGP`OzLj)~k&2kf10D(XbFm^>pTw^7y>+Z($-p!_pKJk zH^?Tvq^|OJ>ctps%8Du@X$T4JE9O;eiqxF4fpT}RM^8mtEP{)H&4#V4BMA)4LRA{C z6`%49#*x2#y3_X8b9%^I|9O)rU+vv_Shdx&X>gS?GnTxlxYgMO%$X&r&`T>>2Rp_7=uxUGpN@=09C>pg~TXU_6%Fx;?w9GrmOo+UizwNDUH9E@+@8Xc80A@cCMusH)Fo6Wt|5cD+~d z>yR=}LS71vjMQojQ0;OxdliWz;lKV-pG43d>N{LWQ+`|@aYz$NR3CN-BD6V7w&`;t z9@FKfqy-@6B7yY?DU05sDltlY1PRJj0Qf}YuZ%+bDsNbSUi@l_H+$)>f+_g3tB9Za zI!|`f(JVa6KWiHrE$Nf`Ev0vV{oUp3lM}%@=9vPulgh@gpGv8w$v>PTTm*>6rW%GL zLAuFue3nlp=8kw=_KJjcNcYR7JwVyg>P&{yDLOpHN$h!?^=jp>S6XMW7D5=t-Qeom z#*&koG28cUoOf~wiJzqqx;FFk^s)(zYuA@2m$z;+jhavAoT_#T=tcN123Mr5@CjCX zM9QWjyEvXPnxi0-HP3uhR2eU*>BO#~a2yStdw9+R9+YinGw@zgiJc4YJ&=Q8EPFe7 z!N9Lm-u<+OX?hvfi7R(Pj)g4$c&jMxPlTIp$KPw7uXr0al5N}^QIPyub>mA)%Jrz zdw{sW4jlI8ZI^LR8vFr4j?&Of$ulC@)-TiNbC2_9S?c{eH<@oNcp*qkqR}+?*50_H@2@G5Ng+%hOMSsw$(%? zD&GjGkTA8Y=mb@+g~WF%*(VpamlO{K$o;24h=X1$)yt$aEo&GF>_i-trI3l^o zHmTMbmBoz!wry9Bs?i)JY*>@*^O|hTlJ9HLYSdNj^I~iy(0ZdLl6__hjosbAmVm5V z*5C84A~nkAtMvSmd$w`ne3unYbiP8;&#@%=hY3ZfrPkjdJCXqT9IvDimWq=(H5P;e z0*xpnztvaamf|eFTPC#Q0^FlPf3f1NSDNz@qv%Z~@l-;8&fp|rIEuD=pE@ueju;`$ z#EgcOj++$jDQ{SSHY(QTzuqHgjVS8dyFtaF)MEz7w8;)|=Thq7&!*WS&WpM-OxZnJ z#YT@gM>I&-?Fa$d+ltsndkz0|`tApHs3y_{_hfSyZJ(GB5Yh(sG}d^qdJr5gR6&-C zH=#bpP`m4k{RL8+G4RP1^|Dk{!yqgG+wZM;sFEw{t))M}gJh-Gc9z=o=PtKbk28SP z-gj+mvc4;KY_hT|cU-~eO58}?-WCme6|8rHic|{={Ln3?7S$HHU05h;AmO}3P9{aU zM|e=uCT$Sbtg1s%D<HI2OL9J2$FMQKQHf(;G|q^4#VktEdHt>6IOaY+H#Th`&5l#hk>kAOyJEse>27ge0O{o5t42MphykDsLR^WcV?z3AM47wO+UthJ2& zMIJD9DtzvP|1&qm3tq*u8oBG)PB@)nW6d@i5wANH#fP!^8}clg=7k(j*Jk`dhQraJ_R{iA>8^k3*^h(fDVPC^z>`k-6d-2~X^ zQ&U8dbze9rq+u_u5p=;I8PNjp(}%-ft~<=ZvUH7aAn~l9wch-6zf_m*@vMPcw^_YS z>wRHLjopqx$XgGi*k^O5RW%A;%c3XKnR=aMd&uOM1iNHzLNlQF2=U!~xD7tY=SZ?5sE- zsh@=d~j#TiY2w9`sriN*=4+Y>B5* zz%wA+_e(@WG!o3l@2(Of{aF^Q)I>p+BV%0($Aq&THfSyz=tvLRE)y@zw9F@wiGg5x zr9z`E*Avo|SuZ$=FBhNJ)QSynee!rTZTo(lL=GtNxm62z%-8zBYK)K|u!%NMzR>KI z%8`e2Pmt=82U#~D7X0K$d-H@|mw;Y@0UL_veai|ADA7Lfcx3lkB_Han`T z`C-5D5N6X3xT?xSQ$txAb0GfSyZFG>G`MR^o}&BcR-xYV+Q5UWjzE>mPFu>t%n7h* z+S>H_m-_M57mQtwDsj|SUXSQ-$yvmjC498LCQJqBI({Ipch zDQZ^^Yack-mhK;~ZW3#-*n$naw_;YO|!9Nqm$LUN9__3XP`o=p^*-U$#*41c}SM~Q3FfvG{xU#NiQ ztfJd2*dmPFFSG35z-hxK>8U^ynw*?GH(XxPP1BGV46VYyq#IKkQ_xDp&e@nRL;NqH zV&phs(^rGEqbb%pXZ7yiX*-;VP+8E`{)z6WXpT2u!N29m_cjQ=H-rpcq(-8H69Y0v zKgfKW4(>ESGxgZuLkh+QQJ&1yOGj9*|@K+GDR!rOYLPz*M=UB}l9Z^l)(NKO=;x^rxs zZ}r9-OygQv7+HZ|!8WQV4Q;1Po+E-GPcBMnr+ON&dUTJq zh9wqn^xy(lhNj&NLtq~blLVu7p_4Gc-oV}a(~i|FAOY?x!}p-%`*_PtAUjeGYe-a) zIe;r^lKi!J@!T(^C9FPJ>5igHmZ|hTUidAUd zqgTjXWV4tAraI)zok6Uy_F1_owT8mwREg_{HU$AEtTj5)AUH)515n4$=CdERFvI_Wb9v+6a6f*mTfOn&88a=e}^LYRJER|cS zO3`n661_Z~`esr6;WZJSBuD*Wv>cYbdDu>x%TBys?lL^OIhWif=58%N5(^8^ey)ma z2=|CEJ%lD#CctTkQTI3ci*wEX`^!2g~7|K(nOAh6&y zUnQ6zuo$)cFZw^Z|MzHu1rN07RO&Wc?H34T>U`VkKOJJ~H2m}b8ub`50-&Yj^NlY8 z%OU8s{3ci)3xh(XM=v27uipK!yWMB|A7ON#gvAiHS*&+op_zO>^Fl5uK$XGR-L;@f zWZkDN(TQyW8N>7*f!1RCuT|KF!lwNb(h45W;UI~prLhYH$36vzdRkh#sQ@ErllD-f z)*t0&{+tTOu`Xtr0Zh^w^PVg8BPJ4+sI+aYoLe@`_&g&Kq~ryVWZI{AlourOYW^}X_ zCaw-r!5EaG`r{CQTOO4yQ#=APVJ#S|ZrX`DW|B(cJ^EB}4lIKhx4Z+K#!a|rK26N5 zP>eXn#YIBwo@a=kof%JUvk52m*0$1!?C&afM?p7LYH_9Y2evU7j|VgKJ;CogYrBo> z`#t9g#h4Fwn{0`~5NcNDc~8VISBqC|gi?oaz-hqt zQqOU~y+v$2)%ntJA~{ndqgZgna)~X`^wS|Rg`f&k0_$<$>JyGi@HpjvEmrIijhMa* zH!2nxSd+FFm%g0`ko7pbVyBkkg8KmUHBPTaKns4HW>&%7XlNof#y7BQ!u7{ov_XvI@ z!E4dc{$XrGLTO^7#`a%u!Gh-ICrIn(snq!c(w-HBl05t-1D z%;SG2K6;iE06{k~I}*RW@7BU3eE`81Yt@7=%VLS~ki*Z0OtN(+B|nX5eZ+a9L0)r8 zFdYB#O#5Sqyuf5VnMmvagO^<3S_v3f@^il-m17%;<9l&ILN+x>OV~*?najKH`6^Ps zepC93tJzV3VP=@p!{yDY{qaKc{guhxkL!pd8`o+^CG+Pu`i#QHFm%L*Ti{?egV^8c zWYReuc$-Lk`UEPZav>qH6i!Y$8<`(=@byLc?Uc#}UY7rnk0ns9wTMYQnqaeBY*@Zj z#@JlFh@p5qT~d_%|0OAF3*QnH2vuac&vDu2xo+X@t=!iZqk4}Yz9m&TOC><{VDTWl znkwCltjd3(z=XDAmNVh+7mDwzcDzbfJ|cmq_%#Hr!~^$`HKmTx`6jD;S^FH$9wM$q z`GBrObm-t2F=?R};&jRM4eMxFb={1?a7@flzG|qq?_a!ptsY**amTDt+7hfU=ZCUi z9L5YqBfhkUo)J8w_3C6acT@T|aGtM>p~H*H+6-udwvIm*<-SlcDUs2NKL{&9s&mxk zb5#^bp(T|TZ>qx?ON?bu`6tYm#eI>>umBRFcc5z)+8I9`Z9k_-tE=CJihN|kk)+#U zfC0aTZ|QCMqbH8&r45io?h|@mu`@nW*$&Gg^XCutF0C{XY7G!BJ=>kWf2x={EmKII z9F870lo+a_R<>Ucm`52hX4UR0+1F(CNnbUsIubI^q|>l|VH*T|{{=0=lfumY z?AF*gmtsTZ30|?3Gj*mtNS#JC!YWS=D{JLINgJq$d3f|5@%}Tt*w211&hvTaO>pKb5IUILN(p(Aj*HP|hiqGx1O{{YkoWSBr|5 zD*o0%2Q5~}u7?;8GRlMlF{a|_mX5QQP=Z~&m7dCPY*^uD$o~FS_A@d8i&+lhrwY5u zONCg5xu;n%t?3vu4pkGtC}*pal;f$Uir`B{Hr~_K0eHVTdTG<{TWaS%$TXjFirRG8 z`nw)Pi~k=vchLhe9)kHzT_HQI@;V*?Y2l)}1P9U-SL5t#H2U`<)N*R^q6}EsusQvE z#VS2P`>-D=oC3q@qmg`z-i&?I!QW!r*5 z_y2^LOqrx3@uIVd+K|E~;c246c`vt)=21%)I&9@+dT zY`m0II48S!Q9N3~K|H<{TwjY*nVnOXhmbhj9y&M0JbH_3xVJy#|j+S zKtod4id-lpz+$tTRA^kB(^L#PP!u|_*ANyQ4;GvYU2;#(Bu{EsG&-tSEhM9H0O>*g+fLMjbcoCdx^*r@dnr*<6R{OnO zH+D(qxNJJQSst1fv~@7)EUZ`_TA(NeWPlVbc(h|BV>E4LBctvkhgpS2MK0)G)pvzB z+vF3gK=n#-k)Y3{M7KpLO)LbKE;NbxOLoda^iXpQ?;&!^%+*RPvb7V#IoQpz2S)Ww z3siKV101!wyjzs8rVs+EiwIFpezo z%q7%3_mLQ!?98QudF`-k#9w$tlSx8Fd=}pES`1bfj9C~~jG=ecsKdnVZ9ePu8H{X= zvqFwL`aMD1eBo~vc(|QzmdclS#RWA5kx~hO%tn#1ppiPXh>MM~I)cmw3{m#GsSL;o z-+TtH_%6suNHG0KM6r7jNW6|1DaBG^ZY(q5zZrwA2B#*Z0OeO>nP0uL z(b_L;kOIPBl}J@=b-x1?5$$Y^IUq6#R{ML4$XKbpe{osSs^No6%%kF;le8wOfc!&> z)#J1>??ucaFjky}lq|0!rJaTNi#a&?R=_NA*mmQ11+X1K4d>zDs&yITR-Qlwiqb&J z@`#7CJ1@a~qdS%*4-Bai29&Wcj^^k~rTURe#RHR=F4a+3ONRT92MSi9*BBpmVd>r* zaHL0Zp^TY_+0Pm8rnN zrDjQP8KMKUDJ$$e;tVBHUUu`}!E*a&ZyYnIA>TN+Vcb?ouKTiC0mMBt67lhfn@*~q zB|}yU-v*bT{+eq3oCO~)3VD#g2nnJ-`U4)CB)}6Elfk5I^Dcni(GvAx5o`O$N`cPy zuL_0$0t$+;f+ChJE0jX{YZ8Hm9heYU9OpML$f(V;!o;~$8pO&Iv`vPncw*8Kda`+t zjgy#q93rx{jnJzu0YF>^9|I(Z!%OHIj2ehv4*CVSE02+8Z(qfbL^beBG~ju$(C&F_N=p)fz%0LqAw{7RAh!WOu7cq-nC z)MMn;#FY!-!eM4#R z_Yz^W3L!7~@^v<$|BSmSVY5)hc7kESX(Z!Rx=x_7i11Xq@+_sXHSWdJz%Wa^$R@$y z)xOEBte}8}00G0VVsShaG2p?XdrpL;MSr^ITiGxtb-vnUksdrG59=(d5Q7Z`l)%&F z)-Fw@72C6V4fh`5sTnx3x9=3S`$uhEA&nm`P0Giydp&$UQ+P^XNuIh}VL>b9HIPAo z&%q|}lRQ>Jg~%E}Bf73Rz%xu%D4p;aw+fmWfP-_-1DD1Oh<0(!OZl}Pr3RvVX_n!| z#&$<)INd5KrnFPrl@E;^Qig(=eXsjzrl2uoYHpQ@f`=*M_NHTmHAJIIDmm<;-pbymo@hpc;honPMh^QPHL0k9xJ@b%i z_|MXeKY{c4)vVOAhIO`q#tG*rV_49AvVw4uu+)O=Xt>kYX=u{0qF$_5t5Yw$m;=6Z z%5pDNtC#+`D8bZHi8C7*k8Hm&pUCN<3Zvxuvc47fG7!8^3G=Qwu?W#7+jEj0kp}h} z1Fy&Uk$qQ}GyoaYv`{|g3GpKW>>QUY17iG_qsV4W6Jl26@gu1tGJN8^2;A_92*rDy zs5(@3xv>Xj4c6Hx$6we6)IL+iLbIq?M-X(08YN|E#JfpVy3-83Ap&#VdJSYr*gHR1}Sm$)p_J5Hr+ z^nxT2WkD;j0@QIZ6}qFy*3Z~D_@~8jmDkg)&oaxPnZlPoonaU7s%`v}P_HOub@+)m zU1a)gEY>5VVPj#d$qE#Oa42pC8LSPRX~zQ8a))juI@PxI4@8m^Tg*U=T=>#ffP;x~ z6R$-{Il{MuQClR~Qd7n}JL|r@^-AHWNEl0NsicZN%7qHfmDTK+0@(1C|Ku*Unppa0 zva*|4I`x;XH|%g@X<)ZoNaXA&NakyIcW>;tkCm8(pHo1t(GJTP5A$s{`^4KFI3U(@ zUwmjZ3s|j9b@m$Now9Ex9Xq81IZ4Mh={d>Ap6P~3$C;&OE|Rlp$5NVF)^5KgUzEGG z3OKsulNp({*xR+o4we;IQquGp*}An0+O+@Hi%a2Pv3FNB{6qU{&y0;HBA#+5!D}CX zXCT)i^Fl`0GP>^^e|$jRF!7Z+^QTMO=u^ELO=^=sTh->6#rZep@9xezKu6-ldba-Z z#eV%s#spIZOG{bzpMn?X$dmt*5yU^8c$n93PW@NkwV2wpp}%bJqSG;Dan7CXwg;A@ zW8SsKWV8_1r5$ef&pH2vEkr7oRUkCX;np^^F~LWq|hV}Fz5TcjdHlc-tWq=_$#V0ul8k~_HlMveZ63>jT8 zV!p#oxBl0rf26`LB((=Jo(3vl$iw?ECHsZRjN=vcth(>qHfxq7+qZlm9;-qP1*9Sf zNu^^(88S+Wo&T?B$OBX~>_cF9*cM_9W>nybcLXeSLf#)E%>2WukYCsm4JfIOK=)NE zvN0=?ydD2jx?sh~)UMBSPM36aQ)n}ie|2=X`z=zDB)nzy4oe|Y(P*}D_3mB~l(+yT zmXsoyD%-0VUpT!2N@fOAj_R)$N=@bOLab7&GySs4BvUsOMgFSg9j~y;xq6zqnZoSpzAu8-fax}#YFM!>{|dcA1( z-h-q37ItMEm1QdYBny_dK7FsAMKQQZN40h!&+lJU9u!sDrarRDTfZ5w^708s;b8v!gyxC^z4LsqR0G)F|AJw9_-3Ua=@;H@ z#Y8N-MKV_;B5&5UTgkt0F+p_k{*y|D$Au5BX;a@Rs8-6lEmz~s&1mBZV;!|PC7*DL z8d1H|H0FzO(upzCZ)U_AAt#ofa|}4Z9kqzepbS$tj`S)CcRZ>8ngH}*UBtgtJI3xi zgm_Z|(_0kJLC3^nxk!&PA9k(MH&z2e2}*oO2!~_$qNR!!IW*niBr$vlP#&g?SBqgo z@XSsAGhzsWxk1<-!Z1u%KFGQ|EOh?w`T?bB4ch+wmCgZ~2vD@03^>L{di1^B&FcQX zKgz!-!4`uP9woy&CIOoCj$_(%=uFso2V%d!FtLn7G20}h@Em}ra>`DCZkNv}IxuMK zrTRMerp1W*cTzP#zB*5~Sbs;A!J3~Dr-dZHp zFFbVpf;5Xhs$V)(`hi;Jpo)dXyjW>WPsvZi=7bv?TUu2?MWnC4O3Oj5adKg{3385G zE%hlgPpAqHpnL^I(=@POiOD7`&Qmxj#p5T045pWN$Pcn&mG|pSQf`$%MHLLC6T#%E z8d~2r0l2TsmG(Y5Kc_5~lGQT)tMneklvq&D&8qx03j(Gc^Q0ms;MfuC_)ypWBV>P7 z{HCaCyqkDjn#Gy^9#|%JQ?W#mxjRD2rBjhij+)CTl(1}nLE!-Zr=WN0KP4cLCQwmt zG=jctG1ZW=%)f*+r8_+Hv80CFBaYF(9P+@joIH9iV^d;^1atcPO{-Xn6fD!e4`}PJ zEBOKvSTy?Ik0pc-Qz6aGZ%}0>gj%m%CQ>1Bfyf7*>e_B2z1hNvhv%F+qye*2=6xwg$hP>`(q2OMs+>g=Q%Ms z!${K)jy~;qxpvWqO`G=vPAgR{rBfA~RsREe^S7Dhjodx7@^uO6uxu2k?lOU6(2n5L zmd8I@oz|pIY`VQSMnuRu9|_N%S~D*_sS5>@t|e-+q?DdNGo=zTgN}o4ZQHg%h{%p9 zzo*Sd;vRu20oSbZ;!UoJ+v^J-K-m7_bjhY+tGN;vGx$zV+(9Y9vb}RSNS$KKi zYl}ovJbYGU5x}W4h^>NI#9q}Vg&~1x-QYUE@X+n*B;EN__6zV^75=v|Qc;k(PGT65 zLs=3O>n`tXKXjn>B20 z@LXkx4MZd9ZIXqSs%;L2o<9}EZ;Ry#tg^D;$-AN2;{a(_8B!7P!oh5Uww4Ik!sth; zZfAWx3C1JD3TwY%)ZEU{{M`CV_1SJ%{vj$FJQZ(_bm+nwC9%@I6eJghT$PKbIuF8< zK)BN3;cou8{N|9$`xYOdA~o7eOJQ!DgvQP~9$s!^je(JjArl+Jyz1OC?UdttJuTne z*~S?&`~dLUGXIg%^BDqfq|;$`JVrCa+q_F?Bl1uwkYA8b`Ld5GlS`ftZZfZ!OD>!C zgAk{(=LmBFMtigveD>=&v&#iW*>!A6| zQ%N|^0b8QaCKzNqjy13ZJ>-?sP&WG|9J5Kco2xEc^HV?xBHzm0X`>9KDHe- zyt;_g_R)M%=Rf{ZXAP|S(b_-qMKOu}(dNXPsUBuh2)m}daz)J!?2}ab%i0X-R6A!} zQ0RiI1g-=#hawO~6Hpq|&xzJ;d!!muTC7yZhZQ>G+Mcv`^_*#6a&||i*P)>z9QOM= z!nV>K?kAtyX9!A)H|VYv0N71DAU2an?KZh&Yla-eZ11a`#^~!AQ4{BdyT;XnyN(+% z{yP>ou!=s|z!vtKDx8L$dheJtM-(@Tgg%S};hN4$dDwBW@Q+p7@{R-9;C34;9e@x( zCmq!qvU4=H{6n9cH)^A7KIrTsS^x`qFJebzgyXv41RmbKqdYGR2z-7%6}X_*LR_&P z=?>nd!POs+kE1O$c&j86K7b|Zo;y{I!Ik7Qy~45b{a;t8)Zpf@FibAECQ^KTGHsXV zQ$;e~^zNjZ#PsGlhOJCn8T z6qB{G`;KlacnmNo@-L1>rFot&4Z@&yj|ZoA&jhz@G_wOy(?G?HHOyHk6bNydjY-S+ z^UD9+&2_b<=*&8-;9NWQhQTf&n~q+mv><_vj{Zk0om>vUn!HX?#SU%GeSln!YvrB9 zQDmBQtP+FEmUB-l9fiy1okSrOHTMI4MpwJ~K}Y@xkj4DrxaQu9VVTSkMbhj6VTnk+ z`U^R27t_{^b!phRz+8L~zmUlMb+`(hhBYW+dd1`{kEY!-@j7=e#J~yL8T1J_SfJ6! zg1Y9)YL7QWK%tMR2ow1XS_x7KjxEgz)P-zz&bWGkxOxA5P>4{9!yJ&nHmNMtYF@4<_+%N9UAKdh>Qc_;e&W2{u5 z{6;6QFW|L;g(M*PD)jLze!%}$oU30{sqie&}WOd@8#?!9vt{U3Fu|wF^ z!bX!8i|@yl^d)Nm@-VN170>xP#wJ;EHT9ge6uN4$sTO&WT)B&G7z(3E^i0cqK<JY(JKc1HHgN+b1FYJH32+UVeTmFDwddfG=U%ZzsjBauV;>4O@ea*ei(Sa_J`I7 zHr<;32jPDcz8b3E+p55sy9Ju>nTNj6VlL`U3yZ`p_ z!rxpPc`CZ>2k$8$@1z)$zq&O%w$7UwIqHU#U%c4S@nDa8wM>E+e`p7 z?)+HIr(Ibu^!cIutws8IomBcrrJH*c&nu}my4-GMV`KBZJJR|g?27O2q^2G}3SUd< z{poLy^5$Bmti(mA>6MGg)NH9V>1QDu#d01$5k-Wg?GUvdga-GYm%sE{4p1-KkYV6PCPmW7TG+)6ahp#JLBkKY^mHS5O|}}?Ej{qZgBtA{G_V=m9lfteovx+p#!%gDy+$XPcug|IJn zO)k|FOM1gL_9OR3ifftne11F@u_Vo8LCr9a|Cztz>Y{t5d1rkV%7JB04dVw_2m6-# zhadba%#7y7d-IR!R9XRW2DE^F@Q`TGNd)TvaugH2=0$X>xd1pjpk$?_v@yWss{lyN z;TZ`2A>wxt%p#6~Kc=3UQv*j%3fFqns_s7F)V*DDYC|^n<3qoX%GtUadU*KI$$p<= zV>GC0naLSS2zSMbg)x5>eLcl<^w@C$*9i4%dOor*@N4K_0tA4!<*`MjQ~xY4lyo;A zCs|W2!0&qlpZ&LVQf$G3^c>@p^e_*99X(Qa-@1yhTer`wapM*wXwWIBYdb4aVn^3}Enz|sCQfE)O@oks_atWYt-ho+ky*{l?y&xct9c$zYKt(%|hWWTw^{n0yNTsqC(b7K^c&eI=&jF-8n0>up+o{Bt z*fmEb$<#nL`#y?P0wCa^VBQ&cg~?obnTSZJ@`C7&sG+lUoYZ&uYxqO8Sj0d@FNcNHd=;OK*LA|8bf%Hz z+D9UHn*xez6aIDz4oob}bEs@7YSF-{k` z%)QgemfLsi`pvTh1)d%S=I+`whA&aC4JdM6NLB8&-j#$XmOz{Da-W0;7;gu+PDIkr zaIGDwEvC`ybx-9%4Iwm=6WOH&-t~*6)iWo$t8hr@knfvOf%d)P#|TZv4FhqwaedE^ zusU9KA;i18r1=B3L638()nIt~^Zr-D5u#6|N-~@Z1QK}BWo}hVjhK_k>R6N7e_Og} z;v7Z%*5j!ej4xhE1ZOFW~e&R-LqVhcpbSI4j=B(O;tU6Bw(n9?xL!_V;3r%wD_pv z7e}BBmL4PIW1>hBtp9chu|&xs8)&7v>3g@MwLC_foHzBFk@(V;nH`$EtNzu{R_rp*_d1%4Q>wj<~apC$dxDK1^@ zjJl|+#4}}f)AIQ&z>OdJ6c;t-7u>GKX@dlhJq$`>9+|7$tg$y7e%7$^D=QJ==>Nh# zjQOI2!%c=0V-3EOMr36^Mhr{J;nZ=ykgEsKV}`G&qVjG}_oL~0TGEei5qNzWeKlCq zn7;ndbmMZQ#L~a6CLF53x%?!t~l@t`M13!3ifhq@nQ=~=Y$+j zvU(PGtLHvPwdY;**s)LHN6Na@AH`TK_8S&R>ga{@qM@uwjp>ehiNh;wE4O5qMki~) zhF305B#=R|q(4*Dw{D_T{W;ku)EeeN1R?2U3Ll#}q<^5MtTjbYui!uS8^kUdBfhWg zlFp{*`gB$;g#V!3VyK@EWe!4uLM* zMdIuX2<4f*g2rF=|E;vT+VEZZ4RX=}{1?dGAoW!z9IYStjiTrWT%$w(Im+^#UE9dK z-PxGe^X_x8@$(#rag?GmLm>!*=qJOm!41>r~qpy}$O8VdPNltV#I6ezh{b4)8Uw zfyrkP7a*9se6W}gT-GklIMp%RC74n=pDqx!?QvWE(tM3(&*uod+5h-B*uZbaBc0RZ zjQdjhfnP*aBq#pd(Nouitl{(#)EUweSS_@NK=5b|tK6|JHtahU-&9)p;A^Ar{px#W z3b}Midq=&zM_}fl<_0=40j-6xj>+~IX^3bZevG!*)-|rjmNbxBb;vo`-5a22vb%om z8G{u_Xw6Ixbi)L6NKo%l`1rl`><_P!zxi2 zrMiHapnrwHu2mU$?kItOotFrrZAK?x$JXDdQyW_ig{e%qVnt)ix@22>at!{$`>Fsz za!AHG!Xb8`U$w0#ZoLXEuWVxmHxYBIozh}mH3j`$K>7l3yW(H-pud<2o}%1C8}O5= z^G@OI0_d)7QGNgqi`jn3noUe*Nl= zeSWnXF|j>>)NIvRu5oKOVWl-FWa+m)SvM|Brf{yN(#V~qYn0w76ynYGQe77Da@4a$ zbt=E5E`fYa-@10$+5``W_w7RJ;V-3n7q5n|Cdl|yQ$L$hmFfApksRv2i z6{&vX%F=NUl;ExuUqjQTtB24ciU= zO<#J|8SM`N^>7NhOa5`78A^IaxWKpUru&I-+UZxhU%?%jN;7^~a!CmoUXTw_S$8^; z#@qCi03t+zd&gbO(&V~ozxW$*;ng=Uj0pwQ1J3n7`nT#j*}p0t3yFWzl|24A$+wz{ zW~s;c1BU=KdkA&XesDQaa#y>~OE|Y7R4d_bWvt{rh3C=Lx zsQAd3G6KfohZ5=nl5Q5y;Ndqi3WS_Qbu$D0)#PvaPCnL(i)X)^E0Ghz(am8=kJdra zlcZDC-T#;;@JORxyR_Y}8LOPWrN`NaMT&GCt2 zDGp#UKJ#B6AYsMS+(=#KwwJm)M*i1_}h-vGS)&Q5b8WRuM z1My9=AkL2A0mVtEp#kMdA`7(`qgl99!Sz&tzXXLG2JN76R4YjH_{2#%fC9-6ngq(U z_@O+vt>IIa7^;HiUC;HzDz`da=n2o|B=y_E{Fr9Sqit4*4I_h9cJ%=Xv5V3fbB=IH zVo%)E0Pxo{Ke|B*8c6s?0WhiiT*3&Xb)J^`_2bySw>-%x1%*y|9{NZWJ9tg6u>359 zn==^>pJD8WAc zyd#w?sg!M-4b{CCVE9KltPrxZ+;u-ytPW(^WZnNvWz~NWqU-3&E1?rASB2~;lm<{O zJx)Y8fvxu2XUqL5Zk=5g0)z6e%54k~ih(!?8qf}rTTt2Ai+OVN;6HTCeVNO38N_+) zv@qKHz3R}5MnYI`0Px>)E8Wi18ON42aNi2s)$l`6=04~h`oISia$Lf~OcO(!od)#l z>^Nkf94`-qpE}_>*~EDKDK|v9A3#U(xjR%4KE4&Q=*?YR%k~cDQZ05uJ|~h94nrnB z=6OU_qQ98$;DBPnoVE~=i2dyCoJCs9^@Ir&qx-AQ(wOgh2RwqFmHWbH)Vzi*d|)cL zA^4{Oc~}M;`D<1~4HxlN`beM?=g4v9qruK`kP|(d+N;b=`MD1j@lZ#dCdJD_S7exD z`9hS-z*$198d9{+jaAT^yIpuld!*dgaxVIFqPh~qOLhk7L~f7!VUnnZ|{Rm z$|&9Buq0SPE4w@Yls-CG*Ak1+3I&*Q&+-?o9bZ`04CRCRCG3^i{8Ww?T(DP>YE1M# zkHam`T;H!ceLDuQmGHDTodV_->KaHK(gb}yy}cp<`8g7l%oez8A>Ze-#?Fmk0`AxQ zfygQ6F20{Dg)^QrwI8vcC)=Hm0=bJ0z!L?@$I*1j{?m=fAF= zbDCma~==$DLTLBo@8Ylkxn5sPK0a!49NR=P4=xcncl^>B~y`O@b_PTFU>K4cG z{cJ5URb%F^_~4Od+HLdz z`t-Y}QmP44C3)pdZOhU499cRP@|;2O+n4sVZ~qXz2Mm2z5}#qV-;X51guG<{p3c{S zY&f8-<8b4?&`ZehGymk%b8my@QeUa!^HtHIR-l1c>vLskMZ{CLvkM`k2FQB>YBOlI z^%)=IQG5yr=0KuV;;r|i`-T$|zXz(ZeI`nc(hs&uK=*w-b5nS41JJsU6?yH*WfXqi zIK6t>)_Gqi*dhrX(pwVo8~|Pkx&f{C1)fe|@DVV&uXOML>OLOTKhLHXMGiN5DqjvA z++LBkKeCs4d>S@zd|utvKmRryDoG7(aB;V9srS6C8C@6Qmu+^4++Byoy5YZHNpBk| zZMS_qpAe;94FAn7`>2z5H@t||LNWT-mse=d#P_Rv`C#$5X(Ktt2V9Rj;a46HmQajD zJm=vaFK7ZQ+baD;la8UUNXmrYLX@`T`FC#LFSc(_S<1GshT5&PmSCV3+a_2lg(>sC zh1S;iNs1aI_7uG2&j2?aFh~EgPixElIHmb<9;7Q{_eamNPjf4UGbjx7{2cBv_}GEN zmsoX?6pHLPBkgx{AOB-f}#m5`VlH(i>SY|^`vkz zDAgP;k+|C)dtGgw#PXW2Fp*S!1S`6U8v4}noZ<~(d!q3x3P2lB( z?S8V>p;E-Ynr()7H{IcdFi$>R~O+^1)Hul`^+;_?7ZgqM%R|{A+6WGkI z=+U=z#m;4{?Acjbh)%#+$_3Pg8cr|EKjsVNrfYk8UKolKB+Pl+{K`Jo3+2Ames-NW z@K%=z5C{UAz^0jiz_w=3PTeL(4a$;{ZxUj|se6Ty>q)tQ8qo2Q8R9j+ZtVB&xg>IT za$NPd`^6&myy~yU{iD1R9{AnZ{h{XPXk{q@Crf3IUWHYho0qS_dzW-_mOkjY0*PLnmxV; zz4~t&O&(Gst)9P()cmh+YbRPH_i=0Y-j%?KP*KBDZuv*VZQS%EQ1N1gyry%gr1kk% z1%0wsMDsmEO>u=wMECtQL__7DDA4(m8cWC9@nL;WB3QDs|3Xs^&b5CnCYuwyi8MXckwDGokjDL;OYR#oa%#=zGsvM{&*9kRSphh)0B~6G za`I8{{jl9t@gWMVtsQQ6RCJg0oQ2+Q_f&L0bSVjkF$+jMg=sDE+?iW(_PA>J8c=p1 zza3Pb5O~+0o&k;;zP^+KQ=j7+_fx=i#pyHvxq~f`$()JGP8|$j25v?FsJi>ENk3v8>T0_rrf_)tQbA+)2XtWO0NJ_{25%X+;_=KTdFoz z5k?wB-Sc{et~a0Ql_y&V0#k2ml@&jqFZzI&3a{hx8t<3f_6oyvc6ZzN_oWT$|4&)( z0Hj;YGzgFG=-#ny+qP}nw)u>0+qP}nwr$_x-gm#ervc^NI z@-7-o`{E2=dqoe6uFDdDue+j$M%U%w>q6!E`o03`Bv(hJxi}=|eZ$h4LFc)*GsFEP z>pJbLz0%S^WlrL$C__`4Y#U95De`>j+huvnkdx>~_?ah%m&@R1L**GK_c7<^=I3Me z-~5vMm6OYuN{z*!8g+E2lSm{X?Fcv00K^&G4pLw&bt{|mph95f&)Aq=AMBh=YA%T z<^IH5*W=y&8$SRf_6wx@e2QO9edU$|fTs6!I$uYgy`?~~e^!kOJ6!k<{A;w<{Ujau z=i1t^iSJPVJXy@q9d{qE_S+m*j_5OS8E@9$0x#fSdpPzD*8Ba%X)kf$hpTUa))>_I z#|EFe4>#Rz>rZJ1idD=j%-6YX^?R{g5C2si*hwg$v-$jLycNwY{fShCP3UW38PA*X zo7nE~ySAhF63>&-BT(zo*7QBn?q%)#In{+~hRw@*ak>JqKaIPq%s$jda5toaP zmeQLQJ44ibUWsE!?C*TmMI`E@B12O@=V=nBzju2n+%+Nm#gF>2kxBU>)SlxSJU6y zsQC_;AARHUOxRiIb%CaQ`RB~}Gv}g3US#!U^P*kPkF~M4bmQ%pIhD7P&F^0wDSVYZ zt@8SkTmyC}(9RVbs-1%VvST_f zEyJ_HAip=Rc~GF4n@~Pn^MP*ZTY^E9HjKOIkk2yy`YgYqKueory_)3rrMc?sp+Mio z99RKf&XE6pUwrZIp5Mc%-M)FRj@;JbdVl}a9!_9ov<0;7i|Y^G3kl2f|LOvWOq(Y9 zxs!HcsY5|=v}v+bv)Wk9Yf9N%`}k!07W<~m*txQG7JMI0b+N5xvOSs>Fd&|cD#h}4 z(Fs_~@uV}Abbj2sQM~0Pd5T<}XDJ%2P#eRiQ4oo)eNs&mx6C`qh}Hv*>~r^5slprU#VdbCFTsNvSu*9Q-I z^LkTiEt){}AoU828(tV`#?IV{QLjp(b_zkIe~2`mOza#wTb2oXq|d8MF82b&Q_V?9 zHig@KBwC~_O@q=hFi44}jmOZ_+R02M3ArguC3~(ATS1wAP2A<$k(nU2c?WtTjqf z@cCPD4E)+gjbnpUE&Ko%FWqIUYE>NK4&>z5a5h+?H9F5Q`B@YM7v=zPhUh(fjPn;5 zhfxH7sQdo=zclDVpR;$mo0SzJu@fMr4a)hIkAw=k3wgQn%7o)O)e|x}*e60!)a0c2j0TD$Jt2K#b_4U8ZoHriM5Begia^kV7|DC#KqBYMd=h#|>2 z7?o>GtgKrfp|1H8@mssN?mUwcn}ydNp_I9gKjCv9p-WhgzPSPM7%S0BFG{*_-Kz^V zg%bFH+G=+@BN52WA$9@P#@&`r$;o|U0o+7ueTG}SIv}50EpHsb+vdC9-<9wlKO7o@ zw=^EpM%`-5JP94)&%3TLu|%}*)!KMs+E)Vu&%_6Yc&atA1!&=L6Vh4C3|M51>_}uZ zS<%#Wv5~>9#{RV(nro45YtzA(NH0nN5oujOi~?!RP#Ja22>I_-^t3zDRgA+m8Q*F3 z4t5c%ef2!7)^jCx%%;@{m{DOoIK=H(84b8u#cxpW40+uh3&-=RJZ(4j5PGT`#C_;p zxXu_F5DYDIa%{{cdGPQXP2(=L-Ms=xCDI4@+;#Q6#s2Hh0Y$-e(pno-v1TG0KlRi_U;AV zavalBdS7O9xEfQF)XHHXiHT<6x9rMb z9*TkEsJvEAsqWVm{3K4#E-%F0sX%(RUC?kBT7}$0U-ex8sq;1Mk6EoMkh-jLzh}r zYs{wZCmb%aHG`dV>0vF(F{#nKRyfB8J79A&&_}lBLo$469*C&%K91EaDebCaoA(T) z&vgdZsp0|JlVfA*Lf%MmR+?f=^|>&xa!Q8UtsecC_mgMi~ct9jlmC6%gH(QDr*{!RY*>JP!8 z?vCPk93D=`gWiugaYE@ELzwpim;(@Z#01y7?lQ#t4+QUzYVgiFV>>#%HmoRHb5ftV zv^@V2&513tWat$Us@sV&M^5zTmOSvtxjb1hbdhD+$@;5b9e+vejRRbvEVD`*X}Fc8 z&H?AwmiWPg?gGWeF`jxU+OUF;udZTNUYeAi7E}ZBfzN6LETKin4-z=XsN1;jTMaf<5jH;l3t$l} zgi#MBX&(eptDB$K=s6KfRGoJgct~ea_*q0WF`Ohm%JZT9!n#}aoG+L^I6xAAr*pbjIjJCZ# zYU)1Gr2AXFG?m36m}uHVB}^k>9$!J-fu~Glv?zCX+avdegAA=E<$;8g36I8AWH!Lz zlq|sA2?4T(WKR(x86yIYivkgE$Uvbol@iZGf8 zo8#`Un@8v4J+&=1tVVJq5y0!s`MDlDf1g1PKHtT&B3N@q`_NJ-;>(DiC((0);$v&GEWF6s?G-vQb2t@g+t5By;(om z2}tvS^;YR z+BCPC7HIDA;Q9xSb_MQisWnl{uT9xDJ8m8+9>Q%HRu5w-29P=4zB%0Q8X4z zXp9%Gw=!{q)>%~SWGK1;B$Y(f{Ar0YjMw3cq}T7bZNkEu(bR-a5R0Z5Av5TWiXo~S z5y$tSQ@Po2m*=|^U)|D~&|e;OycU*|jFU?+DlA$;XdVIwZ2++sbi5|c&%=q02#1jE z`RhLSoRR+TBfMa{Yo;L=A6|CAx#6Edh z5w5dVHu2M`G+*l6*Wz;XQm<}UWbU*&>(6(J8ff4ApybUJ*nmmFJf$y^+7t6aV*q?Qtvjhyv*PKA%xLVm%ES{= zBa7hlisKib_b$nDYpVP4Pe7o`xV>Pd5RX#j8WLf;5Gm7=89+EEOpxDfDRpt`Yy{;{ zk-tk;n+{K8XR;d_9cb?h$QOr3PCfwh51ZOhyLenUcTB;#b93D5=*^B=tbtfyuFb^M z8|FU@@&Jm9Q0fGF2oUDV8Tl9LaB&Xe(|(R>5pnF)tjxw_$gw|Bo~oGS&dv(GzL$nG z3Zjxdue0(27^%9{HWKoi+V828$|TX-N!UT7-k%?`deb_XQW*~5w($L`YNVRqLchOl zOx}>_Bnu!qdu1dVAYrkLv+fwhqAFJox#~(-_yLf8t-zeq24?L9v$(;F(%xsDDJJBg zUR`RHp=SQDEJ7@0B>_E3MZxPD64%AD`NQp)YuA#Z3`drbYoDo2pdFoHj2)5!<*`ou z#lS}UmXscqfo04H&U5Pje%3!ioI#wkuR%O+Zu$h7a*7Am$>W;HZ3&qn)Nonp{qcbK zD*&`hY)v~C-#w6$3a6)mPInc^MkLKwJA8BKUzj$#Or)#L>tD;A&`w=dcqr|Cu7*4x z0aBX;zBINNoc(xGA;<=yT0B9>ttF3lr!Pr8$hXu`-06tLjnK%;olLM@^=IS_qe8IO zCUQSvqGlJXXn;+pS{<3eCW5QPWo_m~t#3*}pJ>13m$7Q3NsAbXh z`a(hpz1ga7JM^~-M#DS4ACCFKv=K0v?*&*yYnXa+7q%@Tjjm0o9{9vZgxV zz+DgeX>HQt5$A98ii-}IgBum*KJ!~SThek;PjC}0XBFyS+R*@M6lw<&C3Z4Z<1uqB>!Q2%@ol)#8X z{0;8Rg$|Ix)>B)k6m*^$+x}N~){*@0t6#MRS6g*(E9IY%>8-}!-d3(ea_8{YNPjSM zT<9jr*|P?2vo$#q))@>!M7cIpf#qu~BcHt5NoP+Qto;HNZut-2%6QJOT>&Ryz@^di zmOJ>c%KQnO>J7q?5@l&(X)q_d)M078e#qU3(}T}p+}eYP&TH5&s~*BTI%n@PpvQ<8 zgZOyYY`m7mtXm(slMyJpJT#?`xd*(C?GhKJx8?gc^+5%F{hs*3a`K3neKB)7+`RzK zShSkt*{=*&vV2l7k=MHCApnCFIAi?5$erESN*+TQp^lu;3JYMdHp)8y78Z@FnCeo2 z<`v~<$nh+2aJ$G~`Ht-msyDzH6w^V@mPglLmgko-?N0lNIY=BE^B9})uZw)|zgx+& zVsg=l`G1CW@!ytd&TD5&_*`%4?2i12-dwnaJ?4%BFT?`kY4iL4T^!vU)8%&~&2+nC zpQE)|)YUmuu}?t_)g}nmnnZq#svjN#Kx;|M$5Y**@ttrMYX}frcB#xjT#lnURxWrc zX{93a{DdSv>$vLyp|@5A`SWqxl!j$RwmH7v3oFg-yvD~$>Q*5i$er2NEti@mc!%rzBG)aCTs z?nSdrfhg^H$kA}$-0;X0qCW5NGFQ5F#?Oc{Z-nd@%QXH98v?Fr806h)*(43E98|Bk z-0QyEKr4iCIQg{LQB?NRX#oD$4;IBMz1fkXpi2ka*IddVT+372o5yP}p1^^w@d^eV=Vr&iEBy9~sCX%mc|osvS7^U^e<`Gf6U_uLX{&)3CLg^5 zhmUjQ^pmiBa|4{I3&9)DNx5QM1;?z0mhuKLCU=ZFb$R<#Le;l*tWRk&ld4n=r=Ezu z`MiwQl$VD6x!_v45S3pk)~;d9^7M%ukBCclJ^=zpzYn`egq`xrQstzs>J01gr)sCx z(_tprZZGp0dPlFgY2>??;uRohQUXE7 z8(UlIO>lD*{eB6F^VZ#q8fW70miF+X4rP*7?2HTpvj6Y&BymsC-3x{aF|Th%qu{#O zt<&N4)rPB=!zVQZh^%KTQBKVY!pe1}-+~NPK9*v3Js1AY29k>Ku6qT;$k&7(2e@lnq@Y4|Bk)CGm?Mq1zbpIduB=o>S20iAI_W<* z`G9NSkH0k{&2oA{sp_Q z7XmSE`qAJ&h{(wGzQ*VQN8f`~RS-Ee+nK2DhF~a1-PDjH0b{{mp{L~q?mzh_sH0MV zY1w?(*kHV9us3s^R6_9wgJmY4!w9^0s}6m(-c{jbO`TfbApsA5;NjKT>lp-ckz(g7 zF=@)Hi{#gQH(t-zJpUz0uk9owIWa8=@C}XlURp7foo9KE#7gHoUT1<%wbPNVG^p%|i2g8D3$UR?C=D0pg zf?B`E*3uql0z;Mh!TPEHl4FxBGtQB3{ustBE@Z?^Db9-|)ev6_HebHTRDO-B>Vr_o za+^kDU95&tQr}j=tQohdA({xYvHoNcQq~_EjtXo5WZPT$g}M7Bxd7sp4|YF*D|{kd zVKN2w(C!{JpY2M*lE%F}iLE5pT~nbQvM~#hr)))m*fRr$1~CJ}AXmi^M{#nK=^Tz= zPKSjcfql5?n&T2C+594)$(yo0U@njE{m-W=XZ?bzNlP9hTN!2V@+Oohplft_@|Zmd zW@N8{Az-QYUkW-Lvzq`H4I zbS8DpYz}X&>qd-jr!;a$zM|tv4~R=|1nN$WMD7Q?8ndb24SP%4 zvI)APrm=ibV67!kM6Hrc1vp=48U>~HoYSvH*oBy;E#68u74l+-+Za)^L0-2n$t^^A$0+#7~hGRE@Y5>#ci z3rufKPDdvrG0eIa&{1sENbx9F(e8M1Lm&c#$-`Q?RcHGx;pM1ha=+|Z-9I8oxp&88 zM6NSJKtFcfwrO#1i`J(At*#i!4zVa#15okcv6R)ZIUi13p%fRmX_%PZmfa@_4a+7i zC>6ZKE8%*V=j#wR6{CI5+{Za&;JawT&CuJCcaK@TCWX*$nL3B?3sz1q;K&=w*XDq; zYmj=MIg1W$gx4W)5Nu0AhqX^Vl}Yl=Il1=&)cU82f?ac5SZP}T>#!<0cq>7J$9i1x z&gd1Pm3avzFLK;(%?1-(p!8)CAzJCr$K5^F_9hHu*3#mOm$c4c2uKt`006=i_yFCt zZAQ4(S075)9?B!2e*?6>qY?@h+_%Amt-x?xNtsIJ`Fs0W0@i4CfcKPgY1SZ#+W!!v zQ3A$RYXVtRGp!Ra|053k9Bf2qz>T%6vNgm_`3v*6a@a-(Qc5qyK8%HN##GQvhJMqa zh=u`ec2Cgqzs-Ug5X6^9Q5qqj&&)L}3cuQWyB^A&ee1Vzk{(;3eF3UcRl@XADA%W% zz$r>?#~@UU-@)V^gY`>^Y0R?Syr7xP)%TF+v%F z!VCGFTGhX6Cp({Uact$C^@ldx_T1bEUh<}vROtmKn%k=P2M5TA71#~>evzECU!(6~$>`TPA?U z{H)RdL)CpO9MN4H17U>EnSO5XGO`DG7gxFA7>qL@=<4SR2{G0%DAjlTBC0jF%Q*29 z1!1?wqML&Xx0N*2jw>1UDHuL_RE-Q*PNr3uQGA5O46-cc_4lF?gWOM4WQ-Q6nyVvKHr;$oLpmXa`)l+e?o6&=cA#P5s_5%!eieKoQk6=#X9FZ0roF{@ z41Xco=!x{}3+gFsM!U|-(Zw>10$e|U(_g$wtL6L@X_)p*whj!i%a$=$;hN^WBctha z7XBVj=NL&{0kkA)qwDy=AObyC);7O$_hZnOmw(x}`7>5}LFGj}-9X8oBD!hnJ2+f^?%)U=kOESACLPSj&bX5J{1yzT~BcJ&L z3mAaUGlhIXI@!B%$=8w!odg4$t-Rsx;?>?v4~tXd`hbizX3?VALQdeWsccbx%gwyo{vP$_CJR~jqZtxFlEf-sjwfmLm=9uRfg|`$yFDm$t5KQ?B8q{NH}gQ+ zX3!hQOuNt$1bpvTPi(^9nY}xlYp=EYT6R6$T*=(%6j5NOhlPew+I5ZFxcC&f| zw?y7X*f2Y-Y7`x2we(TZG4QPc?03b+0&zjJhc{hp)R;Up_|1khVh1n);e}E>D~=zv zu-@iI^7bhtVf(1U#F|Lm^RQHSNd+`{hs`Na$1!IxyWT}C38*88SGinE|Am@?xhRFn z+mQ`^%Ds-9s^1>}XzfRWk6JZ7T5R-of_{?9jggkMPdc8yDq$6<;%zy8`|;@#F{jfE z=>oZ0+_6tlFN~|&?(aK5&+0dP52*giE9Ohnd<4q_-#mq07{4_)GnP?CSm6XS$=Nkt zZy>yX$zn{52pEx8-tplngKNJ4)m-mJ&w~%!w_tM5Vc()omsG3m<8n9WaQkAwhe`^7 ztaVH;F=b72xi$iMYOY#UPwZ+2Nko&W;M z>;bwt&U2g!@y)_z1{ZeWEHD;A{;7*ooz5?y?-?|Ra^v(;ak%%t(kw0dEX_RvH^7L* zBmF#G1`vZ@GFfl1{4n}IAUvIBMDkR_$=e5Mg8UO9o}O1^Df%6!7@*Laz>BPh;;G25 z0!@JoU{WCf-XUcksUZn4YV$*1NXb&}6>TK>gbo#z+S^6?UE`vEtR(lKQvGAszO;z} zZyX<}lqwc?o>cH|k`<9!Y&uSds?DU;E*go;+R1iojshSzD?sPU~y+;8_N~1nR zz~yEfGc=2sAv7u0Hq(vg4co5reS7@vC{R@=9*tI(v2FDw=gY?7yj>oDY9 z<1hVzND@k3Xo)(Y-+@KS9!$b^Vvf9uuuqGCs^8_&`*=PVtO*Vw;-&n1aR9ZQ7=%9! zNW6wDgS6}Slb2`?4(?dtn?oz1-0}wI-Akaq22%sYU*IX{L`t%1QU6W-&AtmP(s%0O zQNu6T1>zVNV)Nn1QD8nb=kHwM>vK(J15M~*q;Kt{=!^Rm zu!%~IohuXW&NKr6`%^nu3~ayQU{~LHzzm4M zQUPqMy4$3l41hTxs50gk+DqsxMVbg*06A=d^Wb|)aux>9N=`Gpa`f(I?q|5{u}4da zf}(Xzg&Cxzt;Q|@HaUtD=s@|flrUD+#J}rD zd^g4hLEAv|pyCn_iZ(W;>GJvVyA@@H6p~o#eSin$(43Sf8|Pp@G(LIfGO5qFavA%>18}$iM@WP0T!P(HTP>PaU1bL1|VhmQMtg#5a6b2!x?E`xBKb zLrfn*R%O<662|f&!cp6Be+T3!7A1r~+i(2iU5TauB^-i(TWwJWfTeALHKBGPVx4=; zO<5HH`w)B0#=IWbZCNzv9Y_(b~L9@nF2xPJKMV9{f-)D|z;?UBbN@67pX(eF5c zV1g~d)M{kz9fMg1cssDQENHQ9tKx!*?lXbKLdR1CE@I>Y=b+vCB54}UT{N41 zRkkh|=xiWIoOb3GA9=z!zRG=(T}T%iEf#Sy%gVD2FvjlFp%mxW1_CA6LP0d4SoUN7 zL=u!lgRU;jae^!7$%$d^ntP?z0cmEW!qo(El6xT}hWPRVFxESO;i=_mGS-+EK}oA;YYU02I~^$ig*p_B*VkqGvV7=On0nh@0SLm-R_&~(m6DU>V^i| z7eaVyNr##MP)svShe)MBtdP2nwoFnB%A{?BZooj3Jt*pxk+JBEVjD)1x^d$n87j@n z#zg|-O?e@ox1(qu##HVt^hYmxK)2r>8ymC+(j_~yR0APIv@q&btkjHL*zsv*ZuT!I zPnhF@Bn=K_Vm?G%l0R^B1|l9?DIpG1UypxKAyJS3?;xr_+g{~L`$Sp06~F4PS)9@+ zOv#hK&0Fx6Di6^L+Deou>jJDppYcRecN74tPAj;(o79tEE6b48ClqywPvpUA zrBO7{vstP5Hj4sr?xl3OSflRM0jcrk#09iF^rgI#{VvNd(0XWpVblTJeKckRt?5d_ zMnf$CRh8tG3w-RgOkP(??K)5p1vo{v`p-iU+HDhLq!7e`bAfNzD?v zB^q4m42LHom7tuGl%viv)Fl=GRPg$2a(zAk(amrPpwGTmkWenv01i9PL-nt54+o32 zKM>5fm`!_Mj1Y4o#Rm*i+&8E=`XPE1aYK@|Yp63bLIZ=M|~zE?|TUB}`=#bL!D1!yx#r!qkxGKRPk?jpNm)+Z&&G=4)X9 z!2_{sZ+&3-*?q`8muID29o+^X^F4-t0(T`NXmtHHuw!fTRHr<{@`75m5{G%40ztaJ z7upt$tYWWsyAzPo-FiN|sY~J`nX$Q4{l4!@5A)NKRE%2huCBmYAU39WdGkFUiV)-* zu%nQhh|zXIWT(TcM_@|qIu}4p1#Pwgp+)sI?)FUUP(gT`x+|S;*Y!UeC}+TjJ1RE@<8l-8ncANmUlA>XJrB=LZ0c$G;}&HDt0yZ^ zA615jiQ>CScy@gyZf5cGPq@p3%Po+iCwd+-8(cYE!U!f<9p&9`r}U~I2RPDz0{wFh z$_j@%;h7fgfRd^Jr#6yy!i0zsKmm7g2>sXwU9a23BBs7qhyV1I13n8Rfa%m&dA|ZY zd*)F^)0cz3U=+GNO4ypUD=(CqtJ`Bf>;QRZ8E0K65ZY4GqIT2#gr#EJ33;I)jv>dS z%o+GB6UJqN8MsYGuW@M9`$+{r1;tSArfHn9;-$j|XGPeV_sJ#l*O&MgXVsKNVbvW7 z(9&Z}o3WYoTR&4i`RlAqB*hEZv2_yb%z$w0u^RpUOeoSDCyVSJMqrL&R#{3pFpO9W z6F;osP89hqwhlOi$nyKpqG&mar}wVHJcTP74qtS?YvEVxpoScUVJ?|?&T88&ZCV~X+oUZ;&*$fC-dKre-6DRxU|1#$5d#A=*B-(26gcB;z~IkOa0{# z*v`1O8U#)nWu}SlqrC3kK3_$)rssxYesIeFepgmH*5Rn%H){dV+xb)y5aZK44EPs` zy0AE(s`T7iXa7#2vwu~dK{(5;1v7*}4Pix=viBP+!+QD>%ce!r(;_j$kj` zi&2-uHOXbCS>6P&tep`26t`9U;0hX8ybhFTC?km1b%~HF>w4(KZq9t+c8_rl?*#8t zN`iz} zt*B9kh^M3wf8X0_WOx~aqERB4@r?MM=o*^4ag$v4$WaCHptP`2j1iN{A?&v^)gOk3 z9@_LM$0Qn-G;K9Wo=M=W`*kvx3(?q@e)-V)s;p!mJK=-7S6974PLdc^j@-MGAt_|N zmfU!e;T;d~1S^f?ZhbT#7Mxk@v}Bj9O(4;?pMF?xSTJP8Og22WJ7R<&B_WKc6Zk2O zG9)kkpt&3c)+aoUI{CB=i&@}mgTvRdoLSYob$4W~von$01DjxcMa+q5dXWM>b+bel zIOMjsY<+eF7bFzAz1p2dzTNF$Qi8Kz4ZLzGYFGm7O#6aP%<3=wzB^y@d)ewLsnnl; zafJBg0S6V3@`}HBWh|~Yr$hol4S#k)%4gk(vM}UTOh6~$wCGvT;Gq|bd5glRY0#H( zcuY18>@*Dh&GIOo(^({C&9fp_T5BhP-DnX`{?SdTz-uPfQmAXC1??~%B34ihj1_wW zEM*3;S+|9;zNGpSYB;vu%d4||fXy?qB`S_Lo30ZCM0Qbe&a}XYHBF&%+RPCH)Q02% zKO!#`pSP(dF{f$M)RZ(34@c2>s3&QAR~0Fj0de-Z%{jAz^ct}|wv9NDADJST6gH+= zznu+UV;SKTtLo$Uv9PvvIz|I#P~g_@XHy8cfA9O@-Lq#YR1NbLZBK-C{&$ zxVuBJCmR`&uR;}&qK1xMRck?^$WD*a4fGmTgyyFY^mLSM4HCkqHc5%E(HvxZ49ft_ zYza<}m5*%QrX#H3S&DQlz9Oh#rA#QJ0+B7$_Fuch7DJ$1K?l)3;(qS5oWd{0~g4St;2yH|l#L2l~Ix`F}dafzX~ z{}?^ZtasdzKlm{or>D?V)W>T=*xNW-CaCNKZQ`G3Tv80}IyvSwnRR~|9a$bdj%fDb zRfN^bS@pKKwT`>6@$;AX=;O6q-|)ziS)jA4#~&M zq;uCT(h-NxQQ@$#5e_(R&iDlYX>9}`bBE8@e)75|V8~i_>QviUU;bJ~iFcucEoSEy zX%%&($`2-zf6YiV0A8{XZV%rMjfE`Rp0T5tl&YR)OH#`w%IahBR3X@D0fu^&aMos* z{}z*|Tb_r9y-Bn6iL@%?dPi4^6+}c!o_OD)N(Se2PbH0IX_cESmq-P$c#@ica&k(v zv#h8Kg#C<}8^jT0j@-J#wS)PhdO#-BYQuyR0%_h)=iN%EtIm!m<0y3@K9O?m4^W(0>dGql_skB(Y>LL+$1ttEIq)2iiy@ z0oH9}LXe3WRq6nN472UZ$ZT_uLhJCC$foM;eg<^NP;QIa&muz-LT{uPLSlKwaR z_;>%4>1=0DYhr9FF9i&O42t}(4HD=-L{@REXM_K0-2YG@{};u-SD+t%%hc>>5H#NZ zAc1FeuG>Qa0TogHcg{+<|HawS*x=e#n1``u!i0|C05Zy8FKsdReQOkKP3aviuAG|8>uQ@ecF( zU%ZV?{+~|$Pn`TVH4xC+zXJXr^#27v{#-gB|MN?@+x~Zb{+oXQ0sYhMf5MMHcdBnJ z2;skPpIQ(L!up@*Ml6U3wV;r=nWl<^g#kOWrZc+{ql3MMg_^pEu%#R;hmf7UoTHjB Om4uZGgM)?u&;J0ZEv9-w++qSW>Z5tb7W82odPkleWx_@=eneLvR>8Yve zK9hI`_jn2iD9V6Cpo4&bz<`Wn=&RIwi>sx9f`I&l00Dvihnku=FnHM7L?;>8XELD= z<)$ha-8Awgfk{bfke7i`z@vf==9c(Gz_3TM1)yL{cl%@x>ln?`^lUo&9=+y3&k(k& zAZhC^24TWWC1aczab;vmWPQ?5Q)7F)7s`>>l0yPjm`{Itmg8*0 z$h_E@C^H^pwgFU@jO~^FHLv@Jf-4+$ax}v}dfYO@Dk&)fO?AG7`VV0#y5^0YF+^pF zA~AplVqvodtJb3%!_)PL{y^ts5#+$el|!{nUM?kF;i46%K_Xt@v95lOHDvSN$4aP@ zjU-{m6Pq=X)0;Y#7)l$0nh$TbJnMt}8;1#a_7?=65Y0P3@FRb-|SBVicnj;1Wsd#4hDB3CikQia4&D zn4oZYaq-^Jmd{bP`(>8}|Bs^={;jJ?t{DM~!{b%&z?Y!?%{c-3iP*n?zudfJjC}1m zQD6IDiDlwy1^&(G6JH+9}c1U*` zNwCWiWmynh0~)PM>IM}(@hx!rQp=+TJFk`x^0d$FexGb|cNER|uuq1~gj-g|IHI2Q zuwwXj+URWg!4g*L;mt{-e8yC|wHq#Nzh-PLI-p=@;OV_%UOebH7hwbnfZm*9Z z1Y;uO>~(})V#7audv5Y?`H1aEJ$A8fAF!HRGr8pI1=O5#+B0E-sMCFMcg&6@M!(ry z_&;|xKM%qi{OYX6+8{J z6u2%AYFv&jj43HnUfY$znE-JJg z#@BEuA&O}0SQ*(qynsRD=ILe>5yj)O3-@t52YjiWGcJaBn~`-GCX_k+5E6DvT${|R zJE>Um0LR>~_1R_Na}&tNPd_&lAbQ(G>ekq)vrNq8u;L6FjKF=_E`2LIh|s$p4OSL# z`a>3GiH)Qz_P4`(^J3{Q9S`rX&Od5&88k;a42n$C%A9B}-*l>AW>ffJHtOo&*}Pff z`GK&wjYJtx=tE7YlF6IRFx|JT4vfVEq zmd{ch*9Ausx@-&>R^KvcD6y0nljxLS-|#FKveCB2$jr;43I1K)WWpXC+C$Ua(M+RV z`GVJH4=B*zL`n%o`n;^$ylVZ(hWcqH0fh*%j=jN>=*)k%X3nQou~1_f zIIX+4fQACjClrGoY*l5nc&gXl3^7o0uHoLOL6{wXtg(GzAdU6oxzA6iuo!152q>BB zmw(kf&T1r!fHnh#xPJH`1Kg zP7vO zS^Cz^{QBr5Xe>h&2Y$h{`~;^D?j~$^rDJ@rE1E+A&*~$~licFJQtO|rW-iFOV{hSc zL#-I-k4S%r)n@5GaK0Fj0l)iBSnM8O26mcXIO6?VfOcM8EL(qHADH407(IqX!aGKY z_{NuIm+V9=7GqQnrp0C^`IX%u>yOZER921mi%cw!(6FotUg%Pk$CB|tZI#d~+GxZo zJNo98m6Z??@Ft_eiM)EEfb6`b<9Kzcxd6M!ce%m!yH(jBy1`j7VBAVq$JENHzqQ7D(SjItqdr-_kGI_8(K1Euxkm0rezF$Ss+Pt;d_kMStpQg1`*w8*XjJGQVF9 zmznRdlm4`BN@_+x?cXNV0MF#s+T=5ydsjbA)x~Paez|MPP&UaEFAT0lxfSyb_UCqP zG>XycTcWBC)2_z~0%pLse7uJ|!ZNq~rm_`E!`>wj(?ZG~zqeF^W}eY|AXPrKKmG{b z;{Bp{=?|)a{KCLcmyW4@2=khD)6i(@GHk6J%fuHm%vyRCg`YZIXiV{1PJidoMiL&l zP`zT{EG^0q$1AmSL~sSy?NH)ulzf#PVJNq&oLkyu2x?)^0aPffnp=O;)1x4NRe#vg z_Vu9Vnt5>`W`w$LC`Lxl`4zWe5ytU%;x=93iE&0;BS-w8 zlxFu8Qr^G3#7%@Bze6*bM&kkb`_udPCdH{G4>xh>FWZCShxHIQ z+}z+yV_;Xptiasqk>>;sPkQ+NU2gVFBI%FJRtxVUAMptr#su62@lQLT1+_+vqZ%FI;)H0KFq8Lh-OlrnJn_-F^48L`%IQpryq-xRITAF z*@9%Rr7(fN8=`GveT&&*f>VYL%RQVC zWI69uIl!ML5xHmh`mL{!ufhjq8?>!x4D1yT=fPr@iL{r~J0AK)nDa%)(B%hI38I}E zj!GJe7CHlg)cA(|!Cy|SXjF4`$mA*Mlr6Eq(B7MvF3D|bX z%%SVw(?f4W0T|SoOITvR>0>YXf9|_!pWRnhCbXvAm!D z?hLg5lB-xa4Uz%D*R&yzIA2Hvz3X0j!^UxU24yj`aeh9_lc>XE(_mysW*E<&A?*Gu z+Gp$CI2lDWixi30*_cZ>=tbX%0VI6!VKYa|UoWL+W>Eav&|X-d->AKE7k!)Myb73h zoQdGOA1}vRtHf=*O4sA!&hyu7<5d8;GB_!;y+8r$TdNIM|4B4#KcQIHGR@>}@qucA zHtbO7*CNO3uwL6xp4>)b0d6P26i>5>Y~lUQwBj>SJo!1K=OXw`{~l{^7l7gk2|Q0f z;KhR=j3$OPdr~H>hzY-$Z)mle`5WCXiwo`~+#xy56eVPLv@z{O=9b-HA^0W8d`$Kc zj6u+d`F8X^n$G*0Cc5k+dg9Y0PD0s%kIk}_5Ho}TEXr&P^plfd<(Ux10O3=FzpA2% ziEj2wiXrhbBO++4XP&)h09j2w>^(AzdU}IPq$(eV?R;?GS3Pzr@m)mcrzJ6-af*HE zQU1ov+%#r2=0x9* zpR|(kZCUm3${`hPt@)(TO!>Lec6l7vdsFH}+q&({s~^PLiVF^Z-=bK`Uwd9}ko_(y zH-alsjk@jnl2j*H^d|QGVfnR9Xkw5TqgkKwj>RoMsBA}isrJD55B)5g6hiN>T47d0 z^`dR}TE=R0w_OryfNFUHQg8*G=21be&hrLeJ`UV1OSeQw6r9k4Bw3hF4Gn3#XFik< zok{+}y&(e!Xuj6#ISc~!atUwxUiUM@rj!EdYRUHQ3V3f^7b!@W;*?Br4I zuy;LxcT)n9vs@giO4=cw8da6_YlhWlCh4WX=jPPwG5d&$wY~ja*zE`06_j_Gp_pv3 zw+N1qN`9}kgYvUm}TtDe@d1<;|w&dEj0bM zp!Ye&wXA|&eZLlXaTU_U?~5X0^(g@NC35kVcS~ql{FQ$#u<(gY^98Da*tpnUruw47 zpqYjY*dsM&d!xP`1T=jqoF}(D?$0j`@p#WDaC$MrSYOofB4a_$@y*wg{}vu+m8@;i z79-`EeBb17`QAE)GkP-Sf6(Y^?W6jWXB?{oX(a!sY#O`(zV%k5{ZEY!Ywn8!!eRl} z4?4%`9^NNJNS>)HIrg{q#m^{c9-&eM(IeIdU@kOs%c~$H8#%BE8?U6JcgUZM zht<#c3y0G$T#JV>D)GI|_CgNbuSOA==e7Z0oaHgtPhkE^GM3DpiXV?C9~tvMG_Jnh zfXvK0A-c~y4vwRi>kwoiw!hy382gu}IfKBzTk(-nE1G64BZO%Ddb%%gA~xWV$c}c> zZRc$^wnoAyykh%KOq8=soZ6V1$G%Xz{ktI*96#04PrvuT0K@+$B~mu$%j^D@&`p;z z8jl=@bcQEL+A`j`*Uo!IIM8C!Wl#|Z0rX3Q`Hza^91usBGWJhg@o2c;j_6KkVBM_~ zbPibqu{jI-=@6==ti^QnF4LZMbjQ$HCpf7wv6O0RuHqW2Ma(_!+YkX@vTH$QX@;@> z7hI9TO@GSu<0t$#9{D$N9BZ5SN;iv3P;pcAma0a_5y~{*LEW+Jf-NB6KF;nh06{!3 zCAj**IP~bpURsVnw_V5OhpnFt?A2UWjb5;Kx#CN_-gg%4F8WyIxZPlq5xDHGsS~mr z2yTiZ$A-?NR*T8?@NQ^;SA^vdiY+{ z{w2M>+g-_W=ZY^oCg?`2bR+Wej+kpCi?pvbcWu7i4LQfKOc0vLjSKw_ctiJbHjCI+ z^dIk7RbMclW>VlBb@-jfUH}pC;S!vyYhJ$xjZjw=b5M_G%%bSJ9lAKbiZ}l#C&ijBu2UyiC3H6wdgeR!w?xz;j%2K?|SBVmU)=|bdi{E#JfcG^^z0C!#Ocl!a8f%82mY(>u4&+ z)z{hfm;BfW<99J*mdwFB;nc*UV$~1)vam}2ljC__{i=CV-vYSK+Sq;wfJ~l71d6oTsFH>Ya+1|T=6P8s`+;I68$s+)!N+62Wp#Ds{t5lm zt(KQjKuo`DgE7Zb&{ify1>Pv^>vF!Se?yHX1MGYWB#)k4PU=zxgvuO5g(nZ^8d6b3 zWgFtXAr=MrMl|c|mAC%TDV_>M7`RWyHFe0a!ScXPzfAnGA*EVTa2v5W78)T^w6gK>{RvhotCsY(MQTJ1sD zzdQ>V(+Y!q%4j5@E-@QK!Pflpz$_;R_Pa;#E_Ky^P-yhAhocXkj4M)?!dc>k(|8gK z^Un058rPCn5F|$4nU3?OV>p##E135IvelWS>X)4wioI`93*N(T9dg7%B0am%{iutl z2>ty8X4H%smleeuXL{$k+H#=GnC$`ID{=ssr9|X6&@$^&IjSii^7#!citL8IRN|eb z4cqST))=Thzvj6Y_CqiR}Se^;qQ z-fS7=Wqnsr!e?EM<|`f(N61|@D?%RoXE}L^AHx(&N)ZB+ER!(-EuL`NSHZVQHQBbDelIjmQx2o>H>ATBQ*dI4jE(S>Ax4q(Ee_Nn{MO- zDcTmuU(!=Fsn0q!ngtpF6=eaho%RRbcDJ)oKZgR`Eo~O@e4z>vN^UZw1GM$i=C}!r z^WAyCG}O$qdg~~tIvs9;kdq#6B0CCTcz%-`zc75l+a2G`vRbuDummIlgwTq))Ao|G z#r){Fuil%IE5C;00{a~<7AZ1Z+me<1R%1BkIcmi=*{oV!DYmY-E^oWUCQM3 zDuhnFKo11YW6t-|t@b~7u9O0uoyx6|p|Pts74T4nadKYg{>nj-BTZ9vk)+JE24t{4 zfmo}bO)}5Y9r%HzuWa8hNwDZP-_OGw3b#KK`sXtvKRegY+kNxiCP~5Fy}ACjpea!mCyiX zi+Hr?h`<)q6)S`ay^2`jRWx_laM32zz(di?rwnw=HYBn}Hf8WKCLGrOhR<*>IgYZd zsgHrq3PVtK|80&Cg%;3EjI*sxEEw`5w?9F&MyUu(O!$+muF1zEJxyF$tIv!)<4)BB z+q|R^UOKXgHw9FMP@aLCK_ct?k2c>XoVM%UA1U(w;VpBZj z27^41!;|&Q?6dp2>=Qo^fjrXKy4%NTQgj{kVb`M92->SkTe3Euongi}v1A2bSpptI zx5DH_qKNz`4B#qwsD?@oDcX`gIbB{b^#-FkD^=M#57D%hMN^Tw_@eOug`CR)|j+ip>H0W@NbDoFc7H4&dGeP%)|x%`>+t4tnT7OFjd?i;{k!au7oJO(003b<5r6qH$K27lH*xE8aSc}IbkSH_ z?~VA{;p_{tQ+5L@Xrt6CX79|?MzCI8@74Bfq-Ckse_T)rrrI7nQV>Psu^A6&t=k*}JtOe!x z2=L+|5|Ggy!=%5x!7fP&C;OMzZox0tvX}ib6JpISU)?;VG1^N1SciNg$eh^x;1R26 z_$Xu-6TOOBT9ti}eIWJfYt88Y0{t%!So0d4^zx6tE&0dg!u*r};{mPgOq^`vgcJst z5Q4AX;kJ}Wd8|}`c3QInEbe5d>H_+tFjg@w?r%LgQFDmQ#^lg%k(=IjQ--=*$uz(1 z_+G1>bZVe40_v@Kx(OR8Q=E~!FQV%YRUm(~FG{~$L~>c?A$&9KB-(Y>l1Y`vXE2&y zIGDH9Swt3$g5Q?pfE^Etx&PXNT-dxHrhcypD98JLorQhAQu#}eG*6uWGb!5|5bwLFR@Ttpj7_G(Mir(U6$TGUR>xd9jG)j6>j8%=Qs_eCkogcH_0I?2g2Gj{|T(iGRznknH`C+4J< zzlt?0FRI)S_Xq`hao$bo8shzAGK=xsT3eL$|2=(ty8)Y_dF1aNbY~v{kJ2?7gbTGv z`11~5wbnDuF93w_D)|U~M;{6_CVaKWSNl@qXmI6i2EKhvUerA5qg&XC8J^ptjJ8g<_X%>coocNOZH)7mIw)J@Jpvr;?j z6!>P{nQ#joU*SEor5tc*u1-MQIgsmk>)dirGJ2g;{7%s%UqHByj3N8aJrM~_EPK0- zDvQ7&CR-eIx3@7gmE;blz2{b=!JtfXG)9|orT~d+nDq!ri0+Sdw`}g_jphPIrRd^% zr*9nVcEG!!qkOiiE@inc$9jm&xkr4j3=Q)suA>Ji=Z0t!;Rvfz_X=_D%JjKu8KR0j7#KlmrD0)z@N;Byk_y2!`eGY95daXTg-d z3k|4AC4|K}x{OB(_E}YK|I9>AN=oU8xjrVnFrdD8!GH?UBLOZLUFP>*fXI;gYQ2_N z9m40PjB-o6#u{XQjDIcNr%giIj4(E3-1k9{kz7zMRA1)sJtb3|3(N&AJvZIh8b0)i zn6^cU!-Pxd>c}q$s>z*sskp4*e#ntb5_$x;+lL-0(*r?O7{S;LJ$(Q zR?Tm<<)%{OB^{r0Cy@`!#20xTNh8^7q(#9B4*1yVk-ED&6hDQSR9j zL63fkhD8L~?&Zk+EUBCaQ#AckvBlfb{`SFC=}V&s&D6 zt3i!4LMB@tIs}<5Fzh;aZfPTy|8;>$Z?#TRk7pt5Radv5#hv8CVocda16|>ii#*x! zOr11+oI#2tN8(2>LUg_GId^xKCLmv%w^ZrI@jIA+LBV275rH?fx-0{cdN~HhSMGTV zU32{_jdC)kZ8XcJbPC!2>0(iuBCl0VG7&tboUh86Ss){RF}9|04{!|&id;dVB- zG1e_-_m0sFwu~S40S1m!ta(p@jG#2QL>9&VvkP_8V8zATq>m)IH(>V!eq%n8{Iya) zi|lY|ya7J2#d2cRWRrIaQtp*6@oX2l_0wTQ~W3!BK!N$4%7f)A75;;RKvagu@p@@^#?S{oUU6g5l zhtZQPFmdgv`xb5}j5g;I62^CTs0~A@cyHouXvvk(Sf?sjN-dOM9D^oPiei~yLpAfa zdB8RF@ie<7D=<@_8F+VVIpjWTYhG7sOb|(VZMsHN^0b)OOBY@0a7w%X*0GIoS)haG zbr7~99mr%meu#gs4$*K~EL+eIBX8h=OkYil6v~gz^;~=l>igC;B|%>hoX6WwzaYt3 z;cKH<-PQ78za`9Z@;zR2Q{<^t@@+5v`t3LyMg^sb3{Z=7Sdu`5%azF_B>6Q}y#Dpd zUm0qcK0d`jngXyt>>lpQG}y zjMVulwX33gyIAj9|Bj}8A6J#yLxcC$O{rD9MjoGNU-kKvAft)cz@jGu0ztgvAbK{t zL+TT%3Cn@zJCer|yhny(OiiJ6MuP>@l`3xg&FA;17B!m4zdTTTCmXmWEVEdochDbG zS^zG(sfm}A_H=FXYTZgU-tWbg-f&Z5miSo%0eq%}Nlx0GHl&|-= zwWo{?$v3kXEAAV*?5A`0+(G2Bp<9rX0U}u)YgWBFTTpu9v@36(Wh!<8m&c+VFP!p` zeB2_5C(h}M?c<=!rFF_Jmjp!A(Pc2gVWPLyZ+fpH&-D2I zO+fStX+mUUVV!H`|w>$=1BQ%7`3zX1qr^b;GN~PbdEj`%a9vk`_r4jb+n=G}g+5JAQsdB>@|`B6+9t_e zU1Pl8hjq#l;sUZ$F2it|xjN+lx(E&Yrpf@32*iyQVz)bDNXh*F`yw*j^BRf+VW z?!rk`egL#V*7Dy67=#UKR6Q;X3{JxZ{kXmDuGu_l`t%Fr=+D0|ou!Tb?w0w~7qJe? zhFH3Une8+wjfwt$O2s9R8*q5`^>puDVlLaI;d-*gIXd;nJOjY9T8Lf5EwewT`=yRJ zI1amPi)*M}mrk}@cu~-a&wwv{*mZJV?+>y~9$}073&~bA^BjH3I@(rb;Pv$=o#9<#PyHoPxK+MYggIyp*6;sK5vb*xK1 zm*?4d9p}Wmmi<%cBfGSjbUdL;JBhJB}?}pVL&kivgCT)dx zBZsk@ynx~QTC*fsJzOhT}i7OoftXx%aD0K)85f z=)|~C_j^Yr%PMyDxxA35E3goO7X1NzgoGbYr0Nv1(Pr{?gfv-7RL(vAK#e9Zl6i_( zfy6OfelYIgXn@s78|vdW|E*!it605yVg8#&wwj4a;tm~|YWqp%44t2}&iVj1Z_dYL zKp=18(gF3csvboXG&zcs)!yQUNijl^>bMzmLNG%O<9bg(JRZ>Hc_= z?4H`t=82VC8`PJ*97a;JIDwtT>jVL`LTzqsaNsTS+Br#w zn5oth9upna2vP5lp+TMIRiIv8WE5G(c}BakRtW=dw&T(f(otIT8@;^vWY+_8TG49e zph>DPy%AM3tNiLbq#@~kSc^e5^hxfaZj6oF5UwpFW!H7VkHk|e^UN`;X@R0CgBj`8R zGntj}%=6)jAM>ao*h~-*+=i(U#7sZtppVMwaOR`KjeXjc8+`8jJ*9Cv`Sm+1jdu{T zVlm7jTXNxk0N_|2lAAi&pZHF9!k;n;8Uo8=#8EAS{n z4onTKWXXn8&SMi~R>#IB)1Z#ioN%iqZ73?$!>*X?F$DzkuzTVl8*_tqe4zD-@SoMOBQa@KA)efST zBFzx$dBp76j@wh)85UhJeoF!@CliU&dRtv)AI9NAPx&O>QTPJVftF z_nQsp3zh6RRg&>&>jto%t7TiZp&bYL&@ijpo@e6@g$`kimqmM+bS3E8R}wnu;Rb>? z(8S>10N-kE1)69Q50ET>uRKrQk4i%SN~;=!g>|MGQ!nJ#`%b%KSgoF2Y+N-A&%?e7 z`le=M-=w~_3<|AkV{Cpkst7&#-P!_L*Q8PpwpG1p(Rwf2L6KZ~?}zFEDw5;Ty(Jz& z4Q46YHOgWL@-UfhDafDqn64KuR3r|3-KN-fc>t7DLf7J3_L-gqO!oH`94ZHB4tD(W z?cZmL&?CB`+?8Lnlq;)QhU}$Ee5SQSahjZIZ?9ERrWWMl&4NR0}jku||haEvJ48 z@QeB)MBuqXe^{eb>{g5&w>g3%5ckl0Sm1$NjPeT2Ee@@6HMj2=i=ep!B`U>r(pbEv znDFk#zkOe5o;wvc7RyeCSIEq>hGjMXl`*4XL7|RilQBo`N!B8K*O2+9j+Jw1xc0KF z5#A%Gt^Iu0It1G8O0cnpCg){FYT~HfRI0}2PBW$tw`0FCm1Z41j_W8D+{B6X{epp1 z-zT8ZDY;W(vMJ+;mcHj%2X>yq6}&D3g(6C>nS2HYbGp3 z)z*$?2RDuPXTk^``d9#vNFP*3-_I3}rJv8WN!4%*_97tssXE&DOJUUY_D%S+IZkKa zS@^R%&K>@xGH%O8u5)NV^6(tS_|nwmQqh<-F`h*SaIuK=1YVK_1_!$^dR~{uU*8P{ z2(!W=TM#if^Q6CYh$Z$(!6q(gxM-jcV2QtUM}1%Ph^<-Vhk)ox2DO9c;nwjX=*n9m zO^@{QcQNA7eH|BbfNuX^+?{dAa4)TKTd23*!fwjpTT+W(KdrMZ$}&*H6{i~be~g+HGh&RXW!Y=115t@N26F? zdsQr(nY|d(FQU2ygRl!3dGAt{cr%0e70iKvDXcLF_8u8?=uCk;b0iM$m|1yog}8Ln zyP8qix);jG(F^0t*fOmQISNUNPH(U&gv_hBvb1Z71-gl2K(WD&{mXBbfa0Axy~~DQ z+?)`tTeigf&h=+=1Z?cL_KZK!W4ytCLdSFm4v$84Ck|)IR=L@)Nbgnc+_2KQ#B+g? z1D%<}JyScwe#Wv@arPehV+!^j;Y0JoPn`799a6W7UVJI8Dlhb>3Z2_Pn2CJ%isR7y z$H>A$OLz* zfOxCsmsHd8gOmU}THOc&-H69S<#)X^WxCJv^{xEkFz)N)p6*8xe;I!v|A|?<_6z3} zb$|kNqc1Jb*1FLELChwg=ZV~7S;paN!+r&#ak>sU&hG$$!g6bE2}s!X?w)$L`q8)h zF$G~uEBoz^++&j?FxTUUM{RnZPcZCmH^kR=rhls8jlX%o3LF~QFIfF+a*u{|!@f+R zU%{}wsoP>(s9ihc*N;SX{Sf%w5R5P8*X=E$Pi$ztaA=&}5L-`E+gO_joe=o6va=^L z*RkC=9bBVy!lo64$3>2Lz%&Mhk`uqD7y$BPff_&(>GjSM4#P}C+~fb9te$!MW_I8m%V zO#pV69t5-3)IW`Glu4m~6*@te|33f&wz=3HNFWktr2J>29PshyOgc_Nqq0vj;>YmX zdT2h{c5MPXHnq)s48b5jf5k1SN%G-9!7S!}9330>iBV!K0XIJ#+yyAo zgjQhuB%4os^@EX87$j$ZkUn68h!%>V}@iU2#5c3 zI|qtnO&l06{%_K&P7~b#^&JmL;e;YRF8z{&F%M!c8 zYmV3#!o=EVO8+Fo2nB$cFM?otdt=FKYP-D#jJ=3|tz9<CC?0 z*gg#h9`Z$##RY4Rgxw63MbtPL0=&TXxW5+I^Cgp@0Jtt56t=0KdmjM8BZr2(G7Yj^ z0}5AyjJ#s>nwMzg#|wtzJg6D~dP-)3R3S#?IIOFHnO|o%32BTnp2VyXC}t^)_YMF~z3-4d#X?XgmYf8&?FIp@>lrRvhi{FCchPsp=+5sg~SlV-0i;{q_ zR)=(guwi9ICh9ULE(zEkCq>V)6?M#pQ%vcV%n$FtE6JSAR+axq8IGw(%I3*#Vr@Tn zZE^iQ=NemFHyZv~x2a=A zo${(EjxS#-U5Al!^a+WM^If)BgTc4+Nr|p?cAKWd=ps3`O%ZR`%Qdkgb8+p7p4ADQ zUWdtc(i5c2VZDOzBC=TW8|)xxL@Lwk+Gr-`s&v;3y|3T2vZS+lh;xr4Q|L9bDvPxnlal$|hq+2xpKPRv8_UM7!IZJ_nUj)1K9I8udnsJiA;Ajs+2Je4 zd-XRHpOjJB1~ryd|J$@SpBZUUz(X;+aJ|m5O%Q8!HINv{nC!^uD4;)lFZ~kTqJqWg z`F7Di_xCfNgR!N@^<_}*;d8dWa*A`3ArxxsMgi5v= zagbg^Js@I$LqM339P_E@@oS{=d_g04#1S!}9fe?vi&n*}d1h=IW7;4h-^?11+v&XZ zd~W2|bKcPWYb!EgZR-NZ%XPrek5_ZToR-$PmV55{@T*z}^{t)4C!J26tu^T_vmF8- zoh7NAAL%`BCbjxLCbX`j%5V20+B?~pORtaWD?s)q&Bu-UO7_Q39}C)Z`A_tZ@NUeN z>^IylB5g2Vc6=O2Y`3?nU5;JO;|b`vWGqhH zEz^HwSbiTapUn#oXx@CkPnmTvQny>s5gG8nV?UYyC$QPiek^hO^fAp4Li=WHHi|Cr z0aQBo=|)Pt6gm%DMoM(I^AD^4MkE%;&iw_g`WC03wNwntTHITEMOtLdN!zQhmYUyn zi)_7!=pvwB@w(_;U>$2eyqq;n{^oQt1c^DmzlTMJEY$5x)!f z5!)szFMX(r=n7mh5a`bE6EAcV_O}=-4 zHR6^s%WuRAfn13bbm9dSU1SGB_k{0vVLxo^!rt$yept>a*d)z=;Hgws)@)yLWdS-o z<+iX~KFR-tUU_LM@izCu{iYdA>xQeI47Z|y%P-&8=I@7Ql^fxs?4pw#1GJE(}a zjnGi0=tg(3s4ydVl+yhS^5USh+4 z^1f>{=L>C#Br%&Hj6>kw8z%qxg8pwes4D(uAR+NPe-bc15=+3t&*x*g-SQ;B^0H}_ z3L#kX$;4+Sa;Z)KQ2^vR+3zk{#gfeplPlNY=0e>hhXWrE_NdCN{MW}DKnHNz#gXwjYAAmQDUce~R^zfP3e0-L8FacN3=O&uODuRW#Gx>G;#r8H!-1JRG)8ac;}$#Jl91UtC|oi>41JocM)BJD8QUET zGd6LKJa-J;9lR8VNxlbpnK0({R8fQ@pn1_DrD45AD;|a;Uhim{eph6i$1+hxFV8+m z^}O(*Q@!<1thCrvKyXt->nnm3C1a8h2^j-QC^2@y6ZVb>i;s(9pOvE)#c$ z#@*fBZS$@5ul1k3FV4lxGoMsa$y=#PGDa#jqSBD<$#D0}BQ`NhinhQsuC_!O@G%Kx zCZEn@IQ;;!K4g78k80`rt?)~O0p3{USd`#c^ zCz2-N1^1DV6g-av#+k$_nSa$5E3~@L*d7h*(;vwi<&5VY9?a?(i4uw|WJk#R!g$J> z8OahFC5zgeiVg!=Y73Ew^Vo#oQQExzs88^V-Q58uE6T#cJ34Ny4v38am+hGz;ap3+ zmy_P5?s^+t+<@QiA2O7HN_V|n@X5TRoUYNyJj&eo=bG^`v;-c<8V_|d5(NtRV!e|b z8PSu*jWUL*`yPR0#QSBu`FwUlBh~Pba(SM&y)XJ?_MqVjDd{ZIHK*o6-l?B6 z2pO7~`1j6SP8@1kapFF_ldg~Z2DVM!O1A+!5hia(hC9z>V}$H-?b*Q+mz+s|jYA_I zztXS>#iYL)h-kCm;Iq4-5o=_3YM|Hbeo*`*Y_g>Ky=gnL_c6D+_8T{gtCt_Qo5cb? zpZv1~J_e&f5!Pt41W&>{iz+4W{0TRQBXJZTEYI7o1R@WFDg-aWJ5!H>Tk<*|L4dC@ zCG>j2g~|InAka0BSIl|epGb7DFsQ}(#<`Fv0__|foWjDNz~lRJze`^=HHFZ}c)0+) zw=*tUKF`BFLUfC|P;zhKbt3U%YGTq8IKp}duG#t8?+qvHO85bOzdf&ay<1#e`f|!) z08_Zebbu#h?+AVNy4Ckb{1OL{0O&VLFzCS7f%3l}S07TV;w46uyEx`q)>pR1fafte z+uloxHMe=&=0;0Ei|pYt{21Su%?2TN)={k&m2rPSGFln*;CYwdJpC)>Sy#R5P1&o$ z2l?)_Jx^A|qN_X>*3pi>i{mfq(0Dso62nM)Y1ZUmhEChaD3ceX3>=CHfJ25tx>2y4 zJ_+k)!a*C0ZyB}3=$$D`63N6q%R9fWk3N>*-vJEZ(=P_U-%+Z%zmG|Du?k(7e_|QC zFsox}oET*@RL=}}=<3++(7!box2Pk!=inU=&FCb_Ml0PN-mY=P&I=fI~%2EW26UYBca-M2P|i@AYI7soV) z4^gbovZ#pSCr0iiGVaOCq71r;^#$GH7D?2{(F1hL4q~je!-8XrxxTBp*poc2f=ih;ClTD z&#cQT1{MEp{O=oyEa^I99XqODLLWyOy)-ubk$Qal*M_p+gU?IG zeM2(@p*ul3Av(c2p^8trY=tP8z=y%=0`Q2ihQV(F!19qvcVlo%sx{Np$dQ)XieRT?0nCJMfCwr8!Vvd2qd0qdQh#DeA`W zjLX%Qa87Z+LE0A5T9v&%=*H{J8^1oX#xQwd_9YC0@ph~w=rd?U5a@uRqys4o0MpH^ zPMo<%s=4Re;gY~qeY^iz)Obh#vWktq*#(A)zUc+~Was1q!oh~v1O36q!5OcOBI_fA znIDn|;SJ#kK_jJ3h2GER9{myvfeXY=J^40j=!-hr)2>G$8Zq_?QIEVppBw6?gnJhvdX#J9+|(63moV6SMd zzF+ZNK^=G`9ePAb0ZN^P;)gWs^ z&_Shw#DU5Nm;$<;3?OSzHTQ=OR~d)2cT1=QHvPC)dHR=}uW z`5^j$`h4?&^oil`h3hwc`VDm@4QU3VCBzXAz6a(hB%lO>4kj+dTr=2D4fS6etif3V zvw>^^T@AqRRxrS-!94|pem`--~KByh&fj{y%+dDkSH`y~ka1B@T!>Ek^*4y?N8Su}>0 zy_yil*#oWrYoFkYlRD7myvuIPiwJfU&h=cpS?72M2RrbSw^<&CeL-#2aH3en3~xY~ zBa%`$K7}wBlm&4yEM?t(7nH>TB3(@&mm*e>BPUYWG*)v$GK$A}4d4x33MbPllbQz} zQpJT|oO2{u!a-EiF!FcyrA&bgY9d83S z;*ZD%e|8Zou!W+g*Xr|5<2KorE?4dsE$SH^iiu%#egj>FKGW=YW+dWDSsHAdH^#VEt=Y?O;)U<(e2oQbH?5}kfIMs`chwbRdf|C1Md846v+m65>4$V-TP_xl z&r{*0NMuHDXyhiM{#R{gJiV=(tt%)_@M?U9SiKjbYdBib5;^|qS!)efbB$%QW%;vm zg;t=u2EH!GD!@llqf{k9L*wiSb}4mfB0MDQ{RjS`?uBp;gL|p825+HH(9Qi>t%?&! zMT#xls+q2xW0nFtpHLO*=(Z9;PWe2HUN5hLW_7`+=!34G3w=H z?s)wd!+IIxs$E;e%Sm#WOe4woLK(IpTrXG-4KfF5KcG#m3Z>?XMJ*b0qxh@aJnZ1r z5<{)Rjl>D_gXR{|DTYeLU#?6QE1@d3qAPT55mva#)*cn-M|E0hmq%Ayx_k2&yg;yp zl%(S1a{NNK8&wr6ZS0p(&Spb|NohD;vGj zacwNC2KFX54cdiP@g$ve0HGu`#()x%i#9)^G!{>eGBpyn0l^stEP0V z^s@s7g_=lwKnOa>P$6gqrg+@<1^}2%l5`d_gXEIkj7{(u@+4c?2w}}ZW+^Mn5zAEn zG?N8+?=ywMED_(?b`RHV-tdv@>Md_Ge^-N+8(K5J;QmWTGrwfo1kgt^%>01lPxaC? zMoo49^!9V>T1AC}OHpM-Ll!Zd;)t4`KMuTY&+m7*UMw-omt$CV z)Jz$A8G=1nGlFW+i1ozGNY>z8@*~{i9)!Bfle{B#(cv`jon8@sh9q(hN8&VmH!P%M zL6mcemuh4IOU99+yKn^q15AE{k;*dVbOZxS#=Xh~`8N~|?EL?Qk^))!A1E#^^PuI| zCsz2n7^f%y%p*ujzW$0blHD8I8KdsBn?*j9-d*e(hz1jeUsH3XKi&EoNTXvtKM|Y- z64s!@T>1ZucEP}Zj1}PgUu#W5&G+Pja8`al1F;oe0v{KyClbPkF(;)%P%3M$3UT}a zHWzzFXL1TYhYqhf0cukr%+19{52_09jvHJBijJcw0kaCmh4my8gMgZ*g(Ex)Phob? z!}b72f+(-_kLik{{nq)zFg0yj&#*a-0aZ3!LF)!^fvlu;bTJjCc|4)P)1^M8Ij6A0 z6)uNeX^zS7i1_bl`M~dksAH`vG~o+(+Cr2iWXTJEu+`%=#}NJhjujI`nd}CK()<~b z>*y*}Zy?cmVlzT;k?=}@Lq)r7z7_`HH$}jz1hRL8J(1@sjL|Csjo1>h*uYg|Pz`f9 z32rj}?rLbh-1K3S;iozXrO>~J3SuAj@|(lIC-#uQ$abKSq((OoRZ@fEza!O<#RVEz z9_$Miydu57nryGu8N%#8 zM|r2*XK?+m5i0oS^WQ+^moG1=dV(Qi!qB8rf*6+NgK7B&s|99?ly-Ite0M?DN4oz@ zOqV#1Rf?HgZh8+18%=qN{W(Z1mhnrV%Mpfq9!dI3$&}xb_e0y~-T$ZO|13#>f3Hb+ zx?16*9Y9z~jZvUX8%`ZibPjuGQ;sdfgHg2Hg4k-ShBIIy`Ms(d`ODGjo&Xg?sk15% zo0o8hA4&Pwn)8{dTeyrvp>20e6OmYv(`y2~xVoKS?+bFO?O&AVM!epX?=G5t^kFp- zQ22d|H&d0-Os1h|yx!*yfTiVarpuc3e#9_p&a-vHf9Zc4PAyHZDzbW)S}FWkMFqmD zhFYOdMe9+-9&iw^50>`;>8`2i0Hx)H-AH;aDfDd#6Y4{ff9cq!r0X5Xk!z zuJRuK&$Kijr*x5_-&^n!%aG;z?8a)MCRR$+>)tmS-eKK1x=5v8<%8jUR{Sp{fG;S$ zUlq7HX-Vp^9~?^kf=5*q8MpBzht-n3hC8E<1gYJrrDq1T+&`-yyp-Qz8vg;ZqpBZy z1>9E^6!|xdv{@BS#XdX*q2SDG!)nRxI?}n|8bm|kV9Y?ehxnq$aSPQqM;FvdN65gpK6|swncFCCV*}KP^8m1f=GF z7@3Et;S}AJu6gmEN=kmrIm2PZ{g9vgaFxPmq_CK$-OR^aLQ6sJ{V)r91{_z|6#3N`G%STkaN|- zEGjA=b0XLJMMj&VUvyKk99Gq+{Ik%_Ag5q~wo6!=L)^0Pq(keOe$-ygFDvmPe8w$h zrA%VEXr)c9O%l-fSc9bfTF}60^?75}m58VGk$)3cF^wd79Dm{~_FOnhsPfTrL%9T9 z8BL`&nuYrp-US55!*LuGHh_glw37`aLtUZ5FcqLEhxkwZC?hC(aCLCpuSYTfg8^YYN*E>;#HhbjzVJsi@)6iU z|8*vI3g3Kr<#6?R*kmaX$I4CnLlo>(F-1p*7)Z%3)Qz)oc$Js8f_!f)#m4Bf84C2 zn>-s=@y{_AF0QQH2P~R3R(CDj>)hfr+88AOuN^Dkv2KjkNV(HHQA84_j<{1X(@-sm z*rxEkRRR(j4j9atpc)v3bS;_KrhW4RRM6F+L*J^YB|IJInMcE{qm)5)B%@)sfkvtj zoU9T94)u=dTL*)s=yn2=Xlo)3S2mq&68jDnMylVM1tjJjw2f5Znpq|89lVX_!X+O8 zztknNS#~2r_2Muc52s1KoFHj0mZP${_6IxSuwpr~8lUxS4YMQLSBxaJyH`;EG|?&S z>)D1B(wo{AX=vk@eE-w5Fs)wOG+ALAu2QJ6x@U2!wR$$+G*~uKVe8&NUwM9I)wVNt zK0oQwkW-nnKR+2UtMkV#w=id7(91<1K6dGbSH#6$_+#18Dugy%g;&Qin`gV6 zsl$gkZkDbkm1`p4GdHP8d&-j%UE-d*v*0P#s(QI5@cwXM9m1Lh?WRvw*MN zmkTaWL^!skJfWD@bpJR}t}@hguZND0>8L*DpKyv!h2KBZ`}=p3gk=kquT7Nz8$WIf zzK%@Knw<*v7O5*|iE{8IkhSAYLOVvU&E1b+AwV zzJ(}mY0JjoB1<~)+~=cfQE?Bbah#eH)Dn0;d`Wxt={%JAxSl~2f50>c3cXaGj>Yu3-WCd+5!*8W_?UE z|L8eOSj_s=vu{#NG|S%N&-qZYopVn-mLKp|S>^!?j)9Ddi=(b>t}lS0dzzc)m352S z3+U9=EBI48yZuoZWE^{W_jqUWb?@4qay_fMuyptEi+FS}Y2Rf;v90cCs+!5chR@4OY1q^P}TFr6d&mKgDF0kXfrkN8&>Z1WSybUx zITzmBHT1-A0H-N9S9T<{*DbPeZQToV>>8G19$KgUIC-`tpx2KaIeGRZ!q$(%aq*oC zckNtyV`72R(wyZ;T*^VX^v=usc6TO9R#P@d?6>=&2O|Qv?+Sp1?ic~5!npM#70#FO z7y`cPPfA28HeO;i3~fozL>hT(!Vj0Yg9uqGHa!CF_G(~<1sXAr86<3 z>!qVH^rsZTR;Kk!(!(HOIxCekSmSV*)mBsFMw-{o* z_F|;lSQCXH&vif_L@2T6)z9=FmR#j7g}K(X%8R+mSy)6F0DQSzHb}Q%gwBiTgT&VF zb&3l9+)hDg_#M*`v8~gNoL)UKdM<@&Ye&hr9cL1MTBa-P?lN&ZE+j5nrY$+W7GqfK zS^8%MFPuH$2m&lqLkpMVw&3|@%xP~4LPk8%+wR=6l-&V*r~;?soUrm5f>~_66K|Ce zn{wxWKsUv=!>@7mr5QJ$LZWb??{D{GJnvueFxEQm zAqbLZBn#qLyPLBOf>}ouv*oyQKFf6L1Qw%@T6X_7^qIeCMuGDsP;^m19nAqqO2XV? z`5T;bMR~vO1V5c#WP4|y;vIV7*9~#z^)VEt?#aBQOwXFMPd0+?@t_LlR{7y^XvR{@;hDs4HhM3188)Z?*sTs*ZjC2y2K?c@5?{dj&Hn(BIeU`#;Y z57bEhwb-nCGc&>+OHD>urE{fa(*IiDK)tm_mB#fON|HpvwzC*3tOw-Gca;<2<09(f zx@UX-83dud;#Uxi;?M?Ma%u2ognmds@UJ^xgfj+7ef_Yhkle z_BqiwHU2mc!FeIV!{r6_YhP3oZ+lc%t^<`PdY4(ANBB*ItUs{qSBsO~}ZvjC>-%grmdUxF8sz;r3WC#Sr)5iR9K16jO0w z77IZ_Mzl8NN>3r)jHor9(w&}tsBJFbIbrlo17PF7uS9Il%u>y$tJY2{J98BIF4%!K zmQX15NLQ2JMxykE1rgo@7Y}KzWw0%w3p^Z2vSMmj_37OGW==5Db?G7n{wl%UQlIbf zXU5vh4Lw?YbPr4ruF+SO8WiFp7OMEn;{`BT>Zxh3ufzb9WSe0~B0 z0t}_{{Yur*=Q_$$xrC0!#$K+U{j4EB;5txwX;Vq%l%|lBLLzU;`%@!MVT__rr= zQN|nSl0+DkbMnI0bUZS7Th{IT5f2HLe&s+4CsxdQ1{yTuoK=Y>gLVGYeC0>3VyQzPrP2xKf1o`iy>9dtttzR56QdFG+qn7VQE_ z@|WnaN{MeMZ1b*T_{O*ZJ>6yMWv)rKqVWzKb&7>urqLEQ?T_P>bhJdbl@{)K#tI0t zV(c^92fJBKy>3yaxD<77 z|B(E0+8sD4j@IMKlE3XdLj0qPk`Qv3GLwURX=4J}{f(3OV9h#eh=+B#nfHO8z&k>n z*8LkDW|J-T9I>N~S4Y%H~scsK3J7B?G!e(Nz z&ZTP2&xs!9h7Fj5DNw)n#U-*F!vhT^xSm(BR!p7a{ZoW@Gbp26ObC&c0qBZd_Wp)z z-o@6a^&R6b_|AHucdz5k#h|VCZ`Aocz7s$DE|E3VE7sBcqC`uEqVqc2IcuonF0!H}qQ24fTyqRH17L0SI;7^}V$-f<6?E~VJukDa9$-^50y3<<=99mnNSy6=T&)yio7#2@Sh zmWJDNuncT}$jzG&9taxR8Fk|MAsh&v)TBJ4e*c}{N5EvSdo5_FZY;37XzF*4v_1%5Os1>a_<(b=VBMbS7yqoOy)36E3vRL-wo1kUwl5mS=0wiUeKKh+X;*ef|3_0_JHqcD_8%|eKk+$Kf45IARy5Z{~P(l z!^p(=Jjc^#^}ut;Q;G#bI~AT#IlEt2j`x~enaowI2~CBMOs0KYv~Cv&FW$>x9H}ZL zle)_lmc(Y8*d;{g3t{ecPek^1}LQ_1W14;8o!jjByx?@oEM_sPloa zLhxhwAdV3*_2|2S<)J48;=zK)&&|$zj(dJut4X)Y=}*Yp43u1B*kJGVmUu$p6y%v~ zTW|BmgtcDwc@{$Qa5h=uf8ICmG-+gBKp4ku3aA!7wRU@;eJEENt5hAO6)AFyP^9q5 zFrdO44ld{cU<0__o0QOtv&V`uSugL*qXM04by~OJ2hH0vJc{1(<15s1YB7E#OQ&kk za`&_vz@wGWIoQ|i+&i^FWrV3anh^ID7V^JEXGp$G~&)vTFiTxur z9U7K1+9d%G`%Jxv@!?|E_ya|zQBJQEb24SJ#>HC`pjX9B98^!W2Rd(6MxmPR2kJw` znCt}k>tq~btQC%>W)4ms;K~Nw;f&_Bcx#6AT9DFs7>UI-hL80J-{lhWwx{lKr%^-q zm5y|wEC#+W;u7(vryoNYdEw6yQ`n+<(+{WfnMfuKq-)ffeOjMdCDh_jo%Wi}AJuck zBGVo`fB}tq>%h0o$oL6Gaxgu?04h6fOV92b+fsYc_bz|0nU_Xe8$#oNTAU4FocSvb z?V>IUND$fD03s7dHS`&wLR@wNI+oIzlB2LG1eLCmqv*i5WV=$2d=~g*g3@RaQy41T zd={t_Q6a;87Q~bwq2rKI2vx+dXw{=+st@3%08&*%QB%UUkmY{cco@?oZ~r+;S(f{C zp%pq=mIpne`?19F4rnRxIM{|50?lbdy-D7^62bu6fyHoDq0LN@Hh4_ByM2~bbg@k@mvTG`7J_*!I@`%TT z{#~+ZS=18gf;h4lX(N9!DCr$|>1^@{;zTY<)I({bbTSDkqhzu{sjpy7xkM4)64QeV z%ylsJFF=hV$%>_Sz@&A^A}FPIs1rTD`YVsRDS|o`-`||r4=?TGPi7{)gDO1^Na*L2 zMwi;rO7w^&%cYEfOnf4eZWlt0B6G|jOO-OpAghk=50f$yr?oRH*I9JoNskX^c8f1_ z^u5-yXNd*`tWZ3TII54c%KxeVj z_t5*1Cjd4#CU%cf;1!bpz#8WS(5>~#FS&s1HEyZN@LY?;WOBQD`8IIMaP?BYS&>zG}P$6Qsva z4BS8cE;zxMQlG+iS8wRoT+b`s#nSZ?(u}=R3Cxf7s>L1FuRGS)Ao|`PfCPVCQKaMP zi9;7!WUxa596cO0@YK&n=q@*9DySu(Xd} zf|H4Gxa-vk^qWrw8e9EUuKA6%xiMw#O!SjK_G$U2X9y##wMYWi;sFtEfwkIM{8RNB z`S5g%JpG zu0`n`5V&T;dHN({Si?>Ubd1I~5>1QS>3UW3qxU~FdN&9S0b%${$E7WcoR>M@Om==z z<+;h0kzjvkqh1UiB_qzNY?4*s{5h+bwD9-IG%4zQW-ex7Br5UlXr$s*qOn!nPJLP zF)q86aXVsjv2$oYmDh@S-brDeZ&v9E{81K>gZ3aw_H7ruMS%IwX8bff;f zkF?&sDKIbiJeL(j@XyGbCh5lQzcL#G1>sjaRzcn>q5RrWE<+x+6PCRl>Z{H$@BN%0 zIDDo--lHkD#7MWiS4$+#F8e0-zE2OecSU>zP`t9Kvlz8d(QfbE5{^pnr)-SAnPBdfe`M)hLaC3Enf zjHglxAN$v*;j>qVdWoJV5w!Ox`MXO>coKC3pPF?fHE?Ox?C=IGm~v7sOh zZafX)?@(i_B>OhyKeE%e6lcUr&tnlHTsR<@56-ju0@kq*smH)1XzVW#m{*PpUXXcJ z|Bndrtp8P%Q;2Z!pQ8E}Uq#y35GG#RfQ9dpa-pXM$1 z#b*GxeJQtK#5o!aif-Y3ynbH}Vm%rKkN=rpy*LuzJ;7UQ0803lIn?iXwEOU`^#~M; zmUjiXk7=II%kS6$Q~{gp>PXMt_&5Qf>zB<(0kifwbBIZSTIWFZ6m9!Z6{0;}CAjT;fTmNtI^ti9A4` zIxvQlQ4h$dx2#1Cqb9&uiQy#Tnl7lOhoK$0_z5>(?lIO2uu=oUZMC6}w_ zeKFxKQ|z2ps6VjLF1Mfs^|%Er-=pVOrhMu@Bz63L@<%_#L58L`;5Co`+~R*cq^Dg7 zqt~cPHLrS)yZ{+uU0_%kl=5#&wma$y>TumFhyfP_uq#XMmoE4&pjaoBg8xuG7RHaP z!5fc~&q!PIYvRnBGQSaF_KrAD73g*A(|FhyDNA6S>0gLG_rf8r zw^h@QIj&hLkgC4p0zWbhd2cwqGkrm}GV(yY8WZIo;JL0hTFg^MKU->}LX%3c9( zt*AnG#|JswD9X9$Hr)8F5+-nVM3s)9MA1D82dH!hHH~mmyb5vr6(OulLG%};p-`!| ztLVAmSHRkM5FBS`UZ0)}F}l>7fr2tII=natfXK8eQF#c$iU0aKdees(bU09jvs^R) zh@U@5+G#>UpbhU|>7@dC%}lQYB7sMzlUd6ayhP>5|B>j}N4_S5bPP zrm~!x&;@?G{DEu-8Jw^uN3sPMj0yJP0TDm5->$-0;^jQV1zv`&IX@%5?^>wGSp<>- zU^vtTazD~=*@I?pE4k=x1ikd%AQ(_9&aMNsJRxZ*ZKZlNdns+t?;i7FCk|301!&Ry)9cWftf;=~0@CMHu~F37ja3Um9b0I5Vb3UO}`Ax*jXt8;Rg?>S!E7WT$vGRu(cupj?=t~-khF7oE!4%HAp9e?HRZa#OukNj(r3( z2xaRQc5|WhIfoo09)%n>C3b^Zb%wHwq^G^cT5XKkTa}azLbE*nZtRe#gi|T#0{0BlVY+cPT!Ov6)4t+j3US7Gvt7h1LzrHEEz7J5!7|c zqb4(?=W+=#$`rgtnKs!h%gVDRXvrh785CK+<=p;Q*7YTiT+GThAtc(`oLO381?(P7 zTWEkD)qdUSC^Mqzggv?*=f1bS-?5t7y{^ z!;V=7Tv+GRumhy{#9QVHlayxOdx7~UXq&jP{fX2sfMcFtS(O59M9TzpWf60mVZ+HWr6b`r z&5WL$Axv2R>iFa~DhY2@mYj2)KgWvpc;U3x6A{}n55U>NB{d4>yb3ryrxN2f!}5je z@C+2NZZfAROI%uMSBmRg6Fi(Mmo%+sx%aPBYV*5EWb}iKC~$KM9p^k{Q0q$y8s889 zO>~dUB>4gl2OMELWVR^ew;+gdn{GGwO6QY1M`W=wy~?K*R_eY)#!Y)nQRq7e&z$1Q zsw`+6ny>C6Iv*B)fx{~H0EoA%!*v;i|0NwqG8>}aRT|^qE#aMJ7ojir&G5Iq!wjU# zRMMSV%7k4}_F{nRm-U}%GZE+Ak%t1G+=My>J|j%%av~woHjd!8)qlmJRi99tnN~?p zy7d-?SlP1;FdhceeR;p2x@a+cH$=JJDITh`3l<-T6URJ$U5Tzi1`L*V;FjU)AHW6> zaDdI3fVra|)uH556wWK>OGbmRXN=-Q0t;}%QCR1mtpNj!FCkQM--V@rhbO<=W_aiG;GD#dN_xB{5m|>-OuR8o zL~}&UmyhAx$)!NkNHvu{YTj&P>d$q0q7TA6m3!JWT?{ZB2F}jr1ivSWgZk~8J0qXE z_VjE&6u~oSbNV>kDZ3?2c8LYRPmT+|Pw!n9uLXSt-%G(6#Gt{n7?(6>`_t7r$J3|n zg`bPNigQB?zPpCE7VI`t2qK$KYe%!!aAS?GU27dJ?ahJB`L0*Mx{y3oUM`EXPhrHZ z%Gj8^ove;~-{a7+6!>h($0-2B=OXJ}lc90RuiDfKsrdzjqD}0PqcC;AOd~!H+gYPI z0DzU9Eq>r5>%+&xds|-*=i`0cf|UD&(rLSQy*RBzCJtZgw)RB$47`53dNN|sT?_B_ zO1S*IFKXn;&AF50#;{^{yxoHsNf5jHLrzdc>uXJqs*9A%*h@(b74dC4L9btE%{%og z5>!S5hoVi8lqMA(=S&k|xyTJ3!@hRl)aZ0&j_T}T}nw09>f4tiKKF6wA-%izkZ1ZQ+rZBv7g7+9yyJ|;F-gaxP3^`Mg@`H-ziDBbNY=*=!%?=?R25GS3u$Cm`QR9;LZmAv8Qtw| zP!XUlhx<>tt_iD?o=loRJ_b3~z00~x_@~HLU6j3Vra)m~?3>oYE|5?EhV~%5mH$>W=o{XV0K6NO*s&LphEb6VOa1GqJT3Bp);D?<&ZI(o}^8e{B0(#8cmLwu_{T z08oJj6+9OE&4L4AwTQ=~)E>KOn7c6lT<`vS1_Gv6Ij^#t24~fn-7QasC%+AW;@o5LQ~(lRzs#5p#qc5aA#d%o6KX z7T;}2*LqaL^;_6#+rk7=K$}bhua_8QRCADqIN(;(NqSq7*whPEK-cEf-y!0wb+YjJ z`0fb0R;#Z%eod6yx8hDCM0lvbq9JO(qjDvYr$P9kC*FWiH-Y6bHMt74h)K1uILRB( zkU7xERzK4sTBe^%KScu)bS|O8zEBEh10kRf>-GXW<9>|7%RD6~=uAVGh*9lI!DDXc z!(wg|!D13;lq#5oX)3!t=gcI9ZkaSy;JRxZGh0f@;k2b}#r`M;cNcYFS)G?}JDqdu zABOcAU8)LpOa3>!5u3C^eMhMz8^zv|XkEm#e{iSP0%WAV_(-xeG5xmu0--XPiwKhj z6QLv#sEP0`d;CFa5Hpl*@`3D&)hy^X>X$&IkSmA-l9mZZmU`o>Rl1aj>cH|?9$fwb z7|ep&Z}tD>*P|j)Uk2VwE<%!=K%}4>Xq==~FD=rH9Hd!kd(}4m$>LZ`cOkl|H=C3;=9Hjj&ajaC z`5-{8q0g;{9ae+GL!?DRDS{gzLtcuBhaT3mlV3eAt`UKQzXTVXC8p5Ame?dC7v>;G zW&>xOxz}$3!0RzP%6BZwhOs3}QrVq_sF1n%NrQMoV;u^I1h&UP$ww*jJz{U}DR@w< zw~49v6p!(W*?(OzI9Gb0xD?xh-PDT_;Hb5_L39e*I&qlRX5PGPHHk59 zVP=SsDDzB!vQoEx$EDkGv+-7Eaf{N+jNH4x!V&n*E93P0TlmCWoojivbubrV!9caV zlWtI+XT}*KO)t9$9`sq9j>;v*Q18Vz7uCAeNX!y%Hta)SXrbs>ZlzN-%8pAMltybc z%2BBmfGxlOm=NJcr*B%=4%}FWwEvg@fk8!)#@H|pf@g`|tj0Q-YrU|?v)Y=Ahh{lO zB5IcZd-ndncojGP53fR!Z*S!&t%#JjYv5Qn?x8zfhAXTH z{N=we7z1H|5_@8Rk~rBo;G>iWnAm+a!@AZ`7tm~ipgrxr^lQT{)v&8Kc!(S<2M6Y{ zaZ98k?p^TCEAqO6%g%tVDCPv3CU`G(li)G+kdVFa_)$+XO6)Wrw;O&SV(!BMs*{B4&)MRS`VQte9*YkDMHe;?GN^7#U zT9O(Z6;HBJyZY^yye!DH&pS&jT*#jfP1lsW_5!KG$bg7D4yn%1r*G|;JCCjFcjlZ? z#}U*VowsMflTmyivZvjE3Z7|I`}}tln{1TpESqr|xAyB3mp->-ul$>8_^#~&Q)?aR zO&Hgo`4wx3qqg=>^jL0Dc)PgO3a@&=S)a|TEQXY`lHK_PW07C>8dicaAqa;I<&h8q zJ2++0xJX}OS{cJ&b{B6^x0JLGb+Y`!|Jqh68G>g|9(^EOzl?5iZ?c@$5xen3%d--6 zC>TZ9)*QRj1-NL_|FFK;ymvZhtKMqKvV9v}@j|=Lxe=4P*~~#z2Ep+7&kLp(|R-3`pYgyyl{}W7G96GpY->`CO=#^xS#_ZxbP66 zw@~mHKQCHeAN=^UJ9A^9@l5Bq68rrzSwUVNuHzLyVwOJA*7u$GDz-6`GYnY``a>H5 zu0t1ZodwqWuTI1Wqq(fb(VWIs4oI%l=FB>*f3o`=s(!NS&>k}B;1+tFrIj9oQUg$$ zYvW){+l+0tI0mBY=t93EmqG1|qy7%Y-_--3I6*VWovkBi%#br~DsSxItjpj^!xUNp5hfHkL};s$Ypt=4fl zE`Y=9;t(s|d)I}5>sJNY-c@8^5o@BPkyPIq0^GCMs}UDY+yy*+bDH2m$u6yFlHA(_Fvm#rZj z^CZ8Z&&%?Un*^pw2e4`UX1%pEFLP*ubH%BX_$DYwdRb~|a~5%M zFvNZmX`jms>fGeiXZF@bJJyGXF6>%BmMyMY-KNUxMTDfPxGIIRUmLst&Ds=USJ7&L zt)CFD7-fY0>iB>Gkc$I;C4vsWYIv25)(01X#vYM4;~AG?sx8DT^5~nn&_~792SqxY z>$jHt5<)DTHY1#_i(iE3Z7;*Vl8VnVH(8JPUXvN_JojqMAjNj4__VnD!A$}SnZqVG zD_K{~pL6Hn%Z%_4T-BGj0C$RVKBi1$q)&J5cW%cQPzrME10w#3qqmy!^PsYjfZCfJ zzVp%t4)&M?!_$rz&uhHnADv?t{NI*Z^RmY>xMq}A@Ti`Zn_Ie=s!V7xr<;{@kn;`($YagnK@HAFH#mdBF}}kktB1I4yp5WZ}VE zc?hjV&t`BmfFmzON6Z`L)}c!Ewe}Y4H;U?rh~I1rpO3QZCRLvJPuSOue4i&@L+_nm zm9-~d35(*O`q#MtWzB=`a+TJkg{ME8>dv#izI_z8^iZuHQg+@96O?t2r_!{E2W*KCw{KqrLwC()lFu* z^UXl}SM!^g@n$Msx-)-`amm=jd&Ly9m{jHJ@`Bt)C&4=&0T9<}J<}#UrmTY#W=onW zYLNBGJA<}lxbH5@zCowoC3!{r)v-{P$>~LMah$=M-c;U3oRJJ86zehG@#8sFcud8BKhn5wcV2 zRTi$QzA^uGp(d8iC4{uNExGnZhM0JkTB|A5@_k*s00q)-&ogz{rg`^s;y->UMvf8g zCj+qz7<}kOOv2TDUP~3LNf+)tr+|m-z|O7JOi(JiLH4h^=|ieRV;Slw_HPy#hQYW4PJjc z`h7(jy>G;Qlt=}&mbk@?CbNsMP&W5I4Tm&-Ncjr9lg+uDm8Ztt&#z}%joeyw zh&S2+V~WTv5}+)bk#)FZW#hx#*&AKwTLY=XW0+ak9U(Oh4r4gI+Pnw1!fuSzHN zmF_Xn|I}r5vtP}vlKOQg7O!M6GdHyZbFf2)i8-7N_J>Caf@0?dD(|WO5CS&;T1!%y zf$GjdvtdNx#4*jai^f0G>agMj96;G@T*j`*LP$YLVK>AfDE~cJqtHaizkPqxH zH%$-k?~I6u7|9&x>{X|Z>RY^j9zH4ggWHz+K+V+IsK62@SGvDNBhm$<<7u|dz zW>neLpZJ(s-bDKbiqv8hI~&T`lNQLXjg}iL=SPf?&k?74zUog)>gcxpQ3V_%BS$j$aH#?>#^*Wo5} z^pmm;b(lrxjHzC|G-*~+!bx_ru3XXPY>!2D3isiXyl=R1yM3P5Jr=REa#dytdj{Bc zWNqaHl@BD|PTXtEpNVf;R3=pTs&QJVBB3h_2NmCH?;gKA0?m6uSTE90U) zSN?|D5}|Gc+wWt!wAbmB+%EmzcW@e1ik>NHwL|*}CDVHNqpS@jUsmG69oq|4TS-BU zDPN-_az*;rV1Yiwf1uIIP!MS8c@u{IQL#nZQd3pVvcLhiKe~LfF>23;fNIu9@2Am# zA03+qr-f8*Y4O*MjF)^7ufdFchFr@CYIdQ+ZMEa@{1@;?cVOxFrFZbM zENe>PXUJiiPeC{%)Ht%Y=Rk~RJsTP5ZcBy*Q2)wr&~xLCmN87j@Dt?^-A2h!LdSDO zP_x3pb8gvh9YRXl!7P((S?;m_Qc9QV!X|tB4+svR1lT&V%icDH5ZyP?O=}F_G=T=2 z33Zgeo3BFsfN|=K$1dK$?b-~4&j@sJO{+W(>!-B02QS)gS zcE?F&VK+(*P6v#Z57K@{m=PiXi0~k8fV6SA{(+i;9!& zu3JG`aS#2rEW2{Si{V?nhVj%-S|Dico8B8S8t?-p4$spEqPXr-#A%!*VdsK?EuxWT zg}?A8h0T)cvMhT$$f@%Y1GqO&VJZzeZ5HXdS)u@M?%p854GN?1bjbvrVuIpo;b9!0 zr1~xtXG9pKAOHq){i0uYmp{k6RA5=t2|N1--bCSI?hotr{M)1I;{%N0wwBOH)?isi ziOw<9+vKqVv%*9L0hYKUFrYh0LWpBoV}Knm%VI_=-CUbyU7!8p10liHP6%@vWMvkpM%t_ za9>ftF*QW_JK|o?(;e3r;O{%N`3883zN4)D{hIPq+6r4PKzAojU zQ^ES-DG}k|vm=x^mlxmwG0@f$F3DPa&p^YioDtb}R+45HDJm2On9y4rhEx|tSs3Vi zjExBDBIJ}~2W=Sxu=+7Q|4T3k|A;%dvgqiXLkf@2bRmM=}KiA_Alg~LgfE#Y`8V_uN`VdcC{}@kP`Q4y3l2EoPnNvoS67ndE%LC>Gyitf zYnbh{)Gi;U>$8*j9~5r2zZvC}op!q2mc@jAN$t5AvFvRdtY3#~c5#KhiK=%}l5%i0 z33afyT3si?bY55o^e}4*($ZO;g7)0_!1A~7iY!163KW~90H&^lBrt=eB#pTL>>sZ9 z>wh#`)@?D;+juKX;BD}G0d*z(W@lGULlFH}UuxVxRU_2@$I&olpN#Y{mW%IEDgG9m z2D2^Et_AGMg<-G3Onm^N4a{&b^&!5&<>JBLkmZk_;tWIkCU1drV*dV1va8HoFq#^Q z4p=rHgb$j~45gNypfe+(LJ)k6 z_evPrdxkgz>2O6nMUH$~dQ^HM&4Q$5az(d4E% zkM*L>M-8SOJ~mK8jCjVzgP<8q8-#`dGny#*pm%Y5THl?58I`i&RdKjniTe;Oby|8% zP>4S$Q$YSF?SUZJ&^Zs53^o&If6)kRW&l4RItG4%0p6W>Di9ezrrP)Om9y4h5xijfKJ@_s$ny?-v)1YbC zOx}2NfvwN^tlIr^OcZd2{M8O07mA&%Z*dbU&(iH3e&z%lrjx^BBz&y!uP2!bUuyF7 z5{Q%*i*2IrIw-T4smk6O;f>5CYhv<(^y#LMYvfycU{Y!pTJ=lt3hJDuvTAD2VHovGXhL@zr5;^ zXTm+2+)4Y(z}B>Vq3F)jnuvV8&J~7!FZsSd(cvpz3;G7goAz(kA!JZmg(4sNQuSv(^XUEBY?{G z3-}|6M@+V#E}AlcYF6kI5!X+bjgbEXH3cc@EHd8cbNk0V?A!AFQD_~q>Vk29O%v?i zYoh#z`|DFErNDb`&)IY=S-~^?tJ);jeTZA8e^ndin1DXa+4=raW;vKy13=|HIMRO< zT{G&!SZ<&PPZ}|u#tCahfkFX=xGna149qpc-vIIBa!tm#24|uWXTYpiW|%+gdu|W| zS1HUY5oX|&_k>CRaY13`5)y>Q0kc;!F!!($gpS8mN3#KgfBY&qRALgCx%vZ|PhdHD zm|X%C8UGljB0QL33VVfGKB?4K4(k~2AKKoR$)DN>Q=$;h2fGF{myg0Aj1yb8Elw^KO|<@TRG~CMdVDM!YY=zQA6o zK3?2-LNtEE3Bb8P!hXZefbOr-j6l`^AnrY3X+L=Si(=+&E5$?t?XfY^4O`C~Tvuax6lt;6Kr0X+?mRTQaaUCpWkKEsr%PSnu?N+aNw`0_-g-n1 zRQuc)Uj`?>dXlE|cjYN;t7CvY8KSgIy5;IA_Wi`jF*^Ek>X zk=(9KyD2-?a_`=`6>$3&Xc0(b8y<|l@1h_}s#3=L_Oq?%Ah%}Mqci`&`myDH;{|vy z$@dyglKHyn!|RgcqqGB81n2^!7Y;-SC`jj;BwtVsYxl8bzgGPAYhK0k9H9ZDEWPj7 zOan$~`e*P)1!IADBSUIgdRRlP5=%34!SZy7n+E!@1Sie)=b+{3gBidG^*|a`Yo3L+ z;A2==10LRpiV_PuEP)_nhze@JL$G2*`N*)n?d7{{0NfR*e%g!ugkEXRjXC#oGV;)_}*7N?eOZPvHaR-#-DS)ljw4FTC7$f zXRdJE;nnUXuH#sUX>8@oau65LnaqB&_it!chp`%Y{{&Tyyg#8<;h%8K^V*NosEx6c zh!Yk%{#TGR^5OgwJf_h$1CH~|uFFRE7NqLL%STteBl{`cHBI>mTo1tH$i`yxBfaTZ zO|EsVp_f=cM1Xn6n7avx+4Zhj0)%xi5-(7H$yrx7x2DZV-?nj+-TGu`$@hB8H!Y2{ z-y2>3parycJh$r!NZ`joDfLpQ6T-14-nCqt&kll!$*I?yS2ldRqA7e!Ro6GNUs>A} z?Z+M6(vW5bSy_c7C7~)RGLeuPk~LucWir85R)De{vSlsJo1e_1sx%xE=@x{~x72v_ zg89c0EdLi<$2X49?(Q&MuI0RExSR}kNgy0DGW$MXzszfuzP!yopv?`2+1Ds+yAmNI zz6trTEz`JS#|xcx{B*@V5Lov`0|yszPd}xPsS4zzy6YQqhd%0y@8RAudRkp zQ$B(prv*A*B7jWHL-o4H#}#g?7cuTX3Fr>#M>X#I+BJ2^E{-9voco+VW48f5@OhvH z+#S^KR&+URws+%3{5Wr@A0o+K$aTFj)o;?i^$6KIcJ0WkU85S>wT?N$@9fSwiKK=| zm-0dF#ox$@fF^GqM#aTujck^9u6Nu5%TIwn5hbdw1TL85 z&Rs`!y+*cd!-}2fcF$9fD244D6IZ!@@0>y49SJjqk5!! z4~H0m@9!%vB&Yne@N^<013Tw}RoR?yn?`%0@7zN!bG!D!8OJVV{pXO|GL_3bw%K=; zGc@|Apb~;7zg6$D(flhv@l-t2$aCLjAh(O!4DuZbM4;-@uA0ni@*~0k?MO0LUt6=8 zMd~;(6$vi$hk%a7Z=&T`DeSTrZgNIHUT;FyXrh&P39NEbdtEyy;vN@niv6p(!ybg%&H{?Jog2BJ(tN*f&_KNpi18=N_GSh(S#lsh5sO zKQL0Qm5|agI7l-1CpDJxion*pO!WLF+iv&PPKjwU=}3koR?awhuzpTYQ*}(7PI7IR zZyR*^Y(t=@O&(t`|86TA9jI_Q4s_-S;{uy7(I?NSElRUt5_!{a1!e6_?p_#M2) zSW{or>{IyU+qH5$R9m*THq~=p81?iJR^=8N`sC4qb^bP%icUeoirsHLx9ocBa_jb; z_YQc1a|S5Yyv~&6kEwE`J+)nZSU!pH+51dQ_VhMDJcZsRRV=WbnmVC)qq1z@?Rt9d z;IVlHXTk({qme9j{&pZ+>sp;@H9@5BW9OJ1+?-k_tFLzZ`s=%+tYuzW#P~9vz z%F?@0_|vbK$xT9R{pBsY3C3R?%h}JVzqi6>Gu??zTI_s7hhvqPrPJ0BZ^NX6n0- z>*rFyc!Iei3ngf2!kSu&XR7K|Ot6-C6ssXI``HHzUxU5qLN>txPgb(3!KEWg(y}3` zqpR-9Q>GhS{N>g>cEFG~htVscfDh+QIp!0U5Z`#^ZXnJ%r1xPYSG{*+Rik%=>Q(QE z=~S5c^a6PHqm=od$cWEre$sx=6b-}Bq9hlCGL2ycT+2)!2MI1K7XL-y|Q^bQ-q3NH8&(*mJ@=jA+{ zm(+uo)N9_8%)WUyq4%s2CUq9`i0m7Vy&ZR->F`Qn-w)NO&!xL?$1jDdW;nm-qX;(_ zUIvf5*AqMQl*x&eZHZMw!bFaGgj#yDy>w`Y!ki9nJT%ux5Gmu^ht|JDw(R-Z#of8t zh`v1;>JWbQ@;7}^5zB*^vkQ0#5CE&7G4uCNS6vZoNO7^A=|%7+Cv4&4mwes`@RO1_ z*wKYLpM>^YE|;`yowrSoGM64WNq;$_f~?efN}JZt%2SB@We0_pmPLE--|v35dfj#W z^YMVrr8f3(|J{#DB`1v|(7it5swv_8>~}3)uqdfY-A%O{f^IMrr4lp>6c{0<)0d+i zifj5OAviP3eAiaW!=r9}YhIk@^J^-SSW^6YV>MEYnrovxdC3LK&5D8~nu+SL8Sm>~ zipu3v6OnkM74MxH~P%hmxeI z=CEW1n-VC@7LT5Rj>PD$92HuBF)I2eRvH}^vJ+oIS6a$zm3&aKuLx03wtBl-X`%;% zH{c}Zg^3Xas1CDKcboBW(~$40<+FEs1Kn|Q{OZ`X(s>zYzC7Y=_!b)NTZ&ihra|hm6o$ z>CRnnwpu{UBev2 z{ax!MMD<El8;aZC#eB% zolTIjWKqq+nH*S*3@pRzB>>(B%a}{Ucyn1Ya9UrKKu>aC+#ixCAWhj_8vn&l$s~nw z6Sze1d>Ii+OgSSsr?FDc)c<-~$q*J&0vwWEz8%ru{wSe7gQ&KE@Lc6U&PqL)s4cW` z!aBbr5S9~z$hFoZ2wKejcamP7IVpEJ@g~W@B|P4m$_^Fdo(FR{V%p`;n;^wl+vLwX z2aeQYJW#?jBL$kTlf#>lK5w9~2Jhej{z$7N^DiS;x8`DhAT5v-hdt42QF5wj3Ol{R zp4{Uy9KD$zZKfYjE3H0XB6azm>y_-AfiGxTlbvK-lZWsPEtq}^MV4Mx)KwUKXl ziUvJ&4AX)kEQ{W15G9+D7MfMjeD3QlvYN1#a1C3m6DUD(^r-09;wl?=k(1N~@K0Ha z(#pR_r1=8d+i47u6wIwldH!;D!{@3+kF4Iptt2Bnn|$|=|6*T|7XE{1(ZKHDv)vAu z;F3P*}HCE^3Y71gn0oJ$MD3rH!ZaE7-cfP)j2T%%Mpyvk3a7FOHx6;V}tMkZYhT9 z&sNPCF<*BLyI<$^%jO`PU$!}x^w)r>ht4%^@8Xw=LlJDS5Bod`C#Hn4ex@Imd5#}F z-q*$DiF!JY&zXkO7*CFk+?G5^7ZhHR`GzVAga}H} z9*(Wn9hdpd6Ly;)X`pCOyBPci@cXcCF#Vr})xih$OFpC3vvG%Ho~@3hUfYy*2=hZb zGg(i*srkCtjQI0wuV($X=!X71SYPHF`OWJhq~{*}Lu7*B+&Dov+ET=M7n*^YPziKd zNA$QK_589&P1Z2{EgO|Y>i;~9B(ok{{tv7Chy4vGqKtA3O_Ip~coxTeoN4gdvMnWU z2&x*p=_3VPw98r|0Q11Gqw$+No$*CfUOw_Q`p5&=k?&8Eraffi#s;s4Peo`IZEmOp zGgezZ2qTb({pw#6^(U5k_$Ht0!gLnEpR`N0!KFOp+w zJzHyi>bZr=ZYv3EoH+qs1g{MbjIWK;jZVi$%Cq5lVRIuGDstA_%!_nl!&652OD;|q zwY-EPo%_2 zw^<6^4pKLHFrnS;plKb>*5YjC%Oe2e)VZZ=Kj!jd=gW8U@U& zi0k}4bcL_Cj+BYrs_8u?$V3{N!MOnRq>ORWUljI1*Wg_Los$m6N%z}_qZZhs))X~9 zrA)2DLw)%S-O1hqTqP`TGr@>)684?|7tmr4HU(mD_)Sok4St*1T%!J~jUBf8*l@Nu zroADiTcWjZbFOI)X$>a%r4N-1CJ(p{U0}54jqN8;ClRgbH+5UG6mJeKKrRi5qpmufT{`(>U!p zQqK0X;S<#qC%4z{*-o*_dX?&!EXqABblqS1Ag0gWxt`0J-sxX54zud26;@U|dWv7Q zj}~`2{^+TrYf}>u>VFBazMR+7l%>Mn~QosxR4b zu@R09@saKQ(#YPzPv@=u;$%%btK)vYs=CwA`e=fUbjOgf-x>_82cL8w{eq_F6Q}wU z@68I(MtxvHV4WVouYJU(uT~SL*Sg@NYqGib5Nt*1tMPh3RTl(qq&^$EVsQsYp5Jp^ z4&1Ds@ry$824~y@LTSIL`kE+#6S%bexi%fi#7CBXZmj0FuEaIWZ8YBYG z!J6(!23Edwh)n^o3^GPoXTOXL=oJMnZ1%kQ95x_mM3g4DAKUi!`;kE18=dZYgLOU( z!q-UEA+g@CTu&9yxL^)RmFVNSa`cLZirG7@yZw|Y{k+t!yW)#k=8{@T)_0f6dsY9V zD7mW==7i+TKcxc->j=KO_f%hrrOK`xiy6?9~&wS>8K6pJ7ck~Dpdq%Y`+D-XP z)rj)WCW!GUra1DR6QCDwrs}r#34vA|Orh?zd1$reR^%Cpr`;%U3E#O@bL-!^Rd5sE zxz%v{zcVb=Zq?B`n&?iRED^?1Mpu|4Wzoh6T-*t0Kk0T)nhGW5X(Zy-4Mf>>dvpRm zC7|qDD;-sSUVFYg1Ctid^?P)PfDqcwPuw7wH zg6a>I`%9Jo(8n0}VAZ;Em=8XyS}pidDoGQ(G;)fm3Z-d;FNR1!Re?L}3FhuYRB3%# zZhy(6(cB<|RPz!clP%!#1*yy}?`_-IC@IMgPZw%4W_~>uH5TIqe!~S4d&z@Zcjd}L zvhpvCQ4ukQI^C&$Z6EiqXjE_FsrNYFw3<2J{L+sWK+X?r)zQ`asMz~ukl;0kR&Q|r z3d6v&?#GrRsswHmz4^)YwfIZIR6WmWoc+Ld@kYs!^|Vn7oBayl%YjP8rV_NF#jus( zJuxvSL`V1SiJLNhN8mZeCv1~x1ha1vdmos53_{yVpCRzylk{v=#|D56(?#qBKjlcG z`ifmoC(-CBJP(X7=PJF+_%JkMarQaIO4m!FR}}ex((xF)%Aekm7#ykPz_C!n&TN*# z)hd=l*QtNI48M{Lf2s!7&^689Yr~d`Ndi$J^I??=dfEe}kFom-i;fruw z&&~jeclRwP+o~x=(9m)ahPZYOdxAjM=VMIu7|BajFAcxj8)a^4sXgcxb7#OqF(e`H z;_NiVR5EaCbm)H<&bj1WByXgc@&y1>qjMU5Uw3Xx<2*-3ZPk2l<5;=#>PteaDIAZ$@c}$?p%s~|&Heqb zN97Yy!ZG_7X@DWj6X2P)P(jIT(b@)wnlT)&cG`Tb{WYjNBg(s8}+<(614gXDu66-lRuCVf^nX2WqrxCeE z+;vYfw^~k29DU6GO!g71d4-;s4BE49|3>DN^CjTRi^sKi7tMrszxa2ahk zDAX8jw<$Dz;FIU%HH7-&@%&|`5DmHq;^9gr8QG?sBCq6V9H=u?> z1gkW(;Su6GkLmV@spe&qTvO>BL(veZ450y)PVj1|hs_=e5qVXQ#rw2u1y9|tg(a26 z=rAXU^zzT!cH5bR{5iUuS9vlyw%NVoRiDoH2P}qxklb`X4OIhd!^!Dn^<*mJZOP$j zJR$`m*sJsN(HE2ciQ`GIFHZAqCUlDGAKV@Myz%aC&!iZGDBR5{?9|5AX=r>xsa3-p z$(7aAkeQ7|&{g)D2DLHjzL`BTv8aDby~sSmC4k#MrTzP=C)G^EzkKd>!_PaD_qZ3L zue86_B@YaWmoX{=HSE>ek4XaE%(;-dk7E`ssd6bf{oYa`sBUv!jiA===*PEI^6RNJh+%zHykL*tfS)|PJAg!l!SVM=KfhzzCESJ-*U~;HT+y)vj);sm4awjq zqf2MGB=jY@HAY8lvC?Y27Q=}_g>AZ%W#qP#n8Mk38lCU1O41=m+UJ*;f?v}k#&UD% zjLD2X3s?yW5iU$=&f%3iNPPMeBtOlv+n{M%jS95u)q2?I2rd2Cj|RFtZP%t${!gg= z?B=uHA2cjOY9>-4w1}P1oG^5ArAk;!Nj1E`Ks_|4BCAr(BdB|pjqxXzkPSAlzvQpL z;;D?NEqfY{@GS`AY#J((@%Mhf~+*BUqOPt>{`y2XrE)oSn1m_s$1pmx+5tE zc1pl98t{ABn(C(kC7Z6#4095$;$_uzG1_i425&W}F0Tx(tHckE5&n>@(q-E=$kyKR zojr^m&h6nLftBmctKiZJ$Qxmv)RHzztY+s#3fwe?v)xeTPXmN4`}(X(IA@lRhA?kS zF&xQYiY-e3&bW>1py95+VoNymW79ry%04YnAVl#N`*+0SsV1MhcGBZzjk+z|%6PkQ z$Iz~1QI+t4*52;kqt9c|K*>V%Z3_j^O?k~t_Nfy4A>ron>OPk}w_%65dLNpjg5WXC#EIAg|4sTS&p~jkfC&7 zLfiMS@@vgkALqI0EkE2x!Q;gy0|Z{orV7TezZ8KFGkvSw?|0vTw4i;6l!BX5jZ%CN z;nyb})Pdl9IuRTl2IP>KZD~!^nHkL#NBd!p1A>LAM8h8yoMq_|bsx14Ha~E53BPSV z*kVPZUgdRgt!6&GiomPyJ-t%=2C1e2T_FPxlD~a_w?ZmuL9}q^kTF`&XE=i9U^-AO z0$f!yFEeNx4sNxXh!qqL0`PxRo|t*xZ%v#Bad%y0aU+;@=UhqEQcny`@JmL`Y_}6X zeEfz@G_xbjeRGNalooI=^pdoQzA12fy{L6$ZohH?e7qj*rc+gH)OH>SD`z9wG}tr=^LKLG)T7N-pZ57yC7 z*3ollnVmYr4~^q@8Uzbn9DKjSIG~ZPeRRO-=M?m6V>^Tno;;Io z9get69lTGC+GFPyO*(!YZ#H@n*EY8MRIhw-n7ynup6PUcb={`0azNzyZ^BQ&tM;e_ zaN>S+@ZU3a0Gfg7m8V9p`?v1`wp^-oW=GFcbeX(SbBuK+my#r0Dl`*scUrl5 zTS&CxEF3scCbKoiW8~p)wGo+Z6{jFfHk{Nq25tonx-1S4}eN)uMegBb@JGqcOIw2HCe)8_EjR ze_*tt|7d9Oq@uY{??5O$d0!ZIXfCAs(JUQSF_>^G7LS`S;_@IC&;4Psn2XkzMlWHJ z!;I*s8DYj2F?M-R!qSMs9MKnlF|Ng1PQMCuinh0&X5Ke~oXGDmMRsgz(Vn9f*ZAtL zmp}@+Kmqi#AQ5DzUv5~7>rjf%y`v&_p+L#AQ+G@%UfWO4`l`Hwk76M*+#o7&2cMpN z;Wv;0l>j=}_dC}wl@x>3&*&Xf4C(qupjv@ws7ZSdyMpvt$$liHLb#;2zAATrvdO2L4826q07`+89?r0QXpdf!*NBH(0icEHU`s?_v zODqq|>@GW_IPzGdBc4d>otmdMik5`^@6qExzXvuZyz8(GCAHY-fzL4Dwn(y-Op_GG z)jHqfz?$$s^oDF%UwcWKmae^{KD|CLm)=b?%H0jTZt0Ygq-x%R)^$^3m+d}5wx0B7 zBjqZVbYA`pe9-1l^`*wUraW%$x8H*(`iCl(XxxVBz*Z81gsW}CuMpV?@ZKCjlKDU?FNdsKPo-;E zXrS~CBSsr+F+^m_mh(vLHvaY)4m1>mUwq^cBToMMYmC+JF)@JO(QzNoU^bN%tD#!}s7<3O{?w={&$XxS~9j zQ6&y`=)|-5!%^d=^JjJAsJV}4%C% zyHbD7UT85sLqr@YQ_`e)*#^~SKs+ zPf?dZ`-J?Kup2{MN-~sCG}^9_Mdv4jtXZ05D^!)Mh7CNDJ)uU;XEH307exhP;@h3WRVD6vnA`mAP=+f4-D= zgaG>_7Sb^X{N!2qj;O{UQyh923D1;FGbLmBLCH)IqoQYe z6W>uLe2+hemhgGNZD|&}n55M~GutRJPoUG@eKan<)X+)yMekWuG(*%K_qzshivZLq z;Y0dC$TPJf^38oZ+)aNv#^RL3sMEAWY+nkdJPgD*ZW&2(bl8~#=(hNhu+C6{u>OGp zx4Zhp3^XKbtQYib0eW_rr$n+(Ip~m>W}Gmzfnj5m;M{6maweW1F42mK=GRdIlr2ZtB1rb(Rw? z`P36C=zP1(Eg>4ze@`-gG3?Bw9;OOOOT@6ti8lwrVOIh#05idxlN|~0eg(3;vQ7i4 zSOpI<$+Girg;Z-l8n9q8KHg(b)v?KW{k~kfZ@%8$hykanjAQn7Gr@K8^PL}1?=VrX z-97IUAU*-Xmc{u7ao+@NU3CG=E^}+~*SseSoi2py2hXqhi%h+9*2^ad9Z6{G1Qn5jVQr~Twdwp??0WCmBUo#u)g}3G( z2UV_9*_(w9dQOR?wRUxzacdkpJ=>-1EteG^Sk%yIH8gg+;bAc>UFEwe~h9Cva^o+QqxMr zcdXV;z4ln9GuYjp*JTQO4}a`44ciJKvIF~};gAPQD!!t?Y)3S} z4Y?b^GS3}vP^d8Eu-+;SarjoD`#nTh3?zs2^wiZ3h(UtHK!l*BW(Y(K4YW}kykD=<%eu$XojrdqHdELrghUTGn#!rT=?sQ zsF=p!>|+>(dqMK5UT7VIo`UVipjPw2_4Mr)pS%FX;f~_1b(KbTqsEUy#9W)oC7tG<0QG_}Q2>xxMwfzgg4;R54;>`}Yp!UMD9NY~F@t z2U-A~pc*M?wloB1oddh|fx;rhyR`&qhO^u<70t}A`gbnoT}pR>(k)nyLz(O@xVG;} z+*C{7t~^zAUJIYWmad3|&cFs|&F5xf=zNPaRzEgpCXt~dzR;p1^`}|F(9)?X>Sizh z*hSEHeyMXfo8924%deGzlIjo_mi`qXQfVQg*}V~gd1q6Ih%|^6(-gMUxnO^v4Lir< z5({E04N}0!yB<0pC7Qb4W0YRqwB zg~$>x^>Y>#hjN}YvT2~(`6s%0R&J)E*FPC8T?Z}kGh}I3f0dfy8C6V&&T<6P<@`dPs5r8 zwp(4obnDCFf8xiAr2@jFKgXbC)WG5VW3X)RXb4o>D!=(dlBw=7?*7XAqbaZgn$Dx*-e27i!67ry(&Rlk*=DSbDOB5s~4b zq3iz0_uP)*j)2?wCa=S)6uaqm%OpP)vs3ND!cdW+7u!N^ZEVn~S zGF^O15<`4yikvL9n0$1m#&MAy)HsarcA#zarKOCNH2aivz=D z<-p`}f0}xyDrL(t70{hD*-18?VQlG=lbM=2jV16%O>>fNuNkSBf2?iNNbO{#*8V>k zxiXXP=lOtCT%`Wh{ey>olVyB8p32Nxk0(7LRTvL3({DM-M8DOO(yUB;BtE7uhDtn; zZRjk%m6|Q{+5qikwGHNsw7n;wH4cmU$NF`MCS~ z6FVJ~bxepv#Xw}$`t>@D+sVTeXbPPQG}&H(CZ{pgK1~M`GED~)G7b3&nT^|$MOF2c^&X^$26Owp~l@(Y_-YGM148c|Muf1`(4#l&B^{&bv1F2 zimqhUGd^N2n+fXLZ^bFMBB{!>LI!WCrk8yJb=@H&BD%MGXFTy|xr&XFz7>Z<0jap-9{OHe;TDlMiW zn^I%2E2mWTm41e52lu}SRO+o7mM)dT)2^tx*1TAvy4Ji{vAWj0>@2Bk-Qgt9T$Edt zmyS@^s&zuwm-&F?l>L}&pMTtLALmEfV5lBdomNzZ8eYm()e^V>x zFbjRHdFq8Z^}?KbVJ?0}@wz zmZZ=Hm!yM)w69G_?{8th-szUzQmU*oH)8+3amd7H34HcYA41^_EI)9ptLz|*MX90xJ zNva}Ly!%UA>r|EGKj>RzE7;pJez9>6L!jEt4l?g+&b&Qcv+s*>9qspti&50LqcED- zh##H3P2GvI*`=XsS7d~ovz&6AIJTkQs^QXRKN@F2!FHA1*c!W@f2?HcigA;Xb|iT> zs|4*VvOORgA94%!w!+SlDT8h>8&5KHSiGJkn0D&ijVH2!vQ4FJ06TW|QYG&L>ng_s zmZ(wF`MaeW`_fZ`5L>AY84vD!IH+Xq!;@8Mc$$a=uJ@RHd^$oPTzsa3&+8Cc;+dp! zDK_pcF*I$16{xkbf5c_ybu24eG~Q-|x1FPRJ7k^gJeBun(q@wLh-p6~*}X_O4d&@F z)fUIJ<5DhpSH<7EDLX%1*D>;_5`M6kp7fO+W7YFLS&Zo#Pg`fJr{NnwHbknz6p@>{7=~YZJ*W z*f|R|fBEKSZ~pc2T=_|UPH(j+tU;%wyRMEBN>!)*{2R`Q_0yl-ZVk2o{_-qWf4jg> z;)cBOdiif5e*@Ob%>8#xapg{j!ox(}u~wD5X|UPoM5cK)J%o}&EjUF_Cgs$^m30?5 zWwRPo9D$c2YcOXRiECh6i?Vws@Q>!Q#@if}C{k}vaJFZ?x<`s>W2Yd_b)+p9#r?s= z*j8dLHC=JyAxjf^$7!&(aT$@t`BO`gba(&P*{@=We@b$~h|r!Emb|?Q9}x5^S8_tc9QYTe`cg@OG@<9Daz_nqNnK+KI;?N)mnUK zo3xd2r&V3%t_iXGp=N`gvll;=XPo^Hb@eCnjLlYYwxJQAOtx8&$yRRx&SI)e4VCCH zK<-@@RuXaRh02UuK{S<4{)!%)&|CXt zpmov_pW!)YbJP}$sHkHa`|1nbH`Dh0sF!heDEZza^p|J1lC}6Ape!|v2dJqorv7j) zt2SCsF*(CEDW;XVI?Z8fpP!*A0IW|Bf4KH(Of)iACl~Qo$z{#^2iWkEHFbUI@BfRz zW>p&ki|thZpPkF%*+x;CAtCR?-BRn6%HH%`G* zwQ>&Rs~k-}k^1Z8Y*y0h(sUy9Z<~&sGAt`y(DlDf{-=^h_YEaxdKsWqX*z97X~4DH zP?wbDX%0=Pu&!DsuIjTootw$Ne^_UIvN3X|Gao35l+|;ku4#B_kv$gueW8sP2%hShl@|UuCf8tC1~ z&4*;lp&4x%hUxT6=lt(4e>}(IN6_i3oE2)Zs}npbxgyQvGbHDnk8U(c^ypOYEbxyV z=;aM{I!fT1T1S2rL>rKTnGMJhr42|v(8~^QB;hUOjEccOc=D%pT0Xg8j?lVK9`ku8 zgx-l-dhleXL~?ud_vXK)VpmmmEFM|^qDe_Qu8AB+7P9P!a1 z+pAM=_cX7Z0*;6|Yjf}8=9*JL__Y5#=Q9W$okd4DboMYA+Xyty0{`<=&$gaM+=$bN zD|5u>PW47;9F~0GV?Sr|XP@sG1OBtl?!qIinJLY4=;%P|Idt+!|GtNKT5+%5ooQ&H zaW4Bedi+P`#crVcf7?3{OiEFwdZ`Vqqrd+==ljdg`Kryf^eGqT+3w+|C*PsVA)EbW7yOWWm2JxX?Ay+(|udoK^+N~byJhSjAUr#c$> z^UnsW@7RE)tLdT9Szo#I&IzZdR2%x^31cOJ?k_q!`YWIPfAP5)zq!Rv=d-_RF#M&b zd(~CVkp$`FPalU=SGw$rQ$9W={0mS0_>A#y>eSD?1;^$8T~7VfwNekiB1j(yK{_j8k>0kbzuS0lnkl%Ly`9J>o|N0;Q{6GKO&wuRxkAL~c|MgG*?VtY( z3j7?({f~eCuRs6qpWC1ReP}|zT@F=@|JUVz7xLH8f1uTRGheTUGIM<9soyRDW78ae zZc$?R3!%qswVLVZ9~SSfDgG7$n9!dk_Q}GAO4mPmVza4F+rF@tr^)9^>7z0``oC(X!*?-t@|zNCTEOTn?1mR}$(sjHjDSYHj@$us?Z zzxaot>8MB)Lt_Zf>avBUzc73uu=HF~f7zn{f~bA?6Kx;R#1GB_&&;Zvx_6d}uAtGZ zpzT!C>I;6hduSxgV(cdP=6;sCeLl2uo?1DtZ6@hpfl5b(!U%Db4Dg~4ScPaY&_@S- zj3xvL>Onhd0rO>UMUotj8$wHC!A%N5504ka|B30;3RzW}oz#W9MEU4U`TgD0f8uHw zj4hsbW*CS^-V}$tnnr|fP14hf9kM`MQ|sRknptA*b_}IQvzEOukQILx%|P%0>fwmQ z0d;HH&4lOKZs8$@0vIwq`fj*?nKU`aGo))f{K)q_O+%aX3-B^Dhly*YCf0>NTLHu|kpLbAgKd2TP!J}?zq+8ttOVXX@Wjj$!CdUEI&cA*}mY0t&G%9Z&#zHR;BW9Sm}ue_7_c`4|FJ z?qe#IN3lc*i!?c`a6|S_!z`X?(KZ~d{9SoBG)Sy}mgW&LFPOBrx;%d--;TkC=0Z5J zI~es$E~Lr0e?EgoAPm&AS;_F|A;We(L~1EY9&J4pS=UyC(lB;UgG2DJoWfAj;5dk3 zr_-OJ4r$P&j!xnx@z)rLe*-Xs2G^-2V}nH#h!<9)1AvrE84v021*UD3k=DwC))I$) zffq=v8mhuj@3#m%w$k)@-$_r1qs8CFvLI!eL}ju}U6v+G{GBID8vWw`(AdEbHOGby z`MyBzq{y|_V^V@x;y5&hrcS0VEuW@*F+Kdfl#oq~aFT74B=-lyfASv?ttJW35$u}b z(sBRvC+6JLZ6)kUk|afE54@gw58oh#<%%1V5ZD0$%= z;>cgcRlqq*^j8gVe<%Q@V5sTuwX|6x+%1MFM(cQy=I&Iek~h(jyu(UysA7si7DnK) zKl5LhRVJ-qHibBq+Zt|>Fg*Jr`CpW!piJidWs1P6Q9jS>5*3TevP5E0Xf3m2n3haf z0vigxAf4P6&uV2QxezlMV$mF?J{wfA4I$kf; z3^bM++-n+by$n5ZMG`IzqAW2e*GoC0l3^&N%Cs>)bI^g6R#a7MYTeLOl01)l5h}?i zmMCI~p;jgWe`Ylg$Hsh6)iiCvjnujtM?{Lx#h@uZhuwoq7F|ZGT;%v%jg4%^GRmYy zU>B)d*t(PP%b@s|VRE>>eSH0U$lHwyfJRVZ$9 zuz6T4eLh|1VJ`;C9z75p1 zkR@y0I_hV*Lv0;NFJk9tk%GG@Sxg}3LYHmT-CpVs) zo%nI|5e!q}@-BrMIbfGiL(eTK){sZ_#Y=YBc9~7P3nCAPJh0=ui-u8y&P{^>MgeIy ze=vY7+I)%aGB~WEu0@ZTMs}|ULtDt&6~;HDmwZqa)8|+SRq0_0i z0R6FDyu7vEM4U}ya}0rLJ_0hml!IkFd-T{k zP+^ZemfFaim%X{np7?tyOYI4kUXs7je{tl#5wD~N)+PnRpSDG`;ft*B6sS7?xsWAR z+NAE%-#u(-Sk0oX8<|1Ggl4OxejFwYe~X*IUGjHjLbwgkyzIbrK; zKzT!Dmj4{Jy39UVLQQln`mcU*K$u!MB!pr;ytAYmoMVCrsWHIFfU(Z2MncVX&XqZ zICo747b9zqTEl&!MZranNp~0zY3Cy?q|;Vq*1rTUv|o#wWPw+0&(vC|e^i_1TaI&O zftGx?>?hz)88D*^vKG!w?ZpuIQ8iS2>(=$vdaTWq)kIA zchFq6=F-4g%gn=r2;)u$k!&}mlD!-a;?L|5*fxrQ@?>i=C$6r5Jr`g0?XU zO_N$Bc#x?#?SF|@hle|(e?>gtAD^Wcd6pivN@kCTr)6K>kCf>;9|{hWFP@rb=}9E9 zIXT4kdl6d*$$0Qzr+YC?0eNbczw+*YIQHTe^L}NXPasd8Pvq%p;z;K;m^V_eB)84P zapWpecudDu30gGg#H_t()x6f)!-G?Yj{UhxqceF*S!E^Nja%^2f1asnlQ3z^xp9V8 zImD$O;K>=vVbS>K5GLZWIV?*1pAr}0QTT6(s5@P3H{!D~{cXFUKC}*d z%_zP>=p=u_NY?oCfB*07JD=n@vOC!z34jHFOppsAX+REWK+tzro9dw-2XKhNz!Si7 zheJ0cL4qI&f^NN&R$8Yu(n_mct>2aIU$40nfOgCX<&2&RJ!E?YK$J(f17kt_AJ$vaV*hAA}PnJ zTf$?haG5AM8w!e@K?n8+b^_`(oS?&2jHQypx-m;o^7oC)gN_)({d$qW0&~^>< z?1P@AgHRJMe{iAhDZqYeOc+zq9e_%^I%m?;0`gE~!55`nN$iqsMFxx}^}|R&8m8B) zAf}8p)JH2(i{~<8LUTWMQQUi|MaCZmrk_O`_f#FH zVahNlU}x(bHwU=Qu1AbOBX07>I&9d9mv?AtItimGe^Unb3;>!3RP%3@UEz~bQKpUw zRTjP9kGAhJm}-ux`b8>kfy};x3v{=w_qpmu9pF!Pfy)V{`5^4cYC-_8Z9<(yPhd)q zVn_xWnOw^@e(XNPv3sx;!x3d+VxkhjZ9gzW=0=&O0h$_nVI2UJ=sLST)Z^)@GI-4N z!^u3~e+A>HlRAdyfdR8-mNH1l>JVZYwT>cEWpYTKs(Y1%tx$u&=1D?+nYQq5>Pa#% zNKdj+{Z&Ulux8`cA8}&V1<2E*A3elYvtpsR7;8m|wQ=!TQGVqGL3sV~Y+(vTW@5}F zPZyEX3ch$i$DfIup5hjOTOlA5k3ImBR@9PRe+CwLnv5`P!7Gs+Y^jH;Olj$Jb?8H= zHFy9e8E}e2_kh3?4p1SWVi0f@i-0+tlf*A3LJ^3N>L3oRI*3F-a$5aARWG(z9Dw~z zQ>LCY6%{<`W^SmA;ef-9;|A&hGlm#37(>;rG=`-XuW$ft!5Ah!8C;rnc}A3vtXC{v zf9aDUX+9Y*S9Wwt`45b%nRXZEOEcne^??W+2Z?*uR{bPS*RaP6B)OJ?{RX8|CdjEf zWx-90j<(=Rc1lkyRY@=vynjJm#T0~BOLL9OATzKFT*&k~>cMEH5BJB&?V{wz`+AYp@J5rWa&7{5eDt+l}hsjZND4KAa?_k z9l>gI0tOFUS#tz{7*pq9O5L20J<98CP%C!5jk+pTixxs5g+|n3v4YF4Ix&oTe_bou z^#?L)b;ir^0*2LaqpCQb1yCpQ+6s6B0P_oJ1Q!`-4{#~K?oUsvP~p)V!tWn4v?x%In;C&P6Q=19;9oo zv@M-LRf=>TQ*Eo*nL==apN*2ge}j}LBWj9Udg?vkZHl+B+kLQI#huu63`C9uPq}!} z(`~x#xiGCIF|s95hMOeTd&nRl5C@OC!>iO#U*!_fmb$IpqrjHNdZed)=)rs9RS&Qj zIZ)sxp^~t$1&Xn$GD z`W+s0@D>w{Lb4Fr1WblbRfsZRfwVr(;)lM$kaxYl~Bx950S`xZXoAk!z3i zI2t|TgYD1*V1*v(c0>UkokQ>5X^&Kgp+~F^Lk}D!h92onUi9Ftu(SsajPrjVxM7*>=v8h% z7$9u;MvWciMU5SkW=AMkUDXje)%vvF1xQa-gNUxf@s)w-OOFAgVg~w~>iCkNdJbSQ zZ6G%IxG^@!e8fg06y@$$rYeGwC`OTCyI&x{WP%>8?S3svpb>u5Q3^;fZ4zyYwG7yc z++p}nYT>8q2e+iSe_aJorNgTLWTtQx08@sm005U)0dP_T)_TLijyycr zJrr#${14|zCMj>;q=e+%L|p^JPPzt$t+56;+F?1l`iu4869#|~ZwxwnCs5ynnyPPW zc)45&9EelK8VeXG+OL$eP}_7hd9Z@lHgqQNZHx$Ay;|8af5{7i^kq!Z*&A88zA8CP0Fvh?@L3ar8W=TTLOxdev*cL%Gp=Q|Oi&xxPp%wT7XdUK^*wK3(Ld6ss zVjnlyiZEv7v&_3a-2;B5Rv1 zI>km%Oc5W)e*-7tj8X0F zv<{;~3^dq{U}N#fWntV8Ot0Roo|36IORpouonaPjeqKWe542A9!4UDcE|0b-#l&r7!=Jre?dp26t%c5D?<_zp$r5?N9y*3 zy_ELxOEs!8dR$8x<)fXdErAX{55O9+THT0~6%WR%3<`tYh*P`6>)5I&cnOT9%6(yK z?ZY0UQ$N$ep>(g|@{Y1Ir?XUG_5sgm0!L|WhzV*kAc;pbdc#sJIcj@)>{1JR1|-Fy z4_t(zf9Fpqx~T>Qe5yeS0=L^!jAN({h0p_LzS|QrylrclUK{F4AcF(l>p@_^Z#nc= zh?hvF&k%3O^Z}w}25rPSLZ*+=LS`B}Z_5;X2dThF@)+9XU(Kk3?O-uj7?lDPMM1Aj z@-Y9!kb*(EV!F*poFr*K*cT&|$ONjiC_*Mqe}EV%A~HLp#DMcec#_?jN{h!sr_p`xV5scY8!{ll=1!25ugVHNyC89Cc-P4ruFZXUTuUttp zA)i5W6eFA;Ons*`^})%hzEHrm0kMFTntvGH)r%!T->Ydp{lZBO+^I%Uu#*Bye?>t7 zp4S79UA=ygVyoOCD60iWGW0Q4OC3qF8vV%epdxNx-e-Z3MX)jusbZ_LT8RQ+RS|=w zw0B_=SQV6MC=&Wnl+Ph_@|1~#T@R-jwCmxi5UYR6Y2z&+u-h&}>fIE*m`-o4fEAhS za`Ru_TH&4HaBGFHABI~ilF?f$f0o9z6-y7h`Y|$rxF`q~b}U7I7!!$Bjw%K zl4cf;KbQh3(Us+?=m%Ov_A}_X=8-Gm0F>p{Yh+|fL^?8y)-y7RYa(t( zhpkBx-Hri=P&HUhDrffQ%Osby0t0f@VE2j&46vPnp-F<#p^zIKmGDQ5f2hDU349k( z3Ju!guaiy>ENG+|I;MWy4c6p22{}YTO05e4){F7st|UlicO|j7P>i`XO(w5hp$u@0 zH;Is{qG<^rgnF?mu|zPHC8gIc+VKLCZAR=KlHEztFP?-;Nugh~Ol=DqPU+iFde%j4 znZ}986l@xKjl6Eq@I4Anf2Up}Mng&_;S1$-8(|2TF_%|gqX%Axp$2NXYzFYk3|3TT zpy$E>1uzl@C=kaeYl`xWF)!%;@r5}_Q$D$qL^{zRTGT8Dl?v%oUyYLki;7YMC^>Y; z1N_)Iqu!SUsN8PV_i5Ua>`|f~?^CON=StEU16i>yOXlGOs|X&re|YQ#_(DB)oTil? zds*J&>lDC)jML8tISn~jy5E%rfX_RYh6}?C-_o$mAm;|eGSuLfDd@aF(aT>E-a69^ z%%)PRz)>JNVU$8QD0I}3jwprcQ8Zppbbu_rBdG(7@~L`sUAg zmdR@DG&nu234?akf8EiR<*5Nf3+bT&?K| z@*&~IC$b2CgpXK{?zESMdXi0!3wVNi9s6o2GLGI@jzk`8>Db4#Mkm3N`@ zO>hYfF^mi*DUaA8B^XFDcn20t6Eqi?1|1TGM@ga*v+r7^e@+`u=IOMJRn-VMXt-LJ zRA(ZUI0ax(QoB^0iQ)~d><+l8a}6%xtqS@-SUvWIxn2IQY2mUi#sux$?r1fAu@-NB0$Y!EtM4x1OcCY zhtE>LJQ$C$27$^>rs~I{whO^R;xG6V%1h`BgaS2|f3Ax4I}}Lw)q;d#ES%F4*P5;{ z1RU^$EPO8mvJmjBn`%9wQGGxa-81-;<8Q(bVIGF$u+%wi*ev!oNdu_#Ljx*0@o7E# zbD8Lyx>Yj!0@C8EysJfcY08?%~L3&)B@wfUruvCStpqPF==g`i_E+%+j-1f>T} zx4M=4On8~9Tz-aY=x_1;CnhU)4DUZNS())LAfC#ZIc>+NHa1UulCxMHbOVhOPMGbW z8xw|u?!c1-f@vtX5LAz;9o`0oP*jjye1~ude^MJL%@vaEuw3n5`YVjA>O5RIGt;n3 z_Rd{=^r0dl-NOg+P+-RdF`)D->Op;wm+_S`3kV51~OR6iuLN>2~$#>nZ4|+}bZEgl9(6P&in|g6sEEMjGOS&S%0U9eg*!N51cdxtL=P5s$jp$j zNKF^G)LFnhtw;8mIOYzDzt@$LS{OAl}1^is_71O zfUWB0x-+@UZ3{h99KBZ?C-MK>`F{o8Au<9+af`UmNqmctC z`PtY)trza^Gh#wTIK-w&zoN(hq#o(o?SE`-$i?U}09V5fcQrJQ+m~27*gm<589v+# z)X;7zbL*Ch=}6sUWGt(hU#qM1f53iFQ8ldrHn9M?>REGZ10s;o3TgtC9MC#dSRe6q zuTpY=^PuNzk^@qiebve{Xd6NM=jd>~id4 zBCq|fVqkEA!V(QItt*8f+p={hr_)16pi2^wBlryN0US;NWfF$31%gzhn@;a? zL|J;J#lfStXR^cMFr}>$DP5(?s2+Ln!o4XOWO&+9gI?*#U8N!4qID(GD%iDiZ>-xW z&IAw2$7v<-mAZ{se?ffe*nyp9S&mV$B4xV1-Tu?_Tl@Cckjo(zAzA1{C?J-fW5R<=M`mZ1^arVdH+;H~E01xPQ?K&er<7BDe_bLf6HN(7?WBmGSWVxJ zz|we9RD_p%v|;EJaYM&iQg;CDwRu7jy6dR%t`>Z+^YExJG%Uv{QNh<|IM2BCQjyix z8CtWXE!tmDD zOKn+OZ(IgAe?k$4ha4hZ@gTT0c0d(DWe2cg(!s(~aR+LN&>?hA5yc)Bp$jc_=2+Q7 z9&~8MXl*4HhhT4Nl-fKHq1sZyAV!0=$2JUD(xHuL z=}K(R$=+rLl6itwzbO6zk4tDEjMAAZs(78r5-6WL=pW<=6LhtN4Cny$pY@>oe4K~0H9x2QLUXzFFAM2pG-(KHC7L`xh@ zJLVpdw9p|~>Xc|{2aN|=htRo3H1sGBFe*t)on2r_hc=?sRuYN=36kFCu#U)0=ny)m zBv82Q@>eA{oH!)`hwhId0bQXQ38J!qQ9Y_se~cypd~>dXMJ^kLPBl#Gd4M6PHcu!D z2uivWK{^i+6B?G|BoD@2)UXtd6tEO}14~J7 zVhOzsOQB&2Q52j3nrx!jlZ0~#|D7KTNtP8zo-+VhCx6F|D|oSBq#sZh`qfWW6wQpC ze~k14(7Ar6r?vq5xOr#u)}Q^izxnl!N3+hEbLY=p_+V=8`4?YZyKidl&9^?hce!%h9g_tsu|VArP0F1u`x{9U$r>;L@z-~W2Y``q7rS(cupHZ#C5xEAEv4f6lsQDcrOEyG`TtR@`a-2Tiqu6?ek_?u1#Q zkdu4H|6bEL{T27L|K7UB>ARi&_b0WizTEG&`#+l0viiJ+bN>5Huyt458UKgt8mDmA z_#ZTlldrgw{)eqQvOQyJt1mOU2mRkR4bfR~ANN0Ms%@{h5ByIi0a{(va##Bwf46SV zc8#`tW_rcF>wmVcVFqr8|7pW8Lekg#e{84}-hJQyVqJ3-?xO#B!!W|+@A+RfR0`+b z>;J5^KifN6$vLVwwcH#2kJr<%aBurRT~EWFyWoG>0GG(j>;6yHGs?id<^MzLu59ul z`rOhX_k#bo)?-;Qv0cM#xzOTafB%0s++EPz_5Ww1-NDj5|G!%|W*;kCl!qEJ^o{=P z-5-spw)<|&|3hnEHWKcsF;m-p+8-S|mk7_Te))#%x{)f8hrRyDt(i}2E_B+f=Pqyo zUuyYNjrAh-UvBx6jrB6|7hC@6Te96_>t*&YwEXF@FpG%Y_wEX>+6BYK;mVdx<0@2S}{z1#h{J&`V&bXCJ)t$aOu11F6=6n0HTkAE%clE(a z_Zuxg95;YKl==R+8i8(?AB?LJOz-o>xEf*MOa6(mIFgBW`;WUUt3vGVuz%r}CUOWG zKj&8(t7YMz_0Kj|%WR+Vf2(^MXes!7(LZ->w*7p0dsxQX<6lmS1OYeuSCb+E>K6Y} zQY15Z|H^gQj^wKy3qwLH6bqP(V8bKC*{gH1)&p4erytnC*3 z4>x_3Y8ZP9h_8pczW>q2y7O0A-Btd_n;y@G|Nid!cDmc*f4;%~f6Dy_{ZBU7pYQIw zCI8b6_U8xs-C6&$4ff~9Z{1e^i;bb5KWOY8^S|6!cm77Rd({8&#=7$cnR~?lYEzb7 zE;a5~EkCtAyOJuv{j%lvUY%_bfuLahUd!JWvLcP&Yx&zlR%Gn=TmFua6~X+2mcP^V zrYPngwEX^1DT4O>f0o~8m7X0TYi4r z0D|bd{M@)2!Nz$%+XR#J`^}a=G*-3Ca&GWTO+5wV-)i~Af2L}o&~LZ=lTFnE)mK{n zsj>44o~Hca@y?yp-S7X?1m~{00Ozjof8F3B3cuw3Z`LzP;WqpK+-i1_B2)akE&q3| zdm26N=x1Ntw_5(cPiPwZQ{QR%|1zm*^>gFy+b#cJCp3+INYwpK%m0r_O(Tx`X3PIm z0~$pf9`Jv$e;zdU+?)Q-8%7Z}yU+jCdPeEHEB#-#?#yl(Z5V%T*|q&YPi&>iciI0- zYj@_#R(5~U75-ma*JN9v@#xJw@Bde8XSVHpFz_NW_xLyC644^j}=pIE9<{Uuqgh`0h#nyyT*F4nr|{5KlL=?Etu@!wq6IDPjC|2v7gkj{7b*W(glqYwRsxI~!zQ~rEhA`JUU z|HkI6|M>s@?$jeQT{u9mhg0fZrY;(Qf;5onATrcQ+*01c&c2?TAFC@G0&LxrP zcan0j7Rq1smy+_Xm7nwPCgrfzD1Xhrmy|=hq5O6K{`HxQh_A(O`A;X!!9gfL?>~r} ze@|QS8~($1FUqnH{M&I;=nNEJ@Slu}3oCxpe=05x%YWN{CN2)Ezvw?37l*~a<3G1M zDh_MEBw8^p4{Lu{bYNT_7WtlNzZMTkVLqaQoiu zrm;;_FNisr8VMnUL3(gn_BB?EEIjD%e`>5271#0m8>>5TEbnftZX=d=&q(-!p&$Z& zo4;dBZ4jZJzqhGY1Zd#zYpNCYFZ}&YwIV#z{=uf&9>SOHrdmPQBfhgMyQT{NC?VhX z7n}MBk{++wH%-fs+f{e;Rbfar#c{k*vSI?k10BCUUpef46m06ko9Y9bj{N z{P$W-Hbi}I*Y|rH4Uz88WuJLlv&)fVM)P2KO`80)!)kb&&f-yA!Dq1nCQpKGq~;>_XsNfY$kUH-zP1|4^kf6HZAupI>g z439^0*LL_LIGxBA(rKp1qVpNk5l z%e8*Kc}(op=lzot8i)@wf9nr6H$af%3BS$ADPfVP`&JrHaE~M>9Gk73hc#COlZKXB_}5|$Z;>@ z%!CF*Y}1cN3?e}7@DE1?Lxi{<8DtMm)ZnAG!`h#9m3fhBPLEEw|3+LC~Si5k^EpN@PnCTxl;I>L35{FNnar>{zuAJ#FojU0p zQUj!}LBqMTZP!7=`Na+zKE5Tpd`3F^EZaPzeWy`<RC>6HBy{re+L?3nl>8RG>^$Nv(kCDOf#!}3sl=Q1N6Z;Cal zhx%KiJnC<%^7E*lit?zx88Bn%4+3Ue`lCCttL7H4Cgkode{)aI&AH13EpxKQ>#+u1 zVjsz+LyOB#yZbSUVW_t~+ln-Ca~~GZ)a@AV?Bep`$%D)Du2tqR_!R=pJTQ2rjDrNm zxm&u<6N4F2#NbtE2(TXzaOS1ME`ecQ+jm{IeSY!4fyLFuWzM*W!3?MzL$LHV>2aVS z(m%!|@q3-re;*hkb?tT8HP}SWFP@m0U0PTLv_(8 zJRCi>wB#0~_{4Fvz=WI199FV#XSM^AuO4}N;rQ{zfB6MBCll&$PYG^L&lF5Q%=D1y zhor^n1@wMI<~PATdhUWdc4gLTyQ}2?j@!OD+ceX0Ej)6!36HM39FLy60*}7C62jU) z=BAJxxXpMhx&n_gZU;n6DsO=-3Gca~l+P}@K1Ay9T({$djXG*JAg;-4&u>uPvX&cTk#mUEdt09$m14e zQSihu*OAH-ZTBc19rqX>;u7M~bx+`N+_j~{$>WRekUUQd=iJU`?(X2T>+a;U=l1d0 ze|LBBIdJ>=EZp6E4&6O6-01~(uVks@1c@ikU0OT&;ah9eAymwlL%xp8cDwEhA<~JZ z#W@kWR!AOpn_RXn^jcoKTNQNOhvoy931`xttrFX>f1(Mqg325jQDhtkv@|Qn{A=h~O#{k^@H`Kr z2#%)|9dpOdU5!W2U4uv8Ihn<*A%}eu22P{vS?H52EKu^&==1$-t+E$>Sa}P;of3D-M z!y_m6&vjMOdhU8W`ffK2SOonO5I}|gB(y_-o`OG6tx!S#GMWVFyQ0k_+solTA#xMh z-_;{-rD_GZ+3K096|AtddbVl>JIq!euQU=A%FS`MfjmaB$>Tu$%R=kCCx@9vZ(nu9?Ae-6NZP&RrR zDmk#xgJ?c*`vhEi9?C|qph;k(4l)6COK4aPJB#j%?xk4EU;0?)V*i8_lVg~Zp${SYvexPx?23A`Ox``Eg7$Lli2uiG&mI+dSv+%U)}wz^<*K`Ay20riDTD^?JfO`-6(|l zrk;5+4=Pi5t(}S8E}z)n5Vt`Yk#sy$*D*=%^tvf7-w)e4+4zN|Bnt+P4gdh40TUSd zs!a6(=?n}20QKJs5MTpS!3J?ufK8CDlGZ9Tq!;}RDk{%gj}-0c5aSxmn%9}6X+ znr1xLu=8e_mTE*LUJnU#aqFgT5 z?6fm(a*RN%e)Xc2nG8%vBxVmDY;swh@L*U&*4*~_s_f;y2pWa{syu|W=^`RTo0)*^*A(6hq9IB6$oZYNU30hCkgaT$?khg$SW5lOoc1xOTmQC_B zD_-U$35=l`Fjzdamnc)lIa`DuGF;)f$yUE(Q9^;|>v|Wx?Ho|+#5{Dv<2TWVVz6sJG8sGUkf zo;g6aV*i;8qeN!*4}nni$8iLvHcB+Dka`GVJVW>FQSM-aX2K1+#ek7wxLW&9_TZKv zabHXIiSRm#H$>*OKi~WM2zq~UmT5K!bnpNX_4jlV?nn{hMTx4hx@vPb4=6?nfH&Ab zh=tn1w}TPQ;7m+>?lWgQ3Xj3}-sp?--byQI{1L@)SP#YCLz-C%YCq8>C9di)FEE)} z$Y=(HzxKXJerCV>m;iOOei5nI4lGeU!nk^ zalFpkl{5etUlvLQsRb1hbf-s6u+NPLf3WeSB@&Qc;SHRZ&0~(vWqp+0(k}9XeRPl_ zk?tY7FQ&fJE*r=@hY&^!8k9CDT7pdy?~)2Gm-aVliX-&akwa3D3OuD|#z+55d6<3jg@$)vHvRcS+8UoFt3E#`z1RxGH)CLgXs=+iQg4WgAbEPATF>%09>h#R$&(4DSz>y8uU^IN3{PLwl5kTTi&^8lBoh)85F`J8WoU2`PenvQ>}+ zIs%4#|3HK^291QuTqK7%6*f{Xr9d!flrjr2YDyOInfCxXTYh2}!v8wRTzI(}9MUb$ z^>GjdnYoRTpWq2LyuB)kx>3vAh9wNDUjOj$2{cr}To_|id2>wXWYPf$nqUCRm7($* zZ-fA3pYw7o^>+*)5TG$ek~>r&HPX9=0Ni0Cj?z?rCfI#9Rl$GU_WLcME*qb1(d*yW z8%IA3UbOJexd@@a)I$#9AJ@FHEH_z)V#;-diL+B!ey)z<8|jdih%l&Xej!Ql( z^#Ct~1bTJ5oL(Pyh9Q@FGZ$jImD_H#O4`zt4f_%nl&J-09QgxKb}CkHa2}bf1GQ50 zsv1SEdqYq|NHa=z;)HA)i}nwuDstKK(n82qmcl+S3)6d~T|AjD$98h3Xg*LJPOnaaWm3ahNXwjOV(Pm7$&l9G>P@;p*3gGV9$%b?7fF(?j8a zupkkvNcRymjr0VdXERl>qANAA_-I+EjQ?ZDg!u{YU=E%yGuRXS$BHwW$S49jRlFrB zYj1%8QYC{wy1~wqakq`1RoWbR`jX6DmB$s82v&>!s%YCHO$v(Igah{3$#pFjlFPGJf*>P zMRm*wuocR}!|me(cgx`~P8aM;TBa;NL;JM?C2ka&iJxXH%Mkd<)7KSCF$a3Mvz6yPrH$7> zg_mx9TMCiXzrFQw(_f`mZT>`E?#6!j4yFh41$R3QQO#1DS=D*17~c`47T9ponaLOu z;7Vfd_nITE8;_<-`B%f}pa+Kfut-V!f;pA(l(0(+7#el0p?rG0wb!5Uf*s-1ggDRL zKeha8-6b>R6^;A^4(9IOh`HK-v{BSN>%+_ev!CnW-cEj$)%W7MyE6rjWUC@2qh(2H z6V(&A82=}+aYd&_PcV#?iM^Z=i3M-q=LpoX?v;j-*`eRB#d_lNdg2%P5i8}pFyT|KQUl-2%i_cMc( zqlFg2AcKDI^p0Ht{A&#PGd6>e>I}md`-RBoUT@{%~m;q#k;)E>nBtha;LXPoIRnZ?HN+L?DO zM(FHhiKDN%pNt<(#!apnz5?$$CEXTSsgw4c}(D}Dt7Ii^jR5}kj%aOrleaeF1(XSIds%B^@%SAeH9 z>1k<|WzsC0PYJB9Ek=_5Rw=t1WE82}>Tp4rRCm6X5L1IOVoP|(TUqL^2zY+*TrG%I z(}Zs8@@aA8?U8jt2F>K)`2>%IQU%2_!v>wJ2}r@xf<-OI(1L(h$uhru(MmN2ez_jw zIkYbC;up7co=Q#xT%5R&OGBn~gYHQ?aYSGTA;!EambJ!s2y|^Q1J9D*8{Sz7~!CeTPOiaZEx89sf*uaT67 zYza&D6!@?vNrSlZheHzR)LJlrL7kCP)O3J(nAhR3k=)yq^Nd?OFQ5hODiSjiQu}3y zV4utY4VsiUQ=uoX1LQ(=9P(OdZgPBHQp7qs>diRYBM-9hDeqo4N+^xbp1pgT4%Nzt zr4MwLQelYr8*s1d?=(+T7rc_26Um05d}HAf`riX{XxB@JP+8k-eYBa(ef2205P@c2Rv}J zh>*`w^8^%+cTPZzWEwmmo!@_9fX&Yw;warT;F!bTAN|zlu98*I0X|P6G4cMBlgSY5 z@i8240!k>zsT`lwzg-PDUcGKQy)~Y$#MMKF0e^piKG~;~W=VB>&kS3X8d0X?4l1v&p%3Yk@Ry6l{ z*thngl@kLs;_@CZ5G_@1)n@`-g^wvnkvDH%Zz;l7hU8Xhiuv2$zi-zF*z20r$G?@E z2zHCwnECE_jo(f76o>D9B4^lj%0Yf&rOcXOTf=eMWwRM(p+sLHq-v2oadGFuL2*pi zU!e^P(+;Nml!E~6LF@arSEJDq_6y$sT_GrQ;86RjS^pouk)J!`g6{Zk_}WC zPyhM+qgxA{xV|jG6a;EW+ViY{td0;HePp&okO3x5|E?44*d93YiJD8`77p7ImG_x2+`e138pRah*Yw;Gy=$Ri=>xM-J#d_=7 z!-R}s*fKfOzKmY*k6=-Ta7)E57>yS^7~G!Hp&YTbKyKzkG%iR@S`66`B!b`T?ksdI z8tICqIUhposcC{rPBegifiZi8DZN?KY6zsK4u3b+oPiWt*U$_PH%o0kJPG3T=(@sw zgr<_{fg~J5_M0@zu4ZZ09he920HYFnGg1%* z+)TDu?gUkkR2I*6FO?)np`c@)-u$22k28B83|rUppNHwh*PNLryKc2O_xH_D>D{i< zge(ff63@~M;8qw&#(xUi95Lrx*s#N?5vqUU0%cO|LY;zfl(yHaq(``rSk)igMNH61 zg=JY2A~Y;&%7!})1yoefoar9rZ8UF`pg&Z9@@I`7QmO_uua?v4k*^MK?P2g)W%!)N(%l4U3EEO7PZ%h`$~8QC88kqiW*i z3g{rSH{j&|aVJ#rzDJ7JO*d@0n@(sHb5c}q(*H4uobs*PDy9N5#>s^z0lwSD3WjtG z>%!^4IfNQtj=k#2B>GX&dZd9I_LQ*w5Rt3eW|ApWdj{YiY_J@O(pV^Wu-ZuC}4^KSPS!7mE?9gaBKt3er3 zHN}87#R^0ygoMQxo?+|cSYKsil562FY6VX3m7R}rN==;b0BTG`bf?+I@EO!5UErFp zE$vYY!?V?aI;r23KCHms0S?-*z2yPXy^ucL#XHf2mbE>h7$%JkHaNKX0?h``d^ZF0 za32B3g~2*XEzhqu^WAu(A*Wti6toH7dKFnD1gwkX~wAq?o!PQwC0h?#U&6U!$DFQA0O2bN+wS} zq`OZua=1cdB4O%~v1rpKDKGDi8GL9=>RM#yjK@Dm-E_Lle(Wsxh|bG{x4R*Vp4I~H z+~gn;Kq}YbBEqVCWW^d41v^IAyHgFuYaKEYHe{ko?x&2vkwu+*>5@^} zbqRa?Tc?DJ2)7m2wD71wfUApMbNRH`_#>`Nk@|p0vxkP4?E>SF4Z;nt@TC_NXRshW z9><2z1SwyH8XVk>QLzOIHgJFjXZkuTD%Ifw| zB+JS*ac!#nZWQ@@otg-}$%PS|Gr9Zj5NhHKJp~uv%*o+#2m&uKdH9MO=d4RUMX-l5 zRBoc<$&x((DiZ!-c`>XVX@X0EGK+mG5I4k}I37la{iXOGoi=IO9%#H_i3~P@NmVnz zCMYtwzs`K^45K1(2Kp-lg_{M?3!hqiwtTWiI*vvZZp~N5>tXnX_9M)uwWp^i5#2A2 zctl#?ot3VMRfJ=#u2Y%dg@wHlsoLYyQdZ$@b*Yu&;z;n#ow2)Q#*h7ESQjPiN2x)boj+qx!-hF2S zPQ5sj7}#JL&y&O<;fkN=LGpXpl@K4?djpZqLu)Pjy){pj?&>*rYiJ3I8d<^KlNHR6 zEc-MRmHOv(U1}0BB#n?W3wxFglv1`;j#`AWY1iuI9U~VmJrMMQ0iW%UhiAP)g6)?! z2s-pm>7K-NiC3YvSd#rnacRS69cuV(-MbW71~tgYJg&*>LhsXn!XepT>BY@IlCK6z zBBe_-1ERPa0c&n0kGLv-6iXOre52ZHV((m%pl-yy`3Lew3U(+`(aHI?Z8gd^Q=%Eq z0~|O-@90woi-0h1n$|3^=*YTi`^-0*XIOrxWVpOeUh+bbdh(r1`Ho&FckJTylTnk% zXB$k7Cq(A+H<`7o51Pi`!{0g~K0^@s6;m^$oJ=ew9hUIvZ_}5nb+TsPU=OogqICbP zoW2a*wU&=O&6Y84w2lbcFMotP7<_mYSU0IVKG**Qrv;i#r)af6?lfDg7ZwXsm2+MlA*btzK6r>zXn1dyot;$NWBMxs`s`bBP9?7RZt8t~xj6w&v;Mo2!h^%Wyc zVOq-76%zWQwtr~9qXjOlW%Q#(kPN*^*DCs$f==0@XPry;-kNCi&z1nD4Wgv=h2giQ zh)hvt)}%`fKg82@A-YOU3dV3TQ4+hVrYy(hq=|sHvBEhiT9A-7YZX6aOghwUtC%dv z5%XebJBMgEpXDR#AE77MQ@!%Trgs5r{ZKeBj5h*}B_vG??wBBu$@vp(7~>XwbM4AtSsa6^J^lFIN{cvG zxt!uuCM+x<_B)5|=#C@lyKs0#^+`9ypbsywp$-;Xo|m7)Aa{C9c||@A?K84|@yn z+b$qUCShDt?`0}F(wgcgpUOw5*vg4*h=4MPQI|dw1Ca8iV&R__{qR_ z7Zw+z3rosMOEz1)B5w1+BGO^65@$o!XgFa0n4y4ATcwVswaaVBptuxYf2Srez9aS= zKJavVwl%iEsmtl_=g5wtzSOCH>iJ9i^kgKg&M12x+kHXL(!z?5*0gKE+RD1#zWe1I zwJXo!Xs#U{B&Q#WoJZeNzXw5?-iqltcK$g)tQUZL+O^A8aV4@!xGOd`V0C*U2_hY| z3G?1SmpxWMmZ{EJRe9QC!c3f$g!0-^} zRv`A`;3L2=+F4bu`6+)q*^;@A_iYwrW)pK=F$+>*=J)I;#y!2PC@X)Mz`a{%D9)-s^rP}^h^qAWuHA7lj#0J# zgd3G!8PCWMZ%Bvi- zY`!1mA`!ASczyy)>cCmJ9OeQrfWd)?{wkNM4nnpb>D$+Hv?E5tSex&9IOgljxgdrvl zWEmYQSEQl|mI{qL71f01{V6rSU z@U4X(mW;u>*i9<&3QcL}Hc`&#%RODuk0yA>)|%?`7|^5js=Q?W@dc&q423y77;H5@ zw^3iBLdIGL9C%$uXu@xE6Z~wp!w8{7eX#zuxQ~QI7AGm97i$4gA^Ik@yuM5tlE>w{ zxJPuYUUIDq&apmuSJX23&f%A#nd9)BDz5+?&#!`_S@a~i)A|kHp7LFeSTxZsO)e(n zn@2 zu2o*!092Y7MP=^>vS0JmYr`KARH ze@6&Hn-sJG3ft}qU9s#sGYqIg;x0i$K1!s#=gVbXU!8;LW|cH^wBvP)5OSUnLNmgL zJp#en^hrqjz<5g#rd>=>*)|Auptm`5H_X$tb zhNz7#P8z&yxwId3GRv~1a^AYn2PjCs)*sdK*1!h`Cmfj816lG+19rC-d2JqYyaW4k z@e%x7lAm2&P3dwRAij28cPd4|D2|yAJ^k(`L!=*Xh@8my03JJm)Z<|=08o&OG;?ry5-?(`aS+f5Uvw7 z^6mRTryQ5;aH-F z*OiAux9bDb2Q6@tYy;7JPJCmy2t-nKkjx;1ac)E2SJS8OF}i2yRbKEusCp6JJ=VKe zW0j!+hW;?YZRqK)GfypzP`sfMMnmPG=VKkwNsHafLEqsBMg>8Eaoky9i4n?f&9Adt z!OEh7Db4B%p)1=a;1=1sY0Ln|eT)_ZaRc7jv%tN3Ad>ZO-{n9d_Vw~|h6bG>@aFH5 z9r%*4oRO3gLQ$*|iI9z(ySv=eg~~&TUAA;(agiBCPeph^G-igD;Vvl$Q(B>5X@$=6 z9+R%NhQDC(zMCNeIXq~L$euPWp@^u3oDVLkVxroeT3gy9*nY zaJV|%R(DBuVYfaM&@y!`B@6$2uF^l}AW^GrAF_HPT*!>#*|DEU&L()!=0@+raQQ+A z*+--_p#G$>EPhS;Jm3v8GlRaelHQf$oi&&AzNv&MoP#E~-pDvXF5r^sG>m+iB8X!3uDS zCBWsHO(t!trU-_5xH;{@eN1Yc2H&3ELj09!835P*RoZyt@FBd)&YQAik!nF2()umW ziSz8m*xd0I=yEs8Nf+HpRAO>Iw5 zAg*S8iE{ullAKds%i-bI;2-0oSZu}N*rXIg#rd~Mpde-qvGiP?F)^;0dS;S$?~^!u zl?tkjFVCmjTp0(mm;hcZt!_VXsTsO(Ymxm4v)^H~>Rk2W_RhQ!K|CgjCQ^MNhl((^ zjc1G^Dt?nyDEG3irY_l{)*(5)-CP%g&5OJU<>RL9ml`_*tuNwm*n1OSiuTg?WI&W* zwVuN(kVlopKzzIRu4lK@xHYpj$gk^DB+d+olxR++O7x(QFe*1@bj!eH?OPQ!HqmS&o9)LcE)toz`8}pir zMXG0>=sLDN@rCHACGe2NcK~r@E!2+56rXMw$ZTq)Q_R&Vr-Rw4Zcg#=KD(2Io|5L? zULm=?wrWe4aas0KN8wL^5+s;M)A`lQ#bI|pVz^os8OerK9Az(T zo7U%4-z8+x`=c)=L$zU%?w!}3j-Ov|q5u1i^`Jb|T9K)!V|NHmUz|&)%*PffKEvxi z5Q!}bAZ!PksTCH?h<7G*inM*-eC+sY^d#7^LR0II&cO~bcrD;;+QtPErhacB=>}Xt zEBxhS`X&US3#n)gNHn*yQs2o-T-F5!u+w; zF1Aj6(gDYo^g~=fn<5V*aXbMT%j=}!6;yq+ZwT71Dj&YNa96C6gNYk?g!PiG&ee+i z6oTDT)dQ!!7hXW{gkWim^}!lPdrO1wu3Zsd0X2t2#+JU>bwAaqvu^mL78|?{UrR-6m_Mxap+!*I#lU`%mJm za`9oIFjB|SMVW87sW4GhVEbw1{q@&m@&|}~#RlgV&qH+w0Tt+ji-4wY)DrF%@lYKL zL;h0e=-c-&ANe(THrm?-hZ?O)JIRcWNNQ~AO2a{GO< zZZ|j1Uc8RQ)cE=Ri-@BT@TzZs@ON&}-4l!ol={KLR8Lv@_5%~Zu~1PDQzdAJNRm|( zRY8>OBHwS2#u}rQMDW7j9_>wyo~$w9u2u(Con+VoIK)fIptQ8A8MW+Yff^sA7HYZV za}Z4rgqe@MFGlay=pKhY+J{B0be=qk)~PFXyWdVM2Rw>-UFQRU)GutE-;}@g-{+Rd zu0RPN8fk}++oG`Nb|ffJR%t>MZpm5&6_VVvYQJco-@XlYBDH^+V_mOimgPq22$O*D zSP!TU>|!1apPhV}x>g7;abWTk<4>`4?!n`|o{4~-@dUJFL>xZ{0j?Tx5Tp(g;#+NmvglILG;n=mAaFZC%+djzJpC!X> zla`%#sBDbUSd#2$wb6TG;a=S%W#TQAFubHM_m1y|_{t;!qA9Ww&g%Pu?*>+@A9IlH zv-Kmt;C=hs8x3jx$R;@>c~?*{jZC}f>OS0Tea`_?!h%id_U*B9SB&0ZlEPyNcWt{4 zrUtXV4$*(yr{?|}3p3xl_N9omtdVRfTlJ+cPipuqF^6*UBS&3aKHCC$?)z|ISJ{j+ zW}_XG;_ed>aC$}kS?o{9KKWhBiOA{F&FLDl&+joe__l5LAQn=S#H6kJ#Vm%(uHRSO zVt>aroAWW~)q!Dd8Isx0kU{Ex+V}ma#YbvkbBt?jyR>262M2*?Yu`m}Z&a3SkM>3B z7nV)@)-JCMQ{uxznK$HFd4BO%eD3-&=a2^$E^hX?0Vs~rrU@w0Vd1cs%$4+%gS7^q z4=ky~r%R744anqBmAJow#zZa=`j|>Z%IT?u;Sr4L+8STN6dv5g>*<*5xjTu;)hcve z5$IhpGmJ9Ki=F^XKzj9WeOc#~MNC=5BV4AXZV0rb$wAAZlYbee5|^5g-E7U6-V&<^ zVCoAb0UZ@!G!1NuCY79KU!i?-@Z@kTF2O79aSD2boZb9zgT79BuyzR|Y2NKs!5Qbl zDq^KD-#Sb|!s7x~6*GPJS}yqaij|J3&Zin8*dHAD}CMvK)`0fNb+;ZW5mdj4MMZi&{916nS`6t zTFA(ctd+lw*+-wk2?dY*gL?i{vr&Z4Z7-p(WD8scRcsER23?$(rabyETdSlt?+()G93 z46_P4U4}UcJ{jb2IU8F`fWm$&cylI8If*3mf?yiv4|lj+d;R zd%dr6WHFp8SWsv4ih z0QAnewYC5wJt{8prE|vr430ks{pvB;@0#%7W9&VeUW!j+nODAOh0ty!B?8xg21Z$L zc;wN;;+)ASu$2=EDN(9|&ZPNKE($Vq^59I`ys50W3)(- zqA;`w$9zhOcKvrb2?Fg6M`T|Hm5k~z2BcGNHka1ieL4RxSz7}?=tU;s^kpvf8X0iS`Ug00y=@w#?}Bz4FdBos&}KegnD|KStBSt(Z9C0 zj|neTcmMz>J^%pqmk<75`wHkut8WCw{5PiVH75BbZMG26KtD5jXs2`?A{{$fy{}iA@1pt;=005Z(M*q8h1$3q&+|6HN2UqC1PE#f|{vI&&>-{pBi)$J3a0RYhv|DwA8 zuYCo0rrkFERsa6iGe~{4(=>qv0FFZcH*b)Cr_kf}KU8>AlYi!|l!WBd000GmS)%;I zvv|ONL1yOvME$=+djNpuzbSnM;0J>K5AFV+p#L0reKRNqm|Y!cT0tEsdfH|)DE!}p zO*?A_#piyh`#03U*~QhE(e0n`?K$4IkH2wJ|JH=?Kk@!k9$cDW3n(!gYa=Lt5g^Xy xtmw(YZE5e!;w5V?rYy!Tt?FQ5q|C*wEyc?IO+&*Klb&72v%9qi*$*}>zVobcr%`H>SF_>)$coK=RM?J_+c6Hdz}z8 zmsHWvr6<$UEj-vZ)+>^KX|n1%-`?wG%NR?+12h>ZBy<%7>8FNV_WI>K%Lu`cbH`N| zFwJ6jUm_25%^cYoSOz)kJ3SO~P?79ae({ATH2p0ns>iVpeS8urot_TGk1DNa!jHpT)Vw)&eTs zM7iThI49nk+z>WNnx^J3QuuWA?JmetNB%!b)iED0M&=xupCJFYfcpOxFglASrwJbf z1d`x?7tr0wnbE@B(jmh`9m5dg1QZq&Hc4`UB;uMeslPB2^bC+!h#yucqKr}!;fRt* z)F&cJE?(Lt*9k3IB4YdG<@s{CzU3u&()|J4@tg5`$;Te4{f;nI{e{`uJGd>W{kk({ z$;5CalrZh~kO{38?q!dkX5=l~ScEuxU>M3kZ@4>c%NnE2NYMFGekMep=Yi=Mn43Mn z;EF9vQ6eb>!3glInoQ>WDB|JOHZ+c!6M!DgmDsNBE{+zeXU{|u=wvTmBGH%Xk@3pT zKDV9FV?mz*cDcL-_)`FT{hwnbl1%wJtDa-=NtaaTehXei#UGNWs42NWnomHsFpMR2 z?xl40T>QT5*pOdkl7=aetN7{(^S#Ry^uB419HEH);sKm6ZFE(zPn8c>#<0owChi^Y z$8iA1_e`=?&AaM8|9;U$cBoZ4BmWfkG53CMewWg|p5ya!i0#Vk9DCcM3e9wRUfUbCD4-43+LO7zW@E0)i z-E|4yS&pM9TIe2ui}vUU-2$N|G({^fq}7^n*Se=L`YW1LwC{Xl%R^vQEE-+qYtX;v z9eOAIskE6;s_6n#&95Mc$K_wj++t1gdQ4*lm;hte3NFPc;{&U&=50%aisTma>dW&Lfod zmbDg*@tWw)+Rv#N31weQ*mEq_lx<4olqM4i$NBc~>Eep^tn=4J>m{&WwHFi|8~BIx zJpex+>Cti}SA^m|Lb90PvMdPG4af?W*k5FB2Y&l%I%;!3W|kxzf^lxZ?TBPl&X^=l zleD$=<$Z{Y%0tb^O2I($vN{jF$`wP>_I4wg0UOeVDDv~`KPJ@crKp99S@gNU1U~&O zr~B_!-M6w&PoK~Ofe@byt>oni`EEp|OqoRe#|%-u9}%l55{d&V4LEyV?UL_;=BE^T{=9z(j^dnaGLC^3BWS# z+~@U>$zp99pgWJ<+OE9Fyc5<%#&hZGEAsRp;tk&cXLBsz6^_i6w(bJ-EFv&zE(%tT z;8ef4obf=hsc8VQ=7n1Rflg{*)0TNqtr40|YcEcHt_|Q}>~#C6Vv4;zu9ZA!6?-~( z7iqYQj_`s_J??)?v>;!dv(K5f;MeByVf z;Qe-D#2_@nkJ7}yw)XtBJA_0KE_Lvvx%XDmm60>Z=N57xOA(~u?F-vNt3-r-;ve7F zeNlVmDSCsc>Gc;5GcM9EXeUZR|AxiV|DPf>4ZfL!sp7>&fd(;L;?@7ao%vvN>W=xQI)Y96>XDFm%x*Hn%Nvk@}|N zy%EKr^Vh=BEj;L=J7nsOl@$78i|O`|e>QiG9yG1RW}T%%v0h{YKr8&!#86JNGhLj~ z)?-R+amC3S`Mq|!xJy7t=&EijA4mp4!$2cM&&0ibI zol0Z&suSdYz^8mx{>|&0h+5bF>{EqH*o6VnkU}P&xh8TJ1^C0r*7~$%b_~^4MYtnz*R#$~9n1unScq61x=z!c=F0 z0qYQ`5aQNVoX$chhgWUNpnz9HOcgL{t|f$iPp+JLde!-) z8v2!~S>mF;2427<9DR`qfyV6g3?af7X0*7PEW>`I-xn85&8ryYGM^w;!anK4GI+-8o*Xi%(ybwvpk8x9f+!YKu&(k z1oYkAlZM;XZjsuqQ~Hp8^j-k?vlRccMUgI=cWH1k;N2(ai`~x2xbjU+Qh!{~e@4{M z8~&JtggX;WG&={KVtR>H{>uI58@1Hco~eF)SK)$S=u|N3(-0yk(J&yrFM}&RA7$q( zmrrR{^jsS{0XBy^3|i`+J;vh7zSS<3RmwX|IW!u-j81y>tcM<4nNkN zLihj8%{jr*k?KZqRcCW|$AEvT*PR!VrmQLt@Cc~8g%;c7GL2@oBc}U20CD#OmFmkm z-%$Ygv)g3GiSv)1KK0IZpzD>(Q%;y4sbC2QZR0>Vj6`_JnZ)nrP=I6*>f4;*+K2hEaNo+104HSH$? zP?o{nbAnBaVogbVOpC1hAMBxnzqcX-`sqD?NAGtDIs{RTlS;TC2kRNlyG(2WZ@Gn2 z#Cxe9RRedKg&mHx?Jm59e2TYJBt_Jl`}Uaj-AE{HLH^JVqI)=1|8z)4103+W52=M& z4msR^xL+=wPx>7)Nzlk}A)V&yG3P@7S8@`_2_?NPiH^*^|CkBar{CE!)R+f(Eq^KW zU9eFDbvm0WZjCPH0%X0&%XepG5hayu6BrE3YwHtc792b~)0&CBG_t*!N|SS|HxVNnQVxcEBWQ;WoHl|VPfi$a9(8b!j-o3!UD7O4$L;am~@)Z^4#$q zg#*0CzcZ1kO%j5enWo%Hw}hWR7OY&V)}+&;Wn`s>C0K2r+ zgai+ee;(=&i~G@IvDmpLS)~lb>_e2~w=82wRG0-7PQzse7(m#$_`%DWjkdhQ#|N6m zvu!z;KK)djH**20#ITJo6w+p>HHTc-lS-+K3P3ZtW?XAe%o@ivdvwF96Jrk4DVK3a zr!gl%f6DrI%d6al&W1Pl=cn@>s=6|@5m(q<&7|&P*PuV$zZp?~{PsZilbEzpu8Y0J z{#pEGCbw8iS*$jqi3k1nJ%URgc-}waUK6-$?8d7J!QBo}QFaObx@|ln<$i}Y?S$Wh z?s?vl;P1V?(l&Q$Vk1s61F464@OrBlh#V@`Tt%GsWj$>Yc$a?VdXR9VG_PL{*Vq?D>kh zNyZrdiek-6uUx)c3I(JR^|=bxCF?v#ZOsi@YP0KDAolRo79cbzI1C@0H$4aAMw+Mm zxN}_wEx#k~?sz#CUz`m+v?+B|+;{V& zhc5Ibn1rxiM%1dZa~SwNf>K{PFZB1mex$^d)&!5-a_@v&xvyXjn3P@LV_E*oysIfY zq(t6@xJ|Z#J-Ur0PIQ0CUT{80Dk+{4PReb;U|jWIh>L$%zJ8eB60{C}Sl)>je~?pt zoEHJgwjkT`uDPctvFDwcv>e=9^67c!C-Of`{T@|Ltu@)!ull+xTRk%Il-S-`Xr%)= zBB;Y;BJ3WT+>@eBzg9dfzZ?E~ub@3;f)A@qs|>5?aBS)FeyQyY-}|dkDJ%S=bi(fS zj;o^^$G}<6YPF1_i`y(7=7+KO)1^4_w{-&idtRSA@X<(#4;9W+3s0w>vJtd&jMoWY%+b9mDaUR?KlMtqoai2E{V4fx|2Aaz#i#u@I`ibA<#ONw*< zBPJ?sBf43&R4L%sIYq<$ zjc`+9Z#QnA*yJ57pLd~ZeNBE{L;a4Zj;WW*Y3iPEo5(~=;IVvtej`9mbcIN?nN=aE zWyt0E+oSN!L&l-870;SzY`-|xo6-RQV$Pu23OQFI+NP>%J1c8zkBt(Ym@!DsY^QGd z>ul1VzA)-pp02y1EvM*nq}J@CDz z{8d3@x=ublw(f@gFNcjG{P(hm_-sUL2UPRh@C&^eKdq+S`YrgOzv>jA$5YF|H2@#< z;^cJ`+Kj@#J#(92EJgIkLq*{2kIbuL6@+D_H2o$E!h=r;Gfn%~Hyih@4`vVh5m^qg zy%Yw~E|#BX+F(ngM0Mf6c$><~Mf??PI4mn8ed`UyPT^}F=;S*&C^AU^<5iQ%*F)7_q5{Km_P$Y$--3!aWM-gsb4Hy@@< z6C$oUEG~KX*yVf5+cB$~nv@I)jSEs_%l03SKHa2h>5p}9vTG_-i4Oo6rbe<9JDx|< z`jSxZX7zitv^M*60*xG?SZ%sT`7efUh&%l3kV&zB5%T3toN_-g4~=DlTL7h$E)G-3 z$j1kF9yWA43k_TU?%_`uo3|jyC<9W*_yf5`?2%rbCUX?tcpTPserE z=JL;GZ*6Q5{Xg%bxahql$YIJF|3Zs?}rfwD<4MZMqJu&&N6;8 zT5BVqQonh)4!kXhBd7V6)uj*YIrM z)x}A#_xakEv7eDcA=4oAo)Or8qclozGcdi>a3}BmCP=68RHwvEf;7&Am=dWldeYa3 zG(L!WrG5-hFH;{;kBBH%b*JhDh2~td_P=6E+8rjrFUQ#M2Nz2qiNliz3f_G4u zwgdTxifK0mieDIr?@{SUc6Qo|Ua@oYQt6{yK$rp;iM+>L{AP2V=HWm#wvduU#YM~d z_s7t^1ndx)p?T;4YR_V`J&X2DrZ=c7%k<^qTQNWe!)E1k{@$BV=axYcz_pbChiFwf zqlHGMg%*`<--%5KbWqZ~Uh`Y_4Kxb(^n+g4Nx~2+tt|7q`C+~JJ&Qd^neCQeE>Yee zm8}E#E6?cP{@4gJonuGQ9P~OTR3J(I>zZg8mR@q~+M*HKRXxZZ1QEzW(abs~gLG}a zh^TSewfQkKCZz<~%`+jw`wEyA)y<3qOh@&WZ38H`5JR#8$wjC-w3~jgaDDy8QbTP+ zH&I0<%b<#$YGmOL(dg@56l;~P3Du`syb1wtu7OsfX3wuHCI1N3(-z1LRE|N zJKj>|z0G*dRof`}r0j~Ph5scUM98RKCK^6G6o<&jp^rkE2+Zk68r$QufPe@9eZ?WR` z3Op^dlLfY-$3hg=(KR(?yXEp%0WtvbM7fD*2otTfxz^gfr6zl}3adB^#=`<6o<>@G ziiaPYvkqZhBKz@7`R4Hol><+d*0PqMs|3$7yZ-TGBB~%I@gEV+-NR6XmYQ7h@KZ7= z`r>f(aDeZ_bkcLeckC>u^2DJ0GYP zvtT_HwVU`F2*dJBA>Irw3gR zhg@CM(#5WkqcnJiPwqrWJY(GNG7At+;~JAv2zQdQu$M`5*#A0L)bGG+$63raD1ql~ z0e>3m(7OVbu{XuOn+5fDv1)+yEP7LI4IkJrXWLkeej>C0#^s}IXB+RgmQjq6G}qRw z_V`u6QRb1AqsbfhpViNnGOO1JSGIq%Il<)M9Se0QqHTc6<*^)4xI$=-2R<`0%@6Eu zf1Ax2;V^w=Z`FoEa^MQW8$<&K6#dj?H_rOFkds(U#<(0u)M{UsL*;C*s?jhW#~ItFmoWL1%`cc@hu;jBFUrtx72n z{4fJRU{5uDpceVt*T^5su7vw_l4L@kBdd5GFqyw94W{ivOw?loe0vox1Xlk0J8^vp z4Mz~=SV|XPQ_+`lK7bH&H6~Wg^5jf&^8V4s(x4RYU&~c2w3UhAM6wFFP0ryF7Kn zH<;$kETBg5(!dl!KHeF87YafYG{-Hg!5{&TjdE5z-vM&&Oft=xRT%UwHqPc1zw2Bk ze=TpMtbup>T)EUfGK>J)?jNBO-81zZhXq`^FYylI&ZOR~a4N-IoPJjSM|$24wL3qp znYXDHL1p`e4L~wZHK0OC;a2fcxrH>YJmy^t!g{s$RGe&C(6hT~Oqj@YcAW`fqA^1G z0*_s_G&<;#H)iB3=9xqF%5?rF#`LQxVL{f7E(k*n-%fGc{~s)7fK$%LYOXh8lZ>n! z4}$7Gq23EWSr+mgY*dBBidpL**&mYE`6cB1#6Oa1KY%Fcd+Chc(pBO#1}ir%?|(Mn z?hNq`oHm@<_+)ljKhpCa~con6`Z^zB(J`^#l`5Ki>Gif1I99~ZYIwRqi*lbRyW@R z`i{>t+jXu-v9F`Ej&!7emmS>HjYJX~W;p+qt48d~)On zGq~C?_B|-}q~q!)RQRRoj0BBd zqo9Zi4HH{3V4ZeGa*Iom)h&7EC4;QvV6XjdHL`v@Q) zCp`aGA$2u3S=QZh#2>{(vY3pQN(M;E($}e35wa`#lO#<7psYb^scjRmxB^OEX z02&b2bo1Cw{CBvlEN5f2)d?gRantn~YE#({UZb=#k03gaamp%^$ckW%)=$y+VU4v`?Rd8^Dze@6S3u*Z7qEb~zTBh5)OwG~J36KMmN zY^_~3sz&)b|7o75qZ<9KwI6SpzKXl=1a!AFYHsO35r0C6%C)lw&vgyMVZWF~We;_o z#B{YbwE?>f(-BQ`LURs5be!6|B_<-=#Eo#w)r_4fX&pLKIlK&jd;Bwl^RMH98{X0q*N;pZAlhbryQ0> zgEsZWVD0$qp*RMiLT{aBs{#?En|v1iW~fjuzD}2tku7e?3uUu8;zDh-j2RqW*{F%) zxNSPxOyUPj%u4WHzkT$?U*Qab_dO;82^qBbbgsj!f#T;ucBAB-`fR+KfLzMfocJOJ zm4wnpR}?BL*^Q+rLM~oKeKN!jM|bx~?Yk6TMH!2n&UN{+MmaR6(5Yj6Knw?UV66wIwG9o^S}om{DnheXPS z_@e#p3)=)!s8B%1qrHR^;7^qdT|u}9dz#|zia5$#I8Cevqw>KRfDFvHF3%YszWj*J#0?uzV$Qzc5qZazqNP42Mxn z2jt;Kvz{NZY1tcSN4p;$hF*g2Gx3U8G*=}P~y}9VWo{>D8 z7I!>pU@!Qgo`ER`@aXn`iYR07~e9fT*`ruBp zg0WsI+p3K=ot|f{2QN@@r}x1JyudY_zuqU;o_Zr0*i~RrR}!Iq;t`A-tNW?d>6IwB zOU<7O!>EN{ZZWsbT{=#Z$_`@jaoQMLPv#X)IwREIZKDyutcjuzmtG&kXd`LlM&0Hw z%;z8rzKU}J2-Z1e3)tnII(IXOk_G~M|h#x zs3X9mi759eYuPtCaF@oHIp9lZneoaeqO!_cIa7p{F%$neSnWc8$BP)NaFNZ5X{R( zm_xDZ^Fq~~4Y}ee4tR05C0F^OF4UmBpTqtDLgETV`}It@GTtk}6ylLePejTpDfka8 zon3-3K9uc$L02Yq7ILi-Fb2U-ee0{ro-DknbLN)zI2B~uMIh;6#?hspdGL7TNv*EZ z`US)6c2#b~FITFaaCEA)58px6V|JNHgHsm3dU8S(R+>!@Q-AVA)biIxZFET>JNohf zlHNTB6vEiCzVXChZf~&G?ZYnXz-acISlAC-jniIilV80Mwy=f~r@57zuit|VY8OHX z&njeSV~Ka9S9 z_?LeR9c9inL)osVxs1n)I*_ueVK!RUPy0q+g8g^0BhVRm;a@#;LmrVm_9T(qqmO;g zNhXmG*1aZ?4#uUjrQF!d*EFg9fYE2zO2&+#!u(ZUbDx5D_FpEdNHNyISrN=7ZI}d} zj_!H?y!!(16Lo}F4FUqlcGa{1sypRvsGb37WTrF<`?{u&An^t-1;Mo#$Zb*>sV4dF zsXpjirmm1;{KBHj4Z&cWVljcyU=j%Dt}gGDYC4~U&0}`h;vklWJq5V7G1;vZkD&$XkTk?+A`vx}0nINo^jMk- zp!5U8rq;C%L=$Bac(z+C^uGibnJqR{=%pgKhV4l*XiI#fZwmA%5QDO^iY}_QOPn~~ zugB)w))BSITvMLnToWz;yIus{Qx$azdw#WGolw!y+XXf!&X+WBlldGjs3F@L2! z%4|)HRhG)=>O9Bt_M(obEn?6oUvry=E&LYW``sfGp<1&I3R6WC-D`-(`jTuo>_)Bk7fE_(CGY}|7;KFRdU2%bN-;R+I z-R3&0Q^AI^i;9V2R0ECln*+nyzk9;yl#hlde)%O3e;aVeThd^_C}!3>hliHuDS?CO z`IK71b-)1%ZHXBF!eoxWkMNb_LaAqX7Kna*|u%B51(j#3OmfgR>TN_0*>kbH+ zojN92PgGV2V;lJ?#(%wts)(hm_w%3K397BE0ku5;_$K28pzxS6vh(S8?q0^S zA~GiT$h?{%OnVA>08Rkukir4FQ_#AR6@d5rG1Xj`j4HpB8@GtBni~hF7)pCNgxM6!j#gWcI;?1mLYckfKAy!pUV}iE{vQG2LG_s@7REbL=)$ z!6uZcov^7=!Odd-7Dne-7ZYJKA!Jj^PICNuzn(v8ltciM;Q!nLPrPnAZa%v14YPlX z2i}+Pdc6dPLL+Vc$CZ^u!JK?=ev(PWP%PiU=#o ziKpDf;x0hSTzODK?&7I6N0k0WZ+c0%4;iTac0XHHL8T{ z7MB*r93u|sI7#(T7muJ+RpxZX@U9a!o&at{I~&9`L-oimW5Ni%%_q-cXIMyl>&^)L zj9AUl$*F~U6_4!GwJ~YAU+`2ubdYLFOR{O~_N)N9nRmGNY`Ota9q#q`y_qvP+HhN& zPVT&LHT8dPKK824v~duq<>wn+d+ZcI&vf|ZJpr`<=gx_D&E$SRlO|Qc`!SdSx?O~2 z^b)rcWGMBO3!TAXzh8B%fjQMo#!o$Pc--u8t;R-F4cXnivWqQuhB)d~u`EJ45r6q* z(GdaYa)X@D7b_X{gjDNsT2^Hg2rR}hFz$Uwm}173CCD@CI;>^*=)>>srIr_`;Z3Qm z-T~d-D#HV~-1u6l2HoJz%xuo_k%x$`wX%Cwp}*Ofz)#+i{IO=raq%5eC1X-j((a&U z)UbYUe>Wp)FmGYm#flVjA&jWjR6hYTzZE_u>@{hvNRs z^eF){+dCk_7$|>VO_pm1Ej9Qpi^vTCZ;y8ZcMpyyv}( zdnC(xifHf@Kbhi&UMeQ(F?L>u*UDY+!gI(9%vWeg${uw6Ne=HsW;+>UC&X{Zv-}O% z>>;1pO%l7n-$)CKi4}{J9~^E^wzqSES*X|ZC_3^iK!2_n(r#(+v&CSFkxNy>zx(G) z(_yG5wA;A^1#PK8K(|k6c--4Un{tF?U9t8$^*AOC?VtE}92VA%c3iVq&;Xy##EelZ zx776S2sj_-I;fw9y;qCozeUgwb$e5Q<;$2d^c23GAGE$n4Iym1YRj_SL2kVfh3o-a z^8^LK?!=ib0Z|=RIr=Thas;*+TCHl3b>POezW^+BHd6e*NQ3gAB(YkysF~$jwy+tF zOM4n;$W>0ln%(*fWk@OgNLuc5UNH~oS~V9>%4BEYaKknEX5UP!NbT!LStur8=a3hY z{u`CC$HBP>-BA zt+YWFd(#RE-paHh@DA=ZR(Wf=@@!;{+%jiGzWwk%E(sO(I7Nk_sNlePhioUH zoDJ>{jGq4o(AGANd9O%9jQ;om2>+}}(n^w7QTcxbC=- zp(TKf8vDCJzc9fEAb#o8`O`vxbGIs^yH*s^d{N17-P|CA$vK6DdZG0(yJ!EEeY zqiwT`)#~uhul7g5GAz>gZcG%rclg)zLwgu1+CzOv74EM;b_4Skl9<~((`eIo+tjV$2rwg zpE7w=5aq-Dl44DX?NPM+J)bdtY5Le(p`A4ipj2nwlQOr&`69{uJ*_o1#kz+`yE1)> z40C1jK*8#lc^km`fg>PUX8CATu?8pklQoxSFDP~lD{p*F%b8i91)J~#7W3ESaaHM; zFKKo!IooL1%NRg^@^r(z*6aaa-CiKO_m?|(wh*No8MfIYdAI2YKy-)utszOK&e{snTY{DTqyXIAcUct(XF+w_lcS>`eJ82jQUe(-Jq+q4q8 zZP}Zj`8_*Rw|tqdE%j;hK;dbBp|umzaA;4V_v22&ys|i<_vvKaC$O)uub|Jwnrr!) zC#G5`C1}Q2er|=B5Je0%dspr+ESBI;MD}jwNxt7AWr0gPFad(zidn=DpeGk1bo;dH z$kZPLn1*nelt+1>coj1W;md}_GPI?%HnI$9XX+R0A?Ym6-1T4*vCo7>>w!T2@O9Q> z>lZT&d1XZpV(KUBfw<}Us@ec|LPDFApWBnWwb(%kn8`M;gRY-~h-d28@)z*#le_hr zh9La!{Y>swst4l5FXi$I+$s|`xd+1N32`1^)eT{Y%$t$<>qzdF!w6aM3UMBO8e-gn z^7NkK)yxR_`pI{fLYje12cn1Y`$9nYJi5Z%pN69hoid=(cWDR2q?KApCQ9AJ{gOmZFxK%6 z{ttlxYx+p}Um*M!varY6VSv%(4P)|QT(hgI!8urGZ5jMnjMmDSk}%{!XqQAcuO%pQqti!}>4xBTSMJO~QL3+3=5u|aQ(4;AgSdYKRF{1Q+CTX_Js&$t7-L6^L?GY8e@`rjJr{Adw|S{nDa%u>g#W|B|H=#o*?Q zOAr+JfNxFO7&#!3;ko_Nx0$Ynf-w?>Y<(s`OXdX^D6;w8!JW&$gB+27<`(wrIlach1M4K@u z3qn2I>(Ikx9&iJuf%3mcV&P?Qh_N6scj*ZZTs6Z!E6$iRzsWHpx$=&BrA)>zaL~GA zHoTpNy@#p12|aJmPY-$I?xf2)0Bh=LtdUW+8-BM{Invo>l{f*jN7jncP2w7#yPrLB z4^0rac&vR`P_N7^eL*JP!oi?KhTsS+eGhg)4rNHlIP3rq?>JB3W;#h^(=2I#rsOL%}_Lecq*Rebi^r8Z?S%U^`C!}A8iJm1w zzn-O1j&0{%@C&=-scOVB%ApQ!9scswh$Tz%oi0m-Jd^ zEdjXyLI)R4O&2cJ{f?{Kdn} zG0bKYC?(UcYeHQ}^##Tyo-X0X4$5jcHKTeeHX;FR41nVc)`Mr?1WIIdW&w?*NjWR! z+IVLU0abB{(yQg`Ff@JvopFhetJw}=Gavzy@m9ga$i96GYvX!&GR6k=NI86(<8Q>oI&} z?(wK%vIJ!{?OnS02QRyd{n;>JtFZWOM8C(y`+GvJ?a&Gz8V_>4 z7CG;Y>P{w2|asR!FLOL`eb&gm!#C$PW5JhpqiLC?)2$<)@UjWp& zKWDi7{TMqNO!1pCwAF}a> zy`FD-J!R(!dpXC~JL99@EO)XEw*lP3th|%`z4e5zo8xL5&|~OU1vF!p%~QNW1lZAC zdb+25Ya78|KD)ITqV%M9wbac^>ApGsC@r-`Yi?dyEAB83SUEUr)-Dr29=T+9DH0nT zoLY9=y#+|&xq(~mnKV4Tm&`HOrXl*OS>t3(NNy41jaUGZ1$o%{nd!LB)Bu`%0sZw| zvmZ|p5=Hvnqc!|G`FL1_S!v~`ivvX)R!5cZkM*Z3IzCx~Wc0reJUWSBV)mUKR^EuH zrn8zXoN@}0Bh>@aFXXLt9!HIw28?+S`jbA<)GxHc4O)6mfrsdLuKn&MH_X)??Py zDTC!TyW($~?m^^~UU{E{1JG`{lf=9YBGBNtwfJrw5vX=Sa-&}?xIrUN>AS@SVYy4K z4uE)yeUf>hT(;bxqi_1%fI--H#+ktl-kcz@0Kx6W!Z7}LbJUw_XE3BCX2ZSzsB6#+ zU-uv`C3J2KLxRK%<`?BqoGYXrr_dlSj}-&P(I9eSR08JFAPB|*ECF}_9c$np^KRYH zpj|V1*!klRxNEHj57tIuw_p+un3c|v!$w_Ds^1%1W?-k=e|Gmnon-3_PHJ>h_hiH2 zpE{M{449=W&z?+tY7{Fj^cRBPgNq*)xeVBt%&rv0TS-iNa1BK$R`%w+n%nG?RKli( zWPcI3 zMlpGDLRs+PxjT%%{(%4gQ=nfl#%Jj%#Db{+wb2ATfxsyZG4NjCPty2{Vi!3G>r>D2wkUalS^kAQ=uA zdP{ezxNFjL>eSW`vL34o^j$2070SO|lK6A$ev|;8cl8(?;s8%zhC}}}H}+*e&4w-;HU2h2 z;{U;E;X{Ys%x#Xwj_HCnU+n*O5%vUNtW|EUm$jn4vQAxR?d7 z-0%7x(+cRI%0^8NY$*bskK)uOv)OK8v=ro{!jr$#<#yPvoRIl*IBi_Bc+<&-Olfd@ zvHC06OSO~qS$xB^v-MdhNjMSf5e%(p&+7w%NG{2KVG77#+9(r9S4ro4S8@YLF$bYE z*RVfb7hEJw%D9qg4?B2s)mfl^Bd5@gn6~6o7+h(${38`u=hiYUyD$0eqP#?|G3K^J zjR}sa>=>xmNV1^j!n38yGIvzMcj98P;BqK`kxaW#xR#?%0^d$nY09qIMRjI-l|2Y^ z=f2=#o@pdqg#$lKCxh7-hbX2B)S^!diGju#6Of6z8kfWkwed}c9TELs zbiHL%9YM1win|lso!}7MU4rYz-DTr08+UhyKyVH2?ivX0?(PJ;9RP5`xTzLl=1b@-$4&-c4#71=3Fm?W- z6%rcjkccj%L=gGHJ^utZ4qux0ZnVB20~M6G>&pv>pPlCbzM-POcpuIWhz;~^c)D+h zb>7~*Pd-z0-U4`^O+E{R4)5ZZuj+2^{MW$~VP^Wd;d2o|gY|5fj%-$J_bQia_$oE` z3Plw6ZkKbkKQ}IO@MGp^I#o@mb7{KH8bjGl+1@tfc-dMz*$U&oo}}Q#$a&U)q6u4z z0p<*BF`aAx@;?jo-%v6x^fM}`^=S{rCP)d_zoO>x9H6sq+WVScIs1q*Y_)9OR(fg5 zcZ)Na_i9}@o?LLyk!!5%Zewz(Rql9P&5fdNI+JLS3mHCf8;SG}coj39DUMFRI{XSb zCbK9}4U5Vd{e1JuidH0HLZR6ONg?AXQtnEjVouX&y_Xv8>{GIPb!P+4|VmaNc^oJf)jP6|C^UH{2Aw@s->$FeW9?|MP(rN}_R+xCP`0%E=S9OEz30}qx%&jr~ zxVD=rfbCWCFa3JF)8?87TIRR!Bg^7(^I~`uC_l5M1z3O|_Z7fpA)}9+swBmA_Zw!s zIKMy3avjw+Z7sq$9|ci}@~puws%Y@4KC+oVC|$1YRCOu;;EYdzSgb2xNVhez?MEVw%XPiXdF;8xj`P&EMWS*&4`PX-b zKd2)U>{jpOe?aqtL2Vw=IH;#P_H7>GIH1MB!nUU{ruQ@^(0en}ds);+Vbn)O)Q3g* zaV8m?zno#{0ge{_v0p+}!c`PuQ>*Rujc=O4-Z!0|4ACZuT9}Q_w}RkSm8sNUj26`p zx>U~U*;VE`{kn|iRgfnEF!|_~5Sank`B;{aD*-6^m`4z80U13EhMX+0&J+7Q2iHfp z%S?t*k2mc|pkHw1gFP5qtcG7}ku*^%!89N${O5b{4H;`uG*NBAI3coF#?5oh5aT*h z&N;gh&$rxInv?3=moCh!Vb_Qc9-Q1rR^KLwz}(|ohk3li&y5aZ4W|lwShu(xAh_ZA z!TI6&q4|;dA^8#cVfj%zz&hYMptAd(JjS~Qnf14|K>GXF1|~1O(>E|*?Aac%EjGs=nY#zvIXD?W5*-! zfwc+~$D@7$3&F*+U*mQiY|AbZPuoqaWBjwvus@=~0vKJUYnq>!C)F9(C9*hO=44q6 zx5adx1ZCS9t&Zsu)SXYbIy5EO;W)2r&dV8Mvk;_1PCy@k-v&VUh#I2T;%Y+h!!SUn zf{zDa;o>oGxIYcj<(hHCb%&m7=b91Koo1}|EXUY#u{Pr^Gfvp;pK&&=W~>IBDIB;t zx^b<33d-=Hvq#WS$N`KSjvs{(0tHga|5Hq$|4U9G33&%z{QdR(^er{YFu4- z=b~&z6P+nt=$7vX<=i@xO`)yY6S}Z-kjTOmzoFP68HMS8!!$q=3Df+Bc7gmBpw(j= zEffY2OtZ)s-kr8+_qWi38zeu#c6Nhb9ZuJYL{F-czy;OfEkW2qH-WFR4x{9n(8P5` zoda_V|7YOXqF#-mvb}Iyp!^b;5Qxh^R6dAxeBfTioX8odR0LCmPy|0T+HP+1C{`=|8K2?=ic@oeA=NnAfaj4v;c4dn)H zg4sfGf@cLV_GlOq)DkU$pFz2Sw+9d#qShuMNs%PMNMe9`#(U^{Dtc^sPJ0M?vU+rS zR(tq*thV&F1h#y)Ah*P}D7H+tFt=2gA#TZT(QnzDq3e?}_0w?pE7Gw*jzH7} z5R&4IK;8sEnlcA}^twfw@7n`>zY5*1|3!os`4W_SnH+t>L=>?}>+hmH_0}1*NR4Mm;02u0t@$9Tr zc;cy2PPvH#MR=8zIKR6+C~@dUpJ$P_CDE8ulU05~SE8$6)-pA&yMG1*uIlx@RgKR~ ze@{4Ivyv=60zY-aXW9*p26@66>3#3FeaqO(=mMAX_?2}jV33FR^R58=r?r)ZPLkE} zm%Cl8CIv1_89ADM`w&a$z%SicC5>=Qw>pP^sG%s{u$8OKwQ*)bid|WAEVTO_P@6(k z(^#c{YT@CVS>F_GE{h9I{`S~?W!FpM90!IncEIdg6YJ#`j+^Us6@vN^l@kDfvm*pe zfH9YtMwTRp_V<{BW@`?u5?=X}evKr_dBO+k*CYRTjSA{h{R-ujFrWyd;S$C5SiyJMZB_oW#IIJ4Wz?iF2&aRFeWVspi$}ImWgX)ml2&Hi zaK)Jd8@5=6=fJ@`gSe1#1=Dfqd(7?ZA~z1zAUe{ny=fhV<(k1+2$h^ z275_fQ@u2URa-p(46W1-`i`tdkO$enQAE8@kYW!~>dF;xQ$#UrtoxiIY#kbr%eT#| zdP(S03s+#&D{}Sw_+sNLN^jzQab`d&7b+P)qv|i-_0I1LR$yGc+)KwD${GL3R@p8n z)3i}pspGO?+?rzGbW%>sZjI-fGfDUt@)>KST|OmkQvgE25)2A@q}}h17KJqDD)~&{ z7)X29hRJr4 z$Je+B0bShw8K3z-vL3_ePFT16rkfIXdCBb)^0R|l?jO|;UXD|8UnmUCGHC+?kcTG0 zk6`LvVfG=ZgsJ?)y!yoWQ@~P$iQ=)uQE0*G0vG}~l_1n0w8$C)F!C`*5DJkSz}G>- z8c=rmj2N{ya$|$vBjv}!Dp<-e0+ASgf^icgYc|q)&E;bmX34DtJMi?mC7Dy`hB_3> z$iMq@EQ;qOh@^Q^$A*f~qfc=;X2NV6p`s3Dyo*cLtmbaEo^$db zvt8Kdk6^k-Gy{`|_3n`>G(<@p>((?FTeRJz*`><+e3+R%6*4Wos zdZ_~P_|Ff1t{B1$a^rwLmMzPBX(a>1Owrq=BKLQngE&}MqBHEQJ^Ve%DXooCFWdF; z(z*s`ct){aX%d)>UPI@M?nX9{HA)zq19wf%hPiE?4=LZ_-2e-rk+UXCSOU`QDNkFq z|4omf&bu5F}onf}TGiZ0uNriRPdnEWEv+WNq- z{+pF*2H}1E|HDaP1&UG(0C0L#t_iUC^cvp&Cy+iWybup4(`sEh&rJJ#%P&=}PGB}D ztIM_)AZXL$1DMj7taETJVeb}}&_a#>Qa2-U-6i>7#WB6iBp1hp_3nyJ{p@70$$ql* zs1plVOT<26LzGNt@DpKf0wXK`@B4O)(McHjZl)GwIwbkUhCS9OhC0Y&y+5qr^Nm|p zNo|qyM?Ba>m#}+UdjQt9@huZ$a4O~?7S@WzAHNLH94!O?*)Cxw>Ash%XDm~e>vL>1 zi?oEk-mgM>L%oPXdPJ300ATy*yB5HT%W)M>Mg4b|9n`t}e=BR(gci28ZON_f9PY-B zLON#67U8BOW52}?s_g$?SD+f4FfwVqo2GzrbJ#M~4Nf#{D66y%J5mPZS(IR%eLKj> za#9ZXHO{~#Ss%#JRY|l}dnK~IP*S?k8lvDBkuS^nXSkc3P-c;W&B!m!5ZvIR|4|hw zEx<$3|9|^&`Y&pG^H_Xs5DO{XZEPa{t*P|^cr=h2)fpz~oC4iuu}{Sf~J#)ci!lT8Hum(6l9e9#pSwu@C6HHyir1j9NsCJ`B( z+?})$>hYbnol{%KoJs|pDsS29e(U4aI%QGcn(3sBM!;==!(v1(w1hdA(hOyY^aeBH zae1N^2(SGr7ji?7x4zP^Mu{pPEM$N_7Jc{ZBawX~_4Cs$mHGucO}}Db&x71umMh1b zv&bsQMWNBV2s9+m^eWW5B%iJKE0#>S{)-j#YQNyIRxR{|!P+pfdkVE;FE98@Zqe$3 zIk5*l@ei3+S(!wpskRsQ#>J=;snUOZpqKnr30`C@`HTL)xoFSMZS%xGaDX76i$--8 zKu9C{K^^Q0fMI^@oei7m3=37Y^T`-ybJv z;0C8DV9oZQpfwZUhsPypsw-fp$gFFU46a(E-4|D7O6U$4i8B7Gy+jwT;>S*v0>UFx zy^$*SYZh#r;vFJQafvL|-{Zn%ke#;Bc)uTfp&9@m}`%=@vBT&0dLBsN@9lciAEZ?ta5!y zUg4|m{$UvtVgb8>Tdy`Yopplts_}kW>8nZkcpWXHx?U>%C<2vM*(+U&!K9#VRm?1Y zBjo89P^11c^7p#0-wD(&C&%oS{VHx&lU<~en=0lMIL4UfH>y?y$~(h+msW6!I+b72 z052(f{x`5GAl(>0?(bo0mlR_p>hI|{!zn_&f`(?|WhxtM{+~X6q$kP*MF}n$9Pg$G zoEB=#-}?E$zhr>$`So9&2XF)&A%Kk(k_*&F`1xW0$#$hR0-hOw^6ZV`W9;+~f##QQ zmUy-JOJKIpZs0He(cBHfWJ)k`+o6s}!o9pXYZ5SGSJ zsc6VNYq^S%U9`2DCP^VL7Uxi@NXR6swTh8Vw6@w3aUmy`+fb=k$U5tZ%6290q}p~i z?xe-NP%%tU~gZ3|6|(teAc%E-uL;hg(Nb?iO}Ih{bIY zD_xilR^j)Sd)D&MRg6OImK@gduvLOWN&Ys}Mz)<~JVo|9uZD2GIBZ8xUoFRJ{k?&& zTo=9_4h_qdlaJPjyPrNDn{NeTA=RVN%#rTVnx4?^s||hU$_e+pM`L4bQ)Hv7zMWV$ ze&-6cl+N^uc})|Cf}K9duD#IC$Sw@9id6!vaVsu7H$7*laZ|`X@M^pzJhxq#dbGwF zvJ%ntYu=?DEW=9~i&dr970F)N{=Pd04+uHlkMhhm?`<5gLeaIzKIoksLUTae@i3nG zTKvZ_CloiiXxogK7Q8Khfygc&>T`XD^l$aG`ddbPK-%Un=@=-I+8pLOnk^iAXm^KR z^}WtRg8kZ+e7=v8nm7+h8zUx?Ip`)e6Gz_X*`zxKld4<6Comz;wD-ubk|(ZGAOQu^Ca&fLzV^oo~CXuz>*Rm;BJn z7&E);U{j!m*bG8fl)Pz2*nXfj8y|KK_AZjdgd+qR60B{qRr=JFVo_ZzycF^Xya=*f?(g&~jUMUnLZ)N}Sk>7FJSF1bkJpJx` z-WW?#X|rx?*KJ%Ms)&VH1>Y3B3OE2>>|A6m@)wER? zfMe52{$&nJe$m&nO{03X>W@%0AYpp(LNdyAlWx6P=J9;?m$%>~k_l=jxZh9c?2m@_ zz3xz4YlFi}RQXjp07ye6Yf5%n7;ed zFP-|m_{lor+r)jAgU8?n>4c0GcR4Dzau7k4+cF2RVIgLrb;gTpWlNG^-7<;5&atox z*f1H>)IOuYwQ?r;vS!&$K;%#u1iWh@AaW|~2ZCG%Vq#lo9JzS6C8gFaGYA;n3u%I! zx~FW9IBxet4@P~nF5L^Dfp-Z6zGsqoZ8H|YyH*0PfNEQLr&Lqu%YTJ@2K(z}=b)ee0BnMCpC%%2|Fq?B!*7|{dTwm26ND8gLJ*a;hXMG>5M;dN=(gyG#a$nm;Ak7xrpFi zH!7y>A$p|)Z1nZi@aE^&S_<1MR}1#EiTQY6Cy0pzg6yNC7zLM!nAr9iMBrTkLFb-i z$=Z<_m)Bs7zH8y)+L0@l*KmwN`%F6!l+3#YXZ7T1G+PMi|LrN%Gb-^-UXzPIlEZM zV)OOnvPYMGA4&6C%dnrny1yT8k_dfVoOFw;B!AOa{;ROrG%8D9!u>Nod(LUdA_4qT zQKiJ+IVjsCkB%?`xAAYL0wD}!?X4?7{i&U~Z5S351~`Rezp4&KA?GLJV^%6a>!R^P zhrtM)eWa>gCG^$9+juc{d;D4qW_daOqrIT1|5gP>0Q;VQr}Jxopj>?w)6NU!$ioKZ ziNi$6mCjMI>jjq2%lF4f?54&Gb>zzOb^0fhPWnC2c;q93pmlEY-FwkZO5JAdLuahL z>YF}jxVa2ev%9L+1GuuY*+RsZQ+J32!C7H2#XyE?d6|5&hH?g5@aY>Ie zNc@5FdtZD#c<~MwWR5khQWrYvegQSeV@ay>=QtLZAKb<}{%Koirv!yO7FOkpHR)4C zJjlx)Q6Svv9*wU44tEC+{O^U%5~2vB1j!7<%4w3W9uMfxc0n_G?g1%nc4m+kKuihW zWX`~R@0XpR*|(oDXJ5RwyYv3^1TEv2I+>o1Rj#Vwe!CW_a$Tvecl^M9C@A^RfABJ; zrmZ0F3LAuo3TbYas{4+T>#8Mzo)>G3vWPnKMGr}NTOhb!`xw>SY{cF8Y{?mLSLb_H zRT}$Oc9RMuDb?(<(|pGs*#NC>>oS)uZxy#Png1{cMaMnbXsXR>{N!*|um5=CVb%J9l!J>o+n;4B}p_u^|6JuWiGGGu*_bCYJBjhX{U|~&oTg(kn#{C0`plvdv{1?h#*N$RE zs`$9X5QC+HcbxTBC(vMUR*NJjNQ z(PALduHxETn~(>I9Z+78z!B75|3|;wv{S&4`7^@jz2)|P`8+T^<>sCmvYOCt#ttIu1k{rWE?y1xbpj%u18jO)F3pE_K#~uRFshM0Fv3DCEY@axdjDpFt!jG0&M=f+E+f>9kwCDJ?@h8b#Gf=kSmS)9V z+f0+7G&kOI$&A4_Np?;_z5~outawkAhOBAUl2FG2{9}jv>-jwXQ_~*%9In^1r5EJtvEe@3mITge zTw(F{sgmW_MiWEMiUVC+UQklWt4kHvqsNfvNg@afK81i;gUjr0V%awHQNrEhJTjbt zzbg46*u#W^9!#MHo%K*(hkqesrfdC|{q>UUoOWw-kFn8eNH4o!O~*Y6eO9MBriStK z#G6wVnY`k%*&|P>Cwq$s?5Q`3{6u*}?)CT-4x`Tn=Okm^^%I9v6ex}AX01Kp;qc|D zhu|W&x>m%d4uM^| zSa-~tP5(_?O7RGY;!Ff+zJA$8Nx%*_3Hs%{2}+q1)4A{A!nR#FjJP;@r6ZrXo!PI> z>bwh9@-}+-C6fQj4vK4z-ik5ion)I@3q@c(uUbIX3Db7XVUBRemb5X_wG#gPi_`!g zMvH46oYd|6Lyr>{Uh|kE*V8E}_6!C6Uh4Yp1qOGh)1r-?roWJ?V2835Kt)nwU^8#RrWdaBo zstQTI28`Y(I9|4RwZZRV?l9xkpgDK+vG+;cHAa6c0T%Y+4~;&DnDMU~Bwo`vXGhy}4u3P|8Iqm8wgt%yy+6kcRm1=kxJ+De|`FA3D|b=KI< zHjk88V1T~LbQs}@dHwtmfs?EN39v<2c|Z}<6ZCTTUqh-}H+}y`8CLZq-*ixq?%=E2 z6IFWmq7mb*j%9oxig#a!>maCyVaR8QdHnS#XgvdzXB`_{gx9S;NP-R;{Y!PhDa_pa zobyJH+k6jJ+nS}(Z6`{&oyO3rYW6Bg+euC+==;@qmc@RDk;yZErh(_VBd z4J=9SBWxMtX9iO%jn8l6rb7oI`KdjKouPGP`yVNS0;Wi(8A*FN<31Q|T&kaY&{2FS z-o6=tvOy?%M61QX%(lq?doR+zBqn+uIgQkqU|?Yp|B`t8?}#)HV^fp!98V8|Vb3AQ z_&F!4$S*GGsdk=>(oIYlP(3<{jA*d%7--#Ja+b=jBH^C3=5T?jAf8U7T)7x1Fp9^88KyPZ7q zs~=wyIYHPZ>SkIWjkMk@;lqOz<1=~IfOeT-j?i{RtDNy+fN(&2_(@Ioq*fN zBDGT+fDSn7Ls;#NHyV&!kTVUM>uR(rd>HkrlgE6D+#+NLI*)}xEPINu6alpEJl;$O zTO~vlgP9bES7rzuCS_`^TW|yB0>_#~ccgh$>O?ba268mtZBiI6H75YpHW_{Kzl~{= zwcN7lYfK53Reot#nBGYI)<&BRnQ+37Jl>bPSD6>+NEmm*VqLka6}tw+NeObDcl70q zR;$zb{7Rj=o`3xgx@M4VPI{FzZ`9qYh@I7*RNiv-x2e+HrH_p{6CV=L7*7T0UR;xv zQ0UN_F-y-G1JvHAo54Dr(7onwHBes)G8)YhacqnEnDqJ1R#1xrtv&{AYIsr8B`5x5 z;SEuD@L3+TJTs&QTT^9C;Y_Erj5o@f`SD`!iARnDT12}*BZ{azJbs0`<#WYB37T}H z>fcT~?luwfM&*a!h)Duy&3VnddhQrY?M2?Y{k>*i8;NX5Oag!5P5Jnnyx`SWwf8~7 zD7Qor88S2x*8;e|Iccb63;z-wg#Y{)>>@Gj77;SpA8{}EZ;V83|B1{ zEF@rzTMY#gRD?r^sl)^I1)DBOi3cuuQYj;F4BU;Ney~Y~GCBVeTqTcEX3ibbs)ABx z=_O!}Sq9@#kQ7-u5ef)9%PEsM@)*dlVu;=BpGJM<#OGxN!|a})hV2Gh|7U>!G32$n zVYr#Q1uNWM*VLV@-PV@-40(L-Fc$I|yN+Kv)xPl;NF+~akLO%|NUY%XRoRWNesyS| zLj<&v;ug8HcnP^s>DW`{M=Bb#zV7UPm4f=0R^ zjB+YooLS~BL0m`%DSkjJky{EQh|)2ak|}-wO$I4x08S=4aR66_Uj}2Bk`-Lyv4yg0 z4RU}|MlNAMBC$mrLos3C59PIAqDLrYR}5t?h$_OKCc-N52~1`pe!wg-ohpJSaU^NL znbI+YGM6U8Gx3R3rb8G5kSPR0T7sKE*W|@_UO?2;jOn}UWB?|0 zaBuxRfA?kdKrEUXdBxVLy78s)=hZ|aYNEVmZEHM{8;pM8zNWp_E$f?~x?PL*;6_TV zIbB0))*VxG`aK=^ygqe-{rY`P8!LUj1>}SNl(Kz&!T#!x_0ofT3PXasvk+YvB{HJ0`+XfK~;-Hq1q%HXPWu-B2?h zzQ=ftUTev1^wqgBIkFmz=N=Zl5~&Svt}-~{MEXjjugXRGrW3xvX%Y9ChMxa&ChJ!0 z!y9to41y%I8RLodAVeTWjIa<9*CtI}h>!%# za#aSh6|)>uXGGJ>dD$*d9a6GoD5c-U%TZ%dX9Zu3P%kIS-y!fMWDo+FC{X(QjMk(^ImaOXsOo{6e;;Ja)ctWJQCovK{p|FVGDC0as zhQYQR$m5_t@1qnyua?Z~gH4HzLWVgLrNlSj&V33@c|u3|G_!mID{knG8OQc3_C*gc zY}2Vhei;k~q~p+a9g(A;tY!UnU-K#r`gvJBbZ<~FQIknYp)v7gpv6L7EHs7g7|&>q zl^Q3oRpTx?ZPl&6RV zUWR(!&FVywU?%ChI0gz^nsz}m(E}?WJWhg%Ciiono-Bl?WT_;g51JS0iURRa-QM?e53^d8(67Gm;$^t6@^+m!DnX zR|QHS{X|lpe@S*6{-@FkS_pcz69D^~jo{aTei`zxov{4kP=9{Lx$ox$#p$CA`&LP} zB~G#RvRWc#PSP{^6Mtsdr#9mK2lZdsV6uV8F(g~iqmd||XOsCr`Rs56W8Ha1?td(?TdR+xAd@^GB6jN|xC-8Z9bG3|0Tq$cyJl-kGrk~xtAHoHtfn|>e?Bs&WtSd zL72<$uxm^>QDHSqzZPFF(+7&GBTx~Q~E`yOFbD?SFk;iSAfkFO=n< zuK!V?*iev$Frj#ok6Pv&XWggRs2DfVH5;i<$0Ae}Bp97njsa1SdG+ld6M1$L%vkAp ze?&-B4~gf4^Zv=;4FXt9$FkuS@GL>}iev|W|>H|n1;J{zNmfGe)8HK z%cYi+H}C)-96mMUHBz&!pn4V@fI`~7X*mPw-U-`XW&+jslmX7VCoZsK?`jN%5A0qK z1T{>3@bOBLif(U;!s%$}@+(3oJ#Zsu?=2FjYxl&j5wYlSyN6VR@iAI2<}{M|+Ycp-Pg19^pkfm! zawtD`tB(!}ir=*_h-=l#GVgT}?*REb`>ns6O`AZ2?0b;IhRUxI3!5SY+lNJudPlbg zPhxW{o9z1(xi)gX+$VxHo6MZK4ET`E%@e~cinF5N1UE0S9TT8!J9jT@G&F)h}9n!iV z&(|bGpnt$+L3X(#p$Eet*I?x5w`L~JS6b;S?S#p=kN*IJTx%!Mmw&%{R8ZKCTxRhu znMZu0;*T|Ov5rT676^FJOPiE36}#QF{)arNCC{PLR<#MY>k_MS3c!q2pE$4DlClvJ zGJ9b~Wa`MV+%|DSbe=SNp4)ql50XuH=bYILIc=`xJAY6!HmA*XXw}nIYB_z-r5^7e zn%;@2@s^)N>T-?*>=Iz?v^(O=JN=S~GgFv8-nypsOms~+*}n0fiDjJ zQ6zm{)BQb66i!809aOvia^<%82Hk+ODQyCu*6bj%pc?S%|tL=`}68ZBEiRNq82&kUJ`;Z_Tzx0xam3 zOGlttWaD8%M|27hE%qXAdV$*jCdjXxC#cA`90{rJc5M6>^$H$0j~rBq(K%>!0=Mnp z*`D>?9-I1}TjRvzCy=*a0qS2np3VHN((;~HqYcR2X$z>I{CLE=4eGfhg!bJTWCkkNz;W zEe9fTy~rh7P_7MOJekF<@T*lh#J>;)}@c#BJgo7k1J&oHLE&P zeme8TY#lt-Vp6X%1cVO$II{j51nYe|0v(0?swP2{Qjm$7E;S)vfD*1e2Qz zaMNLmTGyGO%apT=m?3N1{Dj^_&{bD`5EbLIEXRp9m5rg|G=@|V5Uu%{w3V5{wCVhN z1uL?~s_7^ei3M2aL~WkN`P+o;$cv}&vSJ0sl!uTzZPm)91t_f}@BVnx$!#6g93W&9 zLzCE)t2EcX^@vDZgaw^Q+6~HNa`Pzdi)nJh z;Q{evu=^-nGi0BGG3UkO-=HWz0|q4NW2cR>4As_F6HxZM>6c3D;{vBl<(e!N|LU_}|j)k<(2trzy@@|6CzA-`UWYBSW4LGXY+nat(bPdtlM zwqXgJ3uVkb>p;#VZ&JK2Ng+R$4kJcCdn6##g zyKNMXCfOk%PA|uBQ36K6ACBG^?(Y4sfh-;kB-!C9hB=|(u~O{)^&)O~Uie(zbQ{mM z?;8Z!T)KJbIa;J%q<3MNbYfotE)4;f+`@AqLbhB-+#p&;6kCQzTqGGAml@mjJEoXv zVe;sJ)CwLsL7|-69LqAdS)EDfC^c;o5tkgNOvaghzo}~S=*1toCdfoP;F*;bP5|Z* zXvPu%evK!Q`TJy_|DsTTYS2R0GO%2+uH|wl+4(w_Q_QphcGe<2+KuOtfVxm`3Nm!m zGVNBY-?U-;IopVBWuM>jUt=DZoMd}8Gw#1GVws~S9QD6Siu9oRhW>*eTUg%G7p;mu z9wFq2O@iawd>Zb%1A|P$T;S6T!(F$earct4zM-0qp%ZWy8ys~WKZeuKXIc5yx ze0J&4Q?n!q>-L}bZ47e0!VEdrI)BcU)#$<*ttV3UYhI9vrAulQ9O(Q!b0%3e#%*@? zld;1y@JZ7-MOm`aX!BA6=i10)N@_Dt6+}taIm*<(cq_LZ4!8^~!;z*hi zGzm@ro|yqE&0`f)GMiMoC;RC=gp?rgGvf>u*tTlm+l7J zWWsxEIro|K9IJ^na%J|VIg6&c3NSdfG2qu`U9U{>)b&XYG*7PSV09oIKvSAvkvvq&Ju+;r z&ip|AzYc=^caA7rw!MKZ0vOnK=>KHN^)NN*%+awg{Ei*6c?d|}OLP9|XzQ>e`_uD^ z%6<6UWLPFnTMD~3CD@99p@%0z`)w07eqv;h%BZSZ#M)Jgb5j3I{a)R!6d?d9O=olU zyO0mo?XrWX7ptI_z8pxQJDlVps6KT#CWe4hBPO9SHHL5cV}m;<_dZQ^^ChmKKmXc{ zetmy!^|{G*jn}WGe&PNp{O?kmJ-;nURp@!E$dOJg0TB_XR#)e7ycsp^QR51~0YzV< z=_<(*7B*&%WRGy_Wa;T^*D~K`8n8uow8zus<5lVk)G7UJYzJzx!DH{xThbg?|7mj= zI&0stL{#DWWo1>We!iLj+53EXb5orrEwT0Dm7<`6O{l%Rr#UV5Wh;VY`&5G%M1(Bi zq%T(a8N?{nWh)@W-<2+h!$n@3yZ;i`)u1t4pY%>kBU(ib%*`g{NeX{&94K@tvagaT zIPJZac%mF6Wd)J){;9_e_ny81#B(Ms97O1$!6Sz3O0G271Bt|Xb!@NNmRg&dm&HkF zWWuMh;Z~zikeYJaoz|q#sUZNyr!C+=PvQYszQ%T@bf@7+I|v230h7Rd$O_dI+SNSPH}UeCSDf7tLARKp|86pPLc zuw1xZ;vhhuDS@ZJ{dvDC2f5W{>&p$SCpZg#3>FaeOYds z9MwR?9t447O<^-d7djX@l8=jhVrf0b)~dsATIyoWClsB#tuE9CJVi6ie=EjR z(t0ecm4)90vrU$g9R5Vi<#aC>6{wCD6Tl9l;QCTH&f2no9^fNKj8~Oy*xsc7qg0@p zz>t;BVZ_o2`3sWDXo^Ejl?K6C-*3n~1pKqYSkX0xxh%%VAAAG64Mq---E_y8ODuJt zhgWrTt8!(?F)GQdrN@~gqz)oK4Q8~d+Ph084wX{II$IVSYOQG+WS0>XCMB|qQ8|)I ze1jg}`K^;(CPA%Ap@so(&7xY$kVL_Y8*IXk8%)838*FN6*LJP3!_O=Jcc%^&h6-LQ zYgKWy4)3~#wip~{zPIO%$)!vKJI(j?2dKj6gmjB0CtOW3Q0aaID(K|%01z!C3=4=Z zKGSq8|5(+99nG|xQfQd{CBWH?*$~B3YVypECcL*etqFLAhYK7G6}ZmPjMis^VEW7~ z_!b5+`j|9A1+i;SKBXa|0Pl3P)YT+cVG(2x6+d}$!WRvJ6JrrnnI3E}my}~E&=rwT zkS@zVbQE7Jz2+c;68#zPj`(-cI~;mQ0V;j}!B%pxAV9b>A}?p+l1rv`E=UjXuV`QX~g4uIV&m^h+jau5X4G%cD`cnmbsYJo#6& zx*Wu*rjyLJ6t$TbhCWl1t8h!OOfVb9K)H+|nQS#a17}&@xr|4rG!x!GfCN$vc?fzf zCz&lN>aVErJ8E0dCOWXevgyI}6pK*BauBjwPLl?|WcqCt`{+TEPMsvS7(b$+9IX*h z7V7o_yW(`mkiL72N>?8R>5(TpQo;l|C_x7~pg{-4%c(^zlVK<8`IX%LB-5O5bKSk5 z6QD+pNc^P(e?e=;>%avSwOkEc(JN`9kSyzw9RgA{6ec@1$Ywxc*Xzal4N}Y33BWVs zwG%QKCBd07m|%gDdW@T-*qVO-v}&T+rZQ3dN9d<6L@F~sK?D+ig;2-T{{!*nCDrZw z6gj-{JY{YAc|tTJ`!Vl5B6NY;*8eAz{3jF>-efTfTpCM+l4Kw^2lQ~HR=td9)9)fJ zH>E&X$@;ROJ}Qvz|F9U3ram@N^MOn!04D1RgtOtx?T(PMs5v zi61V0HQON%&WbWobr;hb6Mj`RtJ>KqAP)S@k8X`dlwfpk?kN~wVy9_|*45EB5y=D1 z@KJ4BaC=sovSf&6jvAvWgj3$hwr?2B%>e5H^GA#c8*XqRJ#KK&SKMH6lk36<69~SC}jy?_F)HZ?VS4&3USMY+-3+KKS4tfPx!pC~I+$@f#h+M7FTw3jHV4(hi zIkMk?x%D!yI+IN>H%h^vR@UBU)qzIS=iy8+AUuowq4#rcfe?|ldX;LQ{{zm{`w>VdAsE-)lrB-KR zt5SK#B@X7W^|OcaD3Dz{`(kE`fy;)Qx4~AMK8-{4vuv20#Q{O29s`ri{fSvEXMdkcE(UAiDQwpEX>Zh|EE6E(l;`XU)S>m-|40b%# z(3I$D-QFG2^?(!6oVm1$H~&Q@$;9+n_`nv+dme}2STyg58MIJkc0&au?hfdVB2P@W(?xb!e zX(8o54>6U$Mb*_oVCpIv*wxthBeXlUk&E8(hJt0v&xioMdpFy6@=WLdmSE#9wCto1f+w!EbmvLm(rET_a&s11LOCk@DI->kN%nC{G0v>wz=uoBU zFhPw1m#tJ8EZybU6#Xc|8NKH0^P4^Y);kZ zHj`;Y6G=9c!~are_Rdw!pS-njEqi+<;52m8AFMcd4lYCi2TfPs3I3mXDC%2H)q24o zz#uKr|L5PYshRm%r&eu{eL%B)0C=DUh)T+hQ1(iF2U+9d?|WH%azKE8T4-?tVHmjW@onWH4sa zc&bBLtQ;+2ZOQex)fs>(qZW-Syy_HZ-0B$R&daofWALva0iX#9`k34BQjc9Eo|zUB z2dN73SQMo+Jl{|!Oy)d=66wAflyadO8cz%$JbQ?q(sB-A_@rEUQ^A1vo+W#%T$-!U z*j`U-Z$0jEIP5b&hie1Psik6*P(sc4?+L6aIVC5svoE@>N3#+*OuX(h8xnjt_XRo0 zcRhCDa^1Henn=cBJdsB-jTntKjAVj zFJCrad1Ij9Q*Yx8f9cFH+p4xI-!K6nB@wo#O5khoZ$Dic_FK z@#608@;~%>?tAaLcYWVl$^K<087618WY1*CuyjG#j-GG0&IxSxVo}hSYiP-v_pv8cxAs<7*ES;ID3JB^Y4qa7WA$$AvcsQ-& z@Ul>^r;BbT!XM7ekKV)zMpy}bZ=jWY*D zgwiDMmyrr$e@hmxS%QwGN=i=p;|I03#6s<=RFOE5DM;#6=-?0;KbDPzVb8dMOp=yrub5WJ2UTclcMwZ%rfzpV?zuV?k zGL>UPLpHnGx!hXX8{v6xI>Tg^y||GZRt=*7bEkL4XSywa_etXoN!_|fjJ?hY(Ze5{ zx|?;m5hD#8=~Y&FRl~&1!nERRZksx{g<{?>-pr^u*}2r5B5J#&VTdfy%5mYO4FY3{ z>~2Nn&FtTPZb7s(CE2B1O{l^& zf*$>$F*s{Q&eoC=e79e^Fr;mmHI6m3w0wHQM`?6@U`ZWbkJ+t-kQNQyY!;tN8PI$_ zFm6fd{G=Xk@NV{u48GR!@cj{|&un1i^O|*5aPW3!LLT$V5sIZ3xk%Omhg*EbZAcn3 zhG~Au+h)WY*YExxuH1K|fG&z81|jveWk^E%ub9#T^bA3bboyT+dECaB3L01)zhGd; zk5bc{OuAA^4~G@v$le zS3_be;-xpP(@+`fl#$G_`|!9_nO5{e#rX#V9i>Z&=RS#iqY5W)IH0nxRZk<;r;_0P zOCmO8|81f!72of`_Q2Y5lYGzW2nIwV_!8?2C3O=W{tV;=&}UE$Cw%71h`L0o_hqR8>(s7FZFe;D;qH0{l zHXP22SOpVJXYQhduC&_((Q}LcBTG1ruk5sCQI7zer>JPS{t|$6=I2F5X3r7?eH@(G z)sBwcggXi1x>!v#{^;2h_bC9?74~`w>?QYG@r3*xkMap|IhkZZUVY1SRI%4J!5 z(nE^|qq3%sDkTAG3{zqv`^iTRGy;rLd9^pZ$rziJbLQL>41@hO? zGo~%~IKWv_G3O`Qos}a`+oc>!H2coo=DKstMk zY=x`M+y!oD2GznA&nTACA2)uXEP9smYN{xVAAkhld=7e*Z+Erz-wcKH6TFGJ-$c92 zD+Y#HvEF-DFPo^9xsi~fl;cdz5_*1hgq@teSqrIXln-oU(lR+18_CZGr4l6%ykls_ zbm*&tE&a%oq!JL0nAGg9=DWd=wLD)+OvDe-azP)@9=R{R<2gc6R(gPgfFN4?O|IUn z%+&2H_Z#|npE*lp%E-XoBO775+hWd_y+|Ogu5hyfJ{MDLyYslyVB{h!vQ)5W`(1q) zowVcWrdhCEd1P=uyr0xBDtRZ0okRZ32pRRUg%aPWQu8L*9gcDbL9XYm!0 zQkhNeW79%M$xV{U>JZah2CYTS4$6s`vhJq&rFSgq?eL=Q{eQvZ{sXh|roVwzP&o#3 zHNhGvT7(HDI=E5-nI>O!mb_>~*NB<;vT+ML% z{{uVPLz;!`cp`Vq&`~F%UAO-MImQey&yl{52A}6mfjvxuE_w$gN(%_XZ;b;CqJ3qb z;df);@*a7p#tk4h#_0^m17mY3UTG|tGD&q|u*QiouiZwG8f!+onI>XaX zkd=gh*QrHdc%iX((bdosq!P5Xkc}|c0#e1BNU1TH!=%kT9v^hrDq@lTtu-ic8EPV@ zuf|mJPx3>ofL1f0$^|h%VrwFlbjb+AXn^P^U;)qmll+*#iRxF(&`GL6bMC{&9YYQP7EYl(nQb zYIu0yD%}wWQ;PHbohv4L=M9Ys7vb zvkYeA#Lw@{O}OjUN{jV59Y;>gdZj7&JX)J}1?L|Ca{{?a9S%ragpEoyY>!8w^69Cd z{*`Tr4Lc{l@SF`oXuK8Du3K2helB>{4peXnAY-~h5v5%r4LS#dKH33gj?)zVd+a=K zRg7V-zfeXd$x%X~4f(G|{op;ffr+wXFz^OfS&6jJ`NrBYPO7bF2AxMs(1IbN?E@xj zzIOupFc(6ew<%afgOuW=#aj#3qec6v4{zlrPDJmX zm=jn2KLK@^glAW*bEW&o({U?^^~{MpGHe~5HBLg@0XlV%{J2J9$vCoU0LLMQiCiA_ zi(iJ4@R?o5t3`nA^&}n>6sEI}Q{p5*ujG}k_ zK}mDlh7-FnCK1*+2V(Ty|#(dbp8-wd1Y-*%5q{ z-3Rcy)gY{qZ8QsuSUXA4J2T2eFmNXBWW*_T;3I5-p@x|Nbg%Ip`5U-9AYtIm0Qz5o z!TeW57NvGzR{=|wSQR3HIo>SoYW&|)c@+-WQiwm$u4qg!{%h$P@&C#NZ2bBtlZt17 z-o}s{gpnM-xp4BljWOs6GNn7h&gH=ZrwlrGAxpFaFh%dE$<$0Rm37Dk2fo=RWUcrR*ZZec_jQ-nEy%L(N@TR zR0YYMWal|YTeGiu>%wmxfb|+z^sWWHjI6(b?5U#L1*Nhu&LdEpqscT7(B^Ck(a_4j zg(?~u^269d!^?Ywq(~Gp2m+g{ngL}lhyoJ31nQqs95vj(mW?=ML9%QUjWziL=KUl$ znfy{qcfAQh6y=VO_-j`JUWETX8f@&sB$GBMJRpX+_i!3u*Fe=1TuB*@>FA#Z58$SP zr;;>y+!BeVF1aA2pOi9<==2;|;UaCJoH(*QFuHK`tYk|52>yt2@M>aFUE_X;j8X(R znz8o&FbK0M8U`XgFgTPtVBk!g#H3;+T?0W4Tg`_dBGWFrV9|5Lvl>BS6G-FL_#sA9 zMrjHMOFG)&4GBb;wLS_)yJY~}ZC$8ANQc7UE&t(h-7#OiSBM`qbO>py@jGlhP)QfM zFiZ#pJu9@5Kbarm<+~zTiAI=YQX5o8u+P+m$Bj~61G$mue5Q8LA^#r~q>q^z-h`h* zu%c&=BXsvC-tP>z`tBzf+&Ip?sN(E>6Z#uv$cKT($Fr>XuyZDT+LiMGTyTw>|Hc8O zKbtolZ$bFOF#7Pv5Ty>bpz|a$H8Es;e9^mqs=B=)=ubhDn_WoYQA4hQSV%KF#}lX= zf)b6vyOVIq)9RCn-dVn(CrJ2pE&2Y7-<}w?#t(86qj_=w!zSFk9KLm^aqJg8IWSB@ z`Bh##QAjE~d<_jV?{OJ>xDo8OWHITlSL5WD#4^I(i*!S)Zl=Z`htXtKQRR6vA#7Pm z)9TBLa{hqV36~;i!dwG=B-dG$39_A_U$m6|Noy>WI^`@zIj6K7=^t#)a)Ngq@^MzPD)wJ z>d3)_fHjI=$$!n?G7JL`ATH@T6^0>(S6js_81nyvfIF9E&x8%{&Ab5ywc6oy`^o?UvnTi1dRHo4X|YKjw)tpUQ8HD3Rgf z4c+pp4gS|`D3$Ca_d4^jg2Mut9{?$#BQ!V?_%}${9)25Z-uIg#X_N=KSkvE&6@w}nqHj?*x@94sXbG zcA3{{MgRJxQSl~Wh8`F*u=k?w2{yw#F68Kdk8MhQ^-2b!xgadiYX}OC@ASd4BvtZ< zkxtMq1AD5bu7DsUF#L_HV8MP$xCiDh+y$awfc+AH2M!Ivj!6dWm@p-&az!Z+zJe9w z{rSQBY|Or57+3Is;d)@Q#b5o?Y&;b!^cqMEz4F&(7y7xC5(YM&s4oV6K1gh^N>oB^ zV(~7iI1Evz=XO$c5Qf>%`-pB+R5i>PZS8;3hFeJ7HQVCFv$KascLu>@#n1}}tqt=> z*C&TIl1iadteiUEjkk$Esq(CuLpRQjuA>G(eL2XT%=SOvjp?8WVH*;**&uqUgM#8#1ej8OT;DrZvf$pwx{)(#PYdPNYRG39-(VK~BCGmwdBT924S#@%>D<4wdU)F0z{h*W$=t6JK zO9*HGU!amc@#m+i=ovDdMvnQW#-&I)VsA-@tjIKCU@47+ldXk0tST$&VJS`f5E10) zg*hz1M0@kyZ)pnTsE9Nu(5~=QoK%gPNE#fCi8(AIMaw{zR}mCc`^umvCXtddhzM&0 z_yLueb4xHLPPlJdsOFavE{O-XJ5Yc6y#1j`?E@R5G^fTN(P3TxOWOX>I^ z2&cU*Yx%hI1zk4@m3Fnw*%BsM;ak^aDK>bk@0K!Ax!Kwfs1Gv8tGg5t`x`)_)`zR8^~pt zTkD>;KRwNA&XJ`CGAVZPW54ixm|!P;>+~hpT$p#8NMzj4d6XwWFVYzRgQ=6Uu%m6v z`YF>On^tj-$kru$QKoNy;?#Jm<2}Brf{^j>p1B;)QxsjX5aN-+(ie^fwLslYp|dsG zi9axD&-7*MVDB7{{2*o#${hAW$F&P>;Dk=NQ-?)AB%_*_n}}rKX~W%pua11*k%U=b zsh)4S^Q~Lx#*_Ecy|1@U(VXC~hs8VJTMq;ide!WH;OqND3W(@&{q6bE8MeicX0DVA zvFO)arGKA4hyZx;>A6;!XR5rPs~ox(eDIZV{&tuCBrtVB6gJH}r+=b}u0Wr<17k&B z*QrN*b8rVG5u(0z^~|l?fyy4=;HR^HZR6&lvqFCF_%>>fm(fUnTQCb*UVxefU%k8W z4=X@-jC!+?b8imQVh&?w=)ZWpbJxQ6BW4h0{R23T=xqLaRJvVumV!hK0Q|1+7zDgJ zC+B`zA1~eGUwHStPh==ReHu{OK6meY8xz>JQFExYd32D0_uXFuM@#FoVP12vCz1sx~sAUDX zAlP%=(&@)b6Q1S`YpVw*E;x#O-2C%!#Pl*Rz{18|pki{qW)Z^JL%;L9Wux_u|2T!^ zVbOpY+8UqsU>>;wpLQe`nGs);mDM~qr$OjL_wbm!q*=@0*0qgIY?4Sa>?b@ETXamY z+Eb909}~((d2vjzcX|5R6By{`hZ6uwPYw#<@8^5bx}IFzUR*sBck2vHeUz#(VQ?zM zBVn|sufrWU=G?-q4tJTdOMpzf#)q?!y_;E%(>B_CA)(c>rPW-ax0Su#OZlNv%4qWu z#7zTxNA6GV-YZ!=b52NCIds!LMH5l$^x9I7X$92YSBwsSe= z#FAoMD z7Mbg*et(o{TUHFM8er_rU+qO)^KI`LE$a)3_!J=xZMtQ!v5M<##Yk|9_~my}2W??n zYT526T?#|_n|E(`k53-fG@K=YciB-Voo{ch=9RBC>=%Npiv{s9+%-c6M|6LbCZ(M{ zJlDK*0E>Ty%ilxs%3Y@vtJJHxh_t58jnIE39DB1}M@o4)3Q{!D~$gAj*LzA*;%T&a4 zQu1po+x{%aI(R-#*}h~D*aeWh>&|*;K2O1(`ebw?s0J&FQs2GOE7||)Y6;EAmvFS| zaPLjU;S)GxU{@Sc$>Ra5Rrt2jpU%P3ou&l=6;pI$p*@jN~y$hYhc>rz0sJ7=8|4Bj} zzs{&Dlx;Jy_NRpVpwcHA#aJ7v0P&EBwq7%=2NLgb1)1cy49FtIHTo?4CIDd7zh_{4(LRM zxD2&Ag7#b~N0sqhdr`LealO`Hop`@H@pepkN~cbtS_cx2T71BEl$&e#q6l{ttvs>T zkK}0AB;jq~m8Oh9Z(6i#_2Ao?z$d^~IPsv{ zmjUCzvwB5FybU!<`Q!EEaVV;?Lbmw6qiJ8+vFoo|qi_FabtczeNs;&GwmQ=vDcgeJ zz@gvGnZb%qtoTOPsULXl3JFAjcKx&PLOVQcIPgh>T;al?lP63;V9t&z!0L5)=!=1} z41iFU5OXi$O~5R$GE8CB6tCS~n1sm8t8D)(*VBYlI_|&t7{&ePYKWcZtQiuXo0~ ziBg&6()hKt&>I0U_vqi>ODa;SOX`&D$m-ouBX3?7c#WgyBP{*c6(o~u)ION?x4e>FY-_KWQf znAw$aIe`q()~6uV0EgrDoZIux8ADWIlx8VO_+@=i z;0iVkpQ_u`h{?)Zigw7P)MqJKdQ@EGk0eOTDy0R3vcbK@s!J=SJ1k?MXDyzzuXPX` zvh|Ep9?b4*r&8KGG7mAE2JtM5+c+j1QH_FBt-ceK(YWb`Hk5t z2`PZwzvXmcoDthkXT+$YDY0TBYTM1NZ1&y%!im3~E;;;~HnkJHt!zn#8kwJBJ9T=n zWBmv*?ZrU0Qe7wrO?mfRl&c}oOR*rXf-aOr_89AUJK1xa$Z%vt_m!LzDrY%@cO-*7 zGk&lUe@t^V&0r&dqwV6y{w~01^+y*MYzNzEus_9!GOQVMjrnSl{~zaF0pIq|HnGtn zGP^?Lzkl^WyG-F+L1Z1r*GI*)dkd`VSS;{%W}V?2SM{f}qSUeuE|E%#Ht~p>MRk3`ROv|bG87tem2c`|oi>(4k&u{} zzSmAHh!P^%Wa0cIirRXq{V@53PNT08tHHjyFwkRImv64WJJU?f{42}>QH2|8@moB} zyWLIKN+CR4V8M%v3Bzt3v4fc9$=59dwG7j9@efI_59x}K?I3)wg_53CF*!-2A;K5F z@_XWwldsUkW!VCG|4w*?8@4-(jXCieTD97+eVZ2*cC!Q3N;@K&?abPH)#Y6`8y+R> zJ_9zBBA_}r*R__24|{}g&a&52ygS#|KAQcCKo;-&ossGuAwXzkxi__pM-#TT(usHE z*_S_)INr^TsrjfS>M)-+x=oaVpgTPW-PNp0^F~$RFhalPnkJ|?=3~VRf+5^vgaCx- z9Au{vi61&cUGoU|b0%iPUYx4So&5e!6T@SiWHnHpyN%*q zsSHKbvSu7jz^d4v?uR}FI^;q(+V9SWA!~qvPd zpTzaLfDi1y8MNR9$Ry1+yf$w$(J9!yV+iD`bbc}fporeCRb{nDk~~|IkAKAS=tv*D zNTL}3_=R7e=iFQO-Kw}!|4Ccziic_Eg{7LQ=j`oU9!i=ZLZ*RZf_J_jP|pz@*4ydx z?0XDGV4XMu91K~D1?R|()hCVUcKD&*4{IhZX%2h5E4gW0Nf!wy%O;RH-BbQx6&DD=W zH}ma|99@)6?9DrVQz_KVtAVX22chHTNv?*KDiSyE$r4IORI#h49k2Z(8*yuLqqi8A z?|*8mj%ijVcVTNeBCu)s-ka-)Z`Y~;8Y`DdEKl7*se$W$kkrfMY8TIvKNo0#z<07| z<}H{_HP4-+`&i$rCniN1kUYkxNkC(yZ2>Ut{VsYNyeA@aV%53kesgivxwE=+E3fqT zm>G;GlW1Fd))+@qAO2jvCIddYLk;8|p(ny+`j3?@@Q6sCXY@hs)MqzPSi3HmKmw~j zcqn|K;4!HA@V)am`+f804k+jVzVj`Y+i`lgd$&12G~kHv$6Dc54=Vd__xc@&LL=$H zuwnV~w4?O^?Yk#jpYC=zdcPInj|F-Nj#l4SOdTDaIdtlDdN;2h$#Ei84Kr3~uLJ5& zdL3o9N#6}kq17olS#%SPBZ9_s6KCHdY=sNu5g{S9xGZijpnVtw0gjAJx#j5+ts~|( zEml)c-xaG<6tNB7<^DwLj$$M2u~ zRBF4a&Me{$!*nLyX%&A7f9yF$u|wDArb2aG!A8fnofWRKAAFgx6y51zd6VJlKPA?% zH8l=;f1aFAc9yY-D3lsus@s^BZ}@b!$}NnTp&&Elk0ctiF9C^@K{o1rnDo_}qA<22 zc?P_<7os^vc$~xi%vN>lcL(`>7qcb$4RifLMXN4282ej$>AMt0#287XC`flx&y6|K zbbXCW2|)REr+vD>?a3@fEe5~)RVM=qDkXQuk0)|mE%g$(tX z=Zbj4Y;`srSOAvz_S!gV1zAexG>%lc#aNYZg-H)D8vv`4wltYphoTGtR5>x1{fz1Op7IOp5 z*>+#=v{B7G);w#|7!yz1p(HK*lvb--5=HE#Ky~ zUVW-bBES1B74=Uw1TeUSs`fQfFmM5`3FuId_ZJOb0I*lv69W6fJ@ZjX>$kjE$-~w8 z#64}`N9M@1(qaIoilH!qB57pZY%88aPv^Uiih5uB2$lTl{ZIB$L1%d^Z_b+ntMpYv zl>KxN`skrCL`);|xxeYB?SkKJ?2TQ-lKBQEu}oY0(Q0axO|5$>0r5X7+&?$s-{%BU_h z_ni$jZ{d(%=U3ix6i!V?YDCzQ(^uzPmscAd2`utolF{-JSx@DfEBPmHCtJ9<>F%dl z<^^`QHsIR5A9GV;NG{LM0`&xaCq@R)JnwErSV)BacVnl|glq_!=g=u2!lEy zy!(?;8yvyUp?S``kx`1wik48(oEDVZ57fZnqWZIGccyEXTqHhKRB@I>x?x!_N21r$ z9XBz{?{R%Ae)=lk>SCZLiHC;A3`a#-+SY%ngsod)u18cBDap@0fCz@?x7Qzj3W2e_ zfxb7-A4wT!MEG!B%Kvz-_Cw7QH=TDCKDalais;5tBsq7&ITo;?ktbp7NWr+jE!}h{ zOPFF7x{19|UrYdJ)uiS4vP6t-Q5I0%hsd>ca3n&io3?fONC9 zvpqkW3bl&j{dG+VoconA<^`|S>c~}x&`jr%O5vL?my6%xJ3zALYPt8s_m|U`WQtc7 zr!wSQE%Z9gm53~%wHtxuIoZv=bh7R~+6A$zANv)$tD?Fw=v-KI?MP|8pdh)fiuFQe zNOlN2Xqkk5HvqJB1j$6A(Xh>*<3@0rE#nSw<}HWO>wlOe9jIFrT~&~kSi~~@WYkLk z^qr&bvqh0-4r3h2jpLl|9fC1F+vNy`6EgwIlLYIf>L30~tYIf@(H-Vtc0JMgNG5L< z>frf7m`yrG;p}thSJ2x)YC09pKJz^B_&w5|X}a%wo@{8p!mOfHLO-mRB1pN`3$CC^e8dVO>*=>zMM?EuSHH8xg` z6-fpld1~zoFc}J%h$zbPN_$$zJ&-EOYQFh2VHG#VLLzb^!u!D!+G8+G=z3r0+dW`YqviLx zz7FPxWK|Mi76Tl}>2u(VR7uP=suxX1=2p@rSvTr%AnM(dx=Xt}D4K{V(!#MIY^_q| z@&CN>JtYt3amR=a#D(-wgCG1VDx56@vzeyRbw{};SmiLF58lf|Nh7oDax^p&2Y5rI zhACBhx>ktRx&Tkybhb80g5Qs}pLF3>h*l3FF;C)lM&8#=i7v$B-JW^H;$gP`p>d^s z1xT#$XCoI}domxhA)evUoQI>+oL6H6=L((Rz;8>XU0yQt#7wC>US-|-{@Ewp#*Djn z#MZJwvh+bF3WV;5G>K2*qIaCY6tnx!@8!#!)u@%JKCm=s>)nTTY@^EVDf%iZBS9VY zau9n=Sdy6(`1p2}83+3|XC5?FE6;oD*9`d_~8z>_=4if`$9eJ@|F z=k>r@eSEA6gPeR4KaJRHE)A(Ul$nw%gNiCMEZ^hTJstUL&tieF89jaA^sG)Ksyav-G3tll%s&IeGo2hg|LC!la8MkZ_@f zo)@RvUF)#O(vJ|)Y6e0!LOBmI`TH=nd{=ViNK3`D{r>Gw((-TjeFdI?R0+z5rQS|M zfIo3`NzDXkFQ57(+<0+}eR9@3zPtakMeW|flTS~G)WO2lNRWA8#ctp!p}%4u>V?9$ z^QmKJ*yPD)J}aTE41pq8KdDq<9h0Y_Wg&@QL#W3(?5Tj}&*+E?I#TCE)X$(Mrm#;0 zhZRGv@(p&i7u4E<<6AYhTNi4?t(LV`Y}t!fm%i;`&jfBZ+Kd6Iu#3}}J%1@E4R+*A zw;eDv%1!6!&LQWa{7CtsE5ZaQgI*U_iX(!jkB1VGa3n2(wzMpfZs0IUb^&X^AmhPw zvZ}0@=1i%JgFMbkM$_We6Ir7_DF_}C&gW6^#pR_wC6)|C26>QDO9uK5iP>mQ4yuBN z%xJ`=0c}D;E;T;Vf`UN+)C+hm?C^R(e+N>(?J@&5ph{oyL4P)8;Gv3LFl>6WmFBVH zCmPQ5Hkab<71nc__r-f5+Pa@CIj4%3ivnKHSWjrlf2s%{vB0-CJ{j4KdlDIX4SVVt zdX0PX8Ot4`e=z*tu}4+pP3&8QpXWW6{4nqyr=RDqGP(X9zw_-AAjnkXu4VN6UHs+y z52xVKYN97Y<(o$C%)OWl!WRu8Uw#BE)Wm+weqXSlqy4L&xz z;*Qt1x>x?`b2?(qwsJeuX^q@j(B+>!UT@yN-(lL%ZY=Ry&Oi2uZ26ZFBxv2ao)l_K zd2ViZ9T~^hzG&^5$s}^BS{p0thZX zm~4nHDkg$=9h18WXM3U<_Lki4qZwutQZ~B^XFE_#ocGRWXNAtey;oZS`Xg9}vvJdb zqKS3(;N%>-%QSyzyX)`hk}p%Dr^ zZLa&XHdZ=4pZdj{O1OdZg<6D;^u4*Dy&Lx~T#!?1YNP1}U|Xk&_to+dofEe_L2 zS86iVXE`B{h6*Jy0xu{O0&OfN&&7h8ca!<1ro>ZQ?8(G`Dha(1nOdGcA98aL>k2Lb zdo^27XT;ha0pJ2sI=IjQE{tS>3-^09HtLN@!HQqGK6_I)NHj*18=5K;! z=_o|uysLp{G+Bnze*x$h)mntxH?9w`my^pUs5HhAD{`>s_!&sGO}~LGTEBe~eP5GK zWkON$$>>>5X}-n^TX^E3An4HWy=+BJGPt8Z_C^AxB6i5tK?0`J<3a&5nFpyx%mQN$ z&TbBN>IN=aN!R$|u*57*pBEqV!X1-mnF3LZgNwfFEhiJ44+`(L**GFMVnL;c#%gIw z7&FM5Vg|$mXLIU^y0E%M^u2q8=W`%X1aansLj2CW4x*>r)&D3Vg@y%0Y{1X1A)fye zq)E(yWZ?0O`BW}JbNM?G{}g5N$0N`Jlgsv5U#VM%}P{rUTNSwbFvN}*3kP6QaT6k{X2MU!_HY|Nz! z$j7}}vc8c?Ebx$&4m$N(@8p21)Mph^q$RS;E3;Y>BKSZ4d3?2(GOJ>aLh|txC00-$ z(4czl5HPuIT3*yWqM;a=LeZ3(l2wxG8($Nnn()5Ux5sYbF@cNRUTbnYr=-|HrAMnA z1ULY~i3HS1SVj^_C~)d{YS;Mh*eyzKI-w~ieP;iHL_ zVAb17;Lq68nzPU`$w2SjQ;io9T(eUyKy$h0+V;}HeMsCIyGx!Q6Xqe#NN$9WQ65H1 z+(2%-;+r2walN3VsRuhFatFn*@E_k( zrQ{;Re_WE|cx`tq)k-wg5wME4F6Y|RH-;)G?LXulRk zW>sRqy7bENZ9v??&Bjx40|YY7qj>9&d)PlsyUAIBxu?cjxob6=9z ztN5l*k;}Ait4VS$@A=@H#E>u2YSiG?eEowfETZtX#PFuRYIGRvQTUT>4G^C*Aow1V zC6ai-gPA>ZTqF87U!RS}rl;j~c)h(#f-eCHgp?lKZy=@uuxn5wmAuC@klO3oJ-T## zd179RL5-ppg_Fe2gZdgV;jb*gs4J%3RFW+$>3sboIn+hr^sv}X@M7z!&Vl1*K>20! zz4aL_nHg5|)6%yZRsrYzN3Oyt(t&*Tb0LWsJDTkac)s9H99N2#|0taXg z*A1I1B5DYJQ>i`=PYK}3>vv6e-d9c)2rIueUA;TQuK<6_rd%RVlm_Fz>~e@n-_b!G zQgz$g>^^o+lsaDc5}h@Uhh;;X9Fp9PDQD))OO&>g=G?qoboeCv@n@M|1hQy}Qq&-6 z5Mm#Ey}u@l<2ARUWB8ne9k~%9IyVq^7XJBtVEJYEpy%p=wck`t&qh3Q|+`R=fbBg3Oy-1!4wS5QEMD!{v!>|K4=^9GnVITFyT^q_%P5 zuy!;KW!OV*Jmj_g!Da1$_AvfsO5T{>$O=UrPdX{$iinyZAl-F~x!Dd#h7NsUHXuhJ ze`_K{#2N=3he|LdeqUxN1(S`FV}L|2m$Qdl+O;;q^+#v^j7+;z{ZohEnU(B{VpQra zkMBz%TJi@uN|9+`FJTK~LpHXPYHL9RRlowL7n(hnSjc49 zQtcoGWd1>owZJhEAMB@i zvZG6cqlkDufUnD!Up^7zTH@cHKgr%pzp=9a?bd{}o#Ygi)8LM^jZD1|p^&=qhS!|c zWz0a7jm)@x3U#i7y?hg4)Ufsf1y5*q$adLu9oP{!{FogK0_%m=r%h=#uz=R*xfRzS zr|glaf&*L0Fv(OzQO!X8A4O3!K>aDCaGxQ;Viprg2}dSd<{HdE0kgSK&{HUV@9^le zwW{fel%MPVu2UDE1f4TWh~FJ6e`zO7)X!4_Z>O+PB2T-5jRd~<%|3acck6h72Ke{z z3scaeQ=tBQ;LgRRe?u`X*|v!eelwmf=&gC(<#0ssq|#*P3;5py*-UQqJ34%Pyof5{ zB}+G#NFS{*C-^AXJD&}0&(FTaP{=u*F?T&c!>r9H4@u*YLG)Us69hhdCx-AeUZ&|UQID|N zj7t}<9`+s`@X6ChIDNh})&7PX6I%wqvNPTo!w=$s1c-0`Z22?}>@cB2Cns!<0xLq- zD>rtni)<$AUfX2GW27`iNNM;d(CW29zRRNu=$tlJK{154hYEGwGTtvizziL)$30iu{KVhWG)d&B|K9sv11Y;P(u$TufmM8rnZ)VyAh&|oaQ4(J zib;FP2~XF!?jFJaA=2GS@*83!LiYzpf%0M2ylTKS$eCqLeBtHhsvzD`c!z$QlS4Wq{ zx0s*w$J7MsQoiYA>Iro?|6alDU0=7qKc`ADF)48uBrDdR)35S7VBw*epn~Qe5o7KQ zNnMq@2;nyidVW3RCZca*c}2rQN{Bk$V;N*($!7XV-3;{vAZ!h49|JiF)U< zVoDWl@1OVh!tZf@?+tUz*=DDZ2!qH_bZ>T6a`*S?(XyPcU{mCUK@!ONH#Y+#tX~m$ zZ-48jWDA4DA^TD`z}HS*ZzXcm=9#g^l7FUOV55?8-?Xi1l(wTC(J8f&-n6p<2E^F zDYwnkZR+ve@OYMf0|%JK-TPI3yi{M?_O5ja?B}X$dxxBr1=7n1ucd#y{(OKiwag8& zFvwCrX;wdJ*5F7&#Zmt%PEH5|&Ppdo3G84Ry2(LjRovChtnS5s>(~eCy7ZA;qgU1S z%+#3U#0rrmVCv^ADh}m5X=KwtxARYQ^Q_!VMX!G{TDlHe;%CUxt|~RdGq_Ok36-xm zH<~BT+$Z)1nH=2M!^5~6yip2g3wl3(XH$5;kH{q0V)Qm+8I0oB(bv`>X0{qT{Jae4 z`|&)Pk|G9)gwsNQP23G+_PrzddV0K{Pd9#e5=hqrc^Ep%@>WBWf7iN_XC%8A6#>=f zsrxjnSzx=>B}})zEG~YmSSlb)`g06QMhzU!KS!&aPiA@gGQd-KLAba!U5S=;idX1H z*)6E?E_hJJO+6W(>M7M@9Gxy^Y>v1Vcm{z<;Z`@Zq#Lq-P<)}5Y<3zF6EZpf5rL&A zTNn`;4jQ`dk9;4#Y)#1Ik|sp4lsQj5t)XKEZ5*+GrN2yX}KmcerBt{M<@j8>D($fz!Rfot*t z>asX6TviTDE~lw?s#3NbQvuyclbvMK8OD|_Ihm=c(^vwJ)HEmQ_L`B3`N!HOjnqy? zYVH4%kt;Llew`0U#YO60-9LEfS6Rl_2dPcLfn%*l?xH={nIhoqEND@G(NF!l1v1d13 zHxOOMW8LpW+?+bG9+h2j9ush6tMHviiHeQ$s3~$DHAT*&rpS5JlmwYIC2m67Ynf+( zo{zhKFTb$UFM1Oo67*sX&wM6=-rAQ|;4qFd@@)Fd@^BpOD$O zy(w$Pxw`7rRj00|PPl#By&vzt9@haMcTBS>8fx4v#a5f_Ow^ZC{jWc7vfou*)tu~a zRaX-Sspv{pJ>w(hvYDW+{Z^cEE0U^AD`fD0mTG$0Cs5ZNG9sdTOS?xGOU78RZuOi^g#~v{;k1%4Jt}>KxhPtgdPf8;72Tvjp`c zq|#z4vMDtNyK+iZU+HJ4c5wfTK&9TQVd+vSJnf3AYt4%#s%y=Q6{~B_%g&Oz)*ViN z^2|lKRe9+Mb*)+_bbXl*NKV<0$@clj?e=kgPa6!?qpH)2s<3=3CcA`!4)?+ho2m~N zw`ywT9A=@fHBY@Tr(T#-FU-ZSC|;MRUYS#`%w;fVwdqD8nYJoO>Ywv?6{}U(nx}^M z)bO4f-c!SSYFJOkz7S)tu1WC=GQS0XDPlp2TF~il}Fc?imjYNx>PLr1bVJ?+9YH(6Af3@oQ2;<)yc^aa(m zs9dg;uCJ>3P}EpIRl2;o(kfi-PTfS}X-ce)#Gjv; zg-2uK^V)}9^}&{DAoRoASK}^lyp1kqneOopDEcWdruFZHc$?>p z$cuA8)RGjs;F5HZkoL6+>HRH#?B_e(vRg`(b>>Fw-!~4K_$-0X9_m9VoPp&Bj&+qC zgs}*AHnQIx%ajGJvrcu_v(Cado1fX8Wr56o?X&^x<1^lJkoeu({HwP$cr!qwuWTR* zF8wu0J4sc9ig$l$Yn`f+{0DuDYz2FJ#!oixVF*;a*+J%g&6&5SYxaGAF|MQiK5;RM z8g~>%6C3fPv$v@`Q8v3YRPBn4kaLz(juXc=)LS)N+U!T;EGXEnvKw1t*OQe@T`_Jl z(vBqWW|g3wMYab-<3n!2-d5N-GG)*WX5&eQ4vW{b1k+BPyYWOeP`0VG4PeKvUaI7M zU|r>Sz!EiTI)ArRV_$lIY7k;8wISodoeu|<%zb#WDh*E)k-+sHlaEhF2!xBzbntl{ zLQ6c8R4&EFy(NaGZLk8hHkP>TypCmMi^kh*@V0aGZilRsou~5tOxjFx9x?4_B)b<0 zr@=furrP3|c3jFO@2dEFH)ZFilr@W;nG)JAGW9j{5_i&Ksj7~Dwj5|3#!GKr`t#D8 z%jd;RnqJaQPqkd(^)0V2c|FSOS6=V(`uNGBENv!L*R;M*dnn~PZRbQQ%c{f8x=pV$ z^%|5kPBNw~r$XBuE`7~ASX`aRmEwzevg!Bx{$-9gqjTH>7cj{uSJQHOS~J#{on7j< zX>B681v_V<<}crW-0aQ2U7jmH$UM=#JP^N z<)XMhm>Ani%%!F)PCR63BJVg2);2C9vN(TgDU$B)|2F$oEKx~L7!let3esm8p?#~A zFieQu?Xo*oD{o7Q3(0$o$~)OjEH^<^(r9aQty5)fDyrR&`oyM~-E-@vo^?0AU?;p0 zrmlJ0CQhh-wP}BT_N=?Z=jF26F5Bn@_Ly;VW7y4*K_*9Mp=l9U)j4{>YP-Vh0LUhb zmwKA~qLz?bf~`aS)J75w=g8K3jw5H1XmS>AcBnNLY>Ih_r=3kCO@d8!O1qMhke1EB zWQB4`+CVCKYJLTcZB5mlJRrTzKzG;B?mpK0S1F-?&VO+;Wy$m{L0J_ zXN!)qW0DGcVcO9ghp(URSaMd$Z0zBNF?LSco{2hwt!@^*1fr9Crcjx2D~P7j$zRcf z6MAc(475%<;xjzwY>wK35fybzV_$ut`)1mIz9026&JHEtdxZY_>{hZC-vgAThVcM3 z)y32w&Slj`>nSE@xF*H4GFPWLOzrbCGzEb5=>gY1jfqC)>f|E+Cb_J6{{S0avZk&t z{r!J2*sN+pV6mO*|BG{3y(-6iR7VUxhDa~)SKH2%>)kR;P0_*%#}qPc}x*bmjv^k+OQO)HMw+tz4=*Wsp|a ze7MQEpnMq0xuasr`3pK}orit-mpML&oJ7>qqFhZ{#ntDI0%sQdJ3b2JO8rxRKX+&U zFFXn~Px@cz)XzU#b9?ab=G3p8rj?VeD(}@f3$s{N&O2MHKRgzm*gZXcEGK^{n@9fS z^FkjNjZFTeoJM-6`rZ$BFudzuf5Mk){a^S<&w`H4Mdy4|rGNCCZ(QnT6T)G}lOrzL z{|rz6{x>-6%PZ#mIQxklt3L66mX#V>XL>EJb#C~tcNS=or)PNX$se5#rZX|;RPT?U z;c@*7bEEl?OgS{8EyFOKe(9Y5{gvl<{0KUIm9s)Ec6EYBC0C@Ge1_zl^U;kai5{Km zody1}1HHVVPDcrRQ|rjDf@lL$FtY(UqO<|Y2YT7zjU>E7t9e_ z_sL^E?}X4hQA-b=%-QUc|7#ov>IbK>N|lzQz|S4=@$d}J;r{xgzu<^3?|JLK=3}vc zgCjmVWP5h%?Vje9Q@{~1XKn6%++1@C2%q*J=X?gCqqFD;ht3`*V;h0SS>S)1>e<%Q zh#PSlab=G9+^OCOjl+_E4}9$BO#b5YJ!8Os(b-*igf%mzc@7;NNIi#69_ior5Kk-a z*}F3hEi}$$|4xtp$h_DMbbo&bf=MarR4=umb@cZi=X`(tIbXHemOka;Jlj3o^A5%! zi-aP`EVB+&guXGeeIvp+sJ<2SeX>3sHA z4Tit=bg#OqIg%iq{ORM6>PnY=a>~c2gn#9!AD=P)U7h-wx8S(^zssqgx>oApR|M&! zV)Qy3-Taq-7p8iDk~U&?r|pwHmYNOD9%kxmZBTs3O)BOuD*bKF0*AphZR@|xFk_eN zUtb@;57_2Kfc={kn7yQ({d~jX<@@XXHz|cbejR@Yx_bHk<-U76rW=lz!>@10-QhSa z0EbCpz+UG4%lUYapLG9q{_}tRAHV)__Um8%{NMg_*Z;$RumAg3_v@brSvRwn@}DmM z+sj{r;H&j!zFuvYFAMC5_xNSe*=)6%_xK%CeBlYu5XJFh@Q9c7DpxtIoa(yfi+m$~ z0A>8E>(bxDqvwmhTd(mWKls&G!G?*o!%z9n*4s4-<8L9b5L}Hn;>VWI8$EtR6k*uF zbPN29?NADT_PW@tUk1Dr9KUcnl#`XCg^bFTj?8+_T9~C~Obwi&z#RV%M)bB^3L`DtE+oS5`YkTz z8)+_9!dvtpI!!7=+lPOXz^L^_zSp(r~Tfgk#v z8ZVmw&`Pdtwv=E!DWbz~2QOamqqKv9@c+Yq@y}wGE*2=c>_n$B9u~7fT`zMO?x-!% zYOSq*q}LhByo@SB!BKU~7f4I$k}i|B4!UH0$q?R@5xkmIXGiQ}w&D>hQ2+8zfBvt( zuES*ipS#6B3`HPR9*}h%P$UdK^^5$ufXm1urb~bKXyp0@qjk2KVW3FA%~o4+D)`yp z;qSR_zCsFSYdvfVQ6M!N;V4J4NqO;XQg4L(CY>!w-_(rZbZIr16Pr3erP>jB_G;< zu}oeA;w<4WhJtVj{Swxj3!@AQEqlm;KVFj2p;6G044u(vVJicIMrIoKX?lsl1ota; z1|iV+)D4U2xpV2>;Yrf_@Tu~*_NfCj-2u0sed`>C)InewQn<*nR29g$Ut$0+ae z{P;fKB92LkFFqFHOwMYoeldf+PEH+vg=Nfa%}D&u%YPVZ&1OnIUAhDJq50FF_?htZ zfa184vxO)_14JUIX`4OTMl2p()@?+U!>tf@jV2F;(kwNXwuoxO>}U7hBHhv z>CYZaE%AlG9TEe+3p)mY!DR)8x@;90TWiFEwgc9WM%Db#asP=g?2swr) z+UH>ejWVV-ZwH4j-XY7FbSpwG(*PGC2dfmh@HhM=3rf08{%?};CeEDyG z{^wu+<)8kaFTW-s{^igA*WiZ#`yj>t@lXHwKmW^rACxu}_%)Opgc=0e%B<6GM}Zbv zp!B!}$TW->Nx>w8*w!DGVVz|VuVQ6LLtbPU-OF}FYau$Bm;bO7^CZQ8W;7&{Vtn*$ z>;p)#NKdS#kO3wxur|>SCOYbv$5C=4Vx!}bnj^fdY*fZ>wo!4&GLSH;hPoC#T;)P^ zvVaNCl3y6`G?2!>D}!BRC^pz`H5x3+LORD_N1rhbFBq(hB5^PC(bLq=&K_dxI^p#{ zAjnG3LY3CvNdKei*R{d2Of$f0yYEX0RHpFU9AneJMW2-#I?lu3X}CXeaJ}+~SR(q;cRmotwQ8 zYE0fJi4J#^)My6b=qLWrAYA1^I8He$>k1Ga%#tg>pS^TN3Xd@7CL`HE?K~nG6D=&9X>aC)+|-%ilVBNRVTcLvXW{R!c?NDDj9i93=3Sz*g|*1MQSO`0)u?GSjuL@ zG8J2H@&Ear)f|)ic(FmTe6g05I+TY3YowQ|5 zEKXxM#Vjd+c;T>GR?Fyq8PM|AG6kY(Nt$eMi#Z^9SlT_ThJ45c%TEvsa3b6F7x zhnh;zkc@12&gu_LD_sum($>=p&vasF$r@l=_-iE_AjuZ+!_|4L6pKqDE*cK7ueNpI z0;@T}{=OB-S&Ql+C;w?qB!(=XPfDOwqPk$DTr;;)i zS~=3HYc=jOQ%IYilBG(HA{hl53?DGckis@ZLL%g-@?r7@uAGi6`%*JxLr-d0r`E|$ zljstCqZeZp_Op6+@;BW?G`&rpWB;yI^VIEskYb#)sB<>Ck5gzRe{LmiOW{JFVz?YF zre`YaL+2ul@%yGn-=uYb?Hh?V$B~fRmj^8Vn*2A!fK~L^=77H%FXXAIP&FY4yG=GW z)*<;AEW6AmQ3$sEhnMwe&#=b2H()GT?oraass9#oGFKN*t{W)zl ziaaUS$fE)mGCifDWcyOnW;}3!iKUtbWu`{TL?XdP+UbxzCHXrqwP-6f4X8zmGSjSy zHCIv|OncIY3{x?rD*9O=WFB1rh9M879Q{Bzah2I1#goFB!Wl?ZHeM`YN%>-bF@wqm zLqiO+SRk~9#SWD%WM>S;hKr@_`i&P$v?gDyW(cCjizR9sFSc;~`C={6;~F&$|BNAg zx=8D)4vj&>rmgbfVkY;NMqQY-0q@$VE}pr8cmRgW&wqvIH-cvb?kv%>(kc%uDI-)k3-^{k?(5T+4v# zh8bj@7D94?P8~0X)l+o=MQmm5I|Q+4M>3=}HnIk5md?T^3i=WFVw2o|KI{j77fr!G z2=s~W8fw^#ZlTu$0-8b}(M%6$7<+$#3w*(0V|v6|JDzborzv0lT% zB56Q2NYJ}`Z(U2@4qy|1jfN+H;ebsCk|05l1VK06N#iscBh5(T{b*O-D?*CU!^Val z6dU`ryANyhFDCYN&-a~^Rhe~fUAB538kIgz9-k*OFG%fhWJ)ch9%W)DK#D0oVh2jd zt}n^63e z1Z)!6ShD#ZmWo_kGgnY!YC&iUI%YwTDO6Sy@5$UbdbaB9aRBb%Yr0;y)UxVMbe3F! zK7>;qVlAVT+yKOszNIRBGm@7E9sw{K!S4ZQ(l896Ic7|&v{j2ip|$Bq)ctU^j345N zm*NR{Cdd8g!dN7K60?LzBtV2IMwxnK)I}z0vB+rjb&Ah`&8}W0z+Zcuo7B&hQb_XWV%Z7#AzVO&HE@_3iLAfyi>W^@j8^Ju#Ac1$12Lp7d0GWBDF|L zw32TjZkUONsS-CV3$2EF`G8a|;o#9GYS*B41&+ZKx|)@LR0wD#OJLL!G%H!27DsXn zz^Ditp(rxbVnZ-@3IAsShryzfj``SF={zXW3 zvE3=}U(gS2GpvJU;;)Cmhh|1YDhC{5k7QfIoi#QbA;Mo@UDJC3>W4-4s1zwhV^Z{@3=N+}OdX zoKc%(hurlI0flHZ%^((SFakCv=pRgUjU9=wg6s&ekQ}M87{YHsHPjw=fg|jV>X0yK zLGhe)GpaZZ1Q}|F$<#Euwk=U@Q0f?&nL#ru2n(Qpg#!kLMQN)k)65i5fmF-|&);Ww zV!%sK9s0g{VXQ^YQ)Sg=uuFXMg1P}1?P3vn--DOyS`=bUN1GHjWja#8 zL3K9EYJ4U&m~tj%8UvFc+0*#7nIVQ8>#~ZP>1L?fNz1Uk21S9%Z6}S%Z6~cs8bB_* zwv`5dY;ci^h$Sk4Q@%9sl)3@K!wAIO5!k9lsxuk51L^^Akr4~Ru7*&k7uW_4k)ofc zb_}&9)+iiKR!j%}*Qt~zAYsY@*1s11LH}APiD5qU$Kq#{PXi>nm(`JYj+u_clcf;I zfq>~bDD8V&cX`R}sg4dsF-?b}5OX)FeIO2h+6Sb9?uCX5{c9d4`cuo1&8;nCKOhK> z*TQlIRpT}ktd|&Cw8A=SmR38q%Lphr*`Nd-K?nL~K!G8wq(kjo24jIQhgMy8$buwH z3ciVc>IJcb5{Ox!u#xpOa1TJt2O82@R!C%6-JL+>+T8HAad{5%!YjXBAPvAlV<5DD zrs+XX^w0pYL8cffkpgOs0m4y(0hC$6;vw$r*-Z!?MAIW)iqf>r#J*x5AW+&_)tqD) zPNsJaykG&qtMDrQHVAP`bsp2H&Z9u4`jP5hCxM2=9#M0%y(2FOC|QHz2y> z`o|m<3`jb*^q9M@@c_e^cT&JR2^5}xq$Cmj$lbx+J;Sp%YE!2>R2roPv<+Z9AqKm_Qc z#RGt5?l5UbMMa_0J$N0L0oO&t_1!4I&VP7oP|`P*_zKCQi?w=&jh9wbDj8L0cjuSE{sh%f?~k zt9rHrRj6|#` zYr!L}9uFp%Y~!v((5dRg@Yxqkul7I+cA&?D@Gg%-;0q~j3jn11bGmLby!_Z7pj49&%1(pK5(!&~IUC6+0jr4?n*fyr>vh~1dz$1hO z+uCr)vjx?|yO`Jx3^f43Xo@gt$I{ReSab6J3wuU}VAK%-91sPNox-Y^Ab6=8hgCGl zBBDQ~2T(IdjO&^HvsAzZXssFzl4W;llWn%Hl`mN6jhN-pSS&53#bPaBRhg&A^~WHb zc7p5QJq&YD#FCkjsOUgWJK6xS+$<3&X>2rq|fERpUVk_9ET zj3x5iBg7K0zNi1|ZBd7?5M&Jq&uo@Crhb6qpwFY>Xq7o_kMA zCVOf)lOIerQfO zGbzC_o?udc?1BTPK~exI1zUh-tgaS21QTdvr!*?7^0#jWC+<2AmLE;T2p+UQ=#EA8 zz)7W(i?Tt#$IugwSw}5KcCp{FBu2I*%HScxO%qKgFbDUhLO>KQbW_kMA0v7+2Cu~OemZxjbH+k z5iF3ImKtY^Veq6;oytUKsCHGIflgJtCSZZSBRYf5wQE0tC2DA(t+BY>&H zK27M69w;h%m4a0E&>P*_BXMsH(D6BRH>5q%?T~8z@i`!Mp-1upjXiivH|=t`Wx<>AAzWSG0Yd~WHeji+UMLQ5FJlDI9?%v%dKvPd ztsV&t;>E2yd%;i8QNzB$0uJ%kopY{XJ0O66>TM4yqGSz$QtB`SlG0R{C(u zPxe{G7?pR^Fj6!hmOxok*$&Avd=4$E$N;@&RH@^%0u!ob2Nx^FF+h-J(8g|j>1`y2 zLq{z*pnB-bYZhv%d4q7E8?JUAU7?@w$KWM;D8dUE6Fxr*jxKtnY8U9zvqrw;P+%&5 zOu_6-@o90RpxbbwX}29{nJm?Y6|z+_Qd+Jo!U-BMh;7$=Q{5E?#oCBLv@B%^-a$=- zNNg1$d#f@`RtOg2m5GTf>>$Kdlq=*C(k-;|KwykYJrGi&vkym8!2%6*1=1iqj7*>n z60Kn{^fy^D2IF=tr4qeVP9EI{gG<$aE`whw8q8Rl=5^_xJqb){9W`y%Z%gDm2 znqmkq+cMoEIM&F(M-Q1^fi(?a1?6vup+_MD8y7MID9$pYn?B&LH-JtAR(s5pZ)bW4 z=C?E&)EjD`7sAAJS~sR9wbX(7)A|_nyNPV6u_$~E1xld_{>POTYj5i5Y=EAx6GZ>Am-won3fCV)Va2nmzXR1r2T3iD~~*RFzamE8Z={dFLE zE&lpA+`2OpJ=U?^cO7Utf9gDcLX#o|hSXC1jTuc30~^3Ns?v!h+kX0^3)Uu|)WTz0 zH0_c?wS64WCMdAv%8F@$KhsDU5y_FU8k7d0Ri!lqAj+RH+nIaXbb|~}2N+Kxu2N&n zTMFjhD2>{YX6Ee_<2v1&4k{kOcH`rBPuC+U5CJs!=U4MvWSh=uKrn%{If+)q=4mh!rw@ zu!&{5^jbou7Zk5#dc4XuKw<{hq)9o;Xxzgx*zlDZy9|vb_INj2aDX$ruHNNz$<#V> z%7%8|fm5n|<(Zcz$$sj2rEIT7e3P~=1=58A2}@07k|8pS29$hdaxn-$9S~^>y@kVb z8|xagCgVUKqA22PZJpr$55^eQv>Hza?Tt~-v>Lf(M)d@E0%C-JG?jlHq~N)Br899!0$S85#t7jz4>S!h6*!25 zl(%qh3=>bl3b35o9bE}wKn9bP&o*JSFpy+mkzkzy@ui#*kA!C^?_?Q^%M*}trC1~3 zoV*2q=LbR7)sE7|j!MA`au@WrZY?N&Df6;bp}eb#0=z;T@viHP{z@1!dWBmXMbfF& z)7ZLtz9H>@%R5;qTT?~Z^9^NSMn))Kc9V$~m_9<{{PDrYM9qNr8hSHl3{@t|VY3tk z&!EUvQWI2#Rz0=MrahF+%R?J=*hp47X*C5<5>8 z3yF|+yu4;^8NIxkTLuwYt6K(KH?WOz0JR~gh9oc#8kyGSMy4K6Q%bG(48COtCX@Xq z+lzv?z|`s*=$R_s6u)n630tf-k@mDizcI+0#7 zOYl0t0k%oeWCyBH2^#Y;4PA4jlJ_@zrRu|{MmgmV9i`-C+FL*aHbI?ET-hpS?2%F$ z%L-$H0y-*AwJdHHL#_w0Jk^@uZiq8fay4u~1h)Rrr3PkzoAjCc%qs$_#`$J-*VG z5OXT5`uz%Yn7+BKE_4DMu6brm7Xl_bwCPw9tM4*R#vv0iKx_}OYM~8~f}4`YgO~WX z2nP*6Q32Z9?M_eu$&unfLvyB-?cR`o-H1xyP$V@SUGaW{-Uyx#Cg=-+wN!JE_Lwb|sRA7=$p=7`$8t}q z;X{%cg5H8bkqpcUz@!egpJl}_jDvI}7c_xA!)4mGAN&pJ!d@Ej_n&mvYgbJ4kcoFs zMhtP%-)zB>SUL%D>X~+U`LD5ma6qMp?bJ^-?V@qUPSZI@2iHJ3P=jeO4h=j~NfTC~ zoTO(0bq4JjgSL6UQ(N-Rbjg)EX1Ft5=gKhmWVqYdap;{3{B=`W1I@MpwG^OHkypCH z(^7xS7BmX?dobV%eg)oz zw+Wog(6C*`IyKHoA2g10lB-!(=)ND4f}f)o`L|$u9LIp{uzPsYi~_r+92*e^Vm>6o zR(fL)*U3-!=;oL=rjUn!r=7tY!~8XGOxcfwFP&!u6G;C_x=HOJiHiA4ckJE`py7Z{|TkV$VcR<;Y;YB{n z40!Dp3JRt_TrJQH?4P;H_$gF$!YK9&a@Zl(e?bn@H~)fM2R284(Sg5qN)g~*EfP2d zDKjVZY)p@Xze@fHR>fX7(yE|w8XY6hx?N^Btgz&(K>e7-cx{!@QcSUL`QY5_vi^tE z030qeh>!r^q31|VXMm!%)P5!sJw8@8JxQmO=@MKxFVu~L@bp+4hE9`0*gQOc)C>*FaVl-CDjw`KVZD*cDc2jTAzQC%DP3=(lDJ;z99wT_7&_I~ ztI;@HZ*87X6xN$`w+l>|r#!?B>rJ&F*Nc`A$@+Q|Mzr0TVR$fE!j5zt;wvMH zpt1whMbg3Ejl>=7xVJ=9+JT5-4|_rrTI$SEOFB9_v|_Y>wi2Kv^tSu=$vi4+$x^}~ zMuW5mRer5Q0Lo}l>kwubgx~1Wh9%M~c~wvwh!)+rD~T50!ZV`Ta}c{;7YU0UEBb4Av+mnhtG5OIJdoS#J`pHV^PvTS^!t5H0Cp zb~@=0fC@x^OFM)ajA)@@iS(3cXgQH+@yS9UT2vN@rh7RhTH@7N;ABkFLI*YObxBJ* zSR|y9w3^=tN}-1lEwt2`>yoBJ8`090kZ9JMM61oCUdNcEh4~clx}?=Q1fT-Z(hgw; zBU)%!B0VJy%lxXpPa}pz3=m4T69qfhSn52acp>vIB z=uy5ftRyXINuue{Mzq>WLQx<=(%T{(V{#KZgw81m6fS!gRLKn|PD#L_dlN_y?R-iS z#JeHGXgFB|Mw7_3J(>v?xoj9Z)i9~yaYImTo=_AJlytXyJHaBC4a;#7i>&i>CagEU z4TM^M^hcQu8?F_F;uPN+*Dx$aBLysl-oR4Q+paGKKdo$7LR9Vgh$fpT_9SsF54&at z>62vzl6M$5tdqZu9SP|_sUJ`m`lV>pemr%U&<{X&^w)UmVHtye)0UtA=fC~U_DAye z$+PFqo_~L4{<#-kIdkvK{2On6aN+FBuUwRWy^rioX3w3QVfJnQXHOU2J@exI*KD}t zl1p~U-zA&2{Fm?j!*8}$L0mzg^2H1GmZlO?KCZH*C4z&-~v{TVQp6 zt;&5n^Zz`hY4rQW?wgtamuXF_SGVqWGyh+++wz;no5t5oUCaNs2DB8X-TnU0*MgR= zd&B=(!zi88?q2_wYZ;~IHu}Gq3NddTxfTE4vz@ssTUjW>4gCMeuFSWD2UYGl|39-w z^TE_3%<-uL9^FI!|E#-v_p~eg|6Xr@_qMQg*Z;5Vj(qz0>-_S5_q_kNtdrj}wOx8n z>-PE|Z1^z9CLZnbm(^X*|9E}f`5%6`%l%I_JeCjt{oVD4-Q8yYi*@!_vDoK-y3YRm zsbjb7f40v4JQ#PU{Lk0fU%7va|KitGn`@6RX8E zyx^a`D&JPU)s^vf`IlUtUnu|2LwCSGpR^GHzSW<-I^PZ)l&?G8RsKxUOy>6f<)lc| z<&FN8q)1@6#lM;q$&zpKFYd^Hx0Xet({7J{EiMri_6dJ3F6p0kANcceiLB)#{>`{# zaN2#`zaEzekXQS+;u4wtZvRGHBI~`&za5vzI;u2ZLo&MsTjkYWL2^=@_@9vpm z2UL>V{=FOXYbQ05sm}WM*D_V#_5BBHX*h5X`cF)r4#wg||Hm;zzzMU8b%Qc zzT`jNP$^_N<3ByQQh;=?_;>DF!~A_W??0FAnm9~H$hzb|pRHw_fm`rj$kwvro}2TZ znKESLL(KZ$Y649-_htXtrdr{(!~V-nwZh|1_%Alq3hoa1uTEQZVMp@nGpVb$Nzjyll0wo|Ffn^ zgje79KW&&q*!(^J%Z5tf&UgK<*95`9UGTqX7)D6*n*R?|c14i_eck`jS{nAP_^Fv1Tqe`lx^rukmxZ?{Sz z;14r@SEv+Xe?RkgSfyb8$C)yzM3U4C_1&HoqEz3pdj&u^~Rqy%WW&t`sM(g3o=bAE18jlg_| zpKpRm@b;C=KhabzaD6%ROHI`>>z6YB)>tnSe?Ie1HK9&s|6JxzOoUm`dV@bXu}V03r~jxivS8<@nZM6+QuVXU z-)}hq@8_9+z;Xi2FEihsga)a)%@>nuWcaPV+r-X)QN--GGC!O&fIyV{-lQ6V?i$~p zR3m7=-47X+h7fYw8;DbQ?&kcu@kVAD zWz+L|^C0FZi(CHMltzjqabsVd(#WPe;LkQUGRHw2wO1y>tc|eOcwx#QDlg(cz1%!V z3p>ky7jK>9GDLDKzUWKMZEV@k`7<;5_2X?q7*n>VZ!`l^U;ujOa`J4rdPiSk$dyGc2QM)_<0y=!w0V^Zw(1aq+;4-|(M^i+fi5mj6^-4Emt>g8y_} z92WYv|IE&)IIQ!cY~&Ki!{{&jx8wF<{qM+*H7*YeeOLCX*X36w5f-g^N%p4w{DG-a zrtu(^Sg7x159YzlSCR`pBko3<;K@GI+o zoVF0H{PlJAZHa;T>iR$~+_kc+T~}9}8+~n^5O>{1*$}U5+M&BicIoTtIue`s&GmI1 zh*$8fb(~t59xU7**|c9z2e7$avd3=L;bOI)_s=Fu zCFrJC{Kc`*Z}9uNIN-Xyv05z01OASG#%eJfZGUHDbsL9@cQsbGa6)wVSlF4K6jjPC ze$Rwjb{^q)=$@upad7(n-lke{;s^e|rdn|sNB)7P+Aa>oT1~Zru7`d5n*7QOWn5fI z@SeZW)JKr?s4tpoWk)ge_cx-jhr{37nmP-49`PLuKnH?t^TVe0Erjg5aeG;R(B*y* zm(Z2%$0a@brg4cZ=?XuJOJw9L{ev#gLy6FDyMHJu7$BhjNK`OHJpJLQK<07&v58KI zB3~w%^?RcpeeC2PjS2+#P5$wyKp@@W=c58y@Mb^TJP88b3;v0yhs=7FUx*3>$6Nf| zlp$p8bADfQ0|c`l_lwO95DH&^^h;A3$jbNnC#N(JWbX6(r!){$E&1gs4Ft$#e{f2J z4nn0XQyMT{d|*lgLG6k^)Z9R0wTGuP7$7WubV`FEV&6xmH0U5icydYuMi`GxY0yIu z_V|n( zy`cHD|5$UqAaT_{vpc_`tdI4QZIpU`_bvI26I+D+Sj*qi*n}pw?e6urHqB$3tq1+g z!uB0I{_Nkb8rpTBg){G-ec{ZcTS4va#9KkTa4TqQzIpN7nb*&pzvx!BN})*j?-L$6sOsahM1;>hBnQkGR>TH-YL_}Y2N{= zZJIv%;0X6|48!^MNYOmz+a&FfK)bu8dYjA9t-Zp*hIP2Ajz#WQs)hC8*ivUF_U z%7V+v90tEkpjiNa1~o9a&VIx!r? z(ap<*I^2_jn-jAGrXON@$n=BK;>03)KP>Z`;2t`A-W}eUXDxTR{M&ZhHsu>;+b+YW z<2K+^xJ&VW>AK7C>A8&%*4|+^gKXbz!e_}1@Hy$WL&T)=CdiWTo*PQ}+>+}-qz=p% zZ8t)}Np~%nP8!|_mQwC*xg7$SWdNtIvJ@QYIlt)c!)M8LFu>A^+luUdat-cET?MXO z-oH9Wy3cdUKI#s(+$_Is(qaB!$IbIwxCIPx(p`gp&napLqs4=QlLhwx7*asJUg{T? zT!HLT%RR(z+wJDJ<8I-%a1YCh0<*dmFeicNqPH-neD)69E_{aWX6Xa!F3Utq?tm1^ zz)K>~5lgy*C|+?_2+b3gbB6@UODn6hRH~Agb50VR?Oqx1pnFn!%DNZvX}i7nblg6C z3ikwmK0UVupT65HfEld&t7o3~fS_K~V!4gS+^{_i6pwNn~3cBt^^S;Z4GilFOiS1X=gjqpl2N_Xh z90#;CE64n+=vYky%82kh2crm%rxYD_hw(Y-whHufDnTlla~-!GpTb>%PuE?EPtQ4j znZ>LjhkXJDPN6>{(9k#n`uowmPcfsJROrv3Nr1kEOo0ALj2l6J79Fc;AU7i0&x1UG zo}zu&9mPkqtw2BT9+b>{+g*)MhulA3sHAn>wfOYhP8hHV`o|%F3jHx?hX6eVzpq-M zg8n5m3D6g!%_G~(;XWpE6WQO@gYI;H)e3O4)zeigSYc`POw|f@n5{lqX(T9=8{=%- z?TWJkcvL@=)2@z*sKcpdVEEH^WK0~ z^cmRdVYngSibs$@3G~POVRsxKaa%A^a2hyK%VlL*HTk8~!L!myGQ05+Rf|vIZpEkT z_TbZVx5*OC!5{#8;Xf!FJq48<*ysT?@4MRtTzVeLMlYjDV52rN0d>o0SPeUe?n~~) zSj%7hNbX|)gbhDog{w5wqPgLJO}qmy2UvlwUpVYe;3F=q%%PqOyY`qZd9y2Yr3b^@ z3T?$FH_=wSS+f=IUYB1}b8!r}Z|}^nt~oj!?o;Ah1!so^AB@?V_io5{j=4ZK>;+6% zcZ4|3XJnjhy#-Jm-L@^fad-FN?(PsAf_rdx*Iwjfx7xjtluqenTE4={ipmDL zL51zdgyEWTIT+|NJ_i`T-N`qqI1Hm7%hvtrC@eU}-K|o@HKpWzaB5hDnM`>{cP{Oao9$mD4chRb*~ zt7Yq{!OA1?`O`-;W-;RUXU}HJ!qVVk?Q85-qhX8R*mX<9Pdy-;%R{(7tpONTEh?2E z^wN2PhKXy;l}tc%9E+ZVXhX?K&AUZ@oH#gL>+j&-#WQtR=kn37>h7?^g(QANuksLN z+UuLGHDYWhQzydv9KKgYc6`yI8t2GXllwGrktZ7LC~wR;_K1x!qk=pUQeKk!{~QPDY3RUMGCNXjAWsZi1Cl%su&XuW%D#yvqULkwvb>od-dM0TYa zVr-S$PplIhp{ot3Q1S52t|&!^=BI1;t4wd8u_<|I6tJ|mSH|Iz8?2)S!!QzrTK3fo zPC@`GGO~Np|_1`=*C2Y0#T^_&|0yGJa#NnIJ}P&GipB5;Nc*x6!|oci9@=^x4CwXtWgFv_4MaHTeCRY2@d+&=Zd(lRo;h2gBxvz1^3) z8=|s1E+HwWQvQ4SKL2Q4GVigWeT%if;>w{X*m^fZR+%>EeY+x!1i>wrj+tcJ0yq?U z4>TuCTEt=~z`HCT3y*T)^B=`%*H zaX>=Ou;<))rSjU2EzQ~6ad&NDarH0PEFNRht+ZV!z0mz9M}r_NerqJ<@1l*LRm$L( z4RClyc%2ky?uuzNEv$nmP!0NJ$KlVyolD0jXh+hDhRyUDT%F*@^nPY-uOWhqieM5N>EPWTFm zM$5@>oxxNT?T=L!Vd7FleS(w;)>~HMi%;HgbzqE5=$H{TP>O;{b2C*8ET6HG$6819 z8UwZ}M~lYud^aOsL%T)E%jwzi)F!COs@t5y-aO_xS(pL5eOR@+T>7G!2Ddb3(WPf7 zrIuE)jRx#6SJL;;`SE;Wefcl4#XRjd_oxDA(3#QQr6UF2q4rir>oq+B<2V=F?^R7a8PWpV<9l48%u?0I#vSSJOS`I5ck{PTWVIf$VBdDAFMyH_*#26|A(crU_ClI`=JO$Wu!r+!R2 z*L=su+A`G-I&+Wgg`4iiOKC>#OB|4bxS0h#J({pC ziM<5qH4j|%HgM&99*=yRES4dCB4z}lY7se4$tGe`oT7}vEAXJ}zJymc82^a*g?r`J zo~0D?#-83&QJohLcYXC$l*h@f0uJri&t8iB@Fga{aA>YKXSGiRHHTfqAa5ipYP=VP zr!&O_J!cW(lma^!?!K2X(wwjI1){&Np?x2)ZOHRg^!LcRXlV2s*E1&~s@u$<7uJFw zFY+Ck8l{?vd#i%yt~j1tINPJo{XGR8d^C@*T;xjHh8)0Ug71sCj$^W)HAc=FZ>G5a z3=0=2c{%4Ng%XY@ppNAxJAe5}Be4)BELZ!ETsLuoyz>WKw~L46d#L-&>qZ2>j3p1? zF`1Rjd{l~{j*4~S?0HaTrexRx18o205bMxXE_PFt9^PTGgHoULvJ3oim!RN~Dda!Z zLze+#?*DT$BM}^)l;X?!>JEZF_BCV|*TfyyXm%U)gUwRQgbxj(C0Ragj?7y)2^7(UkRdAVUuXEg(7<)(2bxTaVJ)bue z^%H-s8Zt|CLO2wP+y#4gIg3;otOb~rK@1MbV@z}2GCJ#z{a)U<#nNFEruOE( zR#(FIhTj6Ne2hdh(C>u4E*b6;N#yvkUe@my{vZA|>kdKj8%=iyckX7^n+^02pTQ3j=%~PVfQIjvs=OU5WhY|01A^-a9jmfejNf_j zRNuATu5U;>iVV}^SoLddDQ?w?0xwJi(_UAoQh9ZJqWcn;nOEqzf}M150?KWz!lW8$Qpa9 z1=D|JKz&BNiR5fJv?0=7>$rs2qqi~_-N8Sf7GX*mUR3qCd{m>XD0mVoFu_(F1A9IT5pDbIbuBxp zVC#K5d%HsQh#7wD%iSrU*-1t5o@`9+HgHcFyWTm1DAO`D*FIu{#Cnn~1 zmR$rGa2XKum#Uk=+Q&suHW=@zN+^qc(-@Q3)pl**G@jv>k5!Q_h}3Rc-2ypo_;VC|__dRCLdw`fwJW2wLfgdxl6Tt;mAFQhgDH&n_fJ zd`G)w7{m4ey$c5u)ot!nNi=*I1Qh6S`o%DMFhVZ8xj9-+2rQ{e8DU{%X3VFoka2jc z^PAiVwG;U9yy9C8t3)+U(7Bv+`3?-4&L{qo#|pyvL)KJj4dg6+xf!S23+rYVuG`>i zkCtC>>Vw#R<0U28HAoE7P)9S$!E3XlXg==5D>h32jO8xid@f-fyZ2G={VjhZTWGI4 z<6TXUp4|OGJ9at_Y6^1-n4o$?sqUw$pg^Gh4sYe}1tStOpPT1Yjj8lzSh#EZ*55^h zrp+t*eR@krRi3Mcr=D5Qb-5+%!l-{rUjFSAhAE0IB2p*L2A$!7OA=@vA;AMG-y_kc zK@LDC0U!65*~?C2TcGgtc_vA7W8{;ea^>*TNh%*kg?}8!=PoYjnURYv%%%ndmkwwP z?GuJ)I>UR*0gIdn49i5^?^sQYbw6t4F(p6;XB5F6IMY7_tBfHg7HngM?%%u|!=@sU zeb2PY*H(KFt~BSVlq|2w@x9-JNqrMY#EAeyG?s{GYZt#6?)LRS&h43F` z2S+S3|Jb2w*mQ$$q+wN51QG6cmz$@*Ns`k6;bv&`UzYU!Np!AnZf(gJvHan+95{gY zK_s5%gc-YDLvowjHO|>?_Hu6k7D|(PIONH|E~~Ffc(t zb6=8@1t+}tp2a-8FwOUc7fGmU?KEHgmrZ(<@Xp%FOkjDtSaWyBz1Pn!q^IC-YQMXu z*9(c+S0eO!eDP8egns*Sb?!uQ?_uCK_%X$uCwvY*98gCyVvg>zAKA8XozIlV;@uWm zjTlfr%nh2N7~AA4U4gV^+(9ykm2nGnl#TEBOEmuA1bJaz4ypxA*zA>da&u=;o(NDy{X$uwR zZ;La7A7zKh)(T>fnIT%HoC+Y2dHC)q@0#H?$GG=pnY-f0vBG?)&e+g6YfZ2m-X4Cg zNm(gC7q@jRz+mi254;xfE5X|sUq7L*qB6IdH|C>UZ(k?QykO4=p9A&ZeBM61R`r{* zqjk=Yo`QDp(rkQPp0daAC2b|c63MuFU}@xj(K(uh2V^iX4Z4ks!rdg+yDeI3$MF?7 zBP?}BfQQ^n3XJCAi`3+rRJ08~A4Y4;pHxNzQhDD`+f!5G0h~uDYYEIQgabwMkZv8e zP&|Zr3f|vPwwW2Y)PObAsWxx;6ycq*l)cx>l-x6nSI>(}t4u-4(?Xv8h<>)WfblJ| z;l7|D_YPX9e%qfP_*^mI_u9Q8dM;sbEo*XI4q&hC;3M)hCeuBY+V5h-gjwMRpOw5| zR+{2H1s65C;u3Css~T{&BTb;Bu$tO@z3n{vR&*%7i>O1E&jRk3hetuAqGcuwL2yl7 zDvN?n)SnQzwmN9KX|xFg*4({`d~CO0u?+8B9H9~K*W_@kqbGC0azSH-&Ri9qw>J9i zyTnL*V&~D5tn$a$0q+%7p(%u}&;3nD-)lGo-_@kO&R#fOuQd@X$}ESGRIF^5!tH^! z;1?4s{6juMSHRnz5bD6^F6}CuwsBwX8MumyMQvW znhWB1=VSe7LhplFiuF*dfN$L^ z^d%-{r;sloTIr2ny9h`2)7|-O<UlaG z?p{IR0u4H~>?fS0i_OKGl#o3HgT4tfL0N{g{!VLwlSQZX%EW26c6+RNTm0FW?4{nj z6%LQeP~d#kD_*&}cuh?zda9n1`Rs7}x1YB#Xni195BzB4beU+4Z7Q6u8I-q?@J{}Q zc!2*~$AudO5j@63{rkx@M~prP;=pYn8fov%T+6p3auq^S2>c<$0Hc^ zoQ7(qwy0yje{~ACvI`eCGXk1#DDTCd9Ok*>62QIVlJMm>h%$1`d^Njn}*Rj49k@7O4l`8SLx z1xO=-5tM1W+s+viZg8zH5(wZ)OS*1Jh$;q+qpH&U_#GeHvsZ!Dp;E9SB^;J#df^%J zwXCO;?$q5Z>Mu8%mmtKkxNy}y6-76W1bz6ui4XEBuZP~-j3L`qFf7cLWnZ_C{i6gI zMs>gOK*4#57kLqvre2G8Ow7LfSz*CFK0q_xMJ0+*R^9f^j%z*97j&5~eec7xs*bK5 zx!Lvb{uwGRLD1#pj8?7paXv$l7KSQ4`pTYsw@%LygnU)TJa65VPQY%ucg3_jvCm@` zI(Vw*lugjQ=w7Syd^owMmZuz4%Mxr?2{^8OkDv$Zh5U~F9%q`_SBPG^??3PC%e zd(Bo}mk|p6c?nA;WR|!JO-F^5)qCIAJE(e=K0azcfwrDh2%?MmrhW6^eTeJ_7D027 z5=*DLcxyGUWiP!xN_OFh8@33^XCoJ1xKxgomb@(9kz)@PRhBQ{sG7W6m;i-X~E8FW0g}8QC@`|xaQjAc)S7WjDKKSRmdvWF>jJvBR!R?knWXah$iLwdn*U~x1 zUa-NmSuN~jSj?etwG}w-E09*$?*1qgnB|P_&oiZu&L}Pcy9%xt zUe3n?Ft|bppbSWoWC*ce2f&TfxTsdpp+|Zx$BAg#yQts+bzzkSsUZ#HE@Jm~c)}-{ z#L?pPU(kF*qOOFC#Vx~)0i)ivT<|`4jiT&hhb{OKwA_kQmr^syhv%IjPk^w`0POQau+ASxDRG+w}J zWQEt3OxqmQmEV=NN`p zQafSHOZAWe1{SGYAacA;FYzzn^*`y*IQ>AP2>T;rs`n0mq5%d^IZ5IKyHlKByX9ILr(~-fZ+3+3 zrK80_c?LnFj=<~pmxB(WVJZ{D3WFTQYNy?XTZPP4C*jiz9d*jxj|{tmgF`Fl_|7#E zq0Es)nm_s+FU#|HNk>a%Hijg;5_pkGC#il6OLxYqPylzF0*ZjcFL<+i$~x96I1wyP zE*0}Ge_JVcJM3W@ALMHdN|eDBei70huf{WW#lQOkJH)5`TKi{naL-_P>DqENNmu&Y zi##!Z)k)i7TN7lCaIe`B`p;R!*&$9HloTMmxpBE8>Amf>h1l^!a7`z~xrGwv*`zkstysD` zbX;0M16BvJ!6-;&yk2){i?%J6fZu($0!A1UDWbZU{93bDUa{#5k%z;mL8%Xa0vggz zKQN-*xtW5-iz$AiReHn|VZFjwzh^gM7_%F<;n+__7`SKV)_Qm$A2a4U>-CdQn1O12hdP!1<&b|5$^JqP^XHT?B z=9A3JuxXZaF2YmziH@>lTA`d7(O*-0Lg3!Z{Uz^lc-_4G+-f^)0uoM|=35W-VBi>C z9phI!RmJVXPUVf37JyYA(=e6>|X`~1uS;!=xTSp0G)t3GJC z1L?jVV+EsiqOnG0WM!Ul&PJcBIL=GGQ}~!rBK^^OGx`1|)A{l%Wa;tsWH!P~DPVUb z;-2)}$hTJ_=jyj6P0!i$wY84>cE3WqYjJM-?qB0ZduInrz6bqlq0w$|+=794I@VvM z8R7nZO%_OG*96Oq``BQwo6Wq&3Xv>!s{8xhrX$0pz8#FzsGF47z#mD_iFgjUuR$Fp z&o3~CX0Qb^M=`cqe_Q@6v#fM!kO?fpAZYb>~u1}I%aM3a# za2wH8;q^{lIxyvXHUu3HR-dXQI`AZp4S&=5aFUnTomj2*+Az3I^r#BMZ9rI1xwH1! z30$Mv1fjKU4ziKKl~Yo?PhRp)9kMivi-bPkB^=d(x;0gjST9l6yS62B)a1(ACzT?K za75tSW?MSR zopO%6$(VLXL2@mhmHgGQyw8sB403|jX%0RsvBMFHHIN1{jm;qx^(1HgaS^N$u zp#RkQn9Jnh(*iVM60_c5JOsorwjMFr>dF_HW*5{Ewf3!o*q||59=^SJ@~BlnIzmue zKmX8;uqS|>-qDZ|>895X90hR#a&M3BlyRG;s{^YwbA8+sQiRqIfRQTqg0mny3HAOK zRdM-orrF%EswFgCS=(}&AyBMMWlY!iX6LD4(!Hp7aV;F@#!UI`epBr14zdS&B8Fj4 z>64dhGgLIhQ{Yx)xtbCFwoP*zjtmkN0fUyy+5Y9phq8V07)FR|PGs%<)^xdpkq@D# zn*t|qI;h=;KJbwpfag7WBr*#1Z(}GhIFN31L@6Zd-(l$8w~mZd;O2HEQkyGS<)Wvw zj34-^F`8|l@0K>V73cdlrE?!H&7GkWd?bUiMm`IzF>pk03rPXp#qDa#jyUR7z+&ZH zHq^sMR8cDzd?kfNxiiP?V}w&yJc|FIuXAdRrm`1giwFl|T$?!F;FB3lkn} z3rm3Bs+0BS{myCvqt$JAcz;1VIJGJR*v$virLL`J2lIS2+)`%r{%0oCy2#0@I2 z={WxsnFd>kgT9U!+L`uuYga3L{`d75SXXH4!&|Acda0xdZ+Otg;0^Jzl@Z(0Ym}z> zAkj_8JRqE9;&7+Y(9b04mm4b|4`O~``q6Qb#2Zn(hS2jTqvAMS0eQ z@jdnH@2u4A_4H&T>cg-loWYWPit;smMZ-%qpE!T4$i(k!)NL9OAw$}Cb7-Y}-!Rfw z&P0oar(BA#O{@KBzOX@*s4}!abSAaL5XJ4uv~8$u&A!tplB zE;Z1RT(r{bE{Fx0`%(49D9U?9if(R@)}Op*R0^@r76#p$?@PVBWXH+7f7Yvn$Jfj! z`S#nMhbIcoCW?WEZ@O1Kdryx{yB5Tp`@rAfsCBtoXzt;FGWIMvMK$atE}tG8xuBYh zt?7xMI2`Vpdo+h&ael87?e>ZEoH(=1U9l)7t25TTU@V1g-5yI5#zc|MPIGXk)gjJ@ znE(q>ANM3H3Z|O<_t|*ifv)McCmPc!hS{W;c##!9&L5E9>e3nNgdJar;lkc33y;#0;`&&m{s zxi|{uVuzz_;JXOtu5=`aw|k8@7TP)h+P$P!FVavrXhA#ZQc2IifxEBqgKOwYs*hpP zzrlQL*Ki*LP|69@v>lw+_hXi+iUEyUK1bCMx_SPpfuTE^W&O-sfqUU_2HAQTk`dk? zF9#o0f7(Bhm0*6B{(1>6LFzZFJ9t|$)c*1oiICo&J`_#5}qWHm&~*`CrIDmZx41yy4~=Y<>_f>vU&9gIHd)?~6$a~EeZ zQ6GrssQicgvY3bpc4k3`-W3XizE$Mhr)imWe%Mdt#rbarGr0~fEq6No4#dp5vo1_B zQ(@&aOp}I7=SvwNl*C96Fl#{ki~vKaf9W{BBJ$(}h9*wk`W}j7IXp2nh18`TzRq!-len2*n)1Xk5V8<^ z5Y=GeB%&P=a>nr2bf2$`kQlvsI)yK^iW_YG`wFJRMdw3Fjp>v_cp8u@AR{;-eCj_l zp%7IWzVUvZr>4H1zlXBg)<A%~E*nIF0<6VIHU0 zt~8d(N{5qRUDf)CDG-Q0a_N_ANvc2;9Fs{Oq=>2kqo1qiEA7F6q4KF>40b(r!^c2c zmI_IAaokHB)^eE}RJIM=8sy_Do`x;f>r74G12^Tr!n&+6k^OV&dSa(aIL@7)WoJjY zwz;+WWBFqEIh?P0_x8}pLvwh@S19f?Cud}Rfr;mwwSD#WdK2($tqZLu*R=H6Vg^CD z3nsind)YNhj+zdJ!^a~LktDjZvY0~m)(Ts!yiDNq@MS7PdH_YdYt~Sbpq1hafv0}C z1w=-l2%T{v_Q#)mjVU~0a&7rtuFOmH=~v0z-_mt0!fXv>aEl3i+C!ME`>6Lmm2qNf zXI+gHDpSI0R^I|e`gtggsIaFG=*g{(f!qV}4WDd&|EXOl>TZ<1ux{8?C$*X`#=Sd=) zpI6Pqn*V8`XP!1B!oE`@No|pQJKnrdITkzrc#;aigFo|xt;F2vvod0tyC3ib8Xgw= z1z**cH_-<;)}UlPAJ|1@W=^ZD40=){bI z%~7Xv!|M&i0%5Xe^46s7u{U2ho(P`)M)6$&=8lNMr3;~9BUpTlyP2+>v1k!_Lceko zskE`;l<>@SD&Sb&p>C~#t>slwZ{47%N?7V`fEWi@(LMd@`n@Vv-odP@B`*5N)G@)Q+a5CYADy)ZWTaeXTnM1@7A1&T}Bh_Mtcd!0DRFt3W zOJOS1d0-iW!3%M0cP5b_hZY`8OwBeLE()c@z%^!R$&a`84s2Myitc+cT<$2lHZ+o8 zv?eH^4AHZenr-bIdG(k4<3xTKYbp;WnNrZQ=aI|zYUy#D$4VbBIE0@G8*W68(|nm7kh7gzfw0u zf9{d=uQ}wEau7&FaJ1o1l6{wo-WxWgn`ufFsBNqW}#Z;+36biSHB%f9=8GuajeLCGucg zJ8cL9Lu}sTD!3DO`g=3a)*M)2!VBAyCoo@FJ=caGlOC;HD|;ZmIpM|X(&XI&KvD4i z@>+~ky4V~%nWSReWi9Ku(-}1#$0st2){9TpDb%_bM?Ku8WB7)7(6#Shr;#$fI8m!p zGST@iYtD_&JGkmBvTJY98Z_jDa&3Udrg+OQ_1rdM9$(8yY2NdWottfGe&A0t4z%!J zCLqP&@yiR>Dkhmmoem>U2{XM}DRlqdvu-lL7f)8uQit1U4Tya@Fah}Idv5wwPTr-xRz;n^QbP{#oNFCz16~Cgj|rV%Sj@H7NsPNGrBE@mV=i7tEj<2wK`U!1&Q?5K zwfaWk!{2n0A=@C0)cm<$PQjw%OZ?UE26L*_QR!b7jxC88(CI(>aMKw)a_@AH0)lS& zeDHJ!4%LuzgBP;J(G^qW@&$rbdTb0za87Oucdcjl=04}Fc%9OrIsjbewulSojogqC zA#>uNAob`_LVX_a^Zav;EXPVB+6P-nAa&tZ^!O^oK zB{695{55*aJq!j3(`v@wyyopf>MScYjJ8&A z@BG5VV{5Q>+J6R*U;Cy%!(o}_Y0&U+O^go6#zw7>Q&LSGy8_~`{P|uz(RMCZf5Ukw zl)=@1g8B=oRYh@3ulow}=T}E*XJcYh2|IU|4a8EYmJQ1bcV3$9*m5=lF{Nexa$ceZ zrg;|!8P`wZ+ia*RNF+o2#7$QaDgKpUWO+{yk}LBd-`?;RAkjyG>Btr`?&!=K!Z4fd z?=Id>gAGtQ)BuLk@Sp67*g~JjJkt5fX|sFCb_#1Yqu1Ii?xcd;MumeQuAn6twWOGP zmYX8oa&0p^@6cAWiG5jtdr!ux2ja8g*m9@GfV9f+w!$j~ClVW(^Nz#fp8-QrzzMIe zW{3d6R$-7|dme#Nk#bfk;Vv8Zh;_vILuR2Xh+U(73LvGja5U035YNw8*~aMnp=O}> z;HiEQIyUo5hbWv(tiddVTJ(jNxZG$EJdCy3pOC4Yp_c|5bHa91jswT16<_{#rY2PS z`k~U*s!}G_R1HtQt}~Y1^vVik^?r``8BZ*9_1pmd0mBxcvQkiVM@n<&{JANI^nh!m#uo0LaGBiQ7TPgs<# z3MDd&z&Shk);EZTOy(FD0(S&4`8v#|=&GEBL5{%Du!>ooUR3=jlWq;#_8_yJvV-iX z8lZd9Q#(sK#SggCyG)Y*^4iq-zRlw^aRcNrbO(aerT($JK769quWdJC0Mt2dep z3a}dF(qOuQtsV3*p7||Qgmj;ECA0P~vM59CrG>T!^Rx5H&OGPmfMJApN$U9ss28G!d{=lLs}iw%j=;8am<##vko~17r7*|5 zqHEKwAQP(vm@^{zZoYy=!x>NrinQN-)uhW#I9HVEQ^Dm9evVT5|7){fRFavEQvGYd z%_&)G*|_T1nn5GDbS#d_E4p&4X*R|nP8`sGB3hto!BN4$bs<}D>cDBh;PgK9-4GHf z>glP{_23M^KXUJg4BD&)0uTs_5CnqxkbD1kdk^Ra`?vVp%+y>-4h$R<;@=wae>fOp z`gor{dKQ0lf&DMU$5+sMKnl^nL00xA&i@;Qe$F|viwFW8@&0=#g#Q_2F!?u|r?IKYf71OE z18kOqfh{};v=jP2G5mX4#@zo4^?!pJ+Dz5@K;R$-1#3wzPkL3m&+3Bz2Rs(5;{X5v diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt b/out/basys3/led_counter/Output/route/reports/clock_util.rpt similarity index 97% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt rename to out/basys3/led_counter/Output/route/reports/clock_util.rpt index 5aecb0f..3b9bb84 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt +++ b/out/basys3/led_counter/Output/route/reports/clock_util.rpt @@ -1,14 +1,14 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:01 2021 +| Date : Sat Oct 30 02:46:24 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_clock_utilization -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/clock_util.rpt +| Command : report_clock_utilization -file ./../out/basys3/led_counter/Output/route/reports/clock_util.rpt | Design : top | Device : 7a35t-cpg236 | Speed File : -1 PRODUCTION 1.23 2018-06-13 | Design State : Routed ---------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------- Clock Utilization Report diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt b/out/basys3/led_counter/Output/route/reports/post_imp_drc.rpt similarity index 93% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt rename to out/basys3/led_counter/Output/route/reports/post_imp_drc.rpt index c7d759d..6adefd3 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt +++ b/out/basys3/led_counter/Output/route/reports/post_imp_drc.rpt @@ -1,14 +1,14 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:11 2021 +| Date : Sat Oct 30 02:46:35 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_drc -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_imp_drc.rpt +| Command : report_drc -file ./../out/basys3/led_counter/Output/route/reports/post_imp_drc.rpt | Design : top | Device : xc7a35tcpg236-1 | Speed File : -1 | Design State : Fully Routed ---------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------- Report DRC diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt b/out/basys3/led_counter/Output/route/reports/post_route_power.rpt similarity index 96% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt rename to out/basys3/led_counter/Output/route/reports/post_route_power.rpt index d5fc057..1a7d1e0 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt +++ b/out/basys3/led_counter/Output/route/reports/post_route_power.rpt @@ -1,16 +1,16 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:02 2021 +| Date : Sat Oct 30 02:46:25 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_power -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_power.rpt +| Command : report_power -file ./../out/basys3/led_counter/Output/route/reports/post_route_power.rpt | Design : top | Device : xc7a35tcpg236-1 | Design State : routed | Grade : commercial | Process : typical | Characterization : Production ---------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------- Power Report diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt b/out/basys3/led_counter/Output/route/reports/post_route_timing.rpt similarity index 97% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt rename to out/basys3/led_counter/Output/route/reports/post_route_timing.rpt index 21f1514..ad20f4a 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt +++ b/out/basys3/led_counter/Output/route/reports/post_route_timing.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:00 2021 +| Date : Sat Oct 30 02:46:24 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing.rpt +| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./../out/basys3/led_counter/Output/route/reports/post_route_timing.rpt | Design : top | Device : 7a35t-cpg236 | Speed File : -1 PRODUCTION 1.23 2018-06-13 ------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------------------------------------- Timing Report diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt b/out/basys3/led_counter/Output/route/reports/post_route_timing_summary.rpt similarity index 98% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt rename to out/basys3/led_counter/Output/route/reports/post_route_timing_summary.rpt index 73b4f9a..51c60a6 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt +++ b/out/basys3/led_counter/Output/route/reports/post_route_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:00 2021 +| Date : Sat Oct 30 02:46:24 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_timing_summary.rpt +| Command : report_timing_summary -file ./../out/basys3/led_counter/Output/route/reports/post_route_timing_summary.rpt | Design : top | Device : 7a35t-cpg236 | Speed File : -1 PRODUCTION 1.23 2018-06-13 ---------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------- Timing Summary Report diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt b/out/basys3/led_counter/Output/route/reports/post_route_util.rpt similarity index 96% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt rename to out/basys3/led_counter/Output/route/reports/post_route_util.rpt index 6adccb9..8426cd3 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt +++ b/out/basys3/led_counter/Output/route/reports/post_route_util.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:35:01 2021 +| Date : Sat Oct 30 02:46:25 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_utilization -file ./out/led_counter/xc7a35tcpg236-1/led_counter/route/reports/post_route_util.rpt +| Command : report_utilization -file ./../out/basys3/led_counter/Output/route/reports/post_route_util.rpt | Design : top | Device : 7a35tcpg236-1 | Design State : Routed --------------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------- Utilization Design Information diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/post_synth.dcp b/out/basys3/led_counter/Output/syn/post_synth.dcp similarity index 65% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/post_synth.dcp rename to out/basys3/led_counter/Output/syn/post_synth.dcp index 2df390b1cb826fc5b9a14692abed9f57d8ced8db..ee42da37c09907cea9ed2034f1cb6961f2bb1ed5 100644 GIT binary patch delta 48216 zcmZ6yQ;;r9ur1g&cH6dX+ugfu+cv(oZQHhO+qSvep8w3lxpU{C9x7Mms;J0}il|&! zA%{?(2T*{&GN53nKtMoHK#gd6%Ccu2YeB$3KqO#5K#>1gO^hArJ#1}al5~{=1u;YF z9@VcMR57p!B3H^|>eHtQIem)V5gKJ~gK2|c7y1;OX(}o^rogi|1n|r}dNy|C(F`9?gqx; zJV#L~j75cfm%FIjm%Z0;25Z~d=&@eeCJ0wQXsL2l<@(g*y(D4jS+ugnRh(ckcQ!vAa{!4~E@5_at7!wKc~>a_A(5AAM3SHtTZVMK zJ-Ftm`{xlajAO6ww|&VI^8XIi&k)3?87>ge!GGg_LgixbKyPYdW}D`!qN2FfW?3xK zX-yhjAQX>~QGf+KQBWd76;Mztg4vmXaA9|W|5w!X+%6shP+{-0`s#C~edRmXxyt>? zZC>Ylx5xjR{TY)K*IVD-2m*WA*z)Jko4a{K%AbEi_@{z;waC9>onRpuod znpssgG{R*4Y zOS=e{l3KjiC87yy@MW?9@t$6+HXr<2a~}vBUG(W?3A_5q$@G2ne!Jf_veWL$%J%YD*va4E8$4=~5xeOP$Hn ze5S{NpH{_Yr`lJ}bgVKl@^RHVty4N@3=|gQ3Cngx&pigESGZcjKtU|k(bs#5_6(eu0EPxFt0G8Ud)Lo zjLhiLA4eAp?q1!e0;Yr&pFg{vPpdq>V4^G#%!ufi{Ts^E)7rWW7m-#qZZW*WzC&GZ zt^T3mn6mHzvd0_hcmD5@;~v;GfQq;?c~YLzX@b3kH)x#(lRb#zs(NXH0vUntUBaBc zntNb$@~;A}Q=KTBFJjRC7`aj{nApzd7$lZd*<`k#m@lR@Ene35K{4tN&5n{F!BVBP z*oeN)D^@{;l+nGvduZ1g#|)Ho88u~mo5l{Z)%x$aI9y4$>4sAsMXqVy0L)JQY;4ur zUxe0xJU+He&cB}x_J`&X&+b>!X{Rmtz++)D!^)iun@0~DZ!T7@!EVW@sYGKJmx_puN(qSi{8$J|W%k>dUpPPV ztmKF?lz(rdf{F0{*@YRl0dSsk_4aW6{q)c9t_I;bxpZ-%8oQg{?j+G|?Wdg5Z_#98 zK9y>4ZMS9@eO%|a26;iC>gy0N^yS&2w9BS1^e)#TZ#ZXqGUm#K{XSph`etuBLgF!e zIO^`n>mqAD=eV0E@b~3z`Mr@~7YQhkbXbFeC6(GAZA z2QdonxEITptKdP~K>HB+(qZxLBl2=iL<6whPg?g`#aQ!21BzR^a?G-3V*1QdY zG_n_O(q^p{dz0vsP+mjX1d3Yp_chQ)y4)~3hxV`gJrD0g4%@8)6eAROzD3pA(lj;p zJS-V*Q@*G!06dlEi5fwPtJiy!Zkc3xPMNP z5Wj6mzoP?Ye00cB%nlEtQ(=7`mTG~Ad+DRPL zORwr$JpoEI7!?};mMA>b}N9SK!HZ!scQeVJ9gR z(>ho7U=6|6_qzG0j9h2W`9vqEy7O*HXljM^8vjPeyvBGZN{h5sY86>%Y8GF(1h2#D z?Si!vz?V;p!LS;SVPXi4jxsP*Tfx^Ve$QIioOF#1D)M4@35Q56P&9u3U@VA0w|6L} z)kz?438h!-P|4oUf{6_(t(`_S^yiQE9OH!~3tivQDc9i?o-s>n%z%;h=k#gl)KD%V zDYKEit*UxuxXiZkpa1f@t#+BlL$+sGt}*ln(DLAmLrC76Sh_;r>XVQUUWMMxk0av_93Ed-&9?Veu7$eXSt4e@fa7fW4Bg3y42=nm9xYl9$>F?*yB!pTZ9;1- zAG#9h(0>98b%0Z8e?Bgu!3=e zWlE}$^GMU`%V;MQRoe<(BK?9 z9c@-(`^=GT{T68rR(G01=Q0&sy`eZPb5vBpxbvk9+vh;j5`T@3_HJbWI5qD2)Z9(% z|JfF*csqtHd?>yd5e^*FUz5&0fk?*|`Py<1a#(j+q3@QN)8VYrZB1`Qak+ZzRpsUcBv*3#=l7^V z`eeW5O&JU0jtm|ex+4wCw#&1D4*WJ8MSWjXRUWi7+5%q@IJjmH( z6}fgnxkMGRa4QjUEBVt&I|MF(VJ(&3fP<-%BsOhNG}{jaMIvPPTop1&Y)^ITvg&Zn zogrlGM64Suy}vS#Y=uQ{_Zv)${G%ZB!)Eg?7A(zjk2qgh#yP$(_}Ie;NKOC*Gf{rqS% zx~(&7a&q{W;Oz4UjBe-}iFK4$c><1Kv+Nrz`tfn83;5vdWclr+^(BTs$2WF|Li1va zJPjSA_Nl(AX=4NefH*Hy_|tDEj~`}OCWnK%PfL`0=Xa*Q4;-r7zV5S`fC*1%oqZsF zgD3PlwhNzsckQ4=r`N|K;CJb6fQadX(GRpF<2{)_Hy4aS-{ya$(@Vb0e9uv*}=G_hss5oY!xDOT~5%J4!35Y5)}ToGnO4tacuGtqH-C(GJhEXmBjgg>Y;07(c+=br(&D# z)T5x<7#OMrcq^IjEh>S)7Fz;{^JS!)ArbCsT4^?L(Rg>?~`bm}Y zlN?e2XSOOhy~;Hy98NrEHXKg1AnH7oSQXJ*)$rBiD829TFSPm8`5^aHFy=R_mu z%EG@oO0IRHQ}_?0_rn)jlX)ffAA~wBCQ2JhoYp#<@sSqGbX4fau+~Y_j>*#rvqB!T z#HGV+fE%Q?y(x}yZk^tlZu=&970dIA>?U0HE!s>QH*yc{_Q$|ofiU{nB9Jok9(8w!>r#r<+fJTrgw!hJ35Q2AY4(`%g37JpmKR#Ftxd8og3k# zI`^CKocH=NQN(kLaYaP^*J3PoRiS9YEPKQi@n5^Lq)9ph7^t9}LdiJ$LWtsu1#yX* zySBNrJG!lFlNMI*%z}W}Whnwu0ghQ?930NI z&c&+qX0|&50z^$+t9q+QMoTLqAMfT(D}4TAh-VYZQ^&^HEXBaul^&B+|4aXg>2NoC z?Vh62-A8#V`AxcGJ9YdHj`-c@NXj=#RbjB4AEF|^VVYiH!L7XNv5@ug9{&qqNqH{? z2!_baM+%M>(KFRp{cZlWPOvbAG}j?}=FWmSM98JG@BV4Md9w3}tk&3by+yOU;dfq% z+Q=;&OfF?%o~+7HZ!D3g*-b#p6~k7{+>h%;!fmH1SIRU4OOqaNOVR6xcMi1AuS-G0 z=63~hee@7o5e?tXVEfC*E7_KT;^P-3%O$y1)wcMWiAk24PIBbaVZ@j1y6@&~ zlJ*pOU7renQ4MF49p1rSNamIKsAQQ{kx$eI#{m(b7cVgli1 zgS7raPr@P@&)DKv)>S-rA1u~g+k(!}+cXYLKX|Af6h)H#z} z@&cn@9hc`j zxgXnic(FhIbiWe{t<3@67=j3^bq*i|KLJtM<-ntF5;wDpIM9;utbCpC?)U35*qG~g z*n%z<5N{Lq_G9crV4#S36$PCOP?_=;tYb8L z5#BMXBe!)K(d{TBm_%RbLsay)4KE6a(Y?C5;Hl5 zFGQ;}+Cms2mM(wI=!k_Ji9tyIK0aDomo>{&b0cl;70h;G(M`)H%kiT(Y{+h zWyCn$F&X=tWMyT_DIfA%rDxwT0gX7iW~dfF`P#O9>RB|DEzza;XsTN&{#F;b!c%lw zG*{sh?{pHHCg)b}K&2|@#-CRa&S(y+wgrgU{ao>5ylZiOdM{~<@%%V{j;+?J* zD}fj*fmkDpGXZ|_hILL&KP-9a)#Q)8QLtTKyiNOPCJ&_HbId;)vW*gRB3$G(`2j5?0R`+psyn8)#Vll3ghHqiJy_ttxfE7G{$0^ahWRVSD@L{YTZvaS_;#L0*|^MR+DxE@uv({s zD9wO$&{)zP+tH{A8JV_sY1LM+T-#p)WqG`;F!Tb`{XOd0R1Q>bo!D1Mb<1j&2@1UTtnA9M8)#lV7gN?+r<0OL+4KE)ei7ohc} zT-rj#rAqWT&)9;~@ILttxPY*MZ3u;UDf@Me!%&e_XvM+DRf5I)kP!33c9NvPEqX<% zSb2O=r_^s>Sb`J*?7lrz*N_$}U-mpzs(;oFY2ervx$dtSzA9Cw`-G6Qe%J~N zm(t!CN1?AQ(iL@YtAL)FqT9;B7`XK)V91u-L0QuKB_;Jy7m#v9{AHQ+rDJEK4Os`X z9`7B>J8e1Pk25F~U^H7BM{r$T*6h=nY_aH8X}>SI=qe`G&LosFv+PIX7KC_xPT zed7w>I1wRodecdN$=x5gx;Vzi1A?+avUPx|3G-t5q1ZP?Dy*DB+<<>p~TX!F2fHA|@Ks$V4brp_@7v6mY0iJUbGJnhXZ3O_RTY9#hGL zl>UZ>I&P-Jot{Vso)<*7C~$K|Da2*x7fPyUboY@GNk%GUWD|Buswa2vu_Kul9)lr> zbprkSF?UD?9$#tQk57tA@?Y6bW#~?JXs4%~3_EM1_XG%AHsF$i1!*(nkLzIK(z-@3 zU;aJ17?0ZTVPj*!+7+Mr@36v@yxd?) zFcgV?Ne?LZ$iu=@A1wX$YH8HzY4#b2g;ZuO;bHmkH51c;j(fS9nN&o0mOo1SmuE75uX>IDk19WR`W3 zKFY(asfaOLIa9qwqZ6E@G2>)rIdJJt1Sy0 zFmnNV{Umf_`tBn!cK*Hr;T*+@iq+7e2msWboay$tG-`Wwcv;dIx1(C%wzpnwIF(mA zth71$xoj|VcCoX0f31V}T;*=1VSS{qH>J3-uOT&MwXkuiqEM!|my5@GIDYE*xHSs_ zIx?-kcCQ*hHEOnY-uG7o+%QH->95ZGI$ku`{KfwPfc|d{Q|l&49N!u6KgzQ_znVh~ zI1rF6+W(O=olFczG;Zv0#85{HN-kOHj3_~9%U>kGK_)hIw<2<+zZ|YPBM;YW1rk%0 zVn(}AyvO}q&Db4PR0)ZKm)!-sA@7E~9o+FavN#JixTyfwx^vfDXSp4(x6#%rib}8G z7t7SHV*{?drUsibl#S4|o$mHt)oqeB`j#p< zHJ56_PxRsJ4@WBJXA!x@yHb9l{io8>`;VsXdF)BBP?l*5S#B6K{HnC=poKE+2{7K` zto~$xXYurt4yMnT-3(QlV%Aq-L}XRG*T|LRo^3}o&E|HLjO3|U1c z6KaHES^=ghM|}3bqr*69qN%C-0U)$&L>UHvq#Q~6=oegG%7Y!5LEYqv& zqZ@t~;|p!dq_JliVSN)(Z)@X^$jLP2-a`5(q7A+VFj$y%IpVtyBmKHI4(ejMue=QK zPA%X^$u-0fG$dFwB?^q0akg{8SCJCLa^6Jn9YgkpuX$zJb2bRXjp-)NqzPcc9nlN` zNUbh&CTw?u4@Y{kvEMWGB-2Kgo_T)?@eiHzPOHP5lQ0fFh(D=)F!+*;##eb^);+IV5j*s&6n~^Iz6`3w$T`QoDU12bFNr{8fIu!JLjx zt#Aw#YQo~d*eNr3)6@sVJBuByzFknj5W+w`+LsajOd1m{lVIpH=plwdYQ*aqkzbak z-nnqDrf6k^zHhRo)O%&#Mw%=GvhEi~eSL7;X~Z!z`t0fU@C1@u|0M5jmPG$nwTex3 zJ3-i%NQTm++;4Q0xtomths1Ky7;KbF!6AyW}Gy^cK^&8?HYWFRNtAN^#q zqqCg=3I!!l2q8?>8V;BW8q-Y5VRD^Dw_dOD62y{{e3x||)6F&XEobz}6+FqGTJHEU zYl4TGZj9atTO|DpulM5wiSqqRc>VW}cVUHp;S62jf4?}C)fLH_0H*WiR|t4-Ak?uI8O_`A`byGn19=eZla1}~9 z9Bnc!4K+2!X$&=|(og|0_x=n?(=2G%J;u~%4HY)1vclWEVYZB<$MUed!Yy`;`r|ME z1H?uEeg5Z!LBtelcP$xUx{GaJL<5JD>Qpvc>@`bvLbq0Y%V+wU`#FC>VU*BIW6Z-Q zs)6v(FC4#Jr&C3f#7y0$3kQL4fDqiLVak>*lNU-tX-r_d^axt(Yb#9uk2!t{ADE0H`)#~=g1|5W#9+I5PD5Kp&TJemhFqE?J%Kk z;miq4`CBBM9=nbb%JUC3I$}@+$?ULO4|w)Q*Ezy5H87Mx;xEYmeUDEq!2a$g*^<%# zaBPZnCtBe!HEwFFM-tVprAI@^#`U*^V zdo!bQ{|0s+_AeMq#O>waWp&VK3o!cvwq#pbeXTx5FOpcan?|(lyUvCevf{EQPraSL zHwGJwHQf}<6sEJYxg5`?4{m6-gbU^&z66?U@cK@2wPf5Vo3(9L#SQiKaE8=S>9f6m zgnhuj>K;ueR4N!1Et0KLzE2uP4Lt3Ug_+f8qS+DfGWfl8{K-&~uI2N1S$#bK+T~y)e4m~$_cntgD z0}};GzIVg-0boy(1~zwK+|nRFHY!Me>Q%^PP2Mu4KvE}y8!{3?Pc&efys{gV$S+WuyW#4+?+pys5C_Q4BQm)u7g`J?Htzt8L|IPmylsQ1& zxG8fkhV(KW-O(Hx=pv#3Ea_#J%^f}ELSt)SL;JR`F>RB(OE?y?H-deX&>Bv|mKi{* zTQ(OMQ>{<djCpnx+xQX74FBqm?1 zU+Y5RJs#SPAyg_bC9v5UU(o~OXpCcbs&^%kF%)%cGm>ldSIoeq?-;8>87*OZ zT^N@ym-cSgqcn^M>^M5ffRFWzI#$%N{4H88iqY~c=WL9l!YcP>^o^69Zwc68)ZBk2 zGeEAxy|mX+2YIm$L(d4bZb+K8Y5=3+Bs{XiHTg8MJ)n7No(QG?O@P3# zyK91&p`J#OEKsR8(yKrnKcFy*1LfK<^%LLg6ZiSjqo;bv`NG{rJq+GpCoy+GqMaD)(Y>aO_%m?)ef>#pzhS3z z>HrD)ZpUf&@;zo64$9D*^Id(Q1YLJW_Uo8rOMBez@X7$$J(oBz7!6@JFM(NF0#!YpM0y~9inlm7y{=_M!fCyN@pyCN-?uK>+qVr%X6*WY< zgNc6;(-sv$9hNs-0!jW-ynUR_VSiiw!?0CJm;j+cp&@`lg2PRJ7aXZ8V zlh`xe_a^R_f;Kf0lJ3DZs<+QPfRyGvb`uE=)RtlKPfPMz3B#$@}jO zmX#Ck4>A$jWN5RYsmP5RC+l4sWAH!5SA7M;O14AXS(2Y2fu=Gn~01JvN`PoMXELF5;`^XxQ($=0MtD;)6rC8(bOUbVN&JKXGnOoQ z5SL*XuG_c?V+I^~(m*zQ*!JoFA~y&fJ48tXCd}!w5YEI1T!;UQD2Cy>{;v;0*!IEy zAONfbx)<{A&#AjFVsd`%D|dkQb~eH7)euX=LF>@9=j9WDmXRc{rQy~NVW?Oir)@-E zt7x6a1Tq^^$!VXI%Gs6ElJWM*YS{HZzjWW6&M#FNxbU`%>7Q+6fJsCe-9tKAZp*Mc z?E{I-aK*@7(Ji2o(%h<}4jrRRS-dA6TR18=q0DW1II=^}vi0 z@Gf;N-N^gCu{1Az9d91b?)inZ2;KOCFR+#MNSk&O@4NrVGUUxQ(IaG?JpD9r12Deg zF$XN@Ctw*rN@1I^9M}pUMgIg&gJsz#WS9(kGV^TsT#2zN%W_JT8$1b2$Tq!eGnXg_ z7w=F{x=@uXT+ojxHFL;3B$kx}UUizv_ehk}4n3JWP@UtHDmqY`5ECX!(!E2VI#KJo z-*lBDJJKeWOFU9!=|{F@Oq{4r0e-?m?Wj%WP$x>$Ipj$)Os^a!mr7qF+nUOe8+l8N zx-jJDO5N@sUGh}BWXjA3bCw#R3xG01U7H`Of*sj68RoS5ceo5bS&vBBky9@0)?{b} zj&h_2!JdkW?$B<9^92DY(nae)aFI5n2 z!Gr@fqD2O9O;*l_H7qY-N(s)8%$yBre7!Sm1_5X%gaqPT3J6F7&c`IFKF&xc&d(Cu zo{UL}zm28jK0HZjzA-Okel?IY{i0FJ0JKsH0&%S61SCwCW0Kld(X3^ok|rOFYFU2x z6Y>IaPi1)$!pn0cVwYzLtk1^9ir<(KssiGbD|vvR`fVkDRK&nXx0g3PJm}L3q8Og})*+NJa6Y^a-ySb|r4D>r zI3$8FwyIIdDXZxj^Lj>6U)tN6b-rd99%h#d*SRtX zpb5gnGDanBl385= z%w^p&RHA+ju_=Ro+zFMi2Kk+KM= zu4SYl1h1)OMfkQVt`(-poX-?Pa|s@a!)9ew;;ghFCN)->0B{y(scg(kYE%%R5S^5~ zWI|1Fv)K?A@2HG$lHM+_j1q~Jzhp3xlB|SB#Lub}kqaNH#AHBGX{oXyCC*!Ii%Qm2 zT8|ItV-z`jAay%kq>b43CT$y^O+$i4ErrFt@x!Ly<-9ie?;QN4Y)<@Yx|ON_Bu-sufPvDRtpKU1s-*k ziYm!HuUmGPzdzEdw2m$uQ7A;nyDkR3;_#Npd*1U00bpIjAm?y+Yi^(Rxq@U|*O0YY zA95gO%N4xNdm!$V+}jr2aoV+ak{XiUPEX=)ENmh=ds4U!%K0u)YVPSlXlZ=g&Ofus?;_kBP3nAwurRI^e@OQ03r)Uet*3bM6aLai?=UlD>(xcMRQ`Z zx>X2$nDkF!tLqeC$E8r7Wm(2CTGz5p%bp%;|07zrv`$Z8WL;cI?7tF$ zQl8uflAATL^n%P+y(+#oz=@h~rkAM(N(4EN;(9u@u`}9Y{CAua_|FfXN2QU6FwYD7 z08Y2l6f3V?_c%?#zIJK6ytI6Z{znbLoj;QOJ&{7mhy!4}O=74x0bn{|lm+t8q{k1( zsfx*9DEIAf1%IK*_X9Sf4$0E}Z+NTG|G>O$i-DslFapc?vQ_3AfY><`L>3r;q&6zmYf+ZcqVWKmZ^<#Q#&jcXl!KP1CkO zHAfvY-)_$)*Wh3>S<@j;Y7YFbeRgBY<~)jATx5xK*#guQlYPS$P8T^-^r9#|Ok+Nf z-(mnm->1G=jMAcly;O-o)KVHp8co`gs#S~%UC}1L`D|KNt#8K9e0}Qr_w{#{>v89w zX?q<2(C$1p|MI#5&lXv@Y}*JobmAA4wh^ul>12FCXjj*qgPpuXlGS zTT>rb@a8(A8+sn)YXZlnR*v5B{5-ATiO!<0tFx2$rBgl$}o3n}sVg#mS}+F$NE^ z4UL8ECfR^U^N^4Z1DC?`%Yld;Vv`CtfQdIwa7{ngFv3gi0#BVNn7|tV-7`K~Pru;5 z%J+PZcP*ZONOvu6*hFjHbkVq3aMb~1!KzRT6U=wfWWSy26C&Dqi+%(pK%38gEUNtmoC>tlDVP9va#hZ03S#JikIEEH~ zGW&>!qE`fbh=y6~B%_vU9>ZC((mICzr}uFM1%>P$z`#Q&gnjBHS8stKPW;tpmX%9$ zVB;l6-&k8|!OESt-LZJUt8*XQ#Yf!h>VAm0$nf%zHW7)4e?zX0U*}azzyI^(F*k^@ zn@cTwHwNeURtUq_U_^8WZy*BrRe)`k>o;Armg&?4c{KGO-G+oU^=~yH(4hl;p?>Rx zn{WTv_rl6gf(Yc?My-N(9y3&29$I#6r@J&f<@6!BG(6<=p}8#S-izSA+3W44z06Sk zhEy!`+`kft^kSd4KA^u?DPD?Z+~$7ENX}FpA-&*GWLEr8PNq%%K_&y>lt>gNi(XVv zmTYUish1Ckp4@+&p~0qb2{kBkQBz8(#KOXe@l#P+s>s7iL!__-9_Bl#{cW@Z^%0#E z&+ZJ#FkkA1;MXJ2j(9usz~g_oTgx^d8me4DIP(<=;iKQ~xyEkC93DbjgUb7SbsgEB zKKH8*M#rS5pp^__@LdMfqc3FIhg9*$s*j4(Z0~cn_RTm}q4l1%@4&co)VSf$nM?-`aI$c{Zj_uo^{tQ_g!zHI@{&jV&GAfU4?79@|N6{2s zKtn~9(1d(~>09j$cE4AINC7j;SNSO+M+jL9%|SkloCO3l}Cx2}LaQ2QJ7$ARI1dvoT#C z!)eiy?ta2RAK-D`Fokc*hr2b&H{{0d+N9w1PSM?1ZX#`3L7JKZ&&ZKAew`~BV@7V~ zb_X2RH1!_HiL60G9iT%)(Mty12u$Y7 zhqZ31pLRzXI=CK8-tZ)N{m0SR{a=M)-&oJ#zG7*JXW!4j4cF%~;V&YJiA1YIwt{bK z7PtYk3; z7N#R%V?iU)DB0|Vsm|bsp+&O-CGX|_1~*8_+5ZOE2XgEqbBb7H9;EC(CwpuSbCiKa7XD(3d;i}s{KX!9 zD3Kg5NsQN_ziIf3GYTQ9PX*L=A&6LS4m^J2gJBf=VfYNMP+cj;JR5e12K)b5@M}-t z`~d5BkdIZKn%a6mzi9oD8mLpkz!s1PjVch6l~9M9NQfBjc*6sUq{+_VXG9)zX9`N5 z$mQdIO8tG1{z;!VGY9JJlj+URN$kUV36-R$tgh~>EhJWG%R*>E+u?VRqB*}G!u(~m zKuG>4hLw;Bl{lSOm9X!JvMLH6G&&?l9R$F)Acomch3|odnz~O0r-0$;{&Z# zhBA?w1bSG;*F!HBXJQf}p%h0zL}U`q@ZS|8E!qdNE)jx~OGeFgaj*sx1(8d_!ZVu$ zHdih&*)$rW6sJI7F$r;^A&q-FZ73JU}{@Lw?{Of;deoZ4-T(O8(p zXJBqwL_4As4V`5$F_OzhBVZdZ4xb0(6HTWxGjUr+Cr2!tJQl-6TcQ+2jRMk{5C$po z_?{dxq4N4azk&qO)tmK_r>05*>jNOn&g=9G!w~0(z`ZelUCXB?i`oQC0W+ZSe!uQA3c%Og@VEpkM| zF*^GcjeaSuDMK}57yB?XEewRiF?t?;4arxsPq(-pNm=ix_@0drFLZJTZ?-Sh&Y+W* zR!2|@oR@fFNt%aI=5GzI42k<3NR8KC0L*vZY!T3#$KC$p@lG|#*VIpsxE}0qbH3ty zo^n~wRqngZD#@`{^v)cY9;4i*jk~&9)<>75LlV!*A0`8$DlS1cx?io1F5UE)D9)Mr zd^_vcFNXcQGf_Q*eb?7ampeTkeQaB&0)Oz&J1R!3^{9aOA9X=?&Ffdgo2mfcbri#+ zP2xU7N6X(_DKLb~LzRs~NIN3`%wn@THw}nA%#`$*D&U-@yn=mw5q%3lg@(k=MmaT$ z#LXdGt<6I|A1WTeu15j%L~?X2vGln zz2^fzq8cAtX#A!-1;Ty||FewYsZr9ijq&Z>`)39R@~FpeIq=7*uJ1aA;4a9c?1uU! zf^jLLWu*iXjWRfArRP*o|KD0b{WlWa=@zuF61ao|KT;8VIxT#T?F)|`CG_(I#{X5YZlnZ|J4GQT#d=`T$@}9$AE9klwVzEkh{@AkzD_cM#y+?y8 z>WUc`JuW;6YQR$;1^%O>m zXrdW6YPI}(#2CV_!JlYQeu?{xLA2P;OX!9m{#!2!Pi08tlOBx2o;ugN!;UACb6>|6 zpHoI*+s+d>z$${^@M9)$4RHbK`^je+nM3MR0|nP@ouWAt-s4aX!M4Rd;A0?A@8@aQ z(-8Z=bGvw*GVH?IFaONp(sT;)Ws4||Hx+YZzS{ayINMRB!- zygpdw;01Uu`Nv!d(ac&-ut{>1@SRsck!!p?V4rA?NyfeJZLjwqnFOWM#~(X`W)AO5 z4V8*pN!E%2W@;6H;#bD;7CFMy3EhcBq*A$!3_RzQxRzC_@dNi=t=OBRTbkDo1lOC* zl~yN8MC$o9g5=Q32PIk`NYL9IU_P22@x}}zf zvbgFhwf(cx{@*lvt3ygP&qjXaa6YrHf!B&0m(VWjtN<`T7AeZ?y*{@JckbJUvJ>&PVU%a~jlB)AxKM>%hDOJ_dNQdDE z@(Tl~&=TPR*V_|i-#4_nR&*wTY{QXfFO{@UfhlrW?uD-(0F~qgJ#6|q51j6D|CTL@ z!_&i@y{A6j$;q#UQhmAVlfMs=-rJVLCAaMBq0MN>e(O&r%e@rdJUu+cvOE|f(ID7B zZJrHBcSJ84x8!Xt;qoE!pLRP$8S?dAtr3`p2R`uuYSt8*+239MuzG}jpXTF^l#gSm zs6%&^Ai56#jf%&mmxJA-@4`!+A2umbkgp!@6i$a2{VEy?H=49 zHD6e$e^M(OgzegRzL8-A4^KAU`9sA9y&qEnMPNVh+}}QN{?B=X zp<42*y|yX*moJB5|Fy{BWoFu$rRTUSO+2vmq?Xt}7S#Z0ht3@L7zhfBV?j#UY4a=A zls>XK@|RtL%>3zku0PFj{?F8h^*gus zCVq7tshR$0Lgz4+Ofdvg4$Io#Bo}o#FZn-x_uPCIzbpQ@5}HL09!&Y=mg4GowpQnS z_Pn#GyR@e?KeYH`&-l*jo81(W=$6a+@!SoVb5L`x`*mAgXpTA`x7GQl z2=aDi^sk)Vj84GAkCU*mB*aXqrzrsB=MwvUld)+@UTt!P^ui)i(H8E=ak%DeBM~0Q zd7~u&fRmXiap))K$Ir`mS6`3d=X=tElKqVK$A152X-1h+0t2cn%jTQ&-9F?%PfdK@DjQoJw}V(%Q6yT(eCpMIMM)jvH1zKGn2QROEj3Mqv&(elj!iss8;w zaWfURDOt&T0MrLQFRSd{s01$`KW}(ek^xKIZsmvuH{6?|#kK_>lF=={re_l!MF1ndDY0G0;=&Y()mbQNDg z2AVr8aJL4jJrrS1(fc5t70n$ISRQfP!ZMNg9XA|L#a>%oA~8I)@tfLT&Y>z~#&Yt} zz1{{jL56aK|JSZ-!sfImoj!=4S)P6WsxBSz`Dd#E+CH3l8(j_s{2wz1Epcys9Vzz1 z5}y2R+e1ZwL@G_9_2E0E6sF(IdRfF>2-`$%4!(}cwT5d6`7FjLM}dE)DURRDdKvsf z3mfu-Hd6Gu@-OD0ZCxqITUKwg2A80TRiEJU#}G_EGV7@^yijAi(C;QC zm-A~`afPh(k$Z%vn2bPzGhkL5D=(c4yE;0I>O7q`cKD`A2{vAdWGN;3e6Ct4V*&-Q zc8Dp5b_fNtc8Hmk{p)#EzxO@b32;nMJCV}N-a&|J%%Z<5KOI_I9R}j5eY%LZzU6!m z#Q+JQ1_LH^B3{Ub9%3U&t*y>=I5=t&yA1by8EdThg;!ArXlg)TfUTWq`rTPFB`s=O zPgN3VN|lM07X?)rKSQRS2x(_5X$h)$Gm?~p36L`rr=_5)w3r72#s@HsAM>*l_i$}R zB_n@H8IDkvRMnm+1!4(Mg6XBsXcUw5cmm!LU3w`0(G}LDtDrE-f;X`g+{sH`_ksoM z%fMOwW6NxNbO_Rr>BSCnORfbs{B0C#%eoT_Yfc!}Lr$ntOTI5EQv)j_?vBp)1$Y{; z@g=iA9*7NmQxR&UJaG{o#~Q%`8iCfAPI5t21Mza`Lhv#M-o!eAO4uXc0Fh48A#Bke zWwG7XjIGBt+=U`GJ62{;f_ju%guP^FquPV?WPx|uE;2h(Wad8Tf(CYH0Zx&i)+r+v zV!I<5TWvt+_&3q)+)22MkP@QjMM2i$qjM)vr9k>)#@~LSYXZ+u2C5tnXZb5Ss& zr*xv1tA1fXwobi}d5!|a>0iNwgS-^UY=eY3s@o6x6QeqcDEpikr#}NzB2K#}jflOI z3y-}+3Xe^eR;p+buC3znk~NzUwr$o_LEx!%!fGuokJp~G9j#gn;VI_Cwzikuv6+Si84S=`R8|XEkITp#3RMl#8PMtazbq|8yPkQ zHd0wKNE-<*bNo?y5Ic-x@{tlmH3znX4*Ef)Q7gy-6IO^vmV0BX)w+~PL0i_?9^L*q z7{Z3$Z}Wfh^{7eKmqGMWiIOMAkt!MlnI`De%ZN6y6zO;<2bDxwsKEe2 zdG@YHsb%>&N>BQW=G)&tOnIOx;vpIB4pVIOd}^D1XK=2kx{==2TTIHBa!Jy$rdi4B zJ_^!ledjU64X?rDCDoy)5haL}r7FcH#0>A*&8=RL(2B$(T1JS@5LayBh;NdW4|kHM zv_mjW-|sgA5cXIc=Q@{V!rD_NsO`-`R>)rJ(j%YJ+lC>afbVnC@Y9ImNAAx*hYX7M zHn9|+5q`a94cJf$JrcK~NXx{}na-77$A&3lu2vckfC2MR!pg>A{w3~W<0ooLZmfqp zM@d8NhiLSqvBQJ5%1s?C!QB=l!JQf;A(=eO)p)1Bv`yn#iCImF-qbh-l6RTOqQ*9nd!wMoyV{nUmwx4! zBydguKl9)}xQZM97gvG)60Dp0H+#ZNX4_$lWACidPeaBj3T1+|+X8P2+|{M!jiwH)e=9)Av&L$u{^ z@JJ>j?_csQDDk;N$jySSDrE(k$N4UIlM}M^kW+r_`qNE*mE3JU=`j9_jD1j}xjr3s zDlz2dfIQ;};ApfHd@C$-?v-{ccVHUa8&D7VtNFK$^g~=>h^tN%K|=!!pe|#s+oFq0 zS`g7kuNkub0uB17=~R5wHfov(cA}QpGI)Ul>!vfkjNjddG-<>7ZWik3?8e+XmDlBJ zb)>X7E1u zck#-i2v9&j)9M%&tEWVZrnQt~n2Ysqfw%TjsZc^ks;EPe`V~y82eXxw&gji&2Hw@+ zBOxG3dvo+|7vQq}`(NA3tp}G2j_U1}4Ey)dRUeFptXpyE+pR2g6+m>g(dnYi!{64d zbAirboQ5uj!&N8mfyD}k0kgHg1pj^fNxvkFhadc|+Wf8xwr5V^kgQ{%Q91f2<>~nP zzLsMNUw2+Q9d9gt>#^&4(f7*lJhV9lycH|}JUb^Pq!p|VY_6HB4U7^DtRqFg9n6(# z;10*wO@H$2?ofiCko=}aiY$}7T#uLkQtK^>$Z^hwHrMUVulc?m><$bd2Kkp2oDWh^ zcpEuT53-3?iZC_X^j9~0pn1wYax=~ZCYzSD{woZn43nGkLTIU;mFJ<_nA^(OM)Iw! z!SQ=T$!{x9IYIAZ6q`e9R;g-hcbZZySRV4o=~>v=<&J>k=3@9XTC>X7IMKwA{lhPK zsusTdLMt{v-P=2WnX1wzSEsp^MZ*-L5b#LQUVAUo`y?9(oZn-yV@?}?W~=E&2RxuC6F7B>Ws z+&(Ke20gRz(_Pm9m)B2IkA%LkQs4B(a@Cgpa*w@sY@Fi@dU{4#u2G>#sf0*s7NSK? zXOp11Sum_ViSZo&)M|Kwfh>u^y7&YWf$7M{{X%*ipoIzZMSQGsQ&nwKR>7O$+d!+Hd;=}4&VyP1MZ8(qI*XiQ+F_!g zLGcDhIB^`a4@`VS-kJ2DDhCcyZ@7e&EfmG%LS8XYAChh8Xyn0ql3n1jZHAIvRB=%4 z=#I9)RM^gv%LNbg`AB6g4t%*=kt5=DUWg})A@a>M|AfyS0Rm{#MxG*i8hTAM81= zU`9Lep$sNIx9#`M5lsq01jHQl0|szSU%ZZeWLFl;$5!ueC%rG@oY$5yt$5T7n$ zhE_akFcr`ntLcxxXK=cxv|T5iQ6s9=;$`49#1KO*9k zp4L(pXPHqLbhC#Zs@hFLl%VEsF+NUk;Z7D-8nG zlb{RyDR3VMYD>2wBnW`o4FeGpSyGHEFasWXSb(8PnL^GQ<0YP|(d@WC@f4dx>eg0P zGHi|Ar3;YqrdVW%{d`${k1s8Jlxfq)u5!p2MYY+9qu*EYdx|&$5^2(le7t9WP|wO< z4gBWiuvfP;EgOt{C@v9L%fP?p8~*siN4A0$2cKy&dyOvw6DLCv;l2YQ8PLsU`kbov318|Zu6 z{}Nh#gS$d;%UzK1qR9jGXGb6$xj{E^J?psR`F_Qv3*(7|srhKXI;_2~Pk_1Gf-wTh z6PQ`ZH!S^h6j5l)Sln5v2)ej$sccSlIZ4U zsaIaL@!mOZgt6r1=JK4qs#AcjjH|k2F>J|UWaMsoZyp84z>jb-=Uq?Q>QFp`RD1Rd z7_DK%UEOpMwQqYaDJWz62oInI6$i~k^S$50T^7xg?I{f1$Qj?S>Zj$ z%opQ5-_`SG6yl3Wq@y92UZ~Kv=c|b6D8ov@*;J$v-J!%Qfl5y#j86b0bGq59#2-r* zFiP)9D0w!YrevVIR6c;szq~)#sva?)iYP830p%g{PJXx5I|~KeiwNWmyo^UG zPkC(|EG9Cr0xHUFa%w1p-6`Ey@jhu44v)ubHa(jy!yy$^Bil85^%x3(1NU+Sl`8G@Jnbrk$2-R42C!@an{2 zjis40-zDlZfqNTvTg|kU`k&#>nm0~_xavC}cT^ke@h4C_n>s$M3M}NsaFV;A%h2-k zLK--yAe?Uqo-eo92pkDTb8Fn8;U0K5{+zbxclMU?^6)2V%ZA-z;%e>i<0?+Sh6>I| z@zW1g;ntAthO`RA6}at23{0K65gvc$4rp>l7v|Y7Qw5iV%`I#&PxvBBFQj+w7SKzn zNM{bj(EGXCF%wv1rH@`>wXR-V%5=IejH#%!SJAAhU z+tc}@H^%jaJ0V8xg*z_B^o2VuV2jdE7v{fe0pR_e zLne1Ff>Nqlkn&R~#Fbm{$^u=~n`_9^@;R+Pc*mz?G7O5iGcx>RL6J7_)L|gbYV<3& z7;*hKT)y_GTpRC@`@$_=I{PzjpB#tiWU&2PqvAKOti9 z>jZm+LBUR(8-po*H+T*)Uq5Ww7|(AjC1*`sAV9}m-ibntSoXY@TOWu0dlqvoA5!uvy`NbiwWWDr%ddKX1MP0hFADyR z!2z^q;Z5(g+Gc4!-OEQkF1EELj~SJj2wq|bPfwI1sx1yY#vIrY@Zi(^qkf9en8m6d zxC*70I0Fb060YkT=l?GN{Lj*yEzavWV+ayF&g&cZ|A7CM`~QLWxI8cDmwm1MK@8VyFb8?);&Q0fwZm! z0w|<)M-adut;c`>4r%@OboUQXj%)h*2gD4+U1u=gsb)X@&Vc}RN5@b|%F}XxcQG_4 zJ7~zjCKei*HqzYJ8|6W{#VavxCFSy$%|w!KSiS&{2eBlpHp4g`V1|EFWyrC1LH6=u z8+r6RfbvM$!eU7?q%3Blv8!jqL9bXt#JM;vF6Jz?t|VNi z4N)aO&*)(s=!%f3vpo+^WAR``6qQa|t-k(-9l?;KVo`+dq+f=bU9JA^(}U4YEA$xa zTyZhkvfzUIpFJjUQ~e|c8l#r0_t%dkHT1mS;@qT5B zWZvTT)5VBn0zfDr7;K8rkN3L?ecbR}zM{YE+#wf(iC641=MUMV_i^L+{bsPb;`H)dqRJj^) z{5tsER;F;cRjhIB<;*)#y0Y8~(>8JZDkQ=c61U=jA74OY9gGL!#G@zyZ5?w>&9nx* z+1#R{)mik5a1JYL8RB?kCN_q;2JsAXBweQXqcI384azBL7}RjYH`9vo6UI)WtiRvC zDxD%)e3jdnVmq}I$+@&ZTTkQ06o4v74vI&CLsxX0Lrl-ywOTmIUNRv=w#P8R#D~3D zRQvk~5cd4z;)Rye>NRuFj1ShptM6}xE<6@;5Kax&Fqrc`O&O33H-@6XFMZbpeh|)= z7hZ`yf}#L!)hPYXutEZ}8LL>mKDU@|V9p`?TeDXgI}k^!$$$dCP0aabS6RP<8?)qP z)v$wwo!Jn#e*htvn;y4crH6Df2XR+^Y#UIT;CAuUva_^R1>H|nvQ50|G#?be9%@F3 zw|3nhreJSIpSk9Aj)F3WayszdIUT+nfPQty?i+V(L?|u9NRzvm6**AinTTMaHuq&6bGBqKByhL*9Bnk#*cP2|o@gw7utym!#xlekWsn>1Dh@_RqIzWajiv7(Nse&}In!sEX@RKp|xloV#^~ zu()jos+0c6wHNz_eo~lu({1xk#bu$0+?qZzN4=~O*cSy^^lmmQw)k$^UkmY&XCAs! z2%+B^=B%=HAhQCf{r3>9cBMW+3Sb%4YWE30P%|C_ORmBcE|=I^h&&Jt+!T@;Rvs;t z47KZINwgrUVKDv+yn-zQT<&y3F-R5YnFb!KA+ExjcGJd_n)J(}vYJqxMj4w1M;P;o z^baSIn(WJ>&gj5f1M%t6e7 zOhz~=RDY}6sAI2bo<_FaTNupB{%>JwsB4`b2yla@@^guYT;)nx=%e!ch_77Y#Nl12 z-wd0Qt)r~%ODy!7l!@Erp^-pG5?cu^j-y4#mFX)s5X`#iL(OLJs^0O2oHF&w?q+93 zGT3*@oE*M<15D?I(IYM3qt~|wN9{U0bB@@dWce+_^Ifrx9&1x3q4O`%@KB5=eXWy$ zi^jmKvW61A;fLF17=fWB7G8>7kGlfPETX%o`gb&>;lT`uSMAmWr=^3kp$dpkE1e3kpEh~x=vZC^w} zT_h$7Nq9o^j`VgxojvZ(c($iwW(mi8k;3pAJylsC3wk`-zOvyMgF@+S*Hpky*hG@k zbe26RKNs?u?BDg#G1%+%`9u3Mt0NySP`ryi!EBe1wShNM)**q!STn@Egl1*DuNDjF zpO*#*f_rJ2PA?KB!Y71@Vp{XKht4Q-3f+A+&$z>TL zB&?m);mD)uMfz2e&}o*jX|G|3!=lUi_~%hj#8V!J>V$sqB*nbz80CEiNK zW-PxjR6+&-+{L%nK5dY2M&n>q1IiT|>%0ww?$_|QkN=#HFFq{VOatwC(4_a!Wc%=z z`MitAnv{cZH)K<3TOd`eBh;n?{M4=+^Oj+{i`P9Y;>DqAoMwVE{JZhdH&ifmx2I1A zbO7MTQl8F>u<9>}v|a0xv4_fIfhJ7qzQU5=ctV$vFi=0^YC#i~|5|sFt;`cGOoxrL zA*ZA+Xgv=7s;AyOq?n2tk`;h{?F-)%Z36eDM{&6#dbMJ59Z-S1#QlIXunNSST9Xv4ysw5Zi)?W z>q=Y7p*}?QQbS`yl%Qqc;c`31LWqFG=qqF(B4i*wT)uM=i!z02uH>MJCYecj zMP{S%S{@Q4of?asVH&uI6?_z(?P76vYMq5Wyjfyyai|KRXKrXAbygcwQDvHC{?yWq zvJdPc0W3l>4ER-3zn7~*&6J-X`x&@ILSSEGf z!}1A$3)*fwIBHc{r070*{}Uo989}X#0Z~R_}$FBAZE_AZ6x?m21up$iI6EI{}P%V#34yi<497ild zq?8$w5+lX6-&G^*(sR_l#3|gd!;{uo`}~9hxbm{d*qWdfePgApiX^jEK$Jl+lGeo# zn@z`!U+hPP|6LhLrp}|t*b_%?=7fj+%TRu#J2n}torozN_1vSuO4O`*?z+c83P=sf zgx^U|wtQx@X$0$QFhPK5{JX+Cn2e66O03AEkDib$Sng3oJ6Y_c4#jJu0(Au@WLR(- z(2m>H1k;bI$3CKXZTqp`STipr?Ex-Uhk+ZP)g5~d8CJN$PHAo_U7krs*Rk2+EG#+N z9os`?ZUp;KVrB%}{v&2X(PYHos9G6Tvd+nUh-pz`jG0P2T0nGvnL^xF(Sr|2+nzH-9dEqF%y%U_2valTGuHENC$(h)|wvw;RXTK`!GtpHilZQl^H2=0*%3} zl^DuO$rMGVUrozSio?hhwZs7*hb;*U9}N-FCx4t*!)Boh>%&?(esu*}bq!sVk2p6F zosP`=Rv%(DQO=My7T|-d2Se1M1cBRBat(fsnVtdAP`ar ziztJJs~b^8B8Gb7tZi=882Ag(&dvw}A_ISUy!#NE8Yz%Q`EE($*nM_YXb!M&*QjAaD@Ie2sTRvo3Bm);-8Cy@0RYA_cESFO_sx8f8k zNSFpvhD$Vp&1C@&kNHG~KS*8^KthW!1&V^HMqwPIMkX?v{8SEutF~tpGe*7zy~6mo z155W&k0UjV3uVMCiBCVAge_@D3e{Cc78^ub^PI;Dj~lGgomv?vkwCmOjj{lTEsm;- z%7BK-5)^x=g%43Ht-j(!(;3G2AXY@yQ^L}~pIHnCCNyysxDkp;(gD{8m@aunRaHzp zVk%>25(EV@ZyLm@*BKB&z)ir$52J>MQJA(wz*W6mC*-whGAasnq})@R~|h zo$oK8_P{2lH%D`e}I>wu@Jk7H7JcWTq_&F zp)FcifS6A~>AQw1*g_EkC}d@&!Speg|;EHc++BLFp3NW|zbWZ(-%h(Ql-s*tJC->ASBcId+?T)P%r zHMt*4WbprvRLdMh5y9(B_l8rIocb$N6%SEPsv9v$k7$#-9t##| z1u2si)9WB&teS!hVGx#E(_494=uZ^3#=b~JYD7U6RK)_Q5rMA|`|ez5AWQ)mM{PB- zJFZ0NqHV?fdH2-DbM-6k$d&y$n@|lAPk)y1m)FX$_BVC{#HiSNWn4FO92`En2Dr*m z8nRkNC!%?oc%c24`#ys*G8X%w-F4#rczOM{Q}XP_S&Q&KNO*QxwNA79qV$_z>;z=x zkqT@a7WYK}VvcRLUjbaE)8wa4(@Myq77 z`X16Sw1|j5S%VdH!Q_DTh<7@;+8WaErMKM`_;4em!{E>Afj$^vw!^CQGK`3cIEs(b ze*`WQ7yx0CoiQc;*4sh==0z z^~~A4Di&%P!y20)qj)44m(ZTweoJt)Ah;NL$gp}P_FY&oX%uMUb{P^r&L|)+^GUs5 z7lesAtinBYPSem3YaD_fnsW~=1#{|LBtl2s%+`5u0TIlJNZEZp=uU+O2~ALJYZkmi zLJa_rK}`xoBe=)hfQ!1x%))QTez}*((@2Gh636R=6%g-(;Ml=2F)>m5aj2yYi>F^F zppJ>iVag+s!Q3EHA{a6)t*=EI0)6y~HE&0YFmYH3l0%LlBXHv?NAPqYZEB-whkI1ogHZPU9)L-EnKX}wo zW#ovK+^>G`BxH@-YJ5@`zk@$jCZKbq4ub3Ny}=o`@K3{hqKZl}lgS#1)c=ebPj^N2 z_)Vs25T~Ld*rmprtm#cURic(T`YX|?wywtZh1c1HZugfYtF5PDV{hH>V5pmL1LzPI zQRLWdWz5VcdsXyHF?i_+2Q-T4DqQyTWx$EXwLA75J*|$n9{t+&9Z8;;1pkyBaeX1V z@;DpX6La-mp9__i@L3QeNj|B9N;Q2Hv!)TSSQX6A4zhjMmi%Nd*R^)Wo9h>6`h=5|CaO)&{eKk*}`irWVY`#9l zc4C?KSbv}4{%9XZ}`=0+r^jjm}<$ud)8EkCjmjk*aGyuTzzt|cdOy#e7>JKr4 zb;_Huf5QF=hQe9*=>a8)G7lko(-vW z>F(JD2K=lKW~c5k&1X$2a!%+*3kSY~3=(C4Ga!R`Py-Ta4TEQvK0jX;JEq3iW}yFw zAKDvbkLykIx0X0;=2?tj641udaj1}g{b>e(k4hZwV4q6Z`<9H|s?RxqDZB5ofay%D zPW1J%q4Xxm3yAO715%(BK*|{2Q*t55zk`Xyl|MT>X}+;T{pt z?k||$pM(X1B0MHqCb<%hC@@1TK*D;%Xm1jj3tV4rA1ukYCE{qbkJZBcZC6s=m{~?& zyi>t2w2xgdmJV)!f`VJI%B#bWI77Qc=V@Uf0k&s*;rBVV=}lIyb5j;fScAEtY1^|s zdH>V%TeZ3KF$cWFB25YU-=;3=x}8|19nmAI-b4vS$wj-3($TUNJK#x6!a6o83H!0| z^ZD7<65J!IK=D5e{8Ibvy{`YMoSlOd76GY!+dcqD;{?^&_PL5ry5M6^CY;69DVXyr z`9ZbGxnkpbnJ`Y5p#Y)o8w2igp?Hr(5Zoq%v5zxhn5N$_yZx($OTJmg1tx2mhe@D7 zFkOuzp4-a(@5E1*i0hT7WwNcI8<{tyZB3L z<&arxXA{fGxBAP#*5YmvnfDuuqbw2cRaa!rI4#f7Y{pFAEVs2|eA*I)qYn$u%7mHy z-g?$PPZn{&E&~YuSf6+o9$l15QSAzn+9eaIV*Y=*nT0@nYT|{DB8>A8U?O2X^JAbQWqva;zDr+CNv+hh~L1 zMq6zxX+P=`U7w?iz9-*$gAd(8>by4U36kw-zxuZM=?3eJS_%@%br~m5e*g$r6{3;!pM=Y5%}VpjfWBLPo}Zy+Vn}9q~0cFva9Xp75bP~ci{G#xe5pkGJ%}T z(~?lSGDTDa)A5XQ^8Wc#q{;F;FOh=5+LiTYRSi@l7;+bd7s|=0@3$=?jK5G2rh5AYR_IYp!0U71( zW8!)chC5^L#6nSM<@B!c;%?9SyQ9a^McazQV~WL!onGsQXv|3a+~fO=SG`@bj%X6a zJWnsJ6z&mh0-<( z9?aO?xNVk`lUE%I(45_62diRi7UUL#mz?i#lT>b#{qhn zpdvYok7UM`iyqWCs<$l-DDlzrM=kW8)s1o}G)BCKbIae5&O4QuH$pa!T2vyS;@l@>^47bDa28tTwwDn^PqvzFmavcnSBstzMkC8!TvGu#8+JL~Q(C{3-Yh)3>{E=V zlHXp-qHe08CtB7B^$gRoWEqbxr0$V0j*UX@JZ@+I@XQgYuGpYISWuD;9JHg84HOmI zUkRxVw~K~grqGYQG}dYbI$pc@3X;UQ^}jjsuQw4?{dha__T|ma*w{e^s2@H?Mo2?U z11&zsW&*Y&B<5V+%*7L}C0>?>G#%o9bZ!W&IXFnxSyGtV6&;0yMh)OS#F=Bi-cccZ z@Li!)fa}&YAmlFe9r$}&1D(IG?VyjlQf)~+Lq(`d8u7iVcDD$;`1?98#p8b7cC-s* z=X{;I|FxyfETP(T=+&hMaJ-sa8w>4%xje8R+UZ;Tc5gwMqI9@@G_X9E8B+I)kiv&? zlWO-R|A=hS9wVwxA=-#A+y!#)OA-aHR5f7_ci{o7jS>hc&fpzJf6WBEDA zlBH{|Y|4ZOH+7@sV|TNP`bx-zfy1)t^(C@rOwoztuGn>%CmuduDjvR5O7;C(DQ*|W zD5z-nlFaz(J!(G>g=zZE&V>bmG#W zc+=V5#^0UkYAa+ZPa$>J6+!zy2LUn+q@MTcBG>tRj?|%^)krFLRyru1-nk}v1KF0oNt4ba zv1ZsF7gdd|lqsUMCq> zLt^H!Zw8Jc!0uF;$9u~&ix0aWUHl4liSK*`j1qtYQa;(FB1Bg7#No{j?lNgq4*ONH zDXy9;1uP>dpAE6AGt5?-IJXXikSyCX-IOzwUv>_12+hTW^3CU03Ow5boMzLH+r(*B z_W8wJRG)3YGtnAmc0x-lpB zzw<3CsCW<&Eoio;{Fe5l6_YHz?onBygv*#(GW|N(OY(IB&^HQ9`FSzFP00_fVqLO7Oyba7a>0Yv9~90p zhaoR;cZ`vm1m&{CSK-rfj_lbVys?NEz=b_?(#tXJ;Pc9cN##?rOeceayE@7+V&hf& zJ<&i>TCUyWc*Z{mztfk$`iUgIJb^~YZGBDvZEoo2V%9xw%uo~anq)Y1+i>n5Kp;30 zC#gt5wU1TOYCg83eSU$qPDg1vPy)_|fCE1^F)axCs6W2T1d7{jsH;)LQ%5NK>x2Sd z0qg4P!qA-$>=YLN()IY5y-v!Csv01v?Chs^Z9tk%z)C0rrqWosr z2%o*TCBNHn+Dn&5-{tS0nmCtJfY)eAWj-Aj9^{1VQDN^U3rvCBUsBfGN0Wejm|Ucm zy`3;bl8iNtwc-aCltlWx5Q{?gpg|MYf%p6x#qnY_tch&JPRrRji>Gtv&Trw91p~sVcq0Ch<5*GaqT;5n8i@$( zaYw1U(T!|}zswzj*2&MS${p0@zgJIHUU_(gxh|Qd{@$p*RIc$Q5MoYYO}!#bCYcu= zp=HZ%RT^@LD;Gs!vS|*B0~R^tZSk?KNb_<2#Vc9vDh)U3>dobPhaI)aq%QB2t zfkV?UyF8C*LTbN%9_7)`{KmitX%48)=`2l5tS{Wj4YWIwy1r%kgIGBflb9S`m0W|o zxk{xkRt}MtuO#i)>7%m1&0$-KHOtd7-FMMq+Zm@fDS6-VBdpko2LMxuVMo}Zu$`yU z0sn^+%GyG%b^<5{G;DgCOoYr!im+BKjrJhVf9ecuS^bvsaT$`t7ih*qi6W}ExhY$E zccrSOA6^g4g;nj$Y4g0u4z=U*rkqnCDFC8Cxq<~a1HvR^rn@aZ$+Ztk*~d2Q5j@8b zx>p)UL<=i7e4q^X0ahYEwbFj_SC8I!X(=*h56)cX4q9{jbG$*f-Zx3Z9rGJR3zQoN6kjb6om0(1beg5UJxz-n-}8;pr%@YA}fs zQ7rVcvtb=|cF%Z&-QW`83Q!|Qk+hdJGsKHUM~ir;Kz z$Be{5H7VL*NnP&DP$ZBx2s7Qd6!%$iH{qqPIOgXy0su9Q`C?k=H76;k0`H_{w)s`j zxnmOhfuJufIf$;>W?I5+IHokmV4fUT7KnLi$irUgI>ubIT=2_>w1Hx<@~#1uk2u<9 z+fQ=E+WsZ7EwVn;&3%DAY5s-XGIS*anoL1$!=|I5!{uEvlT!tiIMIegQG3EPX_EF^ zt*-z@!YkQqRs5mm_>yQz)gFv1A5km{WaNYtyoEi*f``=-s@Jp6tfOM=HJW}TElRK!E zw~4c~PFB{-awGA&7Dc8ls1{(?+ zeuSG#nbTlS#ZSilxS{32-^ZzQ`sRBHb3?23cmIBdLegzB#_{=m$HjA5U&rrz8TE)7 z*|@iQq|ERyv?tT>q{l(1_kE!s;kh!t$4RL7qoL3Z>KQg< z1jRqG0ih34{2H64UCr6N9X8g^;NYd{Yg}BlfV!BK{X7#M;_~TUBcLmC_XI)^=y7PYV|CBIICUs^@7~r@_wFebKKt((n@`#4{2Y0 zR8~6UyuVdVcCg9^#qkH%4~31HUfxrsA!|li0#)k=BMB-@xRJjO_BBLKQ=|Dho<2mc zR7K=75eCsgtiSc@M2`z6(CYtQrK0CaQyp&1H!w3zyDv-YW^KqJrx*)wgJhYqFmurG zPFfDtWT2L2I_L%jM0F26pF`=;V7U~S{w^-^|B3s<TjSzbbhd9t%*TZeeQ1^S{vGK!k%uv- z>Zp&q)aFjqfh`1w8f0Jux`kW!b0rM_JYE3}12#5pEbL@pI+#@@V4a%qXIrjpt2A`8 z@KQjMi!SQ#NR+q%3pJg_f?;kUbZRbBBkh&R9V&1=1%C=cZc4oVcvTsF_u12ou`Y`W@NtqNLdP!8^Xun@k>W(>u4#BZ&?BL^EZ2QzJ(hQmFFpiYw zN0@VVn8SATuB#>la<+%ccn_3@6964yaXG^k>^vgh8#hfx%?t0^zp=|mIifiE`C!|1 zIiAI6+wVVR;Ba)cO3K&k_I}V^GB^r^zp1ZZ?S8hJDi@%dmk{p5A7CT4)0=%AX_b>7y{Z*qbtI zF%t&S|4ghPpsz)COz3?1xK-bQsB~q1Vt5IqapR`q^-|zSq(-u*w!zMnR3qNb}HW)XeT|FYoJaf@CRH))eMIijh6hHlX*7Q{Ppi?t@+16p~YseA+jH3e?7Sj zG@?)q=eP#OM&$bR2GkV=v`xDR86)Flgrb%#uJ*C_McgS$#a+97%!R4viQYH}3lf_p za`8vN3{@e+^zwNQ5c88doXPzrDpJ_P2bMz^Wz zPNn-Z)PF+=3^PYq+ee;1H3l9}G+Fx0vrHFs*;DY&9d-``YdwU6<(yP-PuU%%(t6>^ zci=EUw}~TM@8@5{sJ=G`3WwA$_I=0YCCwPZ2meWse^cVnCGxXQR$-jmUF#&EP_A}T z?mP7e^lT?isdC;hEGSUuE;m7+i?WD*aRWXJ2bHcULf|92r+z7zrRyw5f)9I>OA{SS z4?$fai&PuLegZ;Bn1B#R$4icLt)sKF_^2?tPpVfBO+`juwagj$PbR&c?lL!rH=mZ! z<6)jJe#*1&#EPmLm7FfCHK9D(Sv};~y%cVG?_`i7i{h%C%U1rm_MCI)0>QX!Jx4vO zheDJ{!w8@(odCUl4UM{;UdY9gPo=I8G~}it3^o+ljDA89v`iJWz5^4X^rCJgqn2?w+*Px4b4vaqh`vF} z{u-rO4=ki_IV>2ZRm-qu9H9R$;3`tn!qqpduD3f z!rLwvFD+21r-C&yP>2(V(D3(!Lj3be=J2K#Zn)d2S|L2kIqR`~2auKmbXJm?EW z#V=X^VA?fdqc;u)C3>(r{#4sW4KK-mQFG@F=RdDW9|YbOGPw+Rf^a<`aGF<&3V5>2J}7;Cti`ij;DO@Pp&?;DK1tkbbaUq-p+%G0Pnkk6an9t z0s)VgkFD3s3jMd$CEvP_r>3Q?cm1!Ep0AgVn(mj1E1j;-m9eX@$Nhw}9HRGP02^@n zfDZt+iNteszIL};-bFq}9<~VIMZOr{_g~ea79JKbRIk6eF)sB0+|OC|x?OWhL@^h8 z_Ug8ZHOQZ3eyhyYPy#3l+HV|CTUZL(7a0Lt-xMB39(V%&)g*>rPyFk{e|CD-^R{xO z|24FIcu}#Wk$R?&_deU9ZXcVd@@yTTk0f6uXpi9ggSV-vlkIuodS3eRaeK-83^PZk zN8p*qe}3`fa(jsnu>2f(Xn_VeVzqEK@!o?eehRdGZf;+_g{)94Z4tcleN87{%LMFr zsoOW_bL)P*ZUa5Hp9^cc)>=Z)0RpmdYRf%L&jsj~ke?X=0&;O`$31M%is6lH8wkFc zBvqk3yw6hMja40l@4n%U@n7rPAyl*MciI6{N`Bck`(%a>BjPhcexsTU1gR=YK&M&Ah>L8K39y+2`63M&Y?fCbcoA=5$ZN9i~_3ia_yL`PZR%fgAefCbzN)8TR@wPhKoqDDQ@VC70 zPVq`YK0aTYo@zEtL%h73`Eu4KwjY+(jPU1F-=gi8Pv(g9^t;?1Mz3G-s&ey!mh2mg z5-e((3O63ku5`Py8qZ!QfTbEe+q6~1_v+ZU*Q&=RwfM6dubt}EuZ+9P*M;Wuu@V7~ zmG>2*u1?<|TyX&f*AHoJJ3r*Ar&l@ZzNH>#*DR|{rHmzeFUAQfeIOvn8#>VY;;5z@ zp5Xqu_qG#9ujjR^!d`^Kap$Vp2fsbszeNRH|0}5CstoCVuv-`dFye6Hx@tNIHF#*j-}b~0AgJ#$9uE$^pq zRn(6;pOdc#<~emk`nFNObs0W{z>i;(9%ByYru6iHs*k;w5&BIQ;_s-(~kd z!55>SQ@FvwegG`Y#Qz2}Cp#ngxyx*tL48C>>6Iht607z>0E;*bPyRDG0KL*-mp-u? zLyS!75Qkr25Ya_@jkX`^qzED&J_3hDv{dKHOh2z8!Q=T&oJK{JWO6duz!W_$5tz%3J6coTef>JoX8##A zFPWN=q4OSsL*IGwY;=qlXGy$v;r8)p3@U)Akl;Jm@XiIS={VN{)3T<#Evl3e3Fr(U zuze_uiiCOMvlf_9#Veh+bnEjkjkm}hsY`QVdNXjW-KB%7pM;*Ir2;_rz2sNBV6u0+zIHh5@wFy0QmbYN9=Hs z3js@U%h+Xj3AF-dqhs&Qbk zm&q+eSng&&0NNN)emF0*f=fX5cZ^p#l^_7%UO)=(nCECi*2z;sUH6N}yh{KF|K8`6 zN^lJ~8N$iNejLU#le;*GQ?xIV>%o;^WcXSyDa6<}HavVkgXnWqI`vP!a)l%`P$te9 zt$Vf-bCb|P@X~OhykxX%yM>n&aUzRYr%wX57NfsTksH3<*g~Jj!l$|EFTD*Veq}1K z-;-kG{%!FreoQ>Q`vJ+lmUxh zy}e?h{7u8x0NFDsMlSDo&UES805%<%o5od>b%pEw({5B<2Gv4(lX*sTCL%TNgJ&kT zhrm4=ly62*N3J z*=b{g%_2%g3L2^#tstgpTwub<_!+{YiW1c&%KQQ}$)^8dcgQ4(El&iG2^9u&P2*Bv zL@{{cU_WuO^87f>$6}|(9UGRME{= zC{h1^|Ht9~4g}+GT)jqEes2K4e0mVtw@71s@K&{czpUGwtX|?CVp?swhn!QesxvV? zTl5*$|BfQNeGZugA&EHvTI5JR^bC_;fLN@kNR#YZj%>Os8RzWgB@#33uNM}V{@xNT zFOuE~FI^pBE=>g;R3AUfasmfAstrkF+ByF!nW;^-l?5TOk}FebY#2aS_syq;Z)z~S z3xjuR)eeoh0nwSdkH8~}HQEdD36Gjo%&r2yDgG#^?qnU`D0iCZ?Nbg`SWnakveiIT z;d*z82$rqH*I*K%0P%e1N0EZ9CI(Ex-nCuA=Y4oLFM68DS1}61kAepEhL0F8hw<1Y znD|ipSJL|q-$Y|=;a;HTZ02JK%_%F58r?o+_!*s4>?tB^30>v!7&n^RKyf$56s#f0mi&4~3)Boo(oGhFqa~#e!4VXUDB8E(@@d-}_7X?Uz>b>P7O`GX zX*?cwmvocRHJIh%4EHrh-=y~erP zr|gghu!b>`230+b{Bb}Rat%#bhT_2p8R`{CCEvv<ClzK z0FTRG7t*vp?+$>0hK~<1`>g(yaq+)~MSCz34Eo+wb#e@`+8UbDwiH@O{TIRa*+#1=e%nptg6N150B{gPERD*e?kwX!v* zou}GcEvEw@A(k_FK&-re9UJOEIiSG`4^O0K24i7(uO|U&{&LgsIGg;tyX=>TC*nZ3@9$&^UGGlClRjAr}M+R}z@!a!j4wv)GLjnkG z${!4o21%OZh;k#IVOTht(NX1@laPIr^-AW^fFK#=*p#RL7wzLgHIJM!idr+ZH)r?ScGSj_BTHvt$I{ zqz8b~x#Y4&C9VwO{;vZ~0xs!Yj7WR6%Kj^&Za@P;*2IWa1sUv=;|;u;Y>sw~Qji#4 zI^F}{=g7^Yt0U*d-xW?SbBHrk=;7VDti8-cesqdQ7iN#UOVfcH|5FsX1YL4&w&mwA zO~;|kXEkdwYh>;&SW(~QwDCA|$Z1A&P7h$1>4UTcBBRPU$N2B_jL9&2k(u)c<)P;r zei{x_7DR3Ip5VxRkJrFLc|$VyS`>=%4y?ieK$+9qhn&%_BV#wHS(2imEadKv>=_spn3&>cj8bs1UD8|9o8_I^LG#b z(nziDKy6?y&-jbaa^9pS7mB+vixnWK`-R2nV1lVAE){D3dwP&H0Zt0!!7os~W=yv) zL%jqPp6ChRo6n@3^03*q50mWCKc+EJuMi40y;J(`b&42-Ng&x^=gd~%nTA25DjA-{ z^`2DotM8>6K|^;nL}Z17+1YggPHDndvkuHY(v}S#L<~fiyEI$)l>-Qt_yN$Oz)Ma_ z)FTz%2(F#L$WPG>*mw>MpLV^nmUS26-}M|r^>(E~m%+(V4HyP#EGA$-Aq?R&k67lV z4w3T0RJ%d@QALe-Zw>ULK!i>3ijX)`2}b`=82FOmsp#{+SpCIc+*WVYvG<77%jpGP z2sQ?E>6mIxS!gtyG1RxNrvQK`9jl`1h3oMP2K-675qVkk(1=L%GcEBL(d=)ocEUV` z-lOTQI>mba`s@6)Z6w*zVQ-vY=&)z`IA}!mbFJeeV_8w{tD7rASw(qjN>l-?8}a#u z&*(cIxU(_d9%F4B-^Vf0~yA%|Aj?w5S0EJ#mVFKOiYd zgYr2kNbym&NB=$TpyX!Mo`vCWyknW4 zg$WOF)XjWqJxh8WuSuU;<{t-DBR$i7KLnsKZv{6=!W))jH2{*gf*Ept78xoXLFfh0 zaRMBSDe(Z-sbZu(%rgND#XGO!m()USH7*U7a;H!HM=k>l1xFJ;GmZV+RYZPctRR!sja&rZLSni+D*vs&jNfTZt&?d5n8=8%fwLn6;#EC zleP#aA{M%6Z*b$vh=q=EmT#_PI%SIWQd;Hs8&Eo^VMCQr`65C!9I9F3ps>n6bMsX0 z>3Mj>CZTj-isgT0zn@LU3HEmoIS=1VhjpQ{hQ^yh28AQUKM4T{vq4sY&=6Rmib}b4 zq0Lm|!9WLb9ezhj=mlCt%4K93I7$)bmGHz zI?tP0!1?{X^phy9S-)X8{Av87DTnCXLn%**?>B_s9%U@?E5412O7L%eyN!=fWh00TL9mg{X414U=;EWVRRajv-8LEd@rc1m84UMK;c?KXaRC5;@Z1e zS|Q+^OMwk(KC_OkRrLepKv>9IGfeRsvJ<^9IMQFJ=3$Y zwEnxBlOpr`Tj7EW+$wa8{|YMONGIC$qYOag#3S1v6ldMHPimaa3+(S|#YbQ~^OjA* z5}Gx5FLo{aHT7=>R?6WgrTAsU@;Gk1@9I}NF3?&!H=vBMl3U_ED+ERwS%lBy|B5&6 z-!Siha>TnZuK`Pzq7Is7t-byTF%et`mwk9)VsvG0dW$vDi6L$}MQuC-5jAX)Q36iC z<;A{|HjcL(co05zJh@j~F0E}Eb86qPSr@-PTJwK`E``iuEuwh*nztdf`%ttpXCu!= z$J^yadYk+vng3P40hVMsHz2H*k=d+4Id&__cw0s8RK;QyU2J^DgkWzDUA4RngW1lK#8oC;IHP{SG3W)3t4}F;j;#X=Y=qUFRG?& z={441Xpbaz4hQbZZShdDH9grlNrYJZgnLjl`bBAbQL2yS39qiZg8MlXtkxBORksy!&ztm%c2V;nn#U|I zP|p!*^P4b_i9B{ zXY5sCi8QsU4 z7*r6)`+YaP9U^b|Noe5vro}{*2SeGv7Rn&1uu^c@*R&w<$B}G(DfTp8fs=weAnA8} z7U302D250DhOX4VnT2_{();UHM0|5>!6UN?II6+~+mq0rntH2EUULieFyD2b6Ab*$zqzDjFN*f?I~@j$E|Ll;Hp@oyKS3*Gb$Cg>%uX zxR4PG$W1Jem(JqaB`*0kyP$6mH6||?5oReiv^M-mf}4xBC&;zjrr7~s9o+lkH6wa* zZIvVm4`8AwRwH{!Tb)+b#8u(7jR z_M($^;CT0s*CfDNR&4S>_2?&Q?kw0-mcO;qmejuRO}m2IkOB52B}J`NAv3{Ko zj7%yek|tl^TfCUVR@hv9LgdEy`9T4Z`T+lL$-U`D?g{vs4#<~?iy4>81Xjv=B)sXL zNTZ45{gmZ1UaI{X$L1_z_{cdA2|<5LSf<5x6xH1qJ81!{aAf#G==QTs2!?`MiUaL9&89oOO&~*!ih^7 zz`|tS%8-C)jhNPA_W|YOwB}0C9HRD>BA&6_1Pg&x^@W~Y2Hy}aq=x`=o+ik3gWfZ% z8qBPX%!r<6`K2qb?#SviBD*cb^>Oo0F}J4l=G&wXdvu&ne_FW^W`v4rI%630WPOUG zVsFCew~SvlXLJd}>q0;5^D|ox>{>)21S_5Z=3xL2Irc5xlzIPECwd5kYQ43jb^gL+ z;C=XS9irhpDHN+1>MHbHoN@uIuDlbu$ElF#jMb&zrN<*XqTi>0?JLr;c6P{f47Fns zX`NSD3?cRJarPLynIOcc5afg~Uj}SOJ_W)*%}Yo15BPsvM#A9Qy?XcGQ%1Kl*ig%~ zzF+}~QTkD*0ekjFhp;i;{BW5?3Nrw7G!wcdV@+t}m@^)jjTPUT|twr{P{RY;vHH zD?gr~^GA)$msUY=bvztwtmq@z?Y4N|Q}((2#(D^Lq-#JDFMRgMANgT0yk`5|5b8Jo zGDYLHtq&2MxjKAn-K0kIP&m~*DaJo@TtwLR3z_#NvOUb4y|yMxy-kowGKnyCKHC5| zQB5hPWIA{`VNf|$xi4NI%T=~ky8cu znL*7pZ_+U#SuDG}*$@gbrW=oDIH@WR*1ukBuFSQcZVt-A(!K!y7QP|H5pEmM(=iSp zzUWo5a0KIp`oNj(lihH&mvW*VU7*_Xyu|R`f_0pUpqCmXNFEmH85ZO4g3QAFV7Nl z17821EVVY2S`Gx?j|IK#INzZ^PDE2EuxwuY=hFIUQLcq!J_s<8yKN2^+?INLZ~G?V+D%?{3N`o*{@jx z4X?H8fv-QTG^{n+UboPV(TcRFlRM*Q?sB~eLY78{X#KUAprOZDds@E8sFj!N$Qckj zFxz!WmX-F8tP0uPYlh^ONx}ezXdUsz#v4<&#AlZwY958Y&SuOnw*3|gc371$SBgb> z@50`YZO~g++q{~tQmXE(KBYj79e!D;O}%$+?6db66MC6W?1BJVl*z?E<>X%qI=^X~ ziUtL_;0&_Q5NAwdk^(;}zaqX)t9W3Sf6g6u9!a#eyb)smyjvo&SM>rC-HC#dC~_7i z1a`qk*s@<%?UQL_Cz>q%kUq~8qS=m|lP=&t#g+x!Cb(^o>q4b*9TSa=B#m-ZbQ?h` zPl#~nQJa^QOl?+Qdii(|R=MND<9dI2d&8>;-jb5)AQWFMAiCR9aDJR|IhtG^MQfN! zCnc!*lW04S%8O?Q7u5ktTy~>(M8$?e^fe%~yp+c37b|5A7pgH3ljL%}e$CQu(@Lyd z%&CxNP5CBba+vN4-=G%>h(?4&iC7@S9yJ9Tl1`}2e*T6yfM)LIR|Vt)a*wYw*UhWG zeY>Tj3P@~4-8kU3nxuuh1GLhg+;xI|`Bjup{B~%zk$!%JwMhf%oXUJPaQC6hRP1$v z;i)%cxBDX$$LOlGXM3c2oE~Fa3HB%>jzMQAEZj#<(ap>J@blg)~t!h83)Cf)#RK_;Ctm{^vKc2z@ zJ9B?S_k!r?Pkk#hb$g?L5qc)_muGXF-w|r}_5cSJUk}C(;cQnFfQtDZuy>!M1+F?l zyhe#!IoQIim6ivWvrVqD@R^F=lgY(?B5F`Jb$fg1wd@ACoaoNkS`)CU34)zTnEgJp z^Avi@<9hRmiJI}~1^1T4_p7x_t!M4Gq~MhZz-LOPQJLdN zj6XrY2N5N%6c!A0#{`e{dOL8a%5Eb67*s+{mUp{jLpxyKkNI_vO14U)6=fmv`hDn+ zqH?`5#grV-AFMf>3QipVhyF1%;@fzCV`vY01r$YJsZy%60Pesh-j(9wP=f=af;gUx z(#=L3W=WC{^0+qBk0_}}_TjsBQWU0fh2s})f8%UPk5-2vHI(elKremNFe;n6KVN2y z%=rgJ@rWgYi>+9oxF~{V85t_^NBNin+wYID8lkxWNf&g;o-_()U$B-wKMv;X>cqbS zEC#MZ-S_4xzW{3`zj7#h|MzFinMC1}ltKoPA>3&Pnz^`S0ZDIW`;eB`D|WpN{y16N zNcwN@N0~5dz29CdlzRvgu?8tHtCi*bcHeQrgcVHM*oQX4DJD!&(UdmZO) zaaXngDwX*%H^xf&$N8bMKkSYv7RNMp)Rbqc#UBINw8xnmSA2}xx#X+{nLH816W``R z7zbzm3cGr1l3$)~7afdcWNv2R#1^q18xy|%#7UnSZaU?V+_&_4%=93xo)$~Q@>;g3 zEmO%Nhaq*19gQiidWE)Wee>Q{ltm4{fGkY_AdVZ?k+9u=0HG0w{_0L_?#X-eD zRMF0m|$+sdsp zG9|l+Did?E}kFY#1i*OYMc?}1rrdq(yJL_?GcD*pY78%cAn># zt6eX4C@>1@FdCw=Si-FmN?dnN-jz80b$TR*AK11uw2I$w&||?l;0pV;@7ztD1*62? z7@tmZYV)T;D8m&gS-V3oz<8n42s1O#9VdguFn(W>1=YzxymKdiEB*#R!GHQrDckwJ-~d9M*v)N|x|L1rxEV`&M}C zLXI^5Lu48w-JPtmHU8+O>qaO0M&Q>d>turs?(cW5G zJbfjxUZj60!?US^-u37&s;IglM z^~-8P&#-@x&Pch69ne1IAyY(?KiHSFq~o>7$A9fEVS({|1Q@qE+_(We?Rw+YEs_~x zmbY#^1>HCV!nop9zFAO*{$pirx?Ls>IwJzfo*APRn?d;Y0rV7yzixQiWG|fgL*>U2 z5*?&B6Nfd)`8jZ#&O-ipUXF}Ald3wAPQ1aXvDG^GKGG+ELR|WQ!L8Zj^~bv#ac7?s zCw-K-q%5Jdq9MLM)e5kRHCQ#R&2+`k+abvdw2}g}*r4{K^}j5BnGCiW6L*7@c^hz; z&BhpqrPelM%GA?B^l;*RZ!5sI|L8ufmO^vc2p|6A3!TQOX5r4Zav=!_IIRwkn4MqYS z;Zn{p9JjQ4jyQ8!Fa8M~eivx>Peg7^h}sK*{zj;P(13|MEIuIw4)hQ2=oG0{A)&

e5%l*P^F|3YHyIz$^NBiSC)!k2EV6MYjMtN)&vX0!RR^aHjE6AnD`Vx{L_q_7dO3f(WEAKl;aig7yt_Zjp z6X=T8R)A2W{g+JcEXmKF5kJhI#kMuCKFGp>MV_NmjLx+&mz+6+tW%5Stnjy)?(kzb z&v6qmQ&Y0k*F`}Ez6RI6WeCFxE2on#3f+eKuhOBsYjF2O!Cf?P}miX#Sh@{0|Q$K ziyKQhcDT>7XYzLAJtq_xkM}nPOcA_nrABT4HNUo+>r^*P`S!v{yUjBjnfAuQM168* zxI{0?DKc;!O>&qplXFMSgFgyBTmD!iAqS|E4@f<|4BTK)h~S>*U*L97FC~^k;ne7l ztVA~@dA^Zb*^|RnNV+SF*hpW9<_g}w8b7gI{M~hdjJ)&Z${Qt*h!K3=F0iU4aj9`d zVV3IyiG9qS@J8sOgnI54X*gWEmQtkPr0UZ$_D&_6r*}{01YMyfdIn2&O?l#p+y{o( z-Em3?-;bt$OeB)Ym+P5w6G3wtrt+MQzB$>MH|rrBcRGu8W;i`LvO&5L#Einto?k?c zbx-OnhwN5VW4a=TQZn+}y3MoLWy<$P#LDR+a6d*Q!~xMBp#0qIux7n|2M8yjMfb+> zF!R%jkQm$%qFo&yf8sR#2=YX9!+@)1In*9ObJFgSiKk8_?ju}@9?gYJ_pP5q3;|*D zQsr-6n6(uBL;O*(E@T)u*XhvbABKrPo~!1)83vCWvQfxHH94ECRf2!!B&E$ebk9DS zi#R}EjZG1?e!oF!?Ocqn3ng2dz-#;;i;Kr%t(5| zlG-CAzXWW^RHKRP?hhotmI`;_!l?T0FZdz}OR}cP`gWvyuP^9o#IG}MFz9N&p)mxs zb?|Izh;swD5FXDC;WclHUEtXbF7TgH9r?TaWx9A!SnAi(3PK%d`G6#Hf*c4t`-H61 z;KJe1jW!-?8h9Usj($C%gJBfn^sCqO2IvPaeaUsEey)}-H^`9;(KZpT?RB$n*rtqh zoQAhEu@d*$k(a_BUpW#Y>cuvn7(G?d@T-;jI)32#sLWLibUM}aPA(WaI<9@8Wp zGpP+lFb#>8kQ6W)Snzl=Mli!+?l)?&a1V)%cRyx>!a3HS=E0!RQCzTTe=OBGuZR*9 zzt9(=p0V;+uBYti>0q6cwJA^kdW(T^%lzL?Md3Ovq+des3A(F~7wDShEn(ygSie1c z)eDk%Cd)}7P2`JeAONeDHmdAyw8s!L6TUbbw@^$8j(+^6IS+;fhNph&E45?&&Yvly z+P~anFayrbey(wVR>V5OSPij1x65b3H#e;-j;GuwGcqkBVl8*2XGHXF)c*W9-I440 zi0mR4eZRq!a<>SFs+NJVO8OBMu2PAiMESKWSIHkiOdTck)domAr{4q)ycke;@%7=L zZ@9Yf53QmUJCLc%78kq6fNq<*C-4R(az_^8;lNuFdj~VHNtja#6HE2O+-M3(U^V|9 zx0LB|K#wOn{Cv0EHtia_c0`>xqHbQTa1cOlW^R&o?1VK1Nj~z5)f29TR3oe6{g7C9 zX4ybtwqimqEdgFH&=e)y@T|AxxL&-z#9UbGzJD6R%weUzF$E-t&A2%^?$N0!9eQj} zKb$sbjk=D`Xz#SC1dwMNoyi{WO%#|X;%S>+WXb+6MlUWEpZJ?2kN=cK1wAqmnV2d# zij4VIm>cp}&n0xS%L@K>;Nx%X06O+_WNN>A8l=#-8dJbq_U;jJ2R~I{d*`>%3w#CA zSKnvONt{xnNcJ zfB>#Hh=%PPEkp-%vb1}A@q~A|F`~q+jJ1H{4W*j?4N;4Ja@SKhY(+j0(`hv4PKn$W zQI=IvyK`pNxzym>`pYqDw1byi6?w)76VBvd2s{LU^3*yDamT2>iYR8^-5cp zuP2#Yh!x$Lycc%;uS7)6m@)OL@nQ*!Pr>;CA+Ho!(8>i|lBni7Bnl_6Ndo~Zqn0*f zbbX{OiTAvfg5aL-Jc|^ueNmeCd<%c`_tH4iaCDm1E`3p2U?4?SN^0_dbRe zwW_1W0DhE0+b8Xq`^2@iVh=xZ9emYQEDDDc+(th^XsVjW{;Vigh%s5qy3u1Pfl39M z%*p02tz>J|sSCH}U=czQfwYKmg7H8Kl`EH%;7$X=m*8zwLU;a{OuzQIH@Ywiy2xv@&Iq9t;!s59YBM z_^(Y=5D*z=5D?h^AqM&K*CYEcXlDyU2h;zH%@KEj4nTl_@FD+SY-9HSc5^ZOzfMo8 znnkz&I3SSz(+S}}>Hf{{9~pFtJ;Q%TG&@7Vi1yF6&HEn{{h#sWPx$Z3f8@g5$i(>n zV*g*Y(EsrJFK9K%|MvR-JN>7`Q!OY6q66}OQo;YfY+wGHDaedqSTO&QqM!e0TiDLRZ`_*^|S|7q2Cc5yYLcl+OW=qYba^R#^Y!%zMf72<#M{8xZ8 zDRqos#H=rLU?B7$Vys;9KZR^)RK+|+*~}eSltrD4RKz(n#KjHejTM<~I4nHbSUrT8 LolV7rfAal5PU*Oi delta 48199 zcmY&C`m9xT zPVMfuU6{~47(h`590DB#1Ox^|9711(rY;;R1QY~>6aoYU`k&X-#F4?%&Nen#FTQ{Y zJG6G$VAhe{C;#?)8|w|JuoJlulW)uxPLY`{CUswb!@q`m@VzIbFoo-YTg$kXBIb0DAG>LPSM>ke3@NrCf27IwaD(@e1GA zRS1EY>Ie(IWC|g4HY~rqAZU{X!EcY*I>}K^23c`vau!xE1=ivQ?WZX8?Gu(>1VYa8 zNZk4hKnzchmc{D2TYLD^8~Yt=G$ukTFwou*l*MO+Q!T&wlJpl_6d3>GbkXbdk^9CJ zC3mvaE!gWx~ho_gkSeBFS-Z`5r|gKT@{9m4yKf?_>%py&osa_EYUo z6B`*{+jmpvSF2}P%}bq+=NTV39nUAx5wzoty9~TCLVductvf1-Km1#JO)X{~TYkD+ z@U08-_a*I*#*k%~>grTcqIvS_HN53CWzRn=sRENao(VEA&(9nB!4qf@jY%mK^rE`B zC?}8^YYlTUi@$*+Y|V(8&J(W;b*5%D6g(hv#kuU2o9YGAX7=V%c~cHk!QpGF#y-bu z%E&#(5#Spi^iwYP$PSUWzChJojO8^NA)9<2kumC3@; z{1Uh>c5ZwpD3=7Blj?NwoZ~)|k2^$0?Xh;fspNYFf#bmA4=5TW{fw+X9*~#qu-Jua zq=U^uSQ`KvF+@fRYX}?O26sCZZ~LHqj?N!xV*Xb=e-=;q_b0S-e>{0SS^aaBF;NfvpD%O`Il0x<9ZK6L zWS_1ho*oqIGi#)pQLtz9It0vHjZI^R!eErt)=PlX>;g*U(Y|S$PIu0`i^9=!{KQBr zh3=voj16;{jQ^nZI$BgeJACFW9La*3^Nblm;~Hg|gFdFv?t~++Z*YuWXS0++y>Fih3W<%k8H-B7eP+2GFPnR41X&h8A-+_|W7?sqiy_#%c z8n>5;7dR$e{O*tg%FBn8Q@s_H)Zgdn#c$$THOH`6KI{bHGa8nRcegF2@~B{_^1krS zM#f=~9-hC&iwC&u<+gf{CkE3A<^9}Z4)_5(a4M7ElDwA?ZHCoIw>H z7(*VfOM(x4Q+Yb?WyH%*=Mo@smX#<6jdrYEpYVDsq-b6bx||USqfS#Z8Zz(G{WpMG zm_C`2Gq1?#vEbLV;Zf~8#aj+aoZO+!!{ii|rer3cSHp?az22Wu7fz&|ZnL@I0ugH9 z)hHUI)0a`JJ}6C}%SsVK^v^VZo=+K-;Utr$$G!e|`mPVVILXXEVctzy|MFmxQd79j z^4uX<*`Rdj`FU=^f4J4R8qfLG&xHf9J$`7c^XNUGr=X3WAAsXZ#S4N6w2I1DKq$xS z{qd!jhR6v$OmvtA|J~zF7W*pm@ggPRfrOBDJwO|0TxX5@42E~)OT|0vhP7PVe9b(V7qpszb;aOzG} z>0=WSEr0#(v!RapVRwY3_gABFS$$yaH^Z_&x3eGm4%c4P{^2{t`ZzxDr7?nmV4^OF z%Nc&OkKSESzn0iwU6Lc$-}8s$Xt;1l1$E2-%ZiZRHy>?WGpAuo zN>}WQi!u$9qS1kQ{(Z+21tyfL>$_bgOR4g20}z&WR_OVxw5l$EjkNZ8<-z{>VDg7| z<;WHO-HTDSL_;j4r|rF`h$9BvyCU(HLQuR1419p+z#tKIzKy(R(41%HHZ1v#EVal5 zj3@vvZO|HciOF{=8l32W{;A%aE4a&h%EW(L^+ssvL@I3z@=}rms^WEM#YH^ld-EA+_5;{twK1i)O#?0HZOK%-DJfHV-8|%?-t;_s0 zqH+gT)=u+N`9LkU%7h6p=zjg8BOM84eZ8}4B$Fm}9f&K0WP9oEH+-*5ukIq?~;*BqdL11|toR!s5Hw0ueD6R<1Wdtf}7qWa+Cl@&TMX zICR80$i=A<$cnl{MASp?I2lbhHqo4Xnt`|cX4Y3LsgJ5{=ic`d%=&?H-yHfVt4W1U zc=)or=5`~W1$!yOPX`s=s|8#i0HK&2FwtOjlafy%#c7g~T}fA{m0J)4BTE1wA^U+X zlG$vNAM|~Qo<##jf>banNO2mzToJD#OqLDYHba#vOSY*@?kEb>*T>;{4_lVmA7E(o z3KDz)>6(z$Yq}U_H+?;Tt`Mmn0W0=5f4XgbdNl?;8%Q%?-o>&SsYF(95GE4W%TIW}5%gAnP4=Lk9du5#?wwEn{R+*LbujLl61$)Mf6jXRc}RU~wB z){?67exv1xr!w>?cc^Csi%M+f0}6gi6TgH(cq@iWOwLAMPb644*I-I%+m;j zaK^4(#2HRKRr=b?W>PFp5+2zmeV=LY3R?>yi6)y|;9m9cPjqXPbzjdUIwzl2wsz%K zRL&xbarGw!W(9!hUq;Plc1VzYIYLmQHu|f5K<1W>E2fxb7YCY=c9#PVfNfTdnKNJX z{tgZ-*;O9pzMJ)J_I0z|%!1tR{+%o)8DB4PfvkZ87moGk@)_lRn8O*Gen?w z)gs2AS8oG4=TMHboYhn@l$J!RI?yT(Hy=iHF0mh%T7T+SY~IIHmffnEIGtt7%SCjr z%@Xp(_Q<_6SzZL98C!`1i7C2KpdfiSXr`b+$ONWqt#CTBQ&f4|cl6`Z$0BROZ&q26 zw+&Z>KQy!`mM2Env(46WQJSFUc6sNIQ!F}^{-V%F*SX$?FPd&+)S+9LgGzv5`ahP5 zjWWC!ch7yw@6AlX5hS5q`AgubP;LpFxQ35JKTg?8jP~rrQJ;YdGs;s7zgqIIYK|*Soy(7<@J_k$8)qkgY z2{e(SiWzkA>k8@o}0&xaN`VzJgeu})P# zbZ_trVdvcF5WZO>CA+qhbMU>iUW{nW$5F7`3hf;mBel}dyh#7m&)g4`*lXb~6?}@o zjZ;{@*3$OfNsV$+2_$CYn|6k7JX zB7a?$ZdoJ+7V2Eja@ELbagN<6LtZn{lpMZ)3^wfzW@(W@S#Qptq5y`3UU7t!O(lJe zHpPL^>5t)<>lO_f{Mf`T-_BVD^)Oi|Tq@o7F{;r$Z}%C-5fq^XO-;<#{RS81Can$9 z`l1m}zw6AI$IaW<#@4-HJnBDIXO6Co~kkds(}LT^gFRLOqa0{ zWv~PQoBkY`z4-~Q%!66;0lG^9mO$6pm7>775JT6=G1#6Stc$%ky4*Pm|rJ8}fBj(~e+GHR0xNI#!(gy$G zR{N=_{?bp*So?L04}44l_Vg}vzdPq!Rn`52zYep!j7NZ1xn8Q&mlNC^(!y(~##q7P zkFv(?V#O1$+!|Bv^=17_=0!jLgp#EVOu)Ao#cpMoTzF^+&j#c4iops@AMIX zV!83f?*|Y{jh;dLuygGbd7Q2zYzrJ`?tXcww$`JzzC4xLlbT+%&ZNNu%`39Yt+(Mi z=vsd|>XrhZx|X`>jaUpNcE7h(GidNzZ-#@~l<;xX%{+S;*j+ob25Vp9<>y62$7$6)ZPPd1DXFCJSXvKH41h%%xL^7)#~w#XnR@7fpie& zya58E$1?T27_&GI!5e4P#2@xN_$YrzdXat$|5?;frQx4+c`}w9rJVi;a>p%o^LAIf z-iI$Qt$A$F!Si7hN?H6N_zIGXUkdK-a8*_XmE*=Q?s^#mwYuzJJaB|>5? z_W8t`Mlz#f0Ue}!hM>1yh&{Qv8kk7$XuB`FL`dC%rrs5ldU5=%{9S=I{b2EXVUBVj zzTi*=i~>4rKMJFPjwE`Lyz?kb&Mi#O5L9{096z&mdl~Zk+g|B!O$k4Tw{fm%`NbAn z=aUtLLTkBQZ?0@XWUzIC+VE9ilZ;S6!g6OpslY@L9+%3=AN*s? zEjgpXsb5B18Grt$J}tySod|(kxBUxFo|88b;Lnp&e+>s$77-dWDXA&}ZXJ_e)S$bifj!tkyan5j^L91i)QXKs>? zG`9>J33L)4nCdgp9u>MjEj3}BrF!lcB&@16O#HnV@@0_t;JS#;W&K{7K+0dI52N+n z1Jry2t3x=Ou!8xbxKh23vWF%`+8}?+zNB$P8!Y6Vsfh;mcRA^lV;DY&FhaVqOp)|` z#&RRqGsL&@+r7*%fD>GvRiEbX^S5QTlC)Fgsp;R~sAm}8PJ4uhez6eK#vw2EvVzUM z>#5^7<~-G_akcn`{fTjz`M~1D`xWfYWv|M`$C&?;A>e9R^K$6ZK?71~IN>2+yjwZyNnLAvR)FJ*(=Z=z(ZPRCc_Y_Sk1?&iTsWxdnP9$uV+f4BO zwBkVhrFwl($cF%|yU4EKJH#-Z={)$d~NuI?~IubuNNAQSN-mNM8u=iPr@ z_xXvwZ`c0>KmYBERFK=h^Fp>|^Q)j#`jo*&`+YAothS#XA$hsnM4TA@{#zNNbqCvc!X+dlgu>71iTk58w0Nbwqz;BygQI)OKY7gAl)L6!_Vo?Tu+ls}znf z=Se*S;7e}&&M@=Z4L%<7x3L!_`^uwVn_&$06q9(!Up!J#=~xt+9A7(5J1cXw>s2WRq+ozwnbaqAf&*wwp5+BezAuIH0WT6+vk2z?@?Ph2o=SjW8dDPqx7D~?_($3*my0OY$5 z3F}yorW%xS$t6f<&)-c87N)8e&OL@AF_jXQm$xO8xN3gpXS}rD)zwYE#-wdfv4ao? z;1h0KBKFAqm8l-mk!AR(?7nfmPyo?%jqmXldBDjsP$cXb|Mm;R&*$6)~>mi^FZ^{X+M!E5f>4 z=f^nC1f6%wfJmF{cdfQByV7cG!`ANuz(5tcR26S2*k|AOnh|M<(jrNHq+Mw_&|_KX zGjG=5<*|p%o4*gT?pn$Ep3zEv|1B7Q+lyxZQ4ks4AD1`D`XL7xf zE?d5qs`7r=iVaAnN*SKhg>jDxS7~xKZH%C0r;&YUWn_!&{WfZ`ZWbSCJJ#U{pio+) zM*=dP0x@V2G=!1D!@vpH6n?Ou*KB2BG0j*m4{a|F{&v!Iz#cqJ0Hz5M5j&~me~1Q| zO_o^PSXvhZ?s%>Qd$&NhzMR-%j9gAZqkJMSjx%$kTiU(5G>ZpfbZgz`I`9{Fq_J)Q zgq*TorXeVeP|CL2e;dlSQBDd9IF`LgR~1-5I^As!Q7LgokdJO=s>ktEg$UBtX4|-= zFxQEvpLLv^vSSL(6qkGs^HMSgNDXaH$E}iWsAnBX71-)=S!tg+23JLy6zSW>47ykW zsZe=iAUnqU@RRIMNi{WJ@RN&t{8FBO1c?^J%J#U9tKwHSi5AO>!FCbAg|AoGSx_<9 z%efM)Q8b6$OPSlp$u*V{a=WRwq+v^SPL04dzpQ)`V3QpP;&W)V_z<360CApdWV4P5Z)j^DKNZa0|E7ii??QY=6Y95sO~Xz{7f${A zs_1GBDPq{*;N}<)>ChQ4888nR{{<1^6k1)5UsLQbY}=fL4Sf7$u zLq4VkEOOj+q$4xF(@)g`>_Po5B(iUub!{CR5Sj$mT34f~_8 z_l9uV`BBk(99pZErjr_I4ktk?xW5ZUN6$vJemfV?k!Z9b zmb0%T3bwTP)U!#$TJ1J>e}!=`Q@>F;ciJ3Tme7sJhF~ml3aPexsvC#FU;uPjOWs&< zRK*GNYf#j{sa^CO|AHbN)rW0fa(VeYOO4FlAliF~y^iWtewe<{NrF&=beI7eiSB3S z=$DZ$+}t=J>J;j#D%fTa7nh4ji7RRrO`gsM(iC3;b@yP2^)Y6AFe{_!I5El{ES!_O zKSBnJw5@f3J^zw|aYp@SalE%-uG}u4H9%lcx+M+_^S9&Iv0Y+$A4dV>#KIf3ag0U# zoT!7u!fS#-jQ8UXrV=x8artp1!&Im`kaan*Xlsa**Ee|Wb@(@}*f(i-H&1vk)RrE( z`Eh*apX^I1?b|6ZrTQuxxGN6QK`OOY=u0WZ+bP)pT+X%mrjW;P6QW)#q!_i$Qg~s% zcmWi&t5r?PBmj^vN8@AM?)qUeI&x*(TM=^HxDtP_G zY9$4mocy|-vf~2SUtTF39FWF4+C7%Q!vJWzufyEWh7-+P-}dcBL0r{!|8>uK-uAPW z^w(F7zdMg}JwALLj6~hecoE#lHCc%AWhhj57%NqG#kuH2lT+c_uVZv2!OnaNh#NG? z7nQt|&vT!b+s~O9>JTpmR}#hgiqf6m6@FhmZ0_;ie&hHg`X3cD`3Ipp@E_$_IZT); z3=#w+7UTcaOlMQ0Ax#|zJTdg){NhtKYGW!ey0XW>=pWAR%kIXdsL1m85=y?6j8Zb? z;>nwl{H6{Q6CDi25zsW>Lk1atyfgYES}Z#?wx^rpm3FOk<}_}d`b2`M-Pu&Fvw&G{v7uMK&^X0= zSQ?fOx+hHFxcN{$K1$3f+H&$2?LD-X-np~#AmT{IgRx3e$Z~JQ$)&>F0G%V(RS5M9 zV+$aE0mRb}WK15Y*WC8;lE5mD6$o}!vly7%}DM|X=5o>9Ir?0ptHP1|58T}{*$ zoo9bR`fo5gV$q``Y_zetI)5>VM^Dc1Uw*(3HFJe6at}JTu!_w>tw664I!8>QlmB>7Xv<)7dR`I`MOm6|q0p zX3Yf=h^$GQZ0-uY%3(q~|G~sfc3-@!aqL~L8g`<{YlO{7#@fJIQnI>k>9ie~u=H`$ z;;~}^{0_t12Z3>=61cu5>8M8uNq9xDK=3_U>6#1)tv%p7-6eOOKLktp3y_9w2N~H$ z{;``zoiNKOkiRGHb?tpaneef!=R}WLQ-&vRWbXROFTQMukkFPJ97xQ-CijPcU1G<% zm%pSB3z5L>YKwGQLlkOa5Bk??e6#L-cpj$TUoe`KlI+uVTz{fXu*8C1imq6*fzi+A zfJ?Gqat0MgXPI|~4r;wqEz4Xe5vgwh0Mo&# z)<8Er504u9^X(~tb+)Xzpe=1C)=vOr0M;6F(~1S7kmEUhmHo7Dmstxul2~xBnP52R z_+)xUFAbd03^7Bm{=&WuITF77Ae!I4a`&$gc4(k%a2%!OjRR3|q2SDgPY_~T2y5i3 zok0L82qY3_YSl@l_A!^-Z=P8$Z@SJ9d!ZWC2yFkvFR=f~-YQg$hx=>EPGqzIj%}gd zpB99bx-~tmX!7cn)EGn*JR|t39qhVE4ataSOJXS%VM$9Vsk4M8>U*285-DET7z<%) zxjbKVN!}Ca?5P=+UzqgzR^m_}oJpLmO|ECF%qo?c}EtQ>y#@ z(u1ww#u4-Q?Vki$?d&>&JbwFtYzv#8^=sxyGOJF*5T1S4$Kbh}go4?fU;C%+?n*sf zCuJk0x%||xHnYRgmQ=fZMN9BcqP4ZS-_8cwGVWAOI``Ivb%M3AMznBOT^|d)HyGD4 zMk@Mbrd8_LcK8B3{64w?v~^0|C`ex?+dutqqviz9Aa zkajHkJ*kFQniyj(Pi#w#;1IsufpR*aGPRgs?!&Mb-}qsorUE z3{X%RvwqPq##}PP3|Z_f94pPZVy40oLfS>Lssv_jmdCj|)O~Z97#Ph^KktA=mf7*+ zkgBGvc_P_9+%<4>%*8%=&FEcBkL*?E4dH%o?V7&f)PcoB)JAmM1U(*Y99(NyQ^Uee z6{ZKvYEAh*ithaw#(bc)ofIJ@aIz%qjiQF`sB$Q>UlM96nR@wPjcnJq0s>{^th2)~ z8vT+>=H+xJ|AugdhBYU<=!U#bP8!+E5@xs9G4T9xo_h}5k7a+VYIkQdA=!#?YV)Yx zM*$j{u+>?r}(n~Hr+g%DFm;T#XSI;IWf#{D{@Cf;5-|K1OxXme<@P;P|T6! z&59&ko3a62+|+}1$rK(tHF7uU&C{(vz3D9cv~ZExdKFg93V9ix9HD_%-oAXNEsdAq z;tXMd>cO&5oscH(+(H`alu$O86wTFk$3C6z`WcleQUXA_aKP69L$VBq$_S!$q|BH# zhE;Y{9G-Qi_!chGP^fH&k54rGXHVK{Ic%d|U#y0A37Lx_wl9ntXDH4yLc_JJdE>;T*X7B)ubDcIVVN@Rq4o)^7 z7u&aB96R75s;_x%Z4-2Pti{`o3`xRF!pStry*)td@>k7pU!t{90k{C!`n9oa?*r<$ z$qT;pO3EG0`MwIj6{lNl?n|zZjs` za~v}ZHqcgV{5SdD>htsSqc{f}o|T)6xa5&TqFvyS_f2BoG5mby@CT~Ecn5JBQSYJD zbNbn?k{6}V3jx~+neWDfBf$}!yT@NkVX3x1{km$KEU+Fn@$F!j^`^Rlu7#n-h>V3k z^lLh6XF=OP+6z3AwxTSDchP>voI?NT_xt$igl{@o4b))X#%mrH25d7zpF4!UFu5r-ig1HXD zR_Qq2f0C01dltrXFHR2LXuYuWPXSEcao3hB}5Mh3oO$n3iOJgV z2(M>;TwtOh1^sO|7B^P^VX{a&+&>N{;(<=+d%k`(IPh(3U?cj=!y`+R=CXMZ4fEsE zK*o0q)gz)-XV2!RCUuWpWH$*lVZ$INol`xW*zPBEZppAwKmts;B1aJ-EuMAjK)x3M zgnmy6XZ?DCmu(jBiv5UarE}8cvyV(_i%=#&*{&b7`n-b1Jn&tzmRdY4YQP6i4tKka zh$UIw+cwqt*@D;~d8A*+y+y`i9RExt^WI9Xym$0dlU%=b5R1NEiq9dkR(p?7hR-6> zp@m|r=q|L;90J9n0mXs}1viQ#-Gm!3{qsG!&y*!y7Rsd`iTgZZ%#Z~~mLizj4yk?e zzsMSabEgPJ@R%u62Ew5zzVqOJkpd*{)Bkb^klF|SKhmFdA@}-mpz-+)ZF%W+@i*r3 z(c7EXm+Xe)y5S}L+R1j~V1nmLznw=jfQ|XFha>ZzO8z2dzDFnL(@QZK=*L1MMd-af|on zm7`7fVFTCq@An(s-zNB1{F9T|*}4hW*gri5MNG{De1)90Z4 z%0Fzj?PY>a=}1a{%?JadjLK*I7D zEFfbZG-)v=%Mv&#FMd@hRI=g=gemrgTqR_f->I<_tArG7(u})OTjtJb#T8pR{M_^4 zFXoa-T@d@or>1eAd{`{@Oq11%g7&}dpdQM|{Z=7!Gf%)OI1QsWeHcHw(L0H~**3#6Uo2yR&A`f*_M$Y+BKS!( zJE0j*>km9qqnnb7n(W==<){ zCkH$#{`Dg>><)rm^I6wMeb2FgdTNK&x2LewF%62K?7Q+o-B_1pdXi=pdLuy-<%gB` zk#<#J?ZdMV8YMw<^+feuWex7kgq81vEr^x{$$^OXLhbT-1l%m3E^%GyScE2$cW84^ z{rdDwJ)r7FAzCQ=H27IPSLCjrCL!v@C?b4N-vcT8L@r4>rvtl3Az&4P1n*OhUF^X; zpl-w{B)w66Cs~81JIKAuGl=U)A+mEIfWH}i;Fky+5M%#vFI2uuscYCLZbvj%2A05U zGS7aMg6TE@cH@Y+c@)CxU${rI=M*2zcGhpvvc&wbpMxp|3LUT;I7L_)#QRd$r8y9c z^VP*zFJn)?;3cAl^tkjcLxVlh34Ov0N)$w!u@S(H=}@`HD`w&o7v{00ehh2gy)dH! z0x@cNxf0I*ybSlPd8^!=lz{z#4Gb$j(<#1`S0H^o@DADe9G1S zgku_l@vc?55Yoe&XL< zYX;cFgm9Zw4f1322q2wV$0lwq`6bp}8yaziyBIVQj9JFcN?rB!&m0~lz%5~yoA5&= z857bWT=*kJ>cl170h!D9Sruo!J*$z_v_FXv87bAr?Yeak$3m& z`WLXN6*kkdrVZ~o6^Oz^3Wp^Xtz+)f{*fo9zw?(d&qaFwx_rfS4eu01)Run&=En`yDhix)@0Q?*1WWO$C z4qJ+Lb4pL}-(wu?*RjlDQ&aFm{U_A(_|AU9&MY?U_t^HAf8=}aUx$sduj0$05V6qk z!S}BavqVh=*N1oS%XBfhrlWfn&9S+8Fjr?tTXxJ_;7$@h) z7S$<)0WmXa8uDiJ`2c4@3}F_xvzmPr$QCv3$`l9@4({E_2zXZ%?n?Qa zW1#?VP42*P(#XA(=q zDZJIlc)>F@7x&@4AxqKs8dF=2!Un`N)+DYbmltOI_5;FQl?~6J@H9Xmn?AYP& zVwD^?xKjFyHY7#Ki{@zGzgJJOq9|{l`l5Xwt7%DxvGQ(S6g}QmUvJR$^ruzC!p7zG z3lm>cKZp@wgrjl5@Az1B-%p59{22a@nCG6pwX$l3uM7}dr{yP#DuMrV7P{kwhs1Zx zG#MR{>?gP?W8vXiQ8bT;uB`qWa%F*>LYPHC&l-Quqr%XVOnfmfGQnC^Y(Jq&m0X0> zu5x_%J4!_uLab`VngxDRr}YX~QKH&V)A#yn238dJN4#*dR$OMJ6IXWYwVCKt+&`xh z$y))cfEw?6MZs{a$d%`*v-7nZGu-q>yaYoxo&QJa7{B|JFLNE2-*eejXTVF3*Khb0 z0;Eu3+LK(eg6ntE?RTpryg#+CA_Tk8kvzoP> zEb9r5+X6iT9Xm$;v=9l#VHc7D0sIXq{~yT(!09Wb${UV@+ATKo_*_2nxziFt-qZmf)3BXnsyYK~H?r{ihnHG|t3 zfU3jhRKeJ{d0I%wLyxp8vtUFbxrGH9Pqb&ZZsdtJ`qk{Vnt8?j-+Nmk+cE*>rTiRk2FWO{9XZ4QSSuU#00yMwZ zuiYB8QuWn}ReRIJ(XnCI+%3-)+w3)L0DHK*LEjDzVPAbU&l`N8?SivnGH|ztx?U=~ zb?!2Qv&%>NzTz&p09?hmaSauHlbw7@MJKp`w`B0;G$@Zs-8A|XKFV0Ar9!tg+xTLo z;jOhCO~|ee0jumnXg2#_39*BxLq*~!<$z!xh8*43^*o~?(dr<;JsbaBU^;JF;3;+r^ddM7nLwevP`3Q~ zAgcZjd8$C6IUYRibmPkQDqjuZu_q)9Nb3i++A@K{yOmck{^%W|DO&@B_%)Qr(*^=6 zqo;}n|E+F;oV^_cv{Xx7gW(6z+l;LYjY(`s{!~tjNxWl>SSiwHL4es%Py-idLcpk^ z(&zMR-+vJ%&Ll%(0Xk@3b5P0c0oTWi^^!mH8HrHEW@(M~(2Q%@PpulUXofs6#i^Nr zD!%=UQDZd(@nKbk6|HrfikubRT7lOXc=7k1Lg+iQh5ubwp z+wJjo(|`{A_h%SC^8fYdU0jX)()29RHPA;aCOUpmXeMJfoKdXG&cP{1Oe|kcG57(q zgO-+=A-HyV2qH4#dV zhDf3iQyzb1jGbd;(uSQyFimS@~N%`5QTX7gv>d*63p8+oq=_vqr}RKWk3B zry5+svLi0>1i60Y+QySCv}WiW9Wemq=66}4T}zx^imdo@(}xJG78Xs*PYBkg<6_%* z+)VltR}p{1qeC}|^tHTE3EI3YK7)Af`_75NE5%X49qv6YF7VG!uI6LxNtp}e8oy}Lu-n>ed}7k6&8Sv37HAwQMF7@h$VLnw-F zq85m&#_9t-qw%xsdAowzw8F|e$hv6a4UK{>oNY-jf9|6n0&+Qm7>{wZ7X$-#fhg4M ziE&cpp-oi9E$dKMBz8$Nc^2ksRsrY&uPEH|qyiKpID?dbSNh_3#oC;`RCvY{2g)8$$hdT zTH(QQ$yLFJvsTWdPzgL=2w43KH%?PYB9B~$r@mdI!}+plTH%p#wVD7l;*Q&rkx6sw zigoa0KBB+z{tVOCIM3YibGF+Ih=3shlKLB`j$NHbQ=cscR(RM847cfkte#H{ywNNV ze;*G5-6J};=@67oSa~0J4SIA7$CP0w2w0$ba#n3Peb*i?e}0IJ1ko>2xX zm*z1+&5YqSTidkQSO*|?oq&KyKCs{lt1)0=toFvk%Q=A7QB6eOv;T0uvVO7alg25) z%s&sJ5NS(=f@%%0P5RMThQhx4-T2msMYjQ=6=} zo)o9FVGqJg`kP@s!pzU};7YSzZAgPOP+ScnT}E)%xgXzxvy6deqf2bMDDQM0s8%ul z3H)FOQGVHfXR+>-Ctjt}kM-p=G5*GiFXB@+WZw@7rD-T{f(`QSOxH}54jp8{WaY*R zY_bmuX((wRDk*Z#pujZ$UNXftB_~RGygC>N#YIp>ss;3!l`_!K;ZqBPj0>H06{&8e zgro|cwG~;)fL|!+(5a0V`H?M1{G++3&eUzUcnRm9Hn(XVl zT@Gb+BcYvXYT#JGo^5-m*X@9T0e%k7W_;=l*uX&uB>Aok5qphT)1l68MZ8IB{T3W2 zSKNhz%Z6>}6}NqJzh2zB)N?5Hwsb!~l*t)1f;{;yfD$|}^&H-pL53eSUY{Jo4P{Yy zO{9-!BUG-oUr}PO=DMilE};*>mpFtWCnV&Q;`XEV+U7#W6Pn0OG13G|`oO^YUD1=i z%xOXuMe&=M66xYfPkRScO2?1Budv)N6pd7v;YY!KjxX^4O;=B9TE({@AdUFE2%w1n z(HJN0-%xQ8KtR@$+4;zU`SD0{R5JGYrhF=`=p-EaPR8l@P)SK+ITQrYab?}s)u}BX zPCN0e%gqwG4vUVb|5zPagpS0>(D;abhF_JrM0WmspFP`t9)r+G=L%EjM7TuqgW6fx zVchjNTfdrEQR85Kzyw=jhwR!Rz|^Z`VY-|ds|$3)3SeJGA^?2;_smNp^^+U(wmu@1 zZ*952M6INChh|c=veYJoOJn4HPUK%pZ)5XmeMhdwUw>po@|%Nj$HT-2yU^Dt(~!a* zi-z}3RHgjeQw8S4ZWpQIe=8lX>ks4Y44VtoP>i~JaGnIGJMb@^YP*&RmFKNsj+Hwr0cJBDQUbt zZDvO5@9kA#x5}8_sqhu5aCWAzLzcCf)HR|7ew)Hn$!V<6h&FySEnf2yy;@>ajkkik zM-HZ500qF*%Xc-CY#}NitP{w6F_Rn*rze|Eur}-j3S7*}V+M>NC_xmbNFBlbVd1K> z$1KiYfS932A_9HU6~aBm3}{kHkvW|il(jY@q}uB+eQza;T+LsdeTEsL8alVoAAUEm zu2iNJIM~ZAOeIEfr>W_}1NQOX)NQEkt z_$`L50FXo%^ENDk6*H!qLnNJ~nu{jsGzt+(6hP+&7uQxSA`+7iMUS?5*h@|) zIbe?2l5e93?l_1dDP3!EwllB|0a?|tz;VC^`3lS!%DnRLY)<94SVG!TG2e_kLsgi# zS`(~QJg$l`VQffvyoF+0BuwiaZxC@l!4U#6?t(4hJw9O%mG7H3FODG-*WJLZ`!iFT zuB_};cCM;h;+#bw8|3?22q?ly>yJ#!1W@=Qt*kh!WJGP^Nw(1hNTA;; z0XyuMRiGo=Xf#~{W;F%Hs1~LqJmRe}e8@7f5`tGGWdA&|1==@4!g0T73h|Bp6>$>; z9qjd8o8>`CP3(267!>$I_8lE2W^wu$bzGD9(0bAxnG9?b%wZ&4lZ}2$_-Aw37+Cni z*x~dhrnUU=02~!eao*U(CMF)R9-t_a+0Mvy2AlOz=y|6xjZhwC7w!2+9TC-B)K+u@ zcX2uv=WH+A$BWGUwU9TL0&*?NuAQ$86PK>KTKn87+~B@Z52fU5MlB}df1j^6mj_7e zw60PjXcJ3;7kK_N7pm7M;6E@&t3auJ{+Uay_Kk*(ILyT1U2Cd8@a`vnOCjefAQMsa zgZA)a%T|wRF(GZc_que9ap69~z14AhzLw_VcnTCSiNYla{%Gt3kj<}B@warxRf z52mlu!paJ6pH+yGov9%KhbVTMv>u>d6oBl5>0qWj(_FJNR?@G1r;)m7$#gw|sA0Wx zVgES_qVmJe!A`y~y;CwGk$nc2|3}w10BI6Dd+yk_ZQHhOduDfRe`DLWZSUB&ZQCQ$3% zCwcpb>5`h_4T$ynC&s->%lM1>gWiE2{y>dO0)gm3&9|{_;!Wjo=XNK6H)Kn&-}N4E z`M?wtu4^v%Pa2&OfNf81`-M5a;G$n z8w3~qI81XS8iw&9XlUi{yyVV>U(J(somrRB(~hk)AN;?BH{q`DY-5dHxYuy1kN7d3 z2ZU?ch-@KVyjn-`E6_YY#|XmzG0!+ zm(kbvVKiR*)><-QJpC748z!q4-^%CvGMJB`-_LDiU45N=A;05;*4`)Vi+j4plDlmV z4sveIZ{9JV(UH|{Fzu?506NjH8&r{!tZAUwFYx1>PVLCzfdG%v$e(grtv~x0QMQko zwar&Mza4`=;A1>596~^93vZ%qUu@wIY@e9gv8IjauFkCfiuLOM`29Tui0{(`e`tgG zNctWE1@GQBjQ{i>457VFVf`O0^dC&(KQN_#4kgt7Ipjb7hrIrWQ~jt7Oi^|^)?neRT_B`ALdq~ltCcqed@D>6gZOOMya z6$y3!u%3=Gnxl*Ce_&o}BJ4}H3;Uw6T5%sQy54LeIX`!i@Kxr`{V z+klL|ZKu~3t+I978N9PJ1oz;3({Gb-9-dw=e7|9NJi-yANpbqDAQew{vYZZX~nC%DPB zBK>oX@o!l4iKCBf1-+&>A0~C@x8a9lGOJTr)E#U~> z1I#Jt8?oG!81h?S$WAor$g{Cq8dYCMxarevC!IXZ*r70VS#g7Eq65nq=P^#M(MFfJ zs2ZzaYaC%~u1tKLj+AED&Gz}EY~03A+P5pVeK*CHel9a6m}6xWLj`?R^|>~lF^9-c zZ$?g%%JtYUF*H)-v2tfj(?Ni+ka}MNXoSb>{?t!_trg!jt#K*nQR$2JsG>)|)NwPL zqUR5Qlv0xn`gnvdT5rXjV^8Gd(wG1ZW{^u(wt-}{xL|#f&O~{;OF2Yz_l6PNsJw7a zdsZQ;6Up(-#SM|sdNZ~y`XYSSN-~}YzFn`qNKY-lE2eu7Oed4)l`LSVb!7w|guvdY zHPqJP-LaeBwS?hR4_CBgG77G(cjOrFAunq`mO7TyR~gcDj>IPO3{`&!Bj)b+uoOa!-fhx-dh3u3JwFjGxQg{f7II# za!;VtlU_X7(@x55{{HtY7sxC-18e9XKlVcY-@1ppsmbqb9lJtl^x&Nnjikd=ry>Vy z`+b=rk6SXgkt>rCsaS0Z^uFXED;(Nhu5j%?I|y-;qeEmy)ipvtT_o720Q#3|Pii)0 z(EhNgIy)QE0^Vp3YxW+VO#E8S3B%@tqH0_uG)o8bz*Sv@BnqXIxiEg2&pgX zx7bba`syvWiIxx$(TfC!0Nm;G)mN(SHJ+VR+cw>?UJvKLpAxr#-;!^}HZ8xfSiAIA zH7C@Hew~EO+jXttRl1`2={o(_}F?i0g8WK4QC@)JGZn(P+cp`l|*0&w2 z?Jccqq68FDVKeBE8^ zDvs{}=nJBsx$)`oG6k;}JHciW<9{o;zIyUo(SE(rt`PIP9lCoj6UsY(UU^mI|KcvF zfr6eZ5ndc*ymr08fCjr%1WJZn_`0nCxHe?z%MNbEzdj47B)Urz0T9I#Ba~1cda`DH z6AWh#CABTzCO2p72H~-cvG@;f!`r6I_S+(cb}7S$cFWXI4ib@8>p0!f*sFr)wqI@~ zSef3IKOZP83&DGR=G!EVur*tAt5FJ5k<5AvIM@5u0)p`z!e}R#i79l*X{AA=CQM;4 zO8m<{);gxf)M3zv06=Kd==4#A_C_t&QMTfXM6?kD>{)cWX|CfmD#N0SNVFBi;|Kk$ zD!xXz=Az9;N@z;>=*!EpZyQU(=k9^ER7<`>iCmX0+rY5T6NGv zINf|!A-amveR;Dy>@kRWs*K>I2quT!twNZuCQ5`4J&=S0wQz!|Z5hemTNWRyI?J%L zML)lcuLj4EiQ0bD(h&|7R(UMhKDt^R=dynmBo`X{vM@$?lXfwa?j@gRkh{sq9;Ao* z3}uzEp$(wa!>PiNE=#8TpQ<#{* zDnjN!D8>Rdu|KPmRW3%ZLZXTc^^;Mhj5d*k8#Bm+6*Gv09y7?)(x&51eV>|oUlYnpl+pyK(%l&noD4|kbWZEL zgFkI<9%vUCA8A|?x)R@|H;>d1hL3wDO5%2kqp%pHmyDMeJ} z0&t5b5U9)e&m9R>mFFTzV1ggr<05j?5LB+x^MR!i1sg7P32 z%R*d%8=}e3OMQ-#x*T~VL3e4A0#-=-$&to6*NbI=Co~=BjOwKV7yIWZ(cGIQYdC}C zh?DB0)MSA#G##b(B*@J?k@e|YTm;*Kq=J}{2P>ouiDYW9Y1zwjucX|6OVVTgGl(Zt zmjkBJa+KPYAg4lz+gIHMGtq$vl1U4qAz1+}kp-5~a-1@#mm08E=%)dQJN_oHM$V4{ zb@&Mlzua&b&>gEg4lC_GCRuYDs7IXSKnfmcuLu@sj|dhRC#xE{MueWE=Ue(%M5HT+;R%|{Lwo=~p?bxmo;ZO;K3xmIJlu2@{wYU~ZW$vTC8&BQsI>H_-2UAyk#|ZNisOA50$I_|L?P zn^3p^`;tQ$FOk-zUBySyv7T{1!GIO0?*5Nfa^F_;SW_kNkg1H}isAvBY+xf1T8&b| zEwja1u8ILN;*I5j{bT^$|96UUh-%}LwSSP*tuhYfi~p#9HQUja8mcDv1y6k{+sMo; zUz=@&;3UF}`Yum$SzQiO4w0iYOHFK3m^~*a!W8#2Iz*gLUj0N}58kiHZ1uv+^tgDca8-=Y5ztjjoGqlXI;z17xh|4iMj5$MDHFw^JRHBLLnc%f=$@`h?R&Ro{-}8cOU&AP$wIL#W znjvC>uR{;&2?ojChVwQf03y&)lg8Fk#ATi-J5%KGDz+qL$|9GQO&;@mLsBR5&YcM@ zzbCPWUQ$45;W@f$R#;69@Iy^G9lZt}dz5m&E&`0mt=nISQ*!wy;Ib+?O@U$XY#lnM zH;Kn+Ij34EQPZy5`z zXKc92bOfhXp2J$A9D@30{1%5^yJa|e5+`3C#yNRIsQn7A=cwN{-sC={a-lo*npME= zRW4QfdExGSlqsLlPUELQbTHfreMJN)e*%j#76-nc5NA^=jBz*Eo^~;w57v0`@8`># z0(>yXu4Kjxh$(Xw%f&=9gJ*m(KsRajbcH#$z<~&>XMZdkif{+76j+eBu1p6>SYp zSw5`5JLT3pWuOByl4~9F?}d_9=05so9+W|xh1k{wO)CuGSGxC681;7A*KHVl2C-sU3MMI`enE~-s>WX=9TJPb@U zx8OxM4TdkskX-cE;mx^(_bd$*Nsh6`YTCs)KdUK%0w>4Dx-hFN0#hg^0wVHex8iIm zQBQWU0gr>TI@n8lG!1KQYbef=uxU9+4N?g=X$b*GlFQ9?wE%O_@T~8m0~kc<4CuVd z*Jn`BD;Uo&J!-ewA=y9L6Q$^<#5sIfk2%^~wCy{aD(X6RghJLVE9(^bg@TuUx+-ci zlKot2!SJSO#k)06J$%xbgO}-A7!%0&rprEOl%R?>X|FAc@-h5F zZn|URBp>0Ma0Q=1AzDS^_F9TuvcdO3(NU@oxnmXw>495;N&{y&bsq(k1}F* zG=h~FY5f5tVA@*j!jf;R3PZX5BaAxK7~Ss_ow8cR z6m-4XBWaoH3pgwx-I&vlGMx$@^j}lzU-Jtba(vRtGF%Y=T(GT7cN9cLxT-AQCw%o? zp92Tb9ewf;N}F#fFO_Eff)E|6@6Y>+X#^1=Gx+)$nSlfJUJu-Sf~q{)dXGmpaF9>=SSi ztyxRA$O=B;Dei%omc7RO`{7A+)YI~jurZ)n#z}NUX}Ov(-=erj#gSy-ImG#Y$yqJR zkbp5^2ZzmJssPfI7@{=>69QB4#QEinLM9JaP|Zgry5X4aZJ}A#g?u6F4}bi$4(*dD zBw)Hf^Zl6DUOzGtn|NsJcF~BsE%(#*Edaph^=YSD>gLs)aen$Q`*aTI@m66^;9jLZ;9D_V!7$=YhtJiB;1xc z?hOYMSBUdV0StXC;94%lxHWLiI?lMyWP;G8#sb_%xMqLGcgeE)sYl*6P_7VHx_0@E z`+p0{G!PkP+I0Oi&A67$khN!yySg8LIV;`%&tq@8M8yF7Oy~gyryX5cHLRvChAJ|f zXXb|*KbyCthr08xmNI1lsz#slT;j>LwQppZt!mOxCajJ`3v)aDJ}UC|#0fyKlDO+) z#$P@0_Dc{#J3Gh?nS1sp(zes7V5QjDR`_(JLN3D#kHg>#W0lD&M)bD{27AtP*CX5%xcG(kN@FaJiY4Hr+T;DSSiswbSN;*Vq?) z?Sm%?Yl^X0)mZ4=-s)s)#t%CpQL5f5fY)Iq9|{e!B_|c2IUP)-Gv)@_xe*HIJTRlK z=cjQ$#n7;~NVVSm4>@NO43L53x6b2*jl-x3QGy2*H3SDcUY-A1x7z_IW#WBHFFV$N_qO2{X$%Ni>rhsu}_>Zz1wr5y;!Fh^P`0A>8yANBIjm9KZT4C7e&ESDP5x zP5L{^ETNz<>4<G?>j03;?kM)5dIH4 ziSO_d82S6}40;VUdb0FUd6jSieN@K?AEE3dF%vTVjSnBQPol53?PEtrolHwX449i; zhX>SA{pHHQuU-`0#vK~TvZ zq^WeWR+Sfzqv2`A4iY%U4oaBCY?MyOJ5IsXBgPrfNpM5+{SNZb>>ufYW4a}K=bWJ2 zGw}af?;5&+jA-C+cCYu|ErG|>vfFz%`yW)o;TqWNzWz*|9Rh*?eD{RJ)<#&wDd1b% zy&85Fqa&*1*xBuRdj+0sK=m4t%(SBT4T$I1QTzyv=G~G10dMcoo&6(;RqEaI2U&GN zQRc(g4$`%bLXTM+$pp$wJ<6ujCFu(kwxHs;^NW6E|kx7>P zo04SnHsFWw$o49LlTK96i%_2Fae@6~!Q&6EmN9~-U^4b^)lZ_VfjcpdM8xqfkpy7^6$z80M#kI?{| zR!OG>unk)!-+kP@HciyJt?|RcWz-Z6Qqk}CK6o2Z;TAaq{BG+hb{;7No~>_96o5^M zZtE=yzY~}t#jMLrIlRetMpsE$8VRVJnV^L0EUvyVTuS+Bl-)LAUW65{0haOIY}&+ zpyv83Q%jEkM~*5U!Od{K^>VqAR#F_zGFzP@Bu_{X3y zK3m10RvMybC%EO~iRZjlNfgmM3L8=>Sv6srW`@lG|7h6B3X=dFtb0Y{*rC0Sw6}BT z@GYylMfE)r7*;MCm_eJYKTaY@BR05uXYBMby%6`)8!^Ad*5@2scRJ$Nx?3V+BE%Q( z>^nQXI2qnb#th#?CDAceDFi6+sl1zP;p8=a#$zwBUtin&V`FjtI|KYr+RqmMSXi9b z(K`Rw+W*b|kM#d%d$F)XETa@XOxC+N1K|9=cDoPz;QS1>|34NYz<@qOnEMHM?e>p- zzENayaQaQcRPfH3q5O``-c8;p(!sSSk>S_eQtR(L;QQ=U_`+6R`1N-o{C$2mA5$X7UYLtDHjUjriLXVJ7^P6OAjim ztgFf7q-8xxv#L~7>Mf#%kxfO(WMHMTvd~+RzvWCK5ll0!Wxqn1VevkiM65L)hkS9e zJnF4+KH^0VS?jS$KMJpRM4@33%n2&dhflMSEuEwstx z&D=n;E$6V_F}(NmO{hMA%#*{j)J#i}=iZI>{0?|W3PF-@K4ZZ4gJuY+BZO3`0?WL? zO*pn57YpLU6N`bO(8vs{D1oXY4#(zyh~vogT1JOwDY-ydEGqX~1*k_JhQI&N z2GAu?oP$_4OXiflEmBd4`mBRFRgRm6eR(ecV~{s-RZ&nQ!316)Ff}o zIQxQ3^VvgGiAwpGE_4_N%S^<=SwYKIK+b(DM8`w&K;-M>P$YfN5@g=e-n~fT4{dT< z0amCywy(oba-b$zO<&7>p^R2EN`Xx%KGSANUrXfgDCs{yx`MW9#^MYxHaV&s*N3Y1 zA(?EguC|6N?73OIh)9gk{r#bQ&Z(8>{or(myM97?eau0nKEfDiaEC8bM1X}hxI}i* zubvUOo-bQA!fQ^jm)Vd)bkHm+Qj)RN5DIqdpCl@Kn;|9u_cUKchU1%H{z330cZ008 zNKuFb!S}Jf10x2&*g~Sd>c!E~CvgsT7|A}=Ho&sW+$h<9h*NUVSdqz?sVuDx8YDAA z#a~SZHdcJ{o^NbdL2%+l5x|Ds>A!LE=7Rx9QPR4Qky)lVzemQl$f%9Lm&dloAfHmtTND=ZdsTqLNU|6lri#T>AmmoJ&k1gZ#2tU&0W`XbP2z!@O!x)Tb|rfOBT9GA9*XNnpd*(hc1 zb$U3UzUq;xBtAl1p0wM}KZa#g;~g(2ZJ#x2DM#}o;za6)ffT>s-H(ot!x(RbU0S(( z2B`1)j(aTsM^X}S3$maTl_CvLQWI}*9^}{yFTUbbZ-{zX1hkl9PD(*Ja&Jfio6L8d z1yCXaN@C@bJNE&9`s$PWoi9-=a#-p~u zDMY(Y1;5;suEI(wBx(hQRE#E?q>iYhEkr9FStyO@pbAACQ<22kM~`Bc64sayVonA( zC5@et%*sk*WvMXwqaOH@vcmdTcz8{n-k zB68`L{+_M{EP7xBYClg4ih9JP9wHM&Bb9Z~$>?aM-*r$|BnOmB=^`S(vx2pCiQy*J z8R$tvkJil8i&9wG7@nr97J(X->l+i!W@O%TQ>*OtvCAI5b8M@@9TEUXz0yj933}5q zKhskenHiSaDa(UxrEc$Ut_k?D`Em$OptYPo7W_z+O5r2+D&XgXSD@3WOe^BTg$vd} zn2|?c9Ta#e6{DhD;`-R;W>rO4;HKD`6pi7~h?hjeR8feSu%^Tqyw?gk_+0yg2rogC z{B~3zT~TFzK)UwpyDS3qU5@o#UiGy$b79oFqH-fv!7nWd^(PZ+_jzADWvHX9Ob#K!SUR| zX5n;o_Ag3+FOClnQNsw7P`L-bRHyARYmTtW3%)S;iZHiMK$!(9J5wDdXY}f1Z?8vU z*KR5#aF1x*9B3d+h9DxlKa(Y}$t>t_9#m90x&01NQIN`SK}5hKu#n>Cq7~)YGuN3C z^IRAh79{XDc@aUH5<)IYB1Y2$`(tB)urZ@ILL;f@9aX_?z}pZ?rUw{3jH5yds~E~^ zHL`;ZC$arfAuI%Cql?;a1EGUm9K=)=I_le$M|9>F%Hs^kdw>ESLIRCAK-a7W9;$Eh zVvjAWLaB6i_xF54{q#3EFoR*?(!?dy;1x33F}+g!)_=XJ>l zd}aZMS!d)b z{fL(XEU3t)E%-}mqeN0xhZ9-J!Akuymel?sGM|B&usj&oL>{8(ZYBqtKki@*6@y9? zI8kT$Xe|ria6wTUT+A=r zfP$Hj-5Yla8e&SpNII26M8zYbd;}}C_cR<0!mJD)0!vQ?B2p$m1|qV0<#p+bEaS@K z7A(w|5M0S)TTid;N=4*&i9|etPo(YCu%RWob_F|TYa!{%BU0vgbme{%>kE3dIl}S- z5P$VF2P>P|%kWphf##311Ng=&)tU=lz5Xa0Bq56c9&%mi>i;%y23&!=!XsY!> z$Mo$nAJJ1wJr$Rf?mA~-qJ$V2!GHIror4W%sxcsfauZ2*APFbMSIUptODXIFkW3ri z1-0D8W6^W5p}ex7p_E&?H28Ycupzv3(cjod&Fc{)B+qhxa|#br{%~TNR}`vgVl)P! zdX2x$ zpoW9u56(Z@W0iw^wem%{q%V2}?8;$=@DGK?ITrBRNo8BzVI`u}vvsFUQNwHZrZvqn zvMO;}2Jq|j#yOrG&nh*7(a?F5)c@^0HFz!?_8LwqAjfB`Z@g6mGWl7d7&vAmy>+r! z<_%K@F1XJL1&P_YCSg&?Fw<655%O5WC|)5Lqb6L`hfIuThKNVBwh?j*=))r-jSeST zi-#T4H<4tIBeJT5_0ObW6CHw}uY)oMRw0vwotMp z_Yyy`jF&%x%r z#E@BtLgIH781}BFl1~8{cv`AUFt*@|-&27Df>M@?NKYRV7yu0t9V!&ZJ`f8WBzWM9 zOIWnoJ>SNJI;kaQl|^{;oII?xXw(6G4uC><_1`iT55sas`b2W)d>ht|~2GE1B3@2wD4 z7EFfFUy_tm7f0_cg#}cKGlmZRR=1~05w7A`Iy_E-w+UvFx(`r80Zp`)OrU{9r{G5k zLzHzlC1%X>$v~2T6!c`gU6p%ZtvAwLbdbtD`oKdh6t*njcx&S0j|tb^jSPbk$8zY) zlGoi$j{Y(yz`f#>M364VfP>@?BV=4<)FZ)x1^i`vgQ2p&cF-;Jio!rFkV#NKRR_*v zfUfT6H6$HB6+0!u#?OQ5K}12xJZI_Y7yiBpSNR2oc~}!v>(2Rb+)qS7;`<%IM68@E zwRz?uT9)h8ZC>!I&_mT zfIflJh7Z&#>kmX>x>s;TjbNr0` z$^ObqO^{VQgJSr$tjMuwLMv8W2U~uXcdrK@_+@-|;-y5W9I1q%ovF#XQ5-jQS z;3XYDz7&GXpQJ_uO2OZpwLjfd_gaFG3&=wrkkYF2)y&Y6A*OLckJ%T_o|W38tf7|E zO2#>nMm{wh-X{ZeiirGeIoUY!{AjbgyOZPV0eW?B)e*oa$e1|Dp%}Tu1(_EpYuUM-($Y>r1%Ork&$IH&hRl{7gIbU4h40n!pY`gG zW}VjcCn(-Tv&Jn3gZa2CGvdrMi;A3nR&QXGCv^st@;L+IObcyZe~VYpoO3ANClvP_ z;)mC73yNFW++G$h;F(XTY$1`l+%qYkzo;|?O3O2>08i+JS1Q@WQbs;A!g!7gvx2#u z3DKPH+%qcXxygl@N7D410*4HxkMta4FxG(=<~iy7@4jOhnpEGCQp!YhojN$b;#2!0{NL%L6={Ov$RCzifUu zGQdNLRK>7J^f=oi2e-DqY4oUpszi}EwX!5kB>&vqp;RmyV^C+&9i~Jqn!{3i(f#9J z!|l6qQ7T4P*-=H?f&E*s}Il{KQoW!5vlH*$Sid;r(a< zf#bJIyU<|nAHzv*78meTBTfZ0i?=plj5-&3h3hVB>-{5ak2^5X#n%yHOA;q5pFa@; zstH8VZG1JO8^%ZpChH@N7ba;hO!j3}gS-7UV({?BXk46#>W7$5P~%9Q$RKo{UYwjE zT8C**j*}mpmLsbJbCrziJtDgld(erLR z z=g+GJk6dI^#xK%nn`zN%#T-?&;V{a&z{iy%Ge|>K^U$AUY5Jv7v`O#v_PB_5&~ixr z(=f2eCAkki;{p&H;C!o^zx)0*98(tR>~nNulWeFLJoag3^)ecJdr?gM<$W}1^J>Ae zAn0bf5VZ50@S6lrcrE?6OMg5&fpJ%JMZz|9QM1r`Vp@7=m>U}C82{h03za|E3-cQp zq;_5!)@N9nW{w!d1|b5r={F_Iqg6r+fWs_=UvB=nVsf@rYJEEy>m60>!63e`nnecI zr#erncye|HIs<+J)`ujL{D2r_>gXHqm4{U`Dv08gp0AK-3!`RCX}+P0T9;d#CE!du z#G7tHWxkPCoYm-5j5-6$D06oS?1VZaz$xX)6+wZLW$yLONYe`4#{;+`N&(Vofo`T^ zF~;mmX9rNU3a2~1G*lKUcrr|x=b8~~sw2viCEO`r`AXAjJR)bQ#aRPxw0|0sW>7d& zC`RXNx9*{!M&@@Gjux;dmH8j`G~eMGy}t(QA1iCTb%+1MoJYQy?P6_5hk?rxiCj#v zfr~<#v1JBys1q8@6yV6i_;ZRZa1^Hnw_cOt=9qc#kb{dY9v%H#Pemao>npTKcwfUN zQPoKhxHza>-UK{`BBM6HO{a5IAef0^*$8Z-ohJv~GbLR4B%`LJ}~am-FxR4(W5awif5`{$|WIQVC(Jj-uP{7ix3gPLVuv%$8Yht}aPy~;#JT!8CDINd7jTI@SA*<5 zpA)d(?CZBZyEkvxIhqC1NJFnld}30_mOPY~=!EnWGL~mjPPUFf*yFtw>cS7ltcg9C zGbM9)rz3frq^>){X{{EI_RG2_JUv$NQ!4*F&;Ggg>iy1|LB}KeY4!Yc@7U49t1G`T zVD7VR>pJzm=K9C~bRgwoktw^C;5gN552%vnq#9<`CPW=98EhGSG%^-`^X9@Fz+IdB z0?Ek|Q9#i0a&qOPv@M4h!yuZfv=3AZ`u6;(oCmLKSuIWdvSdkRGPo#@U!#lkJ<+J-eYkOIZRJPt33x#E0Txzxt% z49`3A@p0hKB_!O4o4Hl22=ZsmaW>tZH9Y8|OCN2V(=PMD(T#ABeV>{| z(sGV^6O4;fboMIlLKJiho}0T>LkbDwTue0O67UNNkrvC$wGaqNt6@j+M*!Ia038gG zb}d1xhfK!Ri&4~c?8{9JD4x0VPqJv8YwJ%TP#JN)k2M-0T}~RY&sc1Vun89;;$LoD ztfHn@-`@a4vQC|NBMKlh>HLh{6Q*(QG##^Dir{T9O;t&T9KUpI4wFpRgU9?n+yos- zKq1uACBP0D(SBe1$+kKujCOPefCbz)-1&q@tjWy2J;kzX!Lf0&{jhYg(7m>0w_&#W zaMbNfZPHaK#B$9(l9Fm3j6C7-LF6+4%8j|#mEF~3H~ko*+L9sq8%s*k;jKng(xKdH z<6{Q(z6OzKsCeAb*XB}f7pH48XJ&*1?~WfAq4i4q*Up#6c23NRxmz0uAi3R#n0Wrj zW5L>AM^-{kYA`=I3AJg>UFYhto zztbNB6@KWnSHPA}_U#7x>&BZau5F+Xx??X$zCgsuNUJg3sEoD>-8hu1n6xZhY~M~Pgo9!$@y6#3R9BVqWF zZsG3Tr($6)8=wdFi6odK`M7Q@K#*H>YGY2iYJh2A%@vACcsx5Ho`Uj zYBhL|cfoR_;WBOztDx;0(LwGBsrK))HE*ZB9^D&rC%eocUfZ)001IfA*VDu{Q_Jk< z%%!b|D{a?5MDB6Qat3Eq0d=-hum}A zre~1t+s*Kf+yPm2-nM*rm0uErK=B7_c;tej@*LN>>`w+<}VX+C3IRNUV+Fc(wIKUO4v@xb}$CH{{T=<9(Ys>4JS z0HlB8gB3g!)kt~c<=1ORfa+aISp_ZFTNtNs1ua$sTbx?X4QOP(2lc1egcXa^i$CfS^V8=N~(0;5VOc<@4m}H7NSru@Q11Eq;T{ zHXV+Qa~zVGCR)YS(cYgREZ<+OQL1;uP!`TLkbqp@>#=ScfqAT&`6MZ#RvKs4%@$y@ zWfvX(L2Ns?jya=+Gg`qCWshf48h38)>9mcf;hg@Jq;Bze>khD0Z@0pUu?|7#t9WGq`FSUbq}#|W#uIgTE9y)^$4mm z!}|_uZsx!FG+lwu&^ds2XV~fjz8fv(+`$Ofl$p~FD;B;@d+`UN==^XD0q@0GVemTv)P;g?_@KUeqGc}MpPsqQ4v;4p2q!sP zR*A1&+)_5AlX*(1j?PeVY^)Tp4jv6oYF3ih$5nq=s|f_Zb!TTYtG66`BIC3$Z5h?# z`*hcZ-|qxA!^ZpIsIzR=vbbnqcHUvEPitt7Zs=+>G|sFT>1KT&Bd4#^jFRZ~^Il$O z8w&x?(fq4+tL~$+U@7>c5zt+Tv?!mV8#7Q;%B+}EjU|R)d7y+n-Tsh!3SBTw<2b|v~SGIU2`O3r3Mt4rc1G-fCFP^7KA%>iW8hrzu%&^Wpf=cH( zDpTQ*RH;aUqtKY+0c>y!86jZUl9ZOSJpsd5{jhQ}BjupZj9^=@Vix_j=jLh8`%I7i z?lC@tGmDk`9%a&x7lWXCba@vR4Af*tv{sy!gd1-}UA$2YAQyKc#lqGWW6ldBr~4v(x<3pzbB&acS?kt%VAZ+KCmr23`nT?Z?Okme z5UGB(>ic7G0kq@x)k=tCD;;^alTji|9h!K`>apypZsS0~gMV$oX501(pM_}Gt=URC zOP+j!2&Y_I6A|*_k~-_!-SKs-VaH9Axj>Fcf%b><5-D-mIP+pFU9FZ`jiu9+kEhoU zYV@HC|;UV$qHxNH;266ZxrH&-hERw{Q@tI$jS3DCd{S*`K=$aW;oppGvE>uccQ zE#1=8OxazO+_<6*SLj$J<;OHm>_|=|kLDq`k}}eDhd>KK^1-9j5l=~URf|*{kz~7z zk{$A3uy@uMOYzTnp#OcD0t8o|aWhA4j$?>fn-U(TG;f z05sY310<{6i%PzBu-X|D&mDU53)`F@8LkK_9%EYX&fFtdesSj<1|=DC4yyLNsgXbF za8tn@3_|QLJO(T3BDmwMH`)c8yX6q|wUIUKGvY*Oc|tZerEQ}SFU+$E<=rjPi|vC2 zX5ir=N)zxuRQXMj3-RJZ3nN6`I{E231y|N-ZWPo=2?1fS~ zB*B%aSwjw5+qElV@y|H3SX45luUNKAeg;QN#(0~NYBb@=?DN{08r}*H4JIl)4Q=D5wuW661 zhj+CWxEg-sn1%-OlB(Md%;FR0h@GZo@xMTf&%81rJDgO-dBOt@Y*2oZ#V&(T7t#)?Z=C%A#TqeNR+`-CE| zBOAVEa;=l#j*ayarw0>@5<&+RUnjY&055daD_BJaH*E?N=5Ngk<5^<8vDBFy33dg` z9;t`rj0>ZRE1VAPQ`!7vx5Sl9)j)D5uSWv4c;IzS7M_(P{$jn^V^8kCZp(k+iTP<@ z1~w#Vlkr;S2?sKYJj13Gjd1De=u=g+iTrGfslUFc8%(sAS`7}drxB1MYte9-PDjw( zRE!ePE@#tCRgCj{92;oltjnPid>Oql3i_TIxigEsMx_;(Hl?TCA0tCAZhD-^ zPDG0-07a9sVdvd;I~-TrIM?#REIPWDLjWUN%wM&u1s>$j6N(5@mv3tTV4(>f2#Thg z@6XBkph00np@vRP_#QmR4WJJn5$@CA!L=BT@cDZ&Ls069#WB@zH5qkKU&!onV_q6# zl1dU6pvcm0>yWlk@x%w}qDdE!fHFIBF=b<|$AwtN=?oWAVJ5+99QUdJ^Q=p#p%IQl zB}u@Vj)-(c*P;j$CM%V-ruoCgYuX1-eKjNkJzS|2K(A~DmD2}h1f)r?gNU6iR7b>u zPm+iPkgb-{f7VC^w>hs8Y3qdhML}w?XHl7$3}&lIwPl83$s5bE|1CxVG0U~sE_8;S zKE*C|`~_c67SzG086@pbwvS(IrG+%F*$+jNMOuj8rNC}E{1gRGj;-c=jbQdjYsit- zX__WofGvZZugYeOM;wK}ON6343Wd4vD=FIpxZ6cD!D|`EFl9%Ef z=h#1C`S>c*pEuZ~nwof%2P>GQW{at}FTX_f#a~_E+x_JEeSwpf#myWhpQ=0cQQno2 z1gkn`cFTu{Y2NPVMV_Mwwly=)L^(q^oUFqhZnr#D=k+~}C($aOx38jXS(xp~T*u}? z$OmHE1dd+)59%zxX8FSpGD3%8d5T~&cI`9bwJD*^%R!)8P42_W2qOL?okhXwhdZ8_SwwB`qIxHDI(b2L*kRskP!%i{(npHNc&wLUPJd^sE z9PVC9vY`~ke~K&GoT7ndCW~ptdF3LkALuo1WmGA!5n9j+G(K@luq$g+qGZp4864m) z)aYEqegOJx`5GkpKt=(dub_6nAd6*LVXVd51b$hg)r?dSDKUZbFzQ0EplR|rXv-Wq ze&3*R0&zp|=nwFm$kX+80_k+`A(;oF;Gtp++|X-PM&;IF{JTVnq+!z9oDPW_Ed@?p zx?zriqT+9E%F7NHCn)&HYM`FH-A_eMBY!^yH2{I@ta>;MFI+f~EEC*c@(snreTF&c zr6Hou%z|gS=qbqiB+OqOungPo)R5OIv#Z5Ae$`Iu**H#eiWl&q`m3H;zM!Eym}Vhw z7V|_ra>{FZ|41?npVmk5_tMoEakDoRM`A)-LaSnA%W%bF;pgS~htbV8AOgypZZ#C^ zMF53^DM6~m_}Lxob}n=H=(3-q=({JzYaF^y{QtDzTO^|JE5gcD3Ired0D~Z&JUp6%du32c=cwes>v+|M<>+p;%TX z#XktjnJjUF9>$7HY6KTH~?V zzIex^ChO=OnY*C9AFjoD75*IG174)R?Psoyi}U@Lm1j(AM_{_tgLn!#a)pCAy9Xd` zapQ+e(EqJ?7kjLSB_l2V9hV?*%gLgi$=d_xE?L{2Ut_CwA!2RcI&N2YB*l8Sbz&wk_mSgq+(k51v<3p{%2mhZ_$$D@E8bj%@v z#-z~Z9HK}-NaVvulHzRN4H_%6t!GL*M~bb<0k_k#fGd^H8xTP0~r0Mr8(J-`BOHWm6 z`gEjLpI_O}%x4024O7tZ(s!2}1>!jF$zpq=$2n{r5V-cf-kFo*l|dj3o-?YAQ-YVS z5;KpHmT9N3mgRZlna{K@m&Pqs&JYoUV6z(OucWXKMz%NpWn_S8Ne5 z(EA5fQL86BMb6OhI+$k1BnI`vK#xHI|dF`+aG3axD_S2pyA zLP9IWWT@5vL;C$7-kt{aX=zV@$VYEdRj&K@lYRw=9c88`JS0Hx*V@F) zS^ko_J$E`)Zn2PI0^pqIDYb!wF?0Nh4(ABlof!Pu|z1Mi|*vQv9JGi>_#_)OD`~KYd`LE*T-{LI) z`%dmg)#vf$+cuE6)ur{>Pxx^h%m2Pf_*SIr)m&M7V3xaiwHIsWdp8~{@H|fl+`MnS zTvY16uCMymzdtsAA?klV18>irwLQ<3m%820Jh|@#UZJP@gm0G`Jude%X1U&<*T4Bc z6D{Aa?@t2(S05KKv9}?n_mk~bNQL|Bd!9fANYBaI2928NY=i_iY z7vB8l`kn)73-~-aN7DB8_ThW#Mo$O4->du0sF>fMUXGvyWaHH@dYPUE(bIjr-?RHK zOC@RcxA5MSBAS|!p@29Nn?JTt0`l=K_<+?_A-YB8^<-dfm&^UVdh}{9Q=7-@3ERrb zeJvv3d%Ri6q0Mi*Y89xDq)_c_hu}-b+w9oI_OyJpl=Atoz52J^GdpK}q*vgH$A1a# z_F{XLuY7r+6&m1()5iXb`xZjceDd^n`|>rkWpfMvjqh_V3YGTh0>EmElSJ&-nY48&1Biew_^R2o+x9AKWSs(F( zHTa0Y*XycQ+5K?G4eFCSn1bLdpIaqYzXvZ7KXlhn4o9U1ZcVOwC_F@W={xaVfG@^P2Z<)0grzu$F-yTon*ENdX&v9fOm!GMjpkP!$?|;q~r`o>x|`#v;qGwW- zEO(yS$IiAMIdx)peR%3b8FyH2T_f`NybPXJuGZ*b>j8xrtW8%h(Jjts=glcioxbng zm92@cx3+>UT~p!IxjP7R@}80YDQ;ir#+OCG}m22g?089(V!ODFN;Pwkeu7{6Zc^To2x zM*YTy^1YqDGx2S^_{NVg&`BE~vE>s%szCR-eA-zN zWM)jgg=^kX+M7(gDT5g)XjEuHb#snB>rGMr&i7%2G;GbW2w`_cQ?>6Zm|N-VuAYTm zvJ7(U*FL>TbzJb%0yW?1X-&Vd7R~hpZab>Ao`5W@m<%1|t#!p&c#JyU0)KcUG25Z- zZv@%w`toKWWoR7@Z$9^)Okm`b%n4gIvM;MkMu@Vq>O1|wuP(ZMO>y20{`*`ydMm~troVz9t?9kLiUewD zj8BrWl{$jBAH=!}OeUI3>R1XJ!A1Rw<%GECs;9774KRuQc&v+SQl3#t%)~e8YvSHe z_Km}oPZo`&VzQ}lxY~-2WMA?Cp>2+p55u-zxnWzj1q^Z+(?T)z9?Q5vUlPG##Mio# zC}gq?u}7jVs3j~>YL?-IQ9cX+Z@Qpq>?fE_Ie&zgQ1#9IM1z^fi_mY1N<8$jFa^Af z<#2en{t+-N%g()+Qon|;^7W%G3myb#B8}$mxlKz+u#tvo>h)Zlc|#nawA*FSXbq!X zI@s}fozBg|^%y2LauPZE`Y+zAk_n$o101x`ztVxc7K7guY%~G~TbV_vx(J}SQ;@~) zXqc)1_+)^|VQXkJCl*;q;J9kmo4|Ia>Po4zWRk&nVpTYcfY%2Lvj-A5>tET(5vLJG zE^Ym+$CwEHzmaG-jvfvGqz#Aogp9t*v_f4vL)wLJdFd@BCt|JMnYv$GAqL~Z*r{XC zFrG;pr5;hla?N&(*I@yh$VFm2d3V*GLl^Uz_S^#%76dnrTj((Y-G)qe!Sh5M{=AmY zk10@3R^M5ZQECV}=%ey}VMp3K)cY=@d2tz!CnH|@g0~w^4E=h^)o?lI>n71-2SjPBs5@U!rx`moE!$+kL((r@^hpdO*Uxay=zjQn$p;Zhiwr2`_8=R=S2pw zEJVuqd-gUhr{XuTQGo4c6(Z!gVhrDOLL38+U=EMZ)A5!Ki~C2QZc12d=KNQqpxD?0wnXkGQx5EwU>%VTR1ytgun~fN+Ed+c&$Bb=h|z+? zMMVMjg!&cfZMtHl_+>Ypy1xRK38Z&pc7{r2rorTn;j4169h*@czfua)zYlsfI$_Td zx|eB@JhD>(cJTzKXYrUHG?9|{Adwg8Bn=e_U_#y#K4JrAuF{bX0gqjMtlDLBrNFfD zQ}^$fimfoRXoBRMhD0TiLDR9Eu9i8okEr^IK;c_Sosv4#DK>3yLRI0c4)cLbC>nUz zCErPje=bV8kTe~dxxPFKOx$dLUh&Y-)&J0;4Ri3~uF)cn*HtKDlVj*(s3zAicChsT~om41+XpQ>R2-tggN0t-_dPS1Ol5ceS>4oqQ8#I~zyl$8Mcg#>)cPu7F z|8O3k!ul@w4u=BiUhuUWCgl2PytOC2v=}^djK&&quskz#fYjyI5%epDBR|V)jI9SB{8r^}?Z0s>|3HJlT_HLrGgn%JCBdkDDhrq176iY`3B2nB z;5dZ+t3J}^=)56?Ggibh_7aK$ybzQy5EM3I)E6OsdMz*yfP)?fHvyMXT?|2l(0pnV zAO+shQB474KcU#OYdP?)R2qJ;{#+yyiiH+ORFK2Dr&W>6(aT#R~yhR`aNLW zMz31k3$wE~0WMDJtnuQ~xJVyMztO8aXKJ`D{kDo>)HpN(uG~pe>~06E(=09wFn3qF zPSFZ#;vm=eO)IGUte@qNz`$Lhu$4#SOH*f|0Z~eT4T#hjXCBSH8f=HawpJFM0{qL+ z@jx<<(`A{s>At&D%)SE`$Cw;R3VGvHqmsAOyMZnJ&j(?-U}NoRsi2}*Ch;CXO~ZWE zb**VIIHk1bL3ZwX!n?PgjAd_8eYm;~Dn5K*jfF zVW=OKaFcsZR1eTul58#jY;JczYU1A1TEemqQN)_}_qP751&TUli+vhKFjt(<&UIf0 zQi`XZ@QORS3I<*_twr#`H_P0lz7o^`RVc<)y{8u+)1ROG=(g-a=u0U*&Mzt1gIPCu zaB~Q%tO-!W0ZPAz2oT`^j43i;savQl2ctLQ*lIsflV+YbD4JTE7yI{%kZYPcOv?i|K*HBG0d*PjSI%rBzs3T|*+5Xy-HnxdJn3 zpS6CtRQ!M7)kxS?C+$hE6HLV6G$wo`T_{L0bZywOhZ+;cgF?Ct%XdMk{8KCBs3*S{ zd@_Iw9D{?lKFe(b4}JN>1n(2la2B;ghaIND;xhTR7SS`-KEnStR3_p3dsnWiwJud; zl?%H#4=05h=7+0FYVD8_ zHg~@33aP%SqnsbSmz+0hLnO?!Hs zI&J=P2U=eE3@BNB-1eEd&*KnmJFOGn<-2yC=A#%DA!dh3GnAHn`B{RYIB{Je z2{^G*g?)(xtRtG>J#HYhb7Y=!kx_gYgXaKr_`}{+c*v>S-b%CQL@ps$3Q?;Hi3R+v zavl0dJq4q`6U^aT{RB7iEhe?#clgXs{okp8%{gT>o=N2hpuKxB+!)=~HC#;l2L^G! zc5%gVY9P?RQ|#j~u6~P_G=u@yG8Gi^@H6ds1yn*Pp|ZV9<6Yc~{Gk-&Fw59u=}BSM zb@6`V&4ld|UEq@?O}t+Kj(ZW6%DK@Q0*WVe`>o!+R2BnFXL9P?67!8cokzoN zn4E1}QiF`P)-eTTW(n`_zE?Vb`PCe7w|C?CcbRW&rq|H1c!$aKeod!u_xpPkzp_?@ zZ6~!)!wIb-wRT>*70Df*%7Xh3{OyrxLSo9Qb4T;H-jG~II~PmHWCGvue9Rdt4x(s=S1a?Q;Cin<6M{v-sRL#Xe7RA8d= z4cY~tID`-Sk36$x%MeQim)&C}p8d%^@z_-48h1Qh$4Dlx22;l5&8|i9iy&R%n>WgP z>_%t=BL4Y1Hnkd8VUw$UA?>ZOWh#0UOzE4!w~b$-=!A=%{Mydp=k_0z#rRwf2-Q;G z;+BEVy7jVtw2L>R;nVczcno*|C^vXfx#7u!5UfMn&oQJ|hvqRq4?TdBq}N05{w!~$ zZrixYHqLr*E6HlJN4dnOb2njm6dw4DYLcEYu$VjpfoqoPeoK0+Rz0()DR$WCd~)K% z8+3+_WxuG>@+heEk`v^rM0Z7M_O4;&bst@oJ`&HF zFDvZ-!cV$Ue)2tmrQ3d6r7vLZ(mkjr47!?8+&4piCB-SD`wXQd5JZNCFEzbxN)Q^g zUt>sC^ussMJ|Oa=;NxBDJF2K|L80~i4hjQ&7bsWZK$+6}t%IxaT&EnV8hOIBVUACu zo#z4p)wgf#ZZW>tH4EtgmO|BN0}M8m`Umn3UwBbYE_Hs*GF%NE;nuqq()tVMe7RB$ zqIEOnLwqLzNi=Q`o=YcrNM+1O>dBGS*u8%%9*@va8#}#qM3f&fuT0wDK9=R=yFz(< zrH4{^W>U8??V33a{=4*2Q^^AzNq6KhQ-}}>>hDc+xtgo%wJoaBqn;<_-cR#_S>#CWAhuUJ_h+TM6fz3ngR@sE%_qOzV z{KJQv;Vy!B0d-d-N=K?48E?(&Uqr)5nxR+BZe>5DlaL`bl=4Fe)%JRVlK%Mbp{s8P zLZVth%dx163(W zlk@7sQOF72-!>9bz2G3QK0>2ZT&K~5X*puA*4n_StJ2eD1w^y_aQ5wGg zGhYYylNGCQ?Mmv-7&ik(TV!Bgwq*0COkgb(Dow&dFulW7WQ)vJRcbsVIr}3u`Wi(w zhZv2bS5V9V5kpusk3J8zKdySesKI5S*vr4dJb^k*=7{HJ{RP#b%+@om-OGKG7BBjj ziILhr--i5vCikJ$NgFUGJU9nA+_U79TvsBlztwy&OR`~E-h#VFauarh4YQRkmTI?q&w4q;-p6}L>GH=Q!;g^i!|rI0exu*yS}5}gFZ zxM=@TkGO*WvB#BMc0;#G^KRoZOn3_{OZC_Ct2}cBwAQK6upOU~rK{l5?-t0g&-#PJ zv;m2cv7)eYan;r)^%G)g7}7jXPU>1zA>D)i^@RUL|OjrWMEk;o_$Q#bo+Ep$HV#O;b+TT zz~5T(^cOY-+@wr5y*4vSV~Tpec>}VAA~phmRj*POu26nK3T|AXTD`KgNr-bV!w_){gSCEB9fHX}w6`UeWvtHtFp!#)r>(d3` z#p(eYDM!eGdx9AMn-4~W((m|~b23l+__ZW1GMP^Pc9=}TO)*_}T~B1`+x8SYTo?&E zxp52L()bk9?L)<9zgo=B*9T<%6VXM#Ii)RC_8%vj=mn4$*v~jrK3`Ojve1f z^-pb2Vi^s5wJq>e&mgfx_qKpICUb3oRup?Y=+P0i_+Qt4_aPDlIqjZ7WCf=840-ZfA*GjNZFoApP!@NU4=XN!EAFB zQOY|)m0vm^Lw%cN*s8Y|uaj<10pry#0;i-BW}p9B0p6!LYZuq{Pt@)N)Ege2Tfy#S zd4~tKzR6q%)1~2=-3vsuRkSM`uMuWzRYK4)fcsD(oWHOy>eBTlK?7lgOR1mr~y@aNTD z_KSRh0vwJ5SnmlLPT+V9avpC2(S;H8ao*<@i3~|FGz_YBpBNRu@6fhoOc8olpSH~L z$ZucK&g$YL6m(^bk4f63C9;(AV{o}YI>6>?wQ|jz`pxig_d#tVUxoRUg+u&-$5<9= zeHPbVJ;# ztCflIrO1w=9rzC#Jew3)@SKctgksVs8z}@>)eo`=Z>KGC4Q5?$1Md@W@;FWjn2%1f zd(`-ux(|1X4c&2Thd8%QNPJ89?>z4Tah+}j`UmOW-i6+P$DQvtpEGZw&vb>JN2f-1 zJiHBrapx0UWTa@H3s+SFj=U^!f-F4$JNQ+x0ine-dzGL5KM8R?$n4N#Hp)xgL_=GB zO?l%!6_B9f5$RFW7Wfmlex=TsBc%+AJ1@G3b!Jo<{qrthQ%^ zKbH_S%PWV0?*gwM$}6;?XxHe?^($np%}mwb`f+a5;}UNqv~=od=|aTZpq&HhQN(OR z$@KqO-76s3f9T%`hfY)HL?`9HY#{e9C*!*;DY?fuzM5gG@7E8ML-c|9es54dq#+K$ zP=><>#j`P%EQE+vOBx%bf`3hWpywO^+xj9QVKB&ST?3S;P3( zq~V0zVfFJ&^*XPqjBMpW@^4>i4DRU9<~#?$#BSd%ZQBgkXge2$5?o4f^FZf&x2E_u zaK|%=Qx376wM+l?2(DrZeUE2?&r6bw{lr-S%ID8k%jBqoK!-4zaKG3BO2ET>+DY1P zK5Rh7sY=fE2S`>VJGY){&jk+Aal0WE=QQ%ck6W@Yl^qGo&0qe-fGIe z`^YS;(WWiElwV;93?~}!{4w`=LH$@5fA`%(z&jq}dA!{NUDWI`v6*Iq^aJt|c5lrlEX z3ir%8HsPa46{6lNB1-bthDRN$)%+YXNW8X9$04vAh_skMx(|IJ%p!2(5s13)5!Awq zMG>!6v^GdhW5F4V$?O#@v=Jf8u+f_ZX0|}Zi31ce!gJ%tSLL+P@R0{#Xh}~zSd#~0 z7Z!DXKE}PL!ctVeonz=2gC#|vS-3>NEzLNboK2Ik!)l2~*;r=?X2M&rpVBmOFL22A z+ZzOG3SiOBxTDbaPQL%KP9~IumRQ<`neK52^~JHL!cTCdAdLFQphjz6u>%$ZXcqm* zGJBW1pj&m}y-eMu&K(?WO0Jhg6VNaXt?OepwVzrA4ZX!=M{CBr7hsEicbN!p+Lt<1 zjd2~6%v7m2(Z(Ydi7EYi?C#bhUGMMnOyG{^&n|~IqLqc}gd*#t^s|IHYVlp@L?~wb$&x&HP`#U?Y{o_zQ=TJfStiT#mqmC zN?SMj_iKvIw!P?2PZ2peLXD@%UHJhYQkvQ}I-^z*E$ACx!dILkq|FeaKgZSJ{gEzc zT-sU^`w*xXu$QS=eOtfXFi`?M6I-}9=Tcg0W2cW`i)f5`C2Q}Ci>45LfBh>5zgm6wVPLbZO?uhCJ*lj9<+h^~u$nH;x^=1*TA4&7JCH>UIyr+tIsfX4jEdL7FLzUio4J_A zXOo(1WyR#a5B&A=;2USCTMOB*E^`&o5vSPtSua*{vC`?(kEtjK+0k-<2>&bljRWde zJd1xKbovlve`s>s7g?w{)14BV&lSpVNp7iS$3>~9Ekp>*Gyf=2o=635KHnxz}-{=Eo~{aU8)AP<~P zLuIezVReUJohna{sBGV#+)S+nALHe36Z}ogCtO$6Zut}vbnHcc>>%>D`2M!mC z-$Iu82!*~(1Sq!9EdobBrF~nyu<+j(*-kiaAh!!@laek^<@fsTVVhz`CCL`I zkoap}wB9l!7KZDDF6uYV-q2xD{e9_ut{=f@yb!^!gE2tLHR_5W7xfR4i^`-39v@xW ztzUY`Z8%CU0S5n={`7ydMl`=07lT)FyA&$cT%baDjRU zicD^xXB#bJXgKUusOmq+z_6goJg;mBaE*QJUa9^vZ)fsJXvfTAGMp!3#)zwkA#=*8 z#;pa@vWEj&v&Kd)y`hT}15|(SMX|t*(di@oz441(IX$!qJ>|Q-n2R1|-N`dOFQd7h zG>q4yl_7bRpd3{x=dz=&-dftB#U3MOgI*b+_#tTEN}Gp&>`9W<0L9LkjytVwYYjhU zPt=`z!AZhEBE@DC08Vd^ZX@1qz^CRqr^`~{!7T`Y>WLO3yv(JBFf!#kuH!L8mUTRw zN4|Yx>{B4oT7l`>KkWfWeMo05HVPyWveCjV{U!XBc0ICIF83g+a2+O!L(*~?96x#8 z;cvL&A2)sjf*q45gk$M~^V(AS;u@`U!fWDn_rxv?V#`|t6lkE0Il}lMA#3Y=NxGpl z)fB)HhM7{KG$oy&KjDb^*88S03yzo#cE@emE8Rjz^h+nglh^Y6w5aUyCpLulH;B7= zj~>l$9WIVS1+FLcumpvPkW##0GEnl_>|82(devUjxky^%x6z&OpJ8jx>qh?!Wd#F! zPp%KAXzu_`2>u9n{=k@`*h2_e@J zDugN(ma$uHR$cNvHT5-ckTfHSP+y2zso=OPzZ?5!L=5R^j%eX9sg<)CgSsMLKhm*sg+1%uWO})XR6?I4Wnmqm`m-z+ z$$Io_W*{Lx2ozEN349cLbkMkzpYvDL)sq`s1Y(M|L7>V_D5#VFXl4gU$KMR z0QaDOD`3IFW7JDp)k=0IEs)Ks8&?1>??BK7CZT<{eEjCurSQEM&e>y`>th!>6%3O5 z;o&5jiT|l7uZBzag8nd%+o6ICKm_rG)Bh^Dcy~RVT*u|&aC=LZg3PV7ts0FF$M64% zKL+(nEy9TZho_z<6Zqd;y_~jXHfp(=)?{d!We~r~lyJ0q{R&642@zOV&8d1oU$F$l zx8snfDeUngjD?yUiZ4te=kQP|@<-^i zChE$iUw7Z2qACX4(S|GoMVI~;x^k$xpwqb7l|%-dJO3_^s8%{k`gJQtkL7Iz0=tjz5c;%WbJjUphhgvYS7Oe!0tfN&S0&M zjcDy+%PrY-vLKQz`{Qx8BicL6(lai&Enw`%!C(eO$U35e-qI_9Rt-!XfT`zOUtalO zY+x@3VmbltI~hFIRMV!WncNaTb!9fh_h0@Fb(aBTQ6u!FIXW$?;ZxtjeP@fm*TCb) z8Mpq;)SfkE8uod7xF6?=qibz0*uJOOha}_6R%+Cw_+`Xg$R{qE?Di5hWdi-4S()tj z-p+^-s_Zdb{D?Zk3!ab(a1NBNl&ieel_Lq?#Cr1OH-jeY$!}rS&i+{ID@aU%^k{%c z;~w)f?OgaU8ugS6V4cf=XZkKw;9?LxE#Q7=Jk^M0^Y(LW_GZ@Ydm1h0H_S@cVy+{- z&h=qh0USw52E^pv zw#>1L55T0?_EcrDV=nW}VmS||gg6^VsTk47a9@EE?DF};^P_T{{U#zU7&zHIb2Wp>jfPFJ@&&`Q(Oi9VJlLj z$1!9hU_Iw`01ibdCnv^3E|B=BZ}blOc)QaAbf*Zf1xY`-uk-d+eWV1=WF<%|h4@+k z{7>qePZbp3IX){{Z?~Zp4ko*++T`%~wJ*gIQeY)EgllCFkUHAjXWCesF4N^LXSDLx z7SgYct%TYgiMoc?j_xJO#M6fYbUBw>H6ONtmqv7}M=!8wsH9m~cEjO#n!#b;(yRZdM%6II+ioa*PEvA`hh9(!YQ{v;aO*9bsGh1*@y_xAQO%fwhV%3pXl z$u*P}4QcnR)T4Zxr@4=}D6FND$jrzQ{IwM%F8|-m0SpQe_)o3)kWL zZOCf@&@N1x(wKaQP&uB23KsHZSCFq^iMQ7_reO8A)-)s?vLmQ@?5f5J(ERfjD`rb3 zmJ(x1m5@r;u1T2R&Ily~`Yy_?&zjV)GWyG8E1bRY`xM(bX~49^cm>=h1f0-8Nt?~< z6O>-IHOfI07no;v!aV89zNvYiB4Jr}63-wz@Tr6#Zx*(kumwI)TllscpTw*2D7$iA zqf9F2I*YXvmlP4!(+yHf5kSTtrxuD^D0e|)B^@oJLgA|dX_k$u#ZgEgy|&tDt9(0c zHi@Ka27<$1UK8Zu;`^T1t4GLsTd0MGKFSK?qTR(Y;b)d(bj#Zzyc-Ius6odeXTI|T zC~`yAcGpZ{o>f<;Hy#r9kwfg9Pox%fWGl*fdo4`(0f-Gh9d zM{BbW6Q186|Hy7;P7Xyi(`lXGF^m}{5$9Yo#B58^Ef4#iX$XRS2h^4#0b^C0^)3EPj71SOHl?C3>_T( z>nRL~I7F%gJs2J+duj|l7$)!^4CN4E$`D8pkZ6qm#;7IuUkpbR!~YvYPldAaaBm$I z1Vn}z1O)c~*ai9Y*Z%RpN>1j6_NM=>=INpV?GFJ0!iW4nwh;b5%};-wR7M7{?_bfT zN-}`qS%dQYFO1uN`>&@mb@z&f^QHQS_dgN+ANlmh`nvOTWz1moAI`IB182u8#TP7ek`4z*_N5h){RBU#9fN-{{im5Km`B* diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt b/out/basys3/led_counter/Output/syn/reports/post_synth_power.rpt similarity index 96% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt rename to out/basys3/led_counter/Output/syn/reports/post_synth_power.rpt index 2152f4a..df0ff20 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt +++ b/out/basys3/led_counter/Output/syn/reports/post_synth_power.rpt @@ -1,16 +1,16 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:34:20 2021 +| Date : Sat Oct 30 02:45:44 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_power -file ./out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_power.rpt +| Command : report_power -file ./../out/basys3/led_counter/Output/syn/reports/post_synth_power.rpt | Design : top | Device : xc7a35tcpg236-1 | Design State : synthesized | Grade : commercial | Process : typical | Characterization : Production -------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------- Power Report diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/out/basys3/led_counter/Output/syn/reports/post_synth_timing_summary.rpt similarity index 98% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt rename to out/basys3/led_counter/Output/syn/reports/post_synth_timing_summary.rpt index fae1ae8..b329533 100644 --- a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt +++ b/out/basys3/led_counter/Output/syn/reports/post_synth_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:34:19 2021 +| Date : Sat Oct 30 02:45:43 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/led_counter/xc7a35tcpg236-1/led_counter/syn/reports/post_synth_timing_summary.rpt +| Command : report_timing_summary -file ./../out/basys3/led_counter/Output/syn/reports/post_synth_timing_summary.rpt | Design : top | Device : 7a35t-cpg236 | Speed File : -1 PRODUCTION 1.23 2018-06-13 -------------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------------- Timing Summary Report diff --git a/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc b/out/edge_artix-7/led_counter/Dependencies/clock_constraints.xdc similarity index 50% rename from out/led_counter/xc7a35tftg256-1/clock_constraints.xdc rename to out/edge_artix-7/led_counter/Dependencies/clock_constraints.xdc index cc977ac..55c2eb4 100644 --- a/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc +++ b/out/edge_artix-7/led_counter/Dependencies/clock_constraints.xdc @@ -7,3 +7,12 @@ set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv b/out/edge_artix-7/led_counter/Dependencies/includes/proj_default/clk_gate.sv similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/proj_default/clk_gate.sv rename to out/edge_artix-7/led_counter/Dependencies/includes/proj_default/clk_gate.sv diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh b/out/edge_artix-7/led_counter/Dependencies/includes/proj_default/sp_default.vh similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/proj_default/sp_default.vh rename to out/edge_artix-7/led_counter/Dependencies/includes/proj_default/sp_default.vh diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v b/out/edge_artix-7/led_counter/Dependencies/includes/proj_verilog/clk_gate.v similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/clk_gate.v rename to out/edge_artix-7/led_counter/Dependencies/includes/proj_verilog/clk_gate.v diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh b/out/edge_artix-7/led_counter/Dependencies/includes/proj_verilog/sp_verilog.vh similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/proj_verilog/sp_verilog.vh rename to out/edge_artix-7/led_counter/Dependencies/includes/proj_verilog/sp_verilog.vh diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv b/out/edge_artix-7/led_counter/Dependencies/includes/pseudo_rand.tlv similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/pseudo_rand.tlv rename to out/edge_artix-7/led_counter/Dependencies/includes/pseudo_rand.tlv diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh b/out/edge_artix-7/led_counter/Dependencies/includes/rw_lib.vh similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/rw_lib.vh rename to out/edge_artix-7/led_counter/Dependencies/includes/rw_lib.vh diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt b/out/edge_artix-7/led_counter/Dependencies/includes/sandhost/README.txt similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/sandhost/README.txt rename to out/edge_artix-7/led_counter/Dependencies/includes/sandhost/README.txt diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v b/out/edge_artix-7/led_counter/Dependencies/includes/sandhost/sqrt32.v similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/sandhost/sqrt32.v rename to out/edge_artix-7/led_counter/Dependencies/includes/sandhost/sqrt32.v diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv b/out/edge_artix-7/led_counter/Dependencies/includes/sandhost/tb.sv similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/sandhost/tb.sv rename to out/edge_artix-7/led_counter/Dependencies/includes/sandhost/tb.sv diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh b/out/edge_artix-7/led_counter/Dependencies/includes/sandpiper.vh similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/sandpiper.vh rename to out/edge_artix-7/led_counter/Dependencies/includes/sandpiper.vh diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh b/out/edge_artix-7/led_counter/Dependencies/includes/sandpiper_gen.vh similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/sandpiper_gen.vh rename to out/edge_artix-7/led_counter/Dependencies/includes/sandpiper_gen.vh diff --git a/examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv b/out/edge_artix-7/led_counter/Dependencies/includes/simple_bypass_fifo.sv similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/includes/simple_bypass_fifo.sv rename to out/edge_artix-7/led_counter/Dependencies/includes/simple_bypass_fifo.sv diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter.v b/out/edge_artix-7/led_counter/Dependencies/led_counter.v similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/led_counter.v rename to out/edge_artix-7/led_counter/Dependencies/led_counter.v diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v b/out/edge_artix-7/led_counter/Dependencies/led_counter_gen.v similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/led_counter_gen.v rename to out/edge_artix-7/led_counter/Dependencies/led_counter_gen.v diff --git a/out/edge_artix-7/led_counter/Output/fpga_impl.xdc b/out/edge_artix-7/led_counter/Output/fpga_impl.xdc new file mode 100644 index 0000000..35809a2 --- /dev/null +++ b/out/edge_artix-7/led_counter/Output/fpga_impl.xdc @@ -0,0 +1,371 @@ + +#################################################################################### +# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' +# Command Used: write_xdc -no_fixed_only -force ./../out/edge_artix-7/led_counter/Output/fpga_impl.xdc +#################################################################################### + + +#################################################################################### +# Constraints from file : 'fpga_lab_constr_edge_artix-7.xdc' +#################################################################################### + +## This file is a general .xdc for the EDGE Artix 7 board +## To use it in a project: +## - comment the lines corresponding to unused pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +# Clock signal +set_property -dict {PACKAGE_PIN N11 IOSTANDARD LVCMOS33} [get_ports clk] + +# Switches +set_property -dict {PACKAGE_PIN M6 IOSTANDARD LVCMOS33} [get_ports reset] + +# LEDs +set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS33} [get_ports {led[0]}] +set_property -dict {PACKAGE_PIN H3 IOSTANDARD LVCMOS33} [get_ports {led[1]}] +set_property -dict {PACKAGE_PIN J1 IOSTANDARD LVCMOS33} [get_ports {led[2]}] +set_property -dict {PACKAGE_PIN K1 IOSTANDARD LVCMOS33} [get_ports {led[3]}] +set_property -dict {PACKAGE_PIN L3 IOSTANDARD LVCMOS33} [get_ports {led[4]}] +set_property -dict {PACKAGE_PIN L2 IOSTANDARD LVCMOS33} [get_ports {led[5]}] +set_property -dict {PACKAGE_PIN K3 IOSTANDARD LVCMOS33} [get_ports {led[6]}] +set_property -dict {PACKAGE_PIN K2 IOSTANDARD LVCMOS33} [get_ports {led[7]}] +set_property -dict {PACKAGE_PIN K5 IOSTANDARD LVCMOS33} [get_ports {led[8]}] +set_property -dict {PACKAGE_PIN P6 IOSTANDARD LVCMOS33} [get_ports {led[9]}] +set_property -dict {PACKAGE_PIN R7 IOSTANDARD LVCMOS33} [get_ports {led[10]}] +set_property -dict {PACKAGE_PIN R6 IOSTANDARD LVCMOS33} [get_ports {led[11]}] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports {led[12]}] +set_property -dict {PACKAGE_PIN R5 IOSTANDARD LVCMOS33} [get_ports {led[13]}] +set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS33} [get_ports {led[14]}] +set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS33} [get_ports {led[15]}] + +# Push Button + +#7 segment display + + +# Bluetooth + +# Buzzer + +# SPI DAC (MCP4921) + +# HDMI + +# 2x16 LCD +#LCD R/W pin is connected to ground by default.No need to assign LCD R/W Pin. + +#256Mb SDRAM (Only available with latest version of board) + + + + + + +# SPI TFT 1.8 inch + +# USB UART + +# WiFi + +# CMOS Camera + +#20 pin expansion connector +#pin1 5V +#pin2 NC +#pin3 3V3 +#pin4 GND + +# VGA 12 bit + +# SD Card + +# XADC Single Ended Input available at J13 Connector + +# Audio Jack + +# SRAM 512 KB (SRAM replaced with SDRAM in the latest version of board) only required for older boards +#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[0]}]; +#set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[1]}]; +#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[2]}]; +#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[3]}]; +#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[4]}]; +#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[5]}]; +#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[6]}]; +#set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[7]}]; +#set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[8]}]; +#set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[9]}]; +#set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[10]}]; +#set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[11]}]; +#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[12]}]; +#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[13]}]; +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[14]}]; +#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[15]}]; +#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[16]}]; +#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[17]}]; +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[18]}]; + +#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[0]}]; +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[1]}]; +#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports {sram_data[2]}]; +#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[3]}]; +#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[4]}]; +#set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[5]}]; +#set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[6]}]; +#set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[7]}]; + +#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports {sram_we_n}]; +#set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports {sram_oe_n}]; +#set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports {sram_ce_a_n}]; + + + + + + +#################################################################################### +# Constraints from file : 'clock_constraints.xdc' +#################################################################################### + +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] + + +# Vivado Generated physical constraints + +set_property BEL A6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property BEL B6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] +set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] +set_property BEL INBUF_EN [get_cells clk_IBUF_inst] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property BEL CFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] +set_property BEL AFF [get_cells {led_reg[0]}] +set_property BEL AFF [get_cells {led_reg[10]}] +set_property BEL BFF [get_cells {led_reg[11]}] +set_property BEL CFF [get_cells {led_reg[12]}] +set_property BEL AFF [get_cells {led_reg[13]}] +set_property BEL BFF [get_cells {led_reg[14]}] +set_property BEL CFF [get_cells {led_reg[15]}] +set_property BEL AFF [get_cells {led_reg[1]}] +set_property BEL BFF [get_cells {led_reg[2]}] +set_property BEL CFF [get_cells {led_reg[3]}] +set_property BEL DFF [get_cells {led_reg[4]}] +set_property BEL AFF [get_cells {led_reg[5]}] +set_property BEL BFF [get_cells {led_reg[6]}] +set_property BEL BFF [get_cells {led_reg[7]}] +set_property BEL CFF [get_cells {led_reg[8]}] +set_property BEL BFF [get_cells {led_reg[9]}] +set_property BEL INBUF_EN [get_cells reset_IBUF_inst] +set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property LOC SLICE_X0Y12 [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property LOC SLICE_X0Y8 [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property LOC SLICE_X0Y13 [get_cells LED_PIPE_rst1_a1_reg] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] +set_property LOC SLICE_X0Y15 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property LOC SLICE_X0Y14 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property LOC SLICE_X3Y9 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property LOC SLICE_X3Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property LOC SLICE_X3Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property LOC SLICE_X3Y14 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property LOC SLICE_X3Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property LOC SLICE_X6Y14 [get_cells {led_reg[0]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[10]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[11]}] +set_property LOC SLICE_X0Y11 [get_cells {led_reg[12]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[13]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[14]}] +set_property LOC SLICE_X0Y14 [get_cells {led_reg[15]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[1]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[2]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[3]}] +set_property LOC SLICE_X6Y11 [get_cells {led_reg[4]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[5]}] +set_property LOC SLICE_X6Y14 [get_cells {led_reg[6]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[7]}] +set_property LOC SLICE_X6Y12 [get_cells {led_reg[8]}] +set_property LOC SLICE_X0Y13 [get_cells {led_reg[9]}] + +# Vivado Generated miscellaneous constraints + +#revert back to original instance +current_instance -quiet diff --git a/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v b/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v new file mode 100644 index 0000000..7fcd7e8 --- /dev/null +++ b/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v @@ -0,0 +1,931 @@ +// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +// Date : Sat Oct 30 02:37:19 2021 +// Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +// Command : write_verilog -force ./../out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v +// Design : top +// Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an +// IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input +// design files. +// Device : xc7a35tftg256-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module clk_gate + (\LED_PIPE_count1_a1_reg[11] , + \LED_PIPE_count1_a1_reg[24] , + \LED_PIPE_count1_a1_reg[28] , + CLK, + LED_PIPE_count1_a1, + O, + latched_clk_en_reg_i_6_0, + latched_clk_en_reg_i_3_0, + latched_clk_en_reg_i_6_1, + latched_clk_en_reg_i_3_1, + latched_clk_en_reg_i_3_2, + latched_clk_en_reg_i_3_3, + latched_clk_en_reg_i_3_4, + LED_PIPE_rst1_a1, + clk_IBUF, + clk_IBUF_BUFG); + output \LED_PIPE_count1_a1_reg[11] ; + output \LED_PIPE_count1_a1_reg[24] ; + output \LED_PIPE_count1_a1_reg[28] ; + output CLK; + input [25:0]LED_PIPE_count1_a1; + input [3:0]O; + input [3:0]latched_clk_en_reg_i_6_0; + input [3:0]latched_clk_en_reg_i_3_0; + input [3:0]latched_clk_en_reg_i_6_1; + input [3:0]latched_clk_en_reg_i_3_1; + input [3:0]latched_clk_en_reg_i_3_2; + input [3:0]latched_clk_en_reg_i_3_3; + input [2:0]latched_clk_en_reg_i_3_4; + input LED_PIPE_rst1_a1; + input clk_IBUF; + input clk_IBUF_BUFG; + + wire CLK; + wire GND_1; + wire [25:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1_reg[11] ; + wire \LED_PIPE_count1_a1_reg[24] ; + wire \LED_PIPE_count1_a1_reg[28] ; + wire LED_PIPE_refresh_a0; + wire LED_PIPE_rst1_a1; + wire [3:0]O; + wire VCC_1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire latched_clk_en; + wire latched_clk_en_reg_i_10_n_0; + wire latched_clk_en_reg_i_11_n_0; + wire latched_clk_en_reg_i_12_n_0; + wire latched_clk_en_reg_i_13_n_0; + wire latched_clk_en_reg_i_14_n_0; + wire [3:0]latched_clk_en_reg_i_3_0; + wire [3:0]latched_clk_en_reg_i_3_1; + wire [3:0]latched_clk_en_reg_i_3_2; + wire [3:0]latched_clk_en_reg_i_3_3; + wire [2:0]latched_clk_en_reg_i_3_4; + wire latched_clk_en_reg_i_3_n_0; + wire [3:0]latched_clk_en_reg_i_6_0; + wire [3:0]latched_clk_en_reg_i_6_1; + wire latched_clk_en_reg_i_6_n_0; + wire latched_clk_en_reg_i_7_n_0; + wire latched_clk_en_reg_i_8_n_0; + wire latched_clk_en_reg_i_9_n_0; + + GND GND + (.G(GND_1)); + LUT2 #( + .INIT(4'h8)) + \LED_PIPE_Leds_a0[15]_i_2 + (.I0(latched_clk_en), + .I1(clk_IBUF), + .O(CLK)); + LUT6 #( + .INIT(64'h0000000000000001)) + \LED_PIPE_count1_a1[31]_i_3 + (.I0(LED_PIPE_count1_a1[22]), + .I1(LED_PIPE_count1_a1[23]), + .I2(LED_PIPE_count1_a1[20]), + .I3(LED_PIPE_count1_a1[21]), + .I4(LED_PIPE_count1_a1[25]), + .I5(LED_PIPE_count1_a1[24]), + .O(\LED_PIPE_count1_a1_reg[28] )); + VCC VCC + (.P(VCC_1)); + (* OPT_MODIFIED = "MLO" *) + (* XILINX_LEGACY_PRIM = "LD" *) + LDCE #( + .INIT(1'b0), + .IS_G_INVERTED(1'b1)) + latched_clk_en_reg + (.CLR(GND_1), + .D(LED_PIPE_refresh_a0), + .G(clk_IBUF_BUFG), + .GE(VCC_1), + .Q(latched_clk_en)); + LUT4 #( + .INIT(16'hA800)) + latched_clk_en_reg_i_1 + (.I0(latched_clk_en_reg_i_3_n_0), + .I1(\LED_PIPE_count1_a1_reg[11] ), + .I2(\LED_PIPE_count1_a1_reg[24] ), + .I3(latched_clk_en_reg_i_6_n_0), + .O(LED_PIPE_refresh_a0)); + LUT4 #( + .INIT(16'h0001)) + latched_clk_en_reg_i_10 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(latched_clk_en_reg_i_10_n_0)); + LUT4 #( + .INIT(16'h7FFF)) + latched_clk_en_reg_i_11 + (.I0(LED_PIPE_count1_a1[14]), + .I1(LED_PIPE_count1_a1[13]), + .I2(LED_PIPE_count1_a1[16]), + .I3(LED_PIPE_count1_a1[15]), + .O(latched_clk_en_reg_i_11_n_0)); + LUT6 #( + .INIT(64'h15555555FFFFFFFF)) + latched_clk_en_reg_i_12 + (.I0(LED_PIPE_count1_a1[10]), + .I1(LED_PIPE_count1_a1[7]), + .I2(LED_PIPE_count1_a1[6]), + .I3(LED_PIPE_count1_a1[9]), + .I4(LED_PIPE_count1_a1[8]), + .I5(LED_PIPE_count1_a1[11]), + .O(latched_clk_en_reg_i_12_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_13 + (.I0(latched_clk_en_reg_i_6_1[1]), + .I1(latched_clk_en_reg_i_6_1[2]), + .I2(O[3]), + .I3(latched_clk_en_reg_i_6_1[0]), + .I4(latched_clk_en_reg_i_6_0[0]), + .I5(latched_clk_en_reg_i_6_1[3]), + .O(latched_clk_en_reg_i_13_n_0)); + LUT6 #( + .INIT(64'h0008000000000000)) + latched_clk_en_reg_i_14 + (.I0(latched_clk_en_reg_i_6_0[3]), + .I1(latched_clk_en_reg_i_3_0[0]), + .I2(latched_clk_en_reg_i_6_0[1]), + .I3(latched_clk_en_reg_i_6_0[2]), + .I4(latched_clk_en_reg_i_3_0[2]), + .I5(latched_clk_en_reg_i_3_0[1]), + .O(latched_clk_en_reg_i_14_n_0)); + LUT4 #( + .INIT(16'h8000)) + latched_clk_en_reg_i_3 + (.I0(latched_clk_en_reg_i_7_n_0), + .I1(\LED_PIPE_count1_a1_reg[28] ), + .I2(latched_clk_en_reg_i_8_n_0), + .I3(latched_clk_en_reg_i_9_n_0), + .O(latched_clk_en_reg_i_3_n_0)); + LUT5 #( + .INIT(32'h00010000)) + latched_clk_en_reg_i_4 + (.I0(LED_PIPE_count1_a1[5]), + .I1(LED_PIPE_count1_a1[10]), + .I2(LED_PIPE_count1_a1[12]), + .I3(LED_PIPE_count1_a1[18]), + .I4(latched_clk_en_reg_i_10_n_0), + .O(\LED_PIPE_count1_a1_reg[11] )); + LUT6 #( + .INIT(64'h45455545FFFFFFFF)) + latched_clk_en_reg_i_5 + (.I0(LED_PIPE_count1_a1[18]), + .I1(latched_clk_en_reg_i_11_n_0), + .I2(LED_PIPE_count1_a1[17]), + .I3(latched_clk_en_reg_i_12_n_0), + .I4(LED_PIPE_count1_a1[12]), + .I5(LED_PIPE_count1_a1[19]), + .O(\LED_PIPE_count1_a1_reg[24] )); + LUT5 #( + .INIT(32'h80000000)) + latched_clk_en_reg_i_6 + (.I0(latched_clk_en_reg_i_13_n_0), + .I1(O[2]), + .I2(O[1]), + .I3(O[0]), + .I4(latched_clk_en_reg_i_14_n_0), + .O(latched_clk_en_reg_i_6_n_0)); + LUT6 #( + .INIT(64'h0000000000000001)) + latched_clk_en_reg_i_7 + (.I0(latched_clk_en_reg_i_3_4[1]), + .I1(latched_clk_en_reg_i_3_4[2]), + .I2(latched_clk_en_reg_i_3_3[3]), + .I3(latched_clk_en_reg_i_3_4[0]), + .I4(LED_PIPE_count1_a1[0]), + .I5(LED_PIPE_rst1_a1), + .O(latched_clk_en_reg_i_7_n_0)); + LUT6 #( + .INIT(64'h0020000000000000)) + latched_clk_en_reg_i_8 + (.I0(latched_clk_en_reg_i_3_1[2]), + .I1(latched_clk_en_reg_i_3_1[1]), + .I2(latched_clk_en_reg_i_3_1[0]), + .I3(latched_clk_en_reg_i_3_0[3]), + .I4(latched_clk_en_reg_i_3_2[0]), + .I5(latched_clk_en_reg_i_3_1[3]), + .O(latched_clk_en_reg_i_8_n_0)); + LUT6 #( + .INIT(64'h0000000000002000)) + latched_clk_en_reg_i_9 + (.I0(latched_clk_en_reg_i_3_3[0]), + .I1(latched_clk_en_reg_i_3_2[3]), + .I2(latched_clk_en_reg_i_3_2[1]), + .I3(latched_clk_en_reg_i_3_2[2]), + .I4(latched_clk_en_reg_i_3_3[2]), + .I5(latched_clk_en_reg_i_3_3[1]), + .O(latched_clk_en_reg_i_9_n_0)); +endmodule + +(* ECO_CHECKSUM = "96723c4c" *) +(* STRUCTURAL_NETLIST = "yes" *) +module top + (clk, + reset, + led); + input clk; + input reset; + output [15:0]led; + + wire \ ; + wire \ ; + wire [15:0]LED_PIPE_Leds_a0; + wire \LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ; + wire \LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ; + wire [15:0]LED_PIPE_Leds_n10_in; + wire [31:0]LED_PIPE_count1_a1; + wire \LED_PIPE_count1_a1[0]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_1_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_4_n_0 ; + wire \LED_PIPE_count1_a1[31]_i_5_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[12]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[16]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[20]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[24]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[28]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_5 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_6 ; + wire \LED_PIPE_count1_a1_reg[31]_i_2_n_7 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[4]_i_1_n_7 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_0 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_4 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_5 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_6 ; + wire \LED_PIPE_count1_a1_reg[8]_i_1_n_7 ; + wire LED_PIPE_rst1_a1; + wire clk; + wire clkF_LED_PIPE_refresh_a1; + wire clk_IBUF; + wire clk_IBUF_BUFG; + wire gen_clkF_LED_PIPE_refresh_a1_n_0; + wire gen_clkF_LED_PIPE_refresh_a1_n_1; + wire gen_clkF_LED_PIPE_refresh_a1_n_2; + wire [15:0]led; + wire [15:0]led_OBUF; + wire reset; + wire reset_IBUF; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED ; + + GND GND + (.G(\ )); + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_Leds_a0[0]_i_1 + (.I0(LED_PIPE_Leds_a0[0]), + .O(LED_PIPE_Leds_n10_in[0])); + FDSE \LED_PIPE_Leds_a0_reg[0] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[0]), + .Q(LED_PIPE_Leds_a0[0]), + .S(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[10] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[10]), + .Q(LED_PIPE_Leds_a0[10]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[11] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[11]), + .Q(LED_PIPE_Leds_a0[11]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[12] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[12]), + .Q(LED_PIPE_Leds_a0[12]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[12]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[12:9]), + .S(LED_PIPE_Leds_a0[12:9])); + FDRE \LED_PIPE_Leds_a0_reg[13] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[13]), + .Q(LED_PIPE_Leds_a0[13]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[14] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[14]), + .Q(LED_PIPE_Leds_a0[14]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[15] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[15]), + .Q(LED_PIPE_Leds_a0[15]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[15]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[12]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[15:13]), + .S({\ ,LED_PIPE_Leds_a0[15:13]})); + FDRE \LED_PIPE_Leds_a0_reg[1] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[1]), + .Q(LED_PIPE_Leds_a0[1]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[2] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[2]), + .Q(LED_PIPE_Leds_a0[2]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[3] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[3]), + .Q(LED_PIPE_Leds_a0[3]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[4] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[4]), + .Q(LED_PIPE_Leds_a0[4]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_Leds_a0[0]), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[4:1]), + .S(LED_PIPE_Leds_a0[4:1])); + FDRE \LED_PIPE_Leds_a0_reg[5] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[5]), + .Q(LED_PIPE_Leds_a0[5]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[6] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[6]), + .Q(LED_PIPE_Leds_a0[6]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[7] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[7]), + .Q(LED_PIPE_Leds_a0[7]), + .R(reset_IBUF)); + FDRE \LED_PIPE_Leds_a0_reg[8] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[8]), + .Q(LED_PIPE_Leds_a0[8]), + .R(reset_IBUF)); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_Leds_a0_reg[8]_i_1 + (.CI(\LED_PIPE_Leds_a0_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_Leds_a0_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_Leds_a0_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O(LED_PIPE_Leds_n10_in[8:5]), + .S(LED_PIPE_Leds_a0[8:5])); + FDRE \LED_PIPE_Leds_a0_reg[9] + (.C(clkF_LED_PIPE_refresh_a1), + .CE(\ ), + .D(LED_PIPE_Leds_n10_in[9]), + .Q(LED_PIPE_Leds_a0[9]), + .R(reset_IBUF)); + (* \PinAttr:I0:HOLD_DETOUR = "195" *) + LUT1 #( + .INIT(2'h1)) + \LED_PIPE_count1_a1[0]_i_1 + (.I0(LED_PIPE_count1_a1[0]), + .O(\LED_PIPE_count1_a1[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hBBBFBFBF)) + \LED_PIPE_count1_a1[31]_i_1 + (.I0(LED_PIPE_rst1_a1), + .I1(gen_clkF_LED_PIPE_refresh_a1_n_2), + .I2(gen_clkF_LED_PIPE_refresh_a1_n_1), + .I3(gen_clkF_LED_PIPE_refresh_a1_n_0), + .I4(\LED_PIPE_count1_a1[31]_i_4_n_0 ), + .O(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* \PinAttr:I1:HOLD_DETOUR = "195" *) + LUT4 #( + .INIT(16'hBFFF)) + \LED_PIPE_count1_a1[31]_i_4 + (.I0(\LED_PIPE_count1_a1[31]_i_5_n_0 ), + .I1(LED_PIPE_count1_a1[0]), + .I2(LED_PIPE_count1_a1[5]), + .I3(LED_PIPE_count1_a1[6]), + .O(\LED_PIPE_count1_a1[31]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \LED_PIPE_count1_a1[31]_i_5 + (.I0(LED_PIPE_count1_a1[2]), + .I1(LED_PIPE_count1_a1[1]), + .I2(LED_PIPE_count1_a1[4]), + .I3(LED_PIPE_count1_a1[3]), + .O(\LED_PIPE_count1_a1[31]_i_5_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1[0]_i_1_n_0 ), + .Q(LED_PIPE_count1_a1[0]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[10]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[11]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[12]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[12]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[12]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[12:9])); + FDRE \LED_PIPE_count1_a1_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[13]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[14]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[15]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[16] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[16]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[16]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[12]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[16]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[16:13])); + FDRE \LED_PIPE_count1_a1_reg[17] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[17]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[18] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[18]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[19] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[19]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[1]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[20] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[20]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[20]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[16]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[20]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[20:17])); + FDRE \LED_PIPE_count1_a1_reg[21] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[21]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[22] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[22]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[23] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[23]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[24] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[24]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[24]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[20]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[24]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[24:21])); + FDRE \LED_PIPE_count1_a1_reg[25] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[25]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[26] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[26]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[27] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[27]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[28] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[28]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[28]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[24]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[28]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[28:25])); + FDRE \LED_PIPE_count1_a1_reg[29] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_7 ), + .Q(LED_PIPE_count1_a1[29]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[2]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[30] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ), + .Q(LED_PIPE_count1_a1[30]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[31] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ), + .Q(LED_PIPE_count1_a1[31]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[31]_i_2 + (.CI(\LED_PIPE_count1_a1_reg[28]_i_1_n_0 ), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .S({\ ,LED_PIPE_count1_a1[31:29]})); + FDRE \LED_PIPE_count1_a1_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[3]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[4]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[4]_i_1 + (.CI(\ ), + .CO({\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[4]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(LED_PIPE_count1_a1[0]), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[4:1])); + FDRE \LED_PIPE_count1_a1_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[5]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ), + .Q(LED_PIPE_count1_a1[6]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ), + .Q(LED_PIPE_count1_a1[7]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE \LED_PIPE_count1_a1_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ), + .Q(LED_PIPE_count1_a1[8]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + (* OPT_MODIFIED = "SWEEP" *) + CARRY4 \LED_PIPE_count1_a1_reg[8]_i_1 + (.CI(\LED_PIPE_count1_a1_reg[4]_i_1_n_0 ), + .CO({\LED_PIPE_count1_a1_reg[8]_i_1_n_0 ,\NLW_LED_PIPE_count1_a1_reg[8]_i_1_CO_UNCONNECTED [2:0]}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .O({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 }), + .S(LED_PIPE_count1_a1[8:5])); + FDRE \LED_PIPE_count1_a1_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(\LED_PIPE_count1_a1_reg[12]_i_1_n_7 ), + .Q(LED_PIPE_count1_a1[9]), + .R(\LED_PIPE_count1_a1[31]_i_1_n_0 )); + FDRE LED_PIPE_rst1_a1_reg + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(reset_IBUF), + .Q(LED_PIPE_rst1_a1), + .R(\ )); + VCC VCC + (.P(\ )); + BUFG clk_IBUF_BUFG_inst + (.I(clk_IBUF), + .O(clk_IBUF_BUFG)); + IBUF clk_IBUF_inst + (.I(clk), + .O(clk_IBUF)); + clk_gate gen_clkF_LED_PIPE_refresh_a1 + (.CLK(clkF_LED_PIPE_refresh_a1), + .LED_PIPE_count1_a1({LED_PIPE_count1_a1[31:7],LED_PIPE_count1_a1[0]}), + .\LED_PIPE_count1_a1_reg[11] (gen_clkF_LED_PIPE_refresh_a1_n_0), + .\LED_PIPE_count1_a1_reg[24] (gen_clkF_LED_PIPE_refresh_a1_n_1), + .\LED_PIPE_count1_a1_reg[28] (gen_clkF_LED_PIPE_refresh_a1_n_2), + .LED_PIPE_rst1_a1(LED_PIPE_rst1_a1), + .O({\LED_PIPE_count1_a1_reg[4]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[4]_i_1_n_7 }), + .clk_IBUF(clk_IBUF), + .clk_IBUF_BUFG(clk_IBUF_BUFG), + .latched_clk_en_reg_i_3_0({\LED_PIPE_count1_a1_reg[16]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[16]_i_1_n_7 }), + .latched_clk_en_reg_i_3_1({\LED_PIPE_count1_a1_reg[20]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[20]_i_1_n_7 }), + .latched_clk_en_reg_i_3_2({\LED_PIPE_count1_a1_reg[24]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[24]_i_1_n_7 }), + .latched_clk_en_reg_i_3_3({\LED_PIPE_count1_a1_reg[28]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[28]_i_1_n_7 }), + .latched_clk_en_reg_i_3_4({\LED_PIPE_count1_a1_reg[31]_i_2_n_5 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_6 ,\LED_PIPE_count1_a1_reg[31]_i_2_n_7 }), + .latched_clk_en_reg_i_6_0({\LED_PIPE_count1_a1_reg[12]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[12]_i_1_n_7 }), + .latched_clk_en_reg_i_6_1({\LED_PIPE_count1_a1_reg[8]_i_1_n_4 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_5 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_6 ,\LED_PIPE_count1_a1_reg[8]_i_1_n_7 })); + OBUF \led_OBUF[0]_inst + (.I(led_OBUF[0]), + .O(led[0])); + OBUF \led_OBUF[10]_inst + (.I(led_OBUF[10]), + .O(led[10])); + OBUF \led_OBUF[11]_inst + (.I(led_OBUF[11]), + .O(led[11])); + OBUF \led_OBUF[12]_inst + (.I(led_OBUF[12]), + .O(led[12])); + OBUF \led_OBUF[13]_inst + (.I(led_OBUF[13]), + .O(led[13])); + OBUF \led_OBUF[14]_inst + (.I(led_OBUF[14]), + .O(led[14])); + OBUF \led_OBUF[15]_inst + (.I(led_OBUF[15]), + .O(led[15])); + OBUF \led_OBUF[1]_inst + (.I(led_OBUF[1]), + .O(led[1])); + OBUF \led_OBUF[2]_inst + (.I(led_OBUF[2]), + .O(led[2])); + OBUF \led_OBUF[3]_inst + (.I(led_OBUF[3]), + .O(led[3])); + OBUF \led_OBUF[4]_inst + (.I(led_OBUF[4]), + .O(led[4])); + OBUF \led_OBUF[5]_inst + (.I(led_OBUF[5]), + .O(led[5])); + OBUF \led_OBUF[6]_inst + (.I(led_OBUF[6]), + .O(led[6])); + OBUF \led_OBUF[7]_inst + (.I(led_OBUF[7]), + .O(led[7])); + OBUF \led_OBUF[8]_inst + (.I(led_OBUF[8]), + .O(led[8])); + OBUF \led_OBUF[9]_inst + (.I(led_OBUF[9]), + .O(led[9])); + FDRE \led_reg[0] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[0]), + .Q(led_OBUF[0]), + .R(\ )); + FDRE \led_reg[10] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[10]), + .Q(led_OBUF[10]), + .R(\ )); + FDRE \led_reg[11] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[11]), + .Q(led_OBUF[11]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1103" *) + FDRE \led_reg[12] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[12]), + .Q(led_OBUF[12]), + .R(\ )); + FDRE \led_reg[13] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[13]), + .Q(led_OBUF[13]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1215" *) + FDRE \led_reg[14] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[14]), + .Q(led_OBUF[14]), + .R(\ )); + FDRE \led_reg[15] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[15]), + .Q(led_OBUF[15]), + .R(\ )); + FDRE \led_reg[1] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[1]), + .Q(led_OBUF[1]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1178" *) + FDRE \led_reg[2] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[2]), + .Q(led_OBUF[2]), + .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "1307" *) + FDRE \led_reg[3] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[3]), + .Q(led_OBUF[3]), + .R(\ )); + FDRE \led_reg[4] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[4]), + .Q(led_OBUF[4]), + .R(\ )); + FDRE \led_reg[5] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[5]), + .Q(led_OBUF[5]), + .R(\ )); + FDRE \led_reg[6] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[6]), + .Q(led_OBUF[6]), + .R(\ )); + FDRE \led_reg[7] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[7]), + .Q(led_OBUF[7]), + .R(\ )); + FDRE \led_reg[8] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[8]), + .Q(led_OBUF[8]), + .R(\ )); + FDRE \led_reg[9] + (.C(clk_IBUF_BUFG), + .CE(\ ), + .D(LED_PIPE_Leds_a0[9]), + .Q(led_OBUF[9]), + .R(\ )); + IBUF reset_IBUF_inst + (.I(reset), + .O(reset_IBUF)); +endmodule diff --git a/out/edge_artix-7/led_counter/Output/led_counter.bit b/out/edge_artix-7/led_counter/Output/led_counter.bit new file mode 100644 index 0000000000000000000000000000000000000000..694c09cd6ca7405efbe09a86b4b05b8839376d5a GIT binary patch literal 2192111 zcmeI*dyFLceGu^Kp5B?+^*Qc+&H8NYt6N=8MDx}f@omv_(L>+#eQ$r~^B;Qt!*6@%yQ04my(Tog z^(}8beaqWVqYp%vPv7?Lw|~>S-~P?f2ct(n_IF=BXN#if-(0;N-Ko00{14yvp*U2Y zcH>S+LK+oml;&yPR^*Sed|vK8TlDF~oo@6ezk1qvr7WkNgXg~d_fL!dmy5AkTd#8( zJqYQgkd}Q9qI^shvnf4|;v#ktvs1)OqVGKZ3*Q^r2oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0Rp=raJ-(~IQ-W2 z%wrY;1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&|@opF#eEn|hhrQTPf&PZBYtCg|e{9YH zx)UHkfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C7W)p}TyEV5o4!MYiTc;N>t2y^fp!r=;np-xC$a%6+hr?nB5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 zc0nN7?wz>)q3vFOGPCz=2+(fz%|C26o@fLJY=J;B zSYw?VROOk;`l_Z%^KKXSaO3fdo7>C;2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5ZGFQEq))Z%KZABD!27%GSePRIcB|bV3p1kXN8?^ zU0Y%Biw?ah$a6Xze0I(Ox)W$cAni_snXH-Ua#0n%FJ9Elt$L4(`l81y5llerGthG%G`SQ1x?)kwDCD1 z&uc$t`1+b}J-fcSE@-B>Vcw?thVJXDKHAuoEo?C5EA@qAUC6-e&tJ&2>zK6Z*o@a# zMjr(DsDaT#~nbVbXXJ#6oNv-jQbo^iRpDy^1Vm8-O=e!RWvxAyelht@u&2?-D& zK!Cv32#o(2ao+Mp?&wK;k+ZitcQO`j+45Mp^%ZY^&-&(C`Ao&ndOL%87Ap^iUsR^| z)J4s_nt9VaY&;0QSa~q~LNW*6aUs*1lmGz&1PE-XKoUirB)SuY|Cd5OTDTm>UhK9* zzv~c1-3QU_DE#lHAs<{9D_`sZ3A6X!d*Ms400IOE5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5(bvp{zn7k~XfZR4tEUvn~h*Ny3U@E5gWo2Z$sqWhKE`soz}D*hE=BxT%3zF|cZY8AQ}HA5#;T2>`xy0+x%x2$m_W=%JaV2AD} z8)s`lgYS*ZdVW;>HjeJb&5c{qvJ0xLXHA!#ul4b+U9FP}NPqx=%@c@MyQD1q>%O+y zIW8*t_;=*bD__()r_Fo)^0eBmtV_mLJ#TqzxMC{;#fw4fpYhICo_Xr=b8Y5Bg?uz~IrN=nOOntl`&iLd$L~J9qA8XRTd#5EP~8X7?I`^3rcu-l zqh>458va2P#rJ0Iygl47 zxXOfd-A{uKetu|jSpu6NkUc*e-p0$rZB>57ueiKbeDAcHZ4c8aH;eqZOsTJQ;wrP6 z^!l%?Chqn`o$^T;ZXlg#q<*cpl#^fI9=)?ynx5U<)H={E#}CR)119Tdx!g^TI-}-y z&S=}Krs}-N(q8yBKzVSAdq>7TbhJXBek*_DV5;!RovGD3SJ`x1uIjUN`W>XIt&Z6+ z&FC2t4>v85?|j!1gAFCHR)OmLs3sjbPpZ?aYK+pME8C!Q!OYEfFF0^#hmBs3irq{{ zuWQq~R334aSv>81bn&s7FKcN%`F7kfe`V; zK8&6()tNETZ3PwAN1jfsU;-ft5FkK+009C72oNAZfB*pkJ1Ow3`CdKzk9TtCwt1)G z%fGbE%eQs{>k+v88+-AM;p6mN&hAtB_v1TPvb57X$ji?pdHJ)Q=$G^Q(2}QrI)16> z7yWWM?xm>{JTbNQoXYqAv2!}?P4nIO*=%2*`dpEIdr|He<)o98^;LURlsic|u0^M- z|GmXvTNtVrB_peDx@yEDz4Ai-gWtTq{;BX}ulZ~G5AEISR>of3FfePXPr^~l(aWFi zCgq5vTjk1%){_3?t%cg`KYP4XdgKOFt&+(UMMz+u?M!zhii z6DRw~%Hc>oxR~CV9ep+pe|swYyO-Y1+V6D_KYK6i>~XiK z?{-6NEV_|~Ly=v@k~BPIXHLFVX<1w* z>HYLFqjz^~Mx0;1LZ%xI!a+AW&JILYez0Qj^ox(Pa?ve3A!u_u z{BoPowmDWBi0?(cdm&B3EhByLsWeZka+N-KDteH$SLG^=THCtUTMM=2fqHScZGchRg6NL*{s5r`=0f()V*Jo*KTYvArS6@-~lIX?EIHbMs z#Ym@r9QDKB!s*<2COalCbo$SPFYeQ8*^}YWq1%a)?7R5ggS?oPTif!V5VRI;s{_Ru zaIc$v-sgY4EAB>l`Sz78J&Ye5_b-K`5r6(ld~n=5>D|6p_RIUXcuTqYJ zjXv>0)O#VMFWyZ*argd5y7xaB9lr3Bzx$aNUx+^W$zS-|@%^9r)XCjn{MwZVpFBSN znHPWS-b*ip_B0OtL)zX_uKkVnVr_S%UN|PsuO0VqrYD_uy!@GW933Ct>OFU_bC3-Q_n}Vc*WTXueyhD$TOR4v=5>uipMS)?Uig;%(dnaZ4d&}}@ zebmdou54-ZEyxP;1JPH0@|j-r*axEfKU=1G`T3&!c&N|HkC)}ghU-I&$ErBXr`P|~ z@-cQL!mH(Tr@RY5 z4&(59i!X=tH;Zxp#&}qz#QT!(a6{d*yKyC0UPSQ|u(aNhPRQrS@^YxlPmpfec&|uX ze>&FYYsJc?Zbg#Lb~C7M==ovjhTktOw(6*i-SJ!6^Gly^DbQAgnfuyR9+P}rrx(4F z-Pb$$)30KGlc~F%POY82@{6-~-KC!AoF{2^<0!W@&NC0PUtdha@6LsX;d^{_?&O`? zdH5gggxSR?#aR`ef4x#1pzL>q!vApYl*emu*xKFun}508csmg-4SSJ&3~fo4Px2Gw z`1Ug)AMWh;LK0r_J-ElGq1?*mJ5Bw`TlG3*dCe%?q3Uc{Ir*(X}3(H=x!RmNu1{O z%>x&0>Az*sk$W?){2DRN)9~wyqv;CHl#^fE8{2tyGzqu)B#E+neB4Qk@AqGgLK-ev z`Bf`CJemdURKKpB6Xvf7DB;Amvq2XQ}#c6bP z^5!4fmfQ}0eaQg3H#|Q-;_^I+qi28oCq9+F^ioLgeM_IfJ%axeVhmD^86r#H&9DrZ-<+wH6LpKd?qZbb~=`aKT# z-Dzpq({B5Fd-8wUkGW$J&-xv0e?Q`44z^=*G45y0>X@9Rb1`j>$;G%YH*31w@bS^_ z|L5a1$}OO(2M_xI{syTmM^e)iDb&z{}Bv#n>H2UB)Bo>I4}s%w95RgpI|Zo25Y;_-oF z*REvHzU-NJbICIyb1wGfnlQy=EwN|HKTPbRj^1009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXFv?`FlRwBH5s7kBg=;!a#ao_@ z^~#FLl)43~t{yHhXzzx^)4juAf(~0~%(vn+sY+$#B8j(_ouW3l2#vR;;}z51g=4bM zoHdsonRCECb{~CKRs2d&xR50)vgm(xMS*uEY+CO~T%A8vS~rd6&TB7wA4lovxUzKf zO;fA)vobArd+fXz|I#FX;+x&@i!H7)(+)yXka|Du+_PjLz$uSaRTH)}!rq!w&5vZZ`hO1EisQ@YJv z)&I)eL-u+=vWC4s{QfmeVhRE)5=d7RcRcLjcZ}C4j|rrqoMsQ>TRL9RR{52-0_}X9 z{JC(thTS~;ku^-R-BV=eNb!+nhW2GRKUBSR_T<&2L+pBhH0)r0OtJ%#70NBETgle{ z$V$QrN`L?X0t5(*2;7PK-MANB%hJ$rt!gaGRk6*~Yl+U$41d#e@cxXUYxT2~>_uKv zetdFHu$h`qJ~&f1od^&hK!5;&RSP_mv^vAB_p};rPlG+#>Ona=-BZSSc6&w7d7GAS za?sSGp1=kR3|^?Zo;9AVXBx8*Sdl<}V;OvRWkr)j{oh?x_h^rqG>Q`!4l%ZxUbz=a7E zUp21jYsdZ%Uf6za{={jq#JKqBRVd}xsj-`U``4};?(qfuS&#R##(3iAilHXoiYLdn z%hJqA+|={oCz@LJwEoQd`Fc$Jy_MOWeRdJ-T&fB*pk1PBlyK!5-N z0t5&UAV7csfxQw~!?)=(&yy$jN_F;~o&86;abwWtmc}7j!_AMK*{qSk<_JtXPo}?a zrTM4D%`Io{=k>Rq*{qSk_6l^07aFy)1MVlSFfI~g`lT?uWa;-7iDt~I{)R**d-6~D z5+Fc;z}^Tnrn*F@gXA z0tEI}AZ^<7*Z;4k7WD)O5V&xGq-h1mf7sNbo&W&?1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PE-pz;~{7f&B%3k7%t6G#P;@0@ZU~%x6kDCEYFbMlv=&Jq0_zjFAEvtBi8>+4K8mf*RW+@p z4_b>P5CH-N2oNAZfIuyf)Y&3;a5_{o(K^&7OMn1@ixWu3r*`cc7f~iafB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009Cs3dEsb_OZ}* zFfpnh*Hx3Pb(veJmcc zY@IuI=i|^L`u%U)Em9ornQ6j{v<&GC}1 zWfpMo$nmF^8GqK;^vYSS^S6EZweyeJ!V&4i|9T5oZOH@(5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7e? z;sWu4L&wIw`Pl{I7)D?l1Qz?#HTR>jaU$j==pv zdz~9potc^Wok(8)t7pWiqfE|Uw~Yr_q-8wDUYGyKdsndg=?Y>BNq_(W0t5&UAV8pH zfrp-MIbaqpSwT!82@oJafB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009E?2*iWVb#72~W@ga;%CDT+@9f4wl&H>SBeHj%8?DJ|t1YfF z6KQkHL{}9AW)`U539-)2Hh9qg%31HvcwVeQl>E%7d#52=x7GDUZjqMp7|H|)5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7csf#n3^ z!SFgas46mV{OVXmU5xAOg1I_Eoy$UHrR-z+7EiAZwaqP!Lo^ULSD=2Ukvcav=rzAR zR#6ul$JAZU$<-0+Ts9;tjn)hYTBK!oygUH{1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t9wPAdaG2_1=Wi zT-&_;Cxh1!>Ri?)9o5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7e?k^*n~p>qPngZ4T%s5&zubWH11~RO<%pR{fd{KxsFihmJWLH0gjeEPtw6Y)VV>G%pwA>{q03Y zwK8V;cUY_=)VWrcCJ2F1fvcm%)tA!26m@P;H6>H`s&iAisUkpt009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0Rr1D@O3}Ev3rng?8+=` z?*!ibGaI|h>Bg?i!Uzx`K!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t7Zh;53Rlulb!Xd~Y1qlYN{ Gf&UM~)ni!z literal 0 HcmV?d00001 diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/place/post_place.dcp b/out/edge_artix-7/led_counter/Output/place/post_place.dcp similarity index 59% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/place/post_place.dcp rename to out/edge_artix-7/led_counter/Output/place/post_place.dcp index f87f908300913e114d27746bcb636ea4d15ae572..814e6e32b28bbff0a161e9c3935bdbaf785d5fa2 100644 GIT binary patch delta 67369 zcmY&x04Bnt|SW%fdK*n0t1qPp|5(`xY?JUfKCbgN>l?)f{Xwmn{`!8K+hV&>w`fc z|MHvKYi6-aGPrI#=KouNux8>uEi7A^*xd8U}RpFi~J3OKHKd(K5+)FH2}}}bIL>$VhctvL$9fD` z-aB>|@`8?)bP}z^d=q+a-=?al?zFhJ*&+ZI|CT6)qI(gZEw6G=x0if{)Q5fwfly~p zuo`pUrER~0;N>v6X*oBMnZ+_Wr(2vn;8=&nId@-r;kNl&_=->_+_%r_JXS+kI1e8i zIxdUMLv9M|hDF%sen|2|pL!)8<`cvo_~`Ep`jRuorew2+1`Wc=QeExjXXkx+My&xK zZ_EuiF@B)`El>Kt^4RDxpakNBfGqqQ(Ep0&>fp#^W@>JiW+q3Ve^cWWDU0o{^`}dz z5yO3DkKxM9DNF^+;Lqk}fmZ#V{g7RNaS4w&^T&rvQkM7iSW>pZ3)|QUugB>P zoD7if_l<;|sRrQY1f=}8Z4Nm$20b4MKcA+c%_*FUHesj*X+tmyVZ?%#Q0Ie)CwgJ2 zJLxHeb6Tb`uJ@A|i(Wq*(1~$X8PIN^&$qdVGJi48rGCYL`tHiB@QM4KVVY8IUewf{ z1?s)-SLR<(1()$KF(WjaJ4B!=b_+yo<+vCL!ThX7l7IZ#1O&$~67%9-r*?FgFn0s# zHz!=f!wxanG>=a0^N3CGF0`%>=abEu2gRLezuTj2iEErvE&u%aP0a9Gj$HO@?dhg^!8T(otp5a~fdRd1Mbd3A*cv9MqIqXa5cD9PJc4{g+ zhF%&N_0*(#1m=>+#TUH)s*jQ&S(DnBiIOlM9PJtz2b~{BL3;LCh$0|%_(W?EPku}|ngvuGw-0az(hReMJgS~2Rl_Jiw)*fWN&U?Db1{vJ| z?KI%G7qR$Tz7Xk1wCP+S+aE~C>JcGil((5D`6ol@3P9XcH9|TSEdHDK&BmpWx&O7g zNd*kHP#ESFKF}r7hQd#)dxK|Wd+>|r=}AE;sit5#35TG_6ji6)BPtQeGeXmCs!EYT znLppQ5Tn_OuiS=CVu%IBMDq#zD$i2?5^m85e_Vq)kP+g508XUEOFF?qx0Oto8JDsD z=Nb`x39y{TS3{WCh+U1ZQF^h7QU&6iG4y^!Sy}h0bwZ#ekVU4{s)CS>oBlT&fw4ni z5W{eO)-3%}OQ=v@eD5bcc$1{HNcSlWbVp<6t}yoM>Wl%)*HM}ix zp?oxcTj{v8?>{D8H&(u`@On_4H;dRadGLGk?d84V&f28lj2pgBLhTA-*$HIXa8-7a z{{$Q`Gs3fQ3*Jv#;X&+iuN0@8^&XbOn={3cYzl>YwEB}Hly@%7R6epdKW0y_j4@%F zpgH|lPndOEe!dYde!&HJ1>1}jS^2FLe5UngiBt~#xe&RfP6=!HCV}9y^^h5F75qUW zs=kp62gTR&aAK5HcS693YoS2|x||)TQVVbNF-9Y1Rui2v zah?153)8?PLcOfNMgiwu;KM1#_j=Y^Yvk zt-Iy;Rn1x|8_u;f`C=`ib~eH6bj@(EWH@AoYjWYy3TFxdc(2e zB9GGRP8E(hdCkTT@nJU}9Dwc6^T>s?Loc3YQ)xiOd~KHaw$qQ&5hopC&bB8CB3T$gPdl#C3Kh zCDAS(k{;Id@JAafx+{szCcHcZpK}#|Q1Uyv{``B41clb-ZWoJ@EufO?8IN*|D_hDY z52mcfujYh(Go7Q?{CI~3!gHP-L5z2~f?8Ns1t}?SW&ICe@wMcdDKrW0U2f+)8V&+8lmtZ2b z&*zF?&@AZorXs`gx%-Up%s$K@Gno{65yaYM_-rB;UUTtGU_Y2f7NcS5W-?}t#qLWK z*#lY5hbJIt@1+V;3d&ZVgZo4Sh?Dw_C^?c0tUXGkQANb!_pCN&c^j>=`|cr#(O!ef z$#>0e`V!Yr)&Uw1X_djM09$cRHyrM!>_d0FMy6LbDnvA0oH(&PkBm~j=rC=hWNL5& zxv}vBi9q;+O%))GK#d5{D#!%68TJiQR4wHt0veMgqV9zg*{VtmyNrt{*Tgpy)2wiU z;p2^qN%5@wSA7!yvcSj16`G|bbHG&8i5HP3av{Uw0wCQmT}{m_V97-VHk}^`h93_B zCdeAeKT7&&?7dZ#DX)K2@^pPl8CKs%cj3(|y=+*1%udO(++?PEejnfe6B43A9#Q2> z{hXBs4o|rm-sl&*O#^;z@_3J@<|T!{5Pm)Ke(fk4oY!jukBPGH--JamL5+kBXUuF2 zE<0^AF{=+r5+jMpP*=}Q%+_yiH__jg}IW^*8 zDSaXlahNl#S{3hMio?JF%1&tOI;M(Muj<;T8nO=!`}V4AFG%d^Y_?E#l6)P*BiXGj z!T!T17-p${Zph_6el~_@m_y7aLcZP5m5|SboB2k9QD&C1f z`SleaR8#UfL;n2;(c3H0xrac#(x*2FW}nz0R@Nb9SkNw|waTfo`4;^8szRM@Vr8Ae z-csGAL4A(5GT-e0r)w)VE2S_&73^D>3?ceL&ob!s00JX9D@4|{d%;D`CE^1?^}w^( zFW|djCj~NW{PbICI%0Y!EoMgqPA4v(9~MHPDSv0mYEMb`!;~ygL?bQ>Mo^Lp{Wvu& zn;)$;3_cPaX~mS+er;BzPA$v3)bnYHSve$aW5lAiMv*^e!80fkTDa`DKk5E`1G~xNpQ9Grc)g$rfoF+_3cyjA zhXk_bLy#lVFref10bau4?M{Q?3XeMkmDFW9ks!wYs!`Mx{`6r$uWP z=>6JcKGW(}VVlF7@DnCq{BN7ZNMJ6ko*OI-4*xar;P!Z2OFOrp5^aMX`t2NQr+;#j z*TrK7{h24j*+OV(1w5DSo~DcBtaIS#+L4b52C*nS_PaQ`^g!&u(_RtVQHLBd1srJL zti!wSG^CZ?FgZrcI`Y>bnVqAmEd_ABdQD8v;7M}me!L(nr<(KZ z7oRgGFi_MBE9C2!ac0_hdSZ`F1w)sTiXfUwqV{q==kZ-ez>jYqO?;^NVZ1~I?aFX5Wr>0*x2D&V~4{Z!$Apiz<46n^jl;l$$ zVOz)pG2;BA^M{w#SNb<+zNdN?PbJ4`2%b9Hr^Sz6bHn#k=X#z!bit)PLkLL0rTHBc zNI!eGMGUR!+ALAqq$7cieSs`6p__#dKKtyO z!w5Bfa*xg^(bzE-t_9CA&(F;01zI@I`BpWcW6WLxvfqD1WEGW%BkjQnmH*k$pYO=u z?H6iUf*oz3;zSc)-h8m+=b&KQ>aFY;#{jmtBAU_z{P@>!(Sep}-vZ>SRK|;T?p|C} zS?!vxzMCm=NWMegxesPxO^_RHv#I2LYiYEtnYK{GRBp)wX~Z>m}Go^mBKBkD_s zMb`$;zH;wmyc@k9ys3L+!noTHVKk1AzC>Xhrs-bYB|Ip*H0y2o{g0A+xgLkiY~O

(6jZ zgl4*MYXN~Y!4z9b25?yhLwZi~vt0X>fF%NJQgt}>6ZN7I2FA8-S>~J9d3_nLuaP^u zJ`<~#?SEi%*u<7z{n_q=9_k=i7aD=E=PI|rE~=p|{k$@VV~ilXChXyljBw^cEl#(g zZ#Z*YHm4Q2goFR6BO`A*3s+}(!{*cTfwc|-gb*s^Y)de_okEPqQT1PCUu{2{;xLOZ z?%A`3Ywk|s>_E$6A+)~-b>I^j$Q%xJ2@KaeZ#(Qb#Tk~!SMvo0Nc!zNCmPqF`E|0uYC1$8`Y;e-on5?(BrK^kzBkUOr8pQBpMYI9 z^m-s&C~OQnjP*DyB@j)j5>09zO)9sRLo{MxuGItO#WQls6bCN3#9Rm1$+9$+0oflQ z&cfQuV%pVkJmpC6@Vrs5DjZ3OSa_38JH~Mqm6{ioUSMtYAba*y^7IUK2KRA1{j+QK z_uN$FwJ1f<+>h2-tg$|v=-L}IRO{~ANgr7DhkdQBJyfpF!po;SqxL8KklP$YY`R!# z^jBM=TZ{Z2?|;~X@oWHa8_K{oNSwIeRH~y_>eCnYzf~(=r1A}(nG{pR@!7$BbCcN7 zVaxVn8ShlpW6jti0;ghMUh!nvG}K~8r*2PXiKd)NvcCx?MNUG!M~NL)C?r@L#d5em zJT9Oju#%=4_wTOY+Kq2r?byXqjKDD!y*IS$iW6@}`^}i)1C9!m!b5m8zb}U6)RK*G zWPn^NRoc}bc&sqee)`741V%Wi-F|MLBW^Rt2m%QkODxp=4nMd$%-tb2ubIOjuz#{C zGG4GNMEk}T$zUlo`--z0B>pz$9U>AE+D;q4-%}#w_({NbhZRZ9kBo||H1ubuk#feN zan82Qb9zOU_S%`~4c(Z+ZtU^ZRw7oivgd0~O;zicNOQ}2;3U+s+0KljWN&a30C6FJJVbeU9CK{S&<9l>&ixLEpp%p6$*s_it%r4JBFV-7czx6CJl5; zDq5d~eUtO4^Rr8}b8IFsynOV{33Ol>n%HS>mBJE~krUCbqzb_V-3W7=^&>o)=|8+i z-tMalSCE28@RlLJTO2Z$2)Q8mU}omAA?331fl(FG$r<8%0Q!!M@QhdQ zHs>qRX{v94?P7dfNd}D&p#Z%pJdk7h-G9+CmuXaTlEC!cIz-sO<=_HsYy5Y|T&nCZkgPEBD3}nmBP(1{1ViGb!iKHR%*0yrj7GTm zUm21#!B0Y^5Acw8$TmNQ6L7tLVe{Umb<9Q##WY|8YBBR6tl97Olun9wH60}bJz?ee zCHc_(^>|_3u?tv_Ik`j7rMW&Ro$_@)HP=?ytvH_uxF*9RFYUFGo7|Q%Vk^qzS2qR zcLCE)J&yPJZ9`*k^W|vAZL@azr)Nynjg%biFBje%mW^cDvRlChT%p3%_nW=aF; z)}iv{US#wt@i*m`vR`}!gBF=~u7>PPn*#`e(S@BeD1ZMBt%p!#8-IoLw@H-Wj_6td zd3VdNI6AwbYX+iiL&I8I(}p03jQ6A2Ok4wODFvo5HU<`Af{o|d{!jsS2MsrzvgQGj z{0Nu@TZ!KnIIB(+BK#I0)TX@C%ujVGKbF*P_s%!SRs%X*)Drp#wDwOE6eJ7IVJ-PF zwSy){x2XN3TT*@>3k~7~ie}#~vP-uDLn0DR!FRtVe!u^zD0(no5NN`BaYhJ_)ujvd zbt^XzF31`WZ?GC(ll(&3h*>|`_%$tFz(?|Dc*cu9c!CvMhZhU85|8LGFqBa7Q^K7! zRoyxZdOLjZieu1#Va{n(X7dMB9QkVz=xCW=T-ZLiXTL z4U-E@TR8*fEJ*9+W%=xF_U^BfehMjvL<4O$AK*7= z{~3sK;Q~4cO$Va21do#0a{*Cbo{B+QvX2}~WhIPI97rj)Q1F~u+gvKK$v2jn?Azdy zFDG!HFM`&)3u@-Ulo0Ww0i?IGQu#?txVkb^HTa-fd5C(O9tDGf%o=_OU{0)c03oZL ze~4(X_T~mT4J$Z$xI1Com8uicX4yvCS~Cd!lxtH! zY)BJJsr^>tecUFb|DG!ZY&!u|iw>=fKGS0{*-;7}gnWBpOric~aUPi<2Q<0&aff4a zLH>Ivr=qoi^dS@|rp+ziWyJ`^gvKdz>?@I!maO{}k5Ze@7}Fo=c$B3Y0yz$_zjv}R zCCWC8XEu6_tf?8t^x01X8sf#-O;_|>T?x3>mBH2e18}%X-Q^7; z9@k8@8&uc4%^^Y%svj_hhIvAcGda}R><9h2Vs3p+`YkK1`|9$r#t_#d7Tlv3j)^MC znrA%^1O*S9w-xt+7MvLrXwJyM*D?I5!n7|0Gu~m?AA@i|#NaQ78Tp48?-6+8wHgJS ziL12bN_FHCwd6jVKx(!9N7}Z(q>5k&h&!bRRuu=H6GBmSy+q{pGYP*GB$4u5Vhk!n zs&>Wf=nKd8&izM52)}7_BtX=ui0H%+w2`u;yKtrA1ONIj^JGxZlI9^gKacTq&ZWWn z_Yvm&?C0%=_VwrXAE&P>opZ+&i$uMjYFc`-zY!}a66wS#)DT7a{PFEY9E{4@jK#)@ zUHeQruL!u92^1vqQv?(c#ed_Pp(Qpzp0{$?M6| z9-{evZH9+`_IlTOFj1j8{jj14OhsyO$&Rf)57zHAz3PMErhlO*=TnSoTyaT!-HlnF zJp|{Y2ju^{fEvpo)HO#094xyTP@`O|h6l#I*> zUZhrg__s&d)p^;nFba=O#gp=&(C%tprw&|`4n)0VVG-k=+x<(rgvoJj9$B@&uT#<^ zb^0zBf{%3HDM?x@`V{t+U$#p-Ob9QKn7GtQsfRKZ@qP&9ilR5I0KK16 zE>DolJg(xgHDY7&{u)oc=O;pbqLz-do)W=m(-GdDH`r5(+QHfiKDSmvoADm4!Noz} zjVi67!XraGU;jVy@;}^iViE{T2rLLlKHY!GOJ`G~DQz8xjZsW}-Z69bZVFuf^Yk&V zwpCj!U!aasrh$Ta7J97#jJ%=x1ns&6R5;1R3NO->m)rmkLY!DTpAqf>8N>JF`b6|? zK27}X&$iNo&;vpRyts5T?wZ0~e0cW2wa+m>_B0BurLJmJ^Dhm!mqIIIVpMlK&VVb& zjRbjTF}YKK(-pj?SjZ>jXtiP}OWZn9uUZ`E6F`!uh1HE|VIbn(gPfwcO?!}Hzwn6A zzD&9jXG@T)qS}XQjU?toWS`dkw$*GYyP(J4tvq&JzI3^1QNrj(-ScBj2NM4sEgw_2 z8{$N}fS~t-$T6vv3-{_s-?yb%U0K%qMFiwcr&nQj{LpZPOLX*9I-y0sJjT_ z37Ut6@rmIliv)Yer3UaHb;MNfH2V&CGKje}Td%~VEBzt$>^px&~9MQz{teMdP+i;t3_6{My`nIQxo zJK8E*q%R+5`DoGWv5o$-{yIWb7X{L!m*s(IzNJuBSm57hyr6YV%b>^1goopFY{B|rZ=Ub zJNK?(f`?~Oci_Aj5kqG{$X61Q`)mmB*5M9<2%L?c!Z*%uJ! z<=tKUTUb+|#kE&pC^b2252y4+(>S@i-MwN}l2yADIt;5;VR^B*#Kl#{Xw9iM64euF z%-hLdUm4(nMprt=u%9xsJ{V3cBH3c| zZQLNyh;LMPmdmj8IAB+rVbXyBG4_YakEUSE6AlO5CDno2TTBiW028k(tWBl1NQr~l zOLa65Xm9qLm*;H^Jaj8rIasgI;uXWUiX!n;3`~fqjuADeB6Yqg>1cK`0G8Blm3m{j zfbrv#D)Sah$w*`_6!Q4jkMU;4O-e>%r>Vhuh+N?o`kln)@YeP?b8GoDOQ@ucXdIls zKWb;?SJ&8e4FbM(11p>jo%0#4AU;xzWCKFl8wcAy_d#YZDZFB3VaYhP2bi}pidaB3 z4~v}Hi`a~H1*hLA{#eswB-y?v2jVzPs@dMzv$-+nZo<0jx}FOBN2^a3rtI$y)5jF z(l#4xANkIat3v*rX^vktrzS#T>3t5ul_EI1lpVru4u|ZGqnU=*#G~>Q9SS84}jq#}?U1w+V4XEtn$~kSoj`+joYAa1cEzvt1=sO$6qu z*+?Q5rba6V4PGKqx`Ca;QL<4aJ>w-a?Hu9U-@9ol&Chp zZ2|-OrQJ6%%)j3`vr_^L&NdPUX|}HHJv5{weqxG7HM~zYB6Iv+AZAgSkjDu#A$qCX z7hunKhm6S&c3zXo#+`LTX|-LNMmPaK7F4+bkm*SdBf;B|z>+Gc{@S8?S&|uC(~EvZ z7kK;eG+@W^VCW|NKA@yeCQusPNXLX(u_QqQRBsBDq6=-x=HLDMQ?Tw5;XIVtlsk2c zIP^paWWO!?uTUKuoPc` z8IJa2O7TmV5d#oJo>eY0k5*D*)rojLRPs-;a*|J&B)V*-8MXkIzp2Bm+ICzxHVU3Pn_!k&pjc4HUoMriMZ9B8_t<%F#EhGtsj-R9*s-LuM z5hpB#tFlq^c^sk3p?%DUwBsEB#*{!nZt~?0Di`HP);%U+Ye#sU!!JTqO`CY6Z%#bJ z-JTx8radDa*wirln}jGws;=9r^a!^Y(nfs}a5uPKJT$1LqPT~`K^Gq-*8n}sbIvC6~&teHg2{|6!mEw5i6|lf>GpWR|CGZk9;d) zvi$1hU3gfKvhW5{4KghJK1udG5vOJD=R#MRg)q-O#(ds3O{bdSwhEUQe-|@JIZ$nbM>+AE`ICic*i-+=~4hgHJ7eX%_b}Lc1}ndS?xnDil-$U{C_Qa8pOnf`yjUVFon%CV z37B8~NqG8eN^-LR@4Q{G|9eF7PYz*L8@-MYm+yvLGl#F&>+q>6yUy<+9fywNgWIgQ zoarNPmyfmn3)|F1)wLw{GIND3UKiK5%I5DRb*P`nw(xzmf4El)2w*NUF6Kmb`8^pC ze#7)UA5CEI)4$YS5L2m^^otcJSE?hWjsSQ;hab{J@%7q9jZO!v54Y%n>>244Zm)|8 zr$y}2M9K9kzPeeeo$|x;r9bxNCjJNHad>t&jqj%SX~gX_xCw;FKT@R^&?`DaM)9X7lE#iMy8y20$q= zrrNNHXx3_pThlC^3zhke16u{}qF_NX z)V=Tf2>h(EqjS01wh*fiO3_}_$IXd~2=69OO zlY$W$&N0n#941^Vt8TapbC_V5ZUFDq71YMGFlBD~_q??Nz%sP5N5t~G9I5%<&Cp(X zC5up$kz(6_f^)UizLigfEOigxEUZ~0G*lY_w|i`jcE_txgQ4u%ylX$2$y3oq5{G7> zB^~D~ueBz-7K<8=9M>;Gj+btTYK(bEio~h2yCcXUr61RV)__nzU~8&n42ZU&w(5*> zNShZY!UOZb-6rMla&2(E*UxY=U#`1V$W5~1R@gi)@YaMzgo!!uWm#cOr@D;Y6SFIh zdXoJCmW8iyn}bm@7usC%%rt*4f$hW1&|p`qpa3KI-Jc2sW#8Kr$A7HA-Y;V^pJfh* zaglnQb3qcjM^`3hMG_8r0)SGur#F<$nA(WKXPPdL0N6_0cU0jNBC+Prr61u1;>!)zyumogY zDr_R&V}y1IOi-Kha8!tP444jX)GaxiPq{WdQS5CIn*g7ur6tL*MPnoXu*3X(E=|vm z<|P|)CqFrfDDtG%2}mJ@oc$#p9u-D8v6nDYeBEeRzo-NMBj6|D_!7ELbH(>XL0fvy zy6n0XKPCI76i_95@)Bl6!arMT`9Vp|frQx&m=`;Z)d-7>eqqt^Y?(Xmcm%HsHyu)I zONxZsn`-&{-nNv;Q$iwB?vHW9FI{YvLje>$ac6U-uF6^48e3vd{^#(?$3NHq}TSQKaWOr2rN zyoel!X><6|9vOM7D7RMO3-P#G6I4t)o} zg|2I_O|NAAJ2em~tz4drzfT@BC$Xzkf`oc?koR-8JqGTRgCjH-uXJ4MW`+efhk&0& zqw6O_IiMB)3kG<9xyRlYCK|hcA?|szS7Jc7NcTwCy*6OE#Xx_GWM;p8ldD2I&RC^R z+>@s2?jOXYL)o<;?(vTa%7zyE4%q<8SZ7OO3JDJX33mt5`pWLwq|pic5e}H`g?j`< zB(@Uh5n>ux?D4){yM+q&^l9rht<|J6`rqgO1c;jRrOY4j&4wFoQ7)h5U41%6!@Kqf zsr;tJWZn}amiDgGJyIj4_SC5aX9h*(e3Bwus$;orfW9hR;niH*RU#RjutLZ^maIuz zHaIbffKeJ0YRjY`E?h{mqVJ{{;S1(T8~?SKCW-#91-*I9C<}-NOHd|ZgBy%kJqVZo zpKx5rf4htGBGrGw19hsVUO(<^fOuG&3BQlplE;@%zbzqju0KBopWOa9ZW|j*2}~Jo zY?6eE_jrUv)UwUde?~@RhVLBpNULG5ADYL_Fuj13rHh#{1S8N=T! zs6-MRA}8qK64C-eG}Qe;G{Z2y|Bg)&$Rv2w{dt0*#({$t3Go#DkYXFKzDRQz5yz;Q zVmxn9`Boytl7YcC(w3t+ zihf~G`9$B09=jvKBrkh7!yKqP@3Z0x0?>UPe3DQabb>}WsEf4qb85#S3n|yT@zkGr zF5uAl#-IlGy%#p&x4en0D~daB>q%zcQx0yn6<=NI3CXVW&GtWg*Y7BOYrb&iIpO)k z9l{la&$W!c0eR>MNqx}AV$&2k1t;qN8Goq=S}V28G!ze6ho$Wb+1UOX>i57ODLQ6_KvN* znD!P$Gk+-fgvC`f;R}5Ju$B0y?;)2-d;5b=b2jnehVgj#dHg>v0$dAeZ|Tpc z#S^|)Iy5q5lSS#Iw~)5W!om09Kha(hF*>9K!i>35yMqhK9^&fO*wA{yPn^kokcKdW z{XmCOC_afIe}y|DWmQu>{0^@neP~ghM0=%(w2}6K7;*zB^n1-exZ|JMQU&oN zZfFX#u;_JfQeY?kK!(7tguw5he!kN`EDI6_K;JCXLe@`PU{a)heq zVh=da1SxMG9~yz44Ar4MG!G@tP7F+44b@5gldb0_1{&s~j6P26qC$$X2O{S;uw-SK zk!|FdLxG{v7DkO6eWKJneT13Z;TyTkwl3_Vg_FbG`iHw4A1_Z2r7oOYE#^?kl?~!t zBj(VswGAxAChURzOS`D!KNADs*N3}fPsZtBop!9)9-iqKojB&u4mxt^`Uv+SEsRu1 z%*gEF*aK>Nc2T@T69Wf(Lo5>>8^-OocP!T)tbpA2t>*NIP60V-eWD{OX5^$~>;c=u zAr?E&w}JP6nBci#EOCE#Q@M=O-O7QSr^SSTfgDC8hc^(GY#&Bh0UrciA0~QD60`dz zW%8WuXe7LKbZDqt%1D?Ys|UvpC%tt_IV5I1HmE`bb!> zcmvS>f5V3I;o+Sp1`1yFOtevUH>>s(!ogmZ5k%h#82Gxup~Va0$1HDyW~#we#CW z`G8AU6{r6~D-3Ig=OEZMC|YH^Y3vOw0M@K!6b4F7P<)@Jea5^WBG{sfI*meiK8 zBJd4XQeh1z!ecD5=g6mpu!Q52IY%%@A@I{a#tGVaUU=oe7mG`qj=93|rFK;=5?T9> zOrr+7ON^pia3?Ju4S7zF322@DUJy(KXF!)m^A$MMK(fHm%WU5mXY14xB05v0^bWFHsH$ zK9;pF(rD#ZR_8ZTx>8m&<3h>`HtEr;WmOOk2Z|@3al&cv(^*(*rIvTr8-C=!MhDKI zFCoj&6y#A`Q`77M!QHWoOiE-p;A%hdA(=rq^D)~gmxxSA57|um-hVN1W2w}zU`={h z*Ve~3%xJNMRniMbP`QezYvRJ2o2j$dN#Sx}b!w{3(Rfr;Bo^#Wm5&bx9!BD^!F8iA zepcy2GBhvMX_Vt>Vip;;)zomob(1fGENIaGUr(-3<4d(Sq6`5S=9!nk? zBX|ZJXL#~O)X9~&klP`Zu`+eoDi8D)q`3P@cM}fOPujfqm;HMW2`0JB7)RdN?;=JP zEGRHl{lH52jbmA{%og(jM!3%-*NzL-;##V`4ugy>c)E&e_3$J&*vX+Wn#LI zuRn~2VN>pZAC-!!Jih!OCAg%!wg|B--iiM)k+n?TvgNerTEm*NkQ+c^=?%WC>!lUf)Ji?iSlTaR`IeuZ*o~(Rf*XE5jSX=dD+tdUk>0u*hn+3Tj#p z1D}vDzC1e;m+IiK3gbIc44jfJ)_3zkO~iFq@U{F09{QVmihpKv_#+Nn(_cR5A3GZ$A= z&i-o9LE-NHT9nk@-GpK)_tr7urwckOs;(nUE^P1VfNZAzq2f}7*+Tt80~u&_^yU&h z6F%~`8%WrwY)~Y%39G6OC9`FdU{`pkFGBy9 z=e)zA=1C<40a1|tFA?bCYSg4-kxsCbk|f24ffWyG(u)%Y$;hQYS)+kPg1^jm2R7`H zKP<>hAP{uI|f!z@xkuQ=V8 zj3E@Fva{Ube)=Zxl53R)@x9bf=$o17l{Vu?A&~2=xR+{$Og%qLsZxuVmn!J@kP-pB zFV&)}a0uRv>H%#IXWv$`p5$`*4v)HsueI@j%)0Y~P|S5gN_PD*jw?LA-DVw!&W})o zuZv|JG^=ez;t+kS{TeAkZ}Ii=c%JP$L{2}ii5ToCcof8!Lq+>+j$I3MKep2})hkcO z`GZA3I)~@9TiWWM!_2UPm*F^ZJ`PnkZ0huQsWcxeOAgfQe8A~LL|XW@f43!xb`4;k z-s-i3Dq$2zj4T%i<-5@PfHV0O6>v;k^wVO`zaxq3*4Syq-Ba+XS*bwFo zMoL^!MH~+UI+1RkTAwafYN##%^DksivTL?-`srgaoM(*!wR&{GUQlslz1C%!v?jks zb+eQr*K|sHg+PTd0S2cu<{bg2%+PnpC}dt%LUw`Md7 zd$j5PDBH30(08*`9i433aOFD}?F6-i(4pmj>7tq(a8F@bsn=8_#&mSp`c5LYv1Chj z_CO(>714~n8j4x3DXLbqK=Lt&{kqwtF)V9rW>S4cu5oqr9$E$2KFFG# z0BAiv2Eq93+bn$h=g?=R(4gzw*AZ!mRuG=5Tg02D2T5POo31bcsie`6( zLUL^YT6h*!4zAz$HF5ggPFK27xR!~CiF}UpOtH>fLXY-r+8|$s5HK@U);1U_7+l4R zp7n}ympVC^DmX|Jwz?b^?`CE!Nh~60<&Q)5^HIM#(>wg+G)Gev8P(hScxh5}@N>(P z^A^Ra&V4KAN$I+?6L9&Ix_(bl_|&@Y6oAean&Ssja)cBGnC^`-(x}PY_6%>MC&jYm zGUd8f`BOp{e|4#z0#aQ|{G4zVPhMpb)mO;q})nLyQlsK_v4M_q2PCr%UtC z4L#s>VlnKh1Mpr4e^T@$agsM)|Jas&w?1p^p;Gzecu5${qZV?#4jTQm8xQ(tIPHGx zikRq0Yqh!yLiR=6-EUt#Zpj>Y2+h?(8$4L&Ie!I4j8#qyV-xCBN~u-K6npGD|1}fZ z{GJatwOh9AsyI46T);Q`?B@v2klZB|#E^%vh9{tbk4}TMjjHQt0$%4oqt~&?LOma4 z#0Z}`*RK^KEoc@QvYI)?J$}!O6HP>2Bcq)={$FA{-yM6jFxQEQf^OGiLKXsdZWipf-8H9sV0!OCOiUa@DD(2d6mqwSR-uWrL)`LC333Ju>;uKP zObf88(ebCUrbjySK02k$oI_F>-E!tC#cjH!Jw;L1XM5i5ts>alD?`Yx36)VCdd26) z$xIUG#?ef}XL~Yw(F;TB?f;LBcL2|%X&QxN+s?+eZDV8Gwsj{Pdt=+SZQHh!jW))f z?DM?u_g&}z&yA^`?&(r@b&n=kpH0Mt=_^E9 zn__y-=x@2==Q7zHS{8wX;}hl&SlxFe%s-`(+AfY2^yH`eIWCSF^nOK=`WO3dy6@tc ze<~w+TyC{>H_IXcb3;AnM)_2qatQ2FG50){+i2TDg=rV?b0*4Ecq;ku%XlJ@z%Y-aF<56D@(LNtO3ff zXkLXV8l%gF}9{_SvW{bxgy94Q{HnXmfa* z*>rQQt!Xv36*(hpD*PnyG046DOD~84Q7x1P94#;bcrwU@|4}bY zR20Yc#)lF5Onv%@{(u|ZOg+Nd!=&x*rFb(SBXuL{663hp$qjeoYVu0Zjl#Kuvm?Xy zOH+*ZdIp5Ggq%QlU<9BDz@Y&oz5lnG21ma;@?{@uX7AnRn7-l7-0{{kFg_8Rf3Ncm zZ%c{u4Q^BCdc)aRN%IC><4E(4Y_M!medAI=$|$(d-F zJh(k+(CuxY4KhLoI7f1I1YH$O(hWiVqbmclS{M!ZO5kOX3;%~+2m_K@=v6oy;AW5w z|EpAE$eFqXiJc)gj+wdt?M%&(9!HRAzELcps|3f{60`S|&Lf=OnOD%q@)wmh1NiI%If4(mX8U`*lD@8i&5lgp z*sC|2Ta4K2C`6`yiQbW3ie4W9{)1j&1LRsP4G>-!TJU7xi2(FoX@exf9Qz(T`{ttn+qi4nLc|Uc90DJsa^~Nnp*f(gi9bdkPiPXLBv|fRoF|=M^H%rz+U5CqFn(- z2QW?;JkS_W3jc)OgI?6$gkI&|glvR%_%^j+0mwq4g<_+7bO zx?S5{)*FNy`kMrM5p2LCls!_)UII=p1$rv53GkXA!cW*k;1@w)1t>J&p9%uZ_E0c{O9gfzt$*KKIn`YZwBwXL6_UGZ$;$cq-dE;S|4t*>` z97w`2Wu&R*L6)J)pfys~e{D3sC126+eJ&rJniokrr?HYK|Mqv~f=_W29_9_Ir7tpU z{*=9w-2o!+T%CH#u9<;T@|puuYHDn#8f$ccdA)_vF2QXiEl${L5pDz#iU90HENFqH zyj0y=qW*~Ui!4=Rsfs)mUT9C9W~nl4h14D>o4_KSuY`+cW_y{xw#m;iv*>j6ORtv7 zFy$D)&05LGi{*XncE949j%~oa{;fUsmma$kSoBUD-yrgZc49I&LV#>tdJ_O zLhwfQa}fkos3y49ER~LnKmg_@9-}`7{VK!~F3x957dooxy@~v+Qi#u+Gk~qz#W##0 zn)XI_KWZQiX@om3@da0ZAYI!JId}w21PKu4bruJ6Oc!)dlhvDKfcm1 zB0mOPsn$$qli}JnWXrvD1Joo<87kuGQm#hg0e#JB*cL&JxaN-hL2*Q%B-PG&@oQ&E zkKw#Rxx_uR-KC`4rsInI>x_VUDS}bE#3+ak3f84ua5W0)TCGXEw|_!~Ny{T+JaFJ* z5v~;n{{&(wL{tqIkdw!x79x};Zsb{Gg)83#Z`p83UT&*Uy;s#-FkzR0J3pl9{-^rU>#LZ%i)RsF%DI&MSJ|b_LUQ%%l$6`< z>!wY}`wwQtmF&MVJu;+nS0Ns;hS(KQ&^83Y6>UI6p@!Hk^mIxl+Lp=XI83W<*)W^U zQWGtUeK^qHa>!N!F2>aOC0=wM4QX}?d0CE_spu3`^6tc>m5h|?62GaImogtsBo)pV zQZG0!Edh$#&ta6;aXPS@&t=P>&T;T`=`L{absMDU^mHo}=TDF3 zP5^jOB?fYnpiVM4}j0mKC>wlmk8kMHwlYjR&8@#1Ow5l@%>;tn4_J1w%a z-AF>cw;e?y^yI{;rn-MFslIyNE`*ksO#S7Bll|?AxtV6C*r(!-?(##e1+Vwq=<8Nv zfMG_0gP(QN=59jS2oZDGVKLXs>#I`(gsbznMILoU|46mT=*H7ex4*eJtwbK2mS~om zag2wlC$&L!Ani|?AoR-zc_62SJFv_KkZ<#?g92B`|00V~rZrfaxZW9Q z6kR{c$v7uOyfhA_VD_!9bp;xVlj7Sq+vKf5Xeb4{UT=_pCDAqXkNA=V@UJN97`gu= z%A6`>>2O7btBY!7MZ?!P!YB9u%uj_6>>X-Oy;J3ra+h`av8==8&lY-R)7$`3@8S%) zI6U>}Pn*l9gEgR7@!#gg_^+`}{g1KAOZy-1Ax(-sG=MgO8zM47P62)Zxqi!xu4NT0 zx@*Q5mV#E`sc-mMg{Yv+Xut+pTLp2nJKG4Rz_e+L)C{3u!i!C01G}rUOT)%4sbgje zM#Ykvx^ywS$`HoMD)iTM5#)Y4b+z+Kq)fH@NT_2K64z9(&Y{;<56&flmu*&5IJgv5 zRy1a`+Jco)TkNp^06dcaPix&WeRX188=f^cu$N}!LUB8G@RxtQPG}N468@h}7tu!t}?g#9EQznoQe0fRA zO$^B)^*Nu(j@a*<#EwO>e~j=SD+K=WIEw0DZf2}}1TCoXtyCi$D5&TMjL8GC8nhKm z-YdwP#jK(a-=aupt)!ZMu9io6@)9N`V|JzSJ8meFX~^sQFwvok0O=R!q7Nd8xOZwh z|KU93f2Jfy7gHkYr*mpNU8)P}E3?sa1V!U80Dxr(U@kJ=Teja&^ z>iot3nyl1+O%^v9fWEN5mA72Go<~mA58Apu7Q^W3<*FM~o#ndahT1gzP|*88>A+r% zZJ1T*no50hs)r&D4uI1NibxJoWDR^Ip%uXx;`6V?*jt?d8 zej6@T^VCYFC7`8zDB2ne^C`$0#Z^R(M6A8kziR7KAF0ks29L-JU?M6F-o({!hL zS(ou&NubmPgpW2W!$yr(znpK#)XIEaLGE}&>WYAKqBr{dKZTn`q*9pXTOS?k7h(@2 zi+=?PQ}(6mzera8fcjSqUHR`@yztJPz{pm^p=|`g6EO;?{oFy|$XZbKq(7*!ewXqB zBcn~{2H$~+I%LCXefus5CNY~kbO-iL^g8M82wp4GA9W5(UeGL&IsXnU3Q=2AF&zO} zPE(ttU(GJjDW583G(lW}6!J8kfLDYdQvQT| z6iW?|eK{D)1Q{4;RB(4X4L#!JmGaeQMKKIc^97F?h^zMiCab&geaYqi3?u3D*kvSb%F zCtl;0P@p@rKBafHjAeUo)xxt*SGl#f^ebY{uBLfp?w83GL5-V!)T4J3B;J+YG68SF z5T+1j)bas)Hf{mD0~ymeR*<%D6!RXn5i4jyrxGQ-SyaTH*#TqURJ0=1rcWkCYp})M znvZ4F_@3HODT>|KQvJQ=!BPx6^QiN^$KeDNWjpLM3m#3LiHa=Lk~Y07UJ0Izru(k- zU`H*agfwM*Buxi8$8^vY>=I9h1}3o09|^FT|Y29z4*)|zh6Dle^d|^h z%or%IZ+sFay6a&j11h{8(|T&UhHnF1<=(Qc^{!^RB@TOdcL{CVk0%yYtx$fsIl?(r9WC|IvFr=B8?d8#aPTJz7^8NRQKyLPy~N<2{Cy{vCp)cw*fdSgH9 z*5!7aDM>TD%;V^dZS=p-aVvR0K@z#Tc;Cvi)IK_&Ifu-+16*JCbTboCxco^_jz$ga z`g6B`fi8=1q|jf1*id-KbE{PK8ume0^@<9Y)D|*loJnf}az?nZ zz?I(Lk^_oGxJi1(Vfoh6r8U{HB2;%EhA$jm@{PUG{4NEto2RH8uZz+A&IL1Dr?4Ea z^U>W-1!waPiGV%vG&|OT==x2{k!YB$($VOMt8;Yq=<%)6{%Gp0((&l>t za}cMLSF}7SJ*9>nq$Yynb~z_)cSyrWsew5^4(?O&*tR)f4(>zo(5=%jTzuC8V>_44 zX!-UzR6Cdc=<_30hs9m-2_3gK+$y&MHoL~@=;e+%2mr_Wsd&bwMFXy_V?mQ$<5F~U z+ngK6I(A~kRl`z|KoHeu-XOMk;WtgvG#^V&>Yv54Q;sVBervvOQ$J;kS@BFI6ON8K z#|3*BM!eOC{}kKWr2dhcOy!5Ij5p7NFwdKG#+ZaXyj-wrubW8ProC&-zfJ?l~J2XQx==G}@G?XPQv$acr(WY1<+0QhHm z7>S^3-X{cHs&K= zSqFIUzV6d})2=bjT?lIj7c+(_iZV`QALFNIEVI0zPuR~^@ef=pp7E;WnmNunCxFcw z3QgbFhrTJ0@6(2#r=NuX>%IFM#+TMLEtC1R`RKj&|9bD-P0Wt8H{uI8;e2;$fb~sw z?c1eF(^Im_x5__0`6NPubWRPKK}t@?T--s#CFbME3^V^s|9O8qIN8ztNRf;6>^^XEzI>3R3Y z%GV!aJ84!ZsKodLTpF$aX^^2`uwUV|8_X@EpoV6^TYvHw{}39`l`ofz`l6eM-gw?f zezrs>2ia_>W%H7lPY&4Hc7`Z^%nO{jD+*AIJrz?t4$fAexzd4Ot@H#nfuJ^0;T z^H#44og2 zW=cdGIp8RR)Lfn+m|}ky)Daz-449mzDp531&;vqs2)e#OOu-xXI$CP@*^7ss7>YM5 zO~8py?}fW(iSVPpj4RcZ4XlVs{KHh7VB=Vs9U!tkDxZh>`I!kpFu5$4M53*3ww2tU z8jfgHwcwT&{nk>8$@lS8ze2(yq=|mZM~k6B+quOTuj+JDIYAxq?1JXp>K9ggY7_=@ zO@y~B4Pc}Z=|(jk$?nKcxiYpFb6wPbrDx)9FGB4pDp4$?D>W)8IJS}YUbKT~Dj`?s z14vbqEkqy>ga+n3w5OcV$Vg*ZLgsro5@#gUvgFXY^IJS1Oip8nwd44k6vut|KAEpJ(h7XI=g}QhTs*;!ANgcA=PiXSYU^3Flt$dKm zF@!8R1nsIkixU|$Pw|Xnk2}ZDEcabCMYMX@Xj#nEsM2`@YGZ7e0{09K`gA+&$pWME zNhfINs*d#9=l8yRO38r?$O;kJBT1`%N2=>kZCnm0MnHK*99v*V!-8IiNf)010|2~> z=Ck$wVeRTiYSP^Ud0_R4AeW;vM80J+i@DUK^h@SBMfJf{r}G#FRuv0=bR~ScTQ1Vr z+9_}h4;{b3qS_in!1+0KrI(y$c>8iCz7FR-(6)wwA`;_krxKcK?dMXpst2ZjDX1A^ zM3a@zIE((lH`S9m=Tc{-lW8*XT)-1szM_8fv#hzQ(MkWtp$;wm=p0P6y{E&^*g-Go zN-8a`8DiR~YA3myYBnLXW93KvgN9x2#&I-TGs2INq?m~af1?JS+>p`G#Vo{L`%cBp z<#rIJW$Lf7yb2LTUi{JW_evCUbo5NjOOPqJNjBQmvGZh^VP>f~w~nvR12M&SGr;)h zi9a&ChYp<~m06^iNqW5I(z(Mm@bveS`Db^~1Z^FB>=`UU(T-`3%D7{=NwcNQNICPn z#GLAfV}gV@9ZY~Oa$Imp(tH+@y^RTYFEj@+vr*-(hPn8g+er72F@vJ@(KbkAuq`IU z!gJ@{GN97tQB6)GoR8&c!Zw7J&opK0B=>l37XrWXnv0dGV%}?*fk(gbrfq|@#b@|n zWt2`YdDQt@d_Cv-0Mx$KyS*PG*jG^rw{6CW1x-z-N)~XLBf*ef!1?H9yfV;%R3~nr zyHwHvpL$ZAaPfcx+PP5HroVx^wAw3%J4Qq67vT^p2|HNVx7v2yGk%;`<+Y0o%YJb$ z>h9u)j(q%b>a;SY=OsKF)*zhw&Klbiwac(Gpn=jL@0V_x*#?hpw60x++l0lW!!*dUuuGe&vOxX2mR zr=-QO&4e^)|K6BvDFkkNcwZ9?+(>T<+WB!kY=zExu)?{>Yu>pQatrMUen4&P>?yFyDC4b~2VFWte0Wo^u@+)W%S0rn1K#rLoxEcM^{QRZ(l z%%3JP3AaU@RI zPw26RUt_E@3)8?>Np{JE@R*QMov8=k5Bm7pRb%2E1E(-|Ae+%%_m+?`k}2nQf?43z z!2P%+oy5>`*k1KkVo<-{FT4YOR>r~4{0{(T*p?5-=2!8OeFl=mGnvdU73>~l=^Cie z@A~7JtWmn78946qM%}0_$!A=4+ZjmDWWA*97fUoQV|;so7!7=(Z^xwr zexDH;Ys_SlUh5nu%yy+2Ar!dp;-2Na?7usou8)Umh*|!D{NG9XZ?qPGrGSxx3>657 zGU4ym?*Hx-?qOtNe3hkXgDd4VB6V)nBr0X6Nw7xXJzmV0jHpRmwvmmk%uA}(DXSDZ za&e?!B6FNd%tm@-+_{}K;k@pAMILG6Pb#SgVng6e2XaW}DNWu>EU1TaXnBwJ4cKWX zV^OHQLu<*XZm8K9AQ%{6cCNql@bRgy>aLzLx-3mu&&(olf#1-gO`9Bm@O&WIW4(9; zHm$fz0A4`9n+UFM8f9`(8*h`L%T%)J$TGprcw4> zoLQDAk)Pp2ltgKl#C$iZRIRcmeG;k|xu@XeYGUim#}`k9F`lHvt?pkH_j9~NU0GCi zY|WJ`iC)#|DTIPyd%{c*dZg3CQS`(9VC%4+Wjb_M+^j<7%Z;F-Mt`yl%OU2xh0#Jy zVih0PnHd}e&<>8!!MPo~nXS|rJv_XVB-fwZtnN3K;OTT`4JFWpiv<<_2c7@d!u_{`~Pz~Bb~VIZZMW?iS@TIl-!oNSa4+IE)!C2_ZiU^$0`-EMFGsh*yO>oLMT-Sphj5z$}*7pm0V!&Z-zP z2rAsN7yw-{Yo2RTBdvO#lDDXwRK8l0x2T;|zFCsHsGd~5i$IBADy@2#z!-@#X2e0O zWl*P2rDb@VtJK)H@2_MNFeD;fhmN7I5Mf)(S&Ft{cw4J<=|4mwt>`~gAYF%wp|27_ zOiQ2~pLx>cldhFeYE?R|+q)0L%q~%WB2fod9kUozJ7WPvgKhVax z4A}pE9Rb>JDFqkWWtjB-j854znLJZ_L?i|G`c5?CYR^p2f0rO*)Z?IrVziwra3sxD z_qTqG6`BFx9oTg<0^zcO8| z`;G$AC%DLUM1lWD4HxZ$mZ=xzL}Q;<2Cjavg4QvBEJVX7l}ud2D3NSfW1lS<%RC%9 zIn^XQlGZUCKt|s%h@R|`MbljT|-7u(4%QS+rV-nt?X_QT7S33wILt8&6m;8(&Lt8hRxz^A;?|=g|se8;&H%-$+>}z0SBEnv4lX=^0 zC)|uE_eoN-1MpXP+dwP$XDiN83Ht1Q@DrXLpRg#rIE3EKAsiV;Gk^0jO5%=-ck&d0 z)$ntH9?z*inpwRwPTr*84VcSsvLNh)Nt$7kj=c$1)tr&6eJ?8CM4Zqs8;8^mBGm2x zx6T2$&O*>S2-(*`U!@FMhJupXAB}tTA?yMc40P#7i(CkH;Xj&;pjGU~dapC?K@nf^ zK~ljghFqv*UKRKG6Hru-(*v+dwYu6W;TULhxpV@fqpc_kah!DQ~X&%K-3T z_?Q#(KnNkmGlqoO)iof|S51N4(!n#@gwp`O7o{ImFn0R+`Y6JFheYuZU+o!7V8aQa zaAmax^Yj4CTcfvuMfXc!srX_PvM}n=p>Q`VZGw5e#AHIAYJ!=8K4^)DDA+Ec>{%3W z+T;h1UL|f)JaYPDeoPmx6Im|i*}1gB@*Rn0P7A@7x(P3Jycu*MY2AesK(~0$I>iH) zN+06C-hV?dpBmcL9+{|59_Zdoz9`oj92R0lk;i9;&7eMIiR?|=KCRKb+g}l~D$?5V z>eCipQ%#^xbO!S#Zq?gp?KF3qH~CmybqNJ`Xa=LKYMvDy+9|sD4{m*}E0C#$=js@*A^gC#-rjYm6oD2 zp`#gLMbIYQ=o}|fy%LXofD2V22@x^Z4ZWXoJ5|9WSmCq@HZJ8JE3@KQFE#*h7UD4B zwV!i$woioHjpoTXKF1FmW|(Lza21wsS!@LF{j?f%IIX^L$Xi3$iV(?lT%}(VF4vS+ z?U-Xn86a8;+jFR_9#Cj7KTF-e2^uzpbU9VRQ(CfXq#JgSWHaK#tGS+CG6_A17)Zbg z1<5QbXZnjbBlaJ>oQi;zJ>iBC2Vuw4SM%X5h7KP<~HxpQutgepf2EZ~Id#?uvR0V{q{t(yFYYG(BXWAg;@=+6Pmp}h&5 z(5-kn{xZ(O^1K1NWRWlJq1Wl7PHO@bQ2{xNEu2$^j)K<6LzSMq1-BNV1gZs+sv@y& z$r9zr6UHB=ay}`BtjCH?)!6eMmvJ^yOKpFP`DkNRNRmfhVKWZtDGHck%af)wqet{O zW2j?Q*nY20+;NQw3*yWL}+aiWhxB3?o+ZOy?}x=nRw+V>p__>#4enR-(OvW+2n$kZwOu_U89 zr^rUATExr(6GgP$0B!pox)e!(>rdZBRcQjGH~eqNupl`jup#~1tE-I@p2>|-wLD=; z%zolIPr-qOPQkvDuF}Ka_|@Li&`5W$l7X~K->5_IYheZ@lIQ3#*P|xTV8OYR;~Ffq zt-4e;sZILMeSapc%xk}l4@n}UnJA^v7ub?5vhnjL)98>gnXqr*km+Lp%<-yk!*8f1 zpZBvFu_7?;o`hcIxl_K^9Gg0DsJDUNoki$W`|~)JWzt{>I!0fDs@=P#ppVe=3Ztgy z0ZI!1`2_;Yb3HW$fBcu{I)_NI;Yb7S_t8etnLV=Cf(g%l-%K>dgxWJP!D8^;l3L!< za7%nrR~?MiXTlyzUe#Ox@E&j*W4Q1n1hw*j1d%-eegQo+@EW`+5wbh6sBj*T{LnJQ8bFto+=D|X)9nSBl7 zJO-j6$iXCa3U>8?d4|>WC=fK4%sPw%rG;%OUcDooj2fC2mU= zHLRg*qjrk+e>4O*Y*U%BZaG*GX~RD;i1*8JU?5acRqTB(337f9{55-5jq__KD#&^k zU&~x|;G-kJp#eTbL?+v+^$#P`^uq3>C=czD!yn6Un>K-afLS*QwoL#w%!v&p-nw^b z$eX>$o(_Dl2rlr~H6o$jx;kZq(+dWi?+SX+PtKsXKJeKd&%kXsh&AW9(JQ-U{)B23 zx7&FD{0a0K&};ol|MpuI^<;PWAzW+P?DP4%>;R8#@q@Bzx@+7pjtvXmrJ*BGk=tS1 z$ddMUUS=e~%)(dR(UA!E_T&CBiPlN`rN>?Z8ifSAu2h9OCLt~q$A$stlIkuh=w9dh ziUXd!EAsQA0_U$tYJ`$@2p_X=nX!M;0|(0Jfn(u=?%A>AUBNw!zGZ$zDwop(<>11s zr1C7i{0W|Zc_yo32p@aJ;{UwZ*5y)NHG3Wxq!j@CSyNOQc}iS@3`nqA7*M6lR`|0J!-zt|D zcf8lL|5W*TD7H)Zhx=Y<)_8-C#HM**z50>=GwYrI3yVBmrelsoqwdvK$?Bmey%lm! zi>F`WVNv8iH0b}KVdky4v9vwXld)r-<+)w;m#fsjBpNw9vjH1TVKW=N0`hnB0|K9} z$DXnOO4Ri)R}+7^x?cL?3i>Y%bNz%9&m4?f&x{iaNj-#{SU{GipJ1$QOH}Qeyj92g z+q2AHuAHS{%{NO#zKHrhR`@PA8=g;Buk2l(qigSn7cLS+cwxSG_u(ts#u%3axEQth zThhlzUwp7PD}K6v$i>+7Z1~S+Tj4D8LM~J3(jK`&2VJmotN`tuKZam{G=!V5VzA`= zG9_{88&%Hd8IW6%JJs6nzL*M8(sL2Y4Qb7j5AQeXCk(}QmJ00*X!BrA|1C~3=D~^v z`xY2iC{T~e-V5xlgxUPq5Ah=cAkaA+e6Em(Ah@Wpnh`dVKjD|E`h7lDkHwh!tgSdM zCSBGmJL5MQ`dNVOd@Z3PJ^5r&XRn;6ikPLkVmcp3XdCqRQm8i~Lrx~lC-09wvK|JE zkiui9eZ+JpN2~Ad5eqp{UY)SV`7sU!ztWY$tmscDIZiCmPhfwtpheND0BUmeT;4)z z$-gU8aL_2!Nk5Cih#u(qG-m)lT-YENE+Z*EFE6v1K9Cb2eWP%lPuTpnQKh|5>KrUs z4VjNPIgT9BO$p`BSh1ac;NGRe)MJW}zau+wF{e2?Ax4c2d642jiDJr{W$v5dsW3&P zI<7SsJeoSC<6fs_uRhb!0d!Jl?gobmYj^W~LMR?h?MAaGrWUyC^w&TS{(>VjA99LE z>rIdR)qVIOvWuoH!3I3R5!Zm(Hwfs-By+^TZC6PH-ss-JeLqx#S#J#J$;*aNp=%FP z#%CH89Y#KrjbdA_U$GOd%z?^U$)rG6k}^do?-xgp8nRteP6-dG-+BB8_gD;zsc}vot52r6Jl7QP^bWGDttc)7bTj&B4;_Nulc!$34=|{ za-vrDbL@+zrXHn<^@g5Tv}EGF-O|jh7!yoImhCHCqUz? zHuIPK(x5$RvtRPlz`wf*ikO(yu-Yhp(2O#}A;7RUST2II9MA?TRTFI}tnW+!j)jr|A& zas^wL{`|WRO7GJPFe0*G$F&x5dukNKe)cHd!G*A##JfLXopK{N=?2@0e0di<98rmqy&6l?qn*|z2g95l;QdYM|sO1c>oR3YatPFmgc5P{&!sB zdFN#USV&J3F7eX*`z)(spuVomSA@#(G53S5kQ-fKr*O~5@V`imh zl3ze9kNI#xcVfnrfZq$<>IQH@Mr2Isp?9XJw!Wqx|DT|?AD6BIYF}A`8b^ig^oP=DHRfm4S*O>Ux-=Nl@QaC4up@)p zwFse5-0*D>Ab7Rz{7sqtEbuM`M2Sp_jz7CU-U&b19bFFJLkJDyOm#-T?R$2j2?!iD zHM7$deq;{HdlW*!5_y`v>G-nl6GwU4g-YRU+wDzYeAHY)q*SoCs{(8%8yLfeh=VC! zT8|}mK7bR65lHK11)c}Erw->Vcwe|fGq-N9YOu}c=3Gu+c+hBJk2xImwxJilL&(s3 z45LuCVOT=kg$&FJVE-r)0fuzQs;3pMYcpv^i_i&>3JW&Uw$I)|mhKcow--#URy#Mq z2Jx-Y!BLnoT<&$xR80BM)X zsv;^QpDpAB1V?=6TYYGzRTJ&G^8)+YKMX$TI^$dTy5U->WN;U<=aIIPLc>D5Hf^w@ zk$DKB_~gxo?JM*z4B}>bQVbX{;|#1i8n|#}cpL9zygK-?wE|i*o3zg5|L`$EeK{+@ zPvaC6Q9{2^m_60O*>nCvceH#_L4S+1Gd*3RnI@xurl(_Z#A!5X-1Rvn5&1q*R6d*2 zOfB-KFzQj_{>0wow`I8I(A&_@mA<>?^ro9^HT9(U6|wtyFHF@=^N>zDR)(q(#UXFI zR7BgaWP;Kd;3ECjZML)Vmkj!KnxRjJ#kN=F;Ur@>q=jC3hRU7Wq=)xcibeHSzPzga zkWch}`M`bwltmBH)}hJ^&dv(U0P@P=$_vlVF0~I~eSiHyV{;J=>&o%Ui`VWKD}4AI z{Yv%7(Q#-QFO#|)@gy;Ka*jCDur@-1=@SC zY+eVdXs(FsE9`$60rpj@&oq4;H*piVO67pnLaHQP<^6StB@?4+a+lR2sqe>{30OCW ze^+E*q3M~{sxVFUH(66Gjp62wL!CQK1@;QT*F}C-?!ksH6k=Jpn=T%~vxz8VXElenefq9sJdD((a$X6C8UHX!C=pFagqgHjrZ;32pch$$5TmxnyaF_lm zIU1>7fSU{QJy}lw!W<#+g}DLZlBY$rbG%ypPu->KOL?dXgFA@9-UYK7q2}&{F0jUP zd*+ga+L%))5Joqecd55UBadcD*igM5;+5vRMl~$tB4eH2-SV z1SI4!Ur&6NLEs=CBl+cUS4n)ADM$Eezunh%63{DALjQ!DuD+KDrUyuQcsbrBLc{{kI`)x7 zP8TB*v(Wp2mWS?J2vxvpm>H{piRgM5B9*?Dv+LyCmei-!cQOrTEeMbz*)G)#EEa8_~se zyKxdEauEQ~QQ~B6VTrxnFb`I4*<1RF!8-ER+n9@;?HOe)8QIQP6VjNQwhdVfK6O87 ztRteeXzR^Z2tM`1{{i}c`@T*o%eH{y12sKcG(CaO%>h&CWSa5JLh`Q4DimmVxp|p< zYwl1_8i?MRVWX3~!{K4L$Q1YF4qRxeVyZ%l;7zsHtZxWgE(^1lvwUyM3na`W%!ni; z_l(TU-&yV%H+lq*U3Hcww`2uWn>abF^KkZv$YKFGZEfj)KWXiu=gCcOjn-EdEA0YQ z`j~lu0IJON+-IOwN=~M^y6_lT7mNJp@MUr zqM%loiFGEz9QYDa)D=>qz*dl;t1W*=T4O^4s>fE2muS>cWo5nl!?b}x_tgA_TA3#{ zKAima5x=`o))*!65C=jOj>VA;J!b!=cHyC0kyoT~BGU2T_De;ILnb07(HhJX=3P2} z75iDMGfU*L?4B4C=YAxpw|u8WZ#YVIobm{_S-J+UBjLYz+3(CMEUs$>QCv2IW)d_E z5Y&%hff=`hWyuo01j+*)#|(f0C05K zNr(yhl3w(hm*NBbnZK&BbT<)xDe-U`B+SAq%d3;c@1vjW%WTEj8?!>l1|;0*gWhY` zg=rjz=9Y>!vXSO|{SI9s>(|Sv-6_+Jti`5#U0VYMCAP2fA1VWJh--TT zsDp*WVNm#3$a~-<#LR}GEhDK;fRJGqHrC=MB0@ommOB%74S@CyO?xHNZ(+N_LE-tR z{zS{zs81a15AJ>E7&LfO=4OaalKMI2tpzL}nzIG+08_GmWCmsh%Vk+E5x(v&^ZH zdM^1Ume8MF&Fimh=-En>fH`W5=Ye=R?P+M|s>AF1ZoKNm&;d{V=4&(8bQ(+VfUt7m+eoSp;KUGv@i>WE>+g!81ld^aZY+vt&tyNc~lB!9A(U6&7 zpd3a>8D&D5jb95?{G5FdBKz^86CL_3F{z-%(tWRkK;w6CgR3|WPD|PHL|Hm(nf6;!&$eN zb>zNj{aU_9nOivpmpv#GP12ZTo|96Q3L;mTcKb;5Z$^q>=^bm0kc5u>9K$GD3S0?+ z0W2{yn4~03gUmIa7pT{^Wv=cl$h;hYb)j>x4!Nqlz=}NG!jo2+m78>iUq~D=rjt-T z(%hs$eLS?lVz{5$zV_%X$XR0cuMCm9zC$OCvotjv^U<@fSt{5f>%xs%b4rJcL}FsB zOSuc(=|!n>uLS9QWc}#3arD@PEDbB%kA-p8<6^g^-{8EF7QfhO)`gT}8wZg97aVc6 z=F8pgRxM5^oD6ct#LbCm4(+x5nS*>qauiJE#fW7%h=jpvYlo{gch=Gk%t^9iT+5&> zWR}fYtWx0|7i9QlX)H~AH62`AA|0&>jQq`pCuv4m3Bg#8EM3n-7(+5Am@|`!l+8p= zN8GI%kw<}3eZhV#%1+rbp})xizu+*G(tQIp=8sz42UNRNZ0V?qPnKe`v$~rM8GIV6 zW+F{=ja?(WeeXbgEz0Jnf*uHHIk1Dzryv~$>W6;Yeea6n0<}A@>moY0Rr~f-fTG#% z%rjmBM7nWQH{(|~m)Dl!MJ43LQtE|XaA5QgIbdFp)BpYF8X5M86$$a5=qGwCte8@7hcIRr;ZQ>8B+-RBO3<%3YIT<}nr6T#H~$O3nee_Aq{1iznyVBdZMFB#MoewA ziH4iejsAk$6xtsQS+;b8tINVswsc#8yyFB}vYzb7MsTrrHpHS>1V?M4VGO+E4dF<- zSYE^{`;*0iKY|9ixDPjc#^;C>V-UifTqIS5ge5{nxwuLHX35L;%h6lTg-fM68=)L$ zrUr3QkYf)5Ztb1>YIUann;oYHd2mNLA|2*b8T9`K61a;j%|Wh>gt7JLLy1+C#WrG0 zsz?KBXv)p`ssG7>iKuTvuG}cGv1L4hBWoV$AFy#{G_44v(#DrvJ2x1|ZxRwsaqg0V zG6v{OD?&SyUGGosPPT264KmZ8c%&#D4rYGmY8Fi7V@|D?fKdKYmh!i8Ch+`!fnB{Z z%p_NFZ|1*1M~I9}5l_Zox(@7EI(e59F2X*OX)-VNdz<*aIEiQ*j$;9Gz7ENMjtAOK zRnthO_9v@Q&N0!9fPY|zK{aWlG3g|icz@;>KwsR)g8nYfe}o0C7!!wNiVMvk35(7x zE}UpgD$4Z*4y0Pgrf*EAo8rIqOth(?^dqD=SCN7d(4S~YLGkHKH^*BRMkS#rK9(nA zc|!*M`MADtKXknXSRKFCH;TJMDems>?(VvwxVt-S+}+*X-J!U<6)Emo+*;bZ z`#+%k5H(rnF$x+htjfvqC%Mr*V=;*;Oka>AkH7&d`T~7DAs>dpd^7`49_dJ^DX1So}NS| z0TQ@nec;uuou2Vj`=|2%1Ek>Bs*17p^dsx-Ac>$Kd8KRqSHAvcPY+(ebCYmF@qhAC zHPmAFGMH+K0lQ0q(l1Nkm%`&kC3-W=1^>*;WSRqdd@3nbzC)^FpdCNiSUb7Ne?i79 z(b9L4t=P=w*+^Ot(9z6uk(b-Cn@oYg?+X@GYn{~<0G+k{6S}D$2t?6L@j(gx8!#f9 zkb`lyNIJ{fMOn=8q14;)q(lV{sdnO;{#WrB`x2Df0riS3HvXL@x&O`*@^|ImA$F#u zV_et{)%Faasxp+y%@g8BWS#>`Qe2u35g7kofDAE>*jK>zqKY2IA z{Lj1i&zl)ZK?*7IwF}ux--H=VwMPTh_h4FIJGNupP#``d3kd#06JHt`gFm9DIZklp zzD#hL&aFYKw=-onyAP(;A(kVU=zl6D#_H@INaJ8*a4Lb|W^zh_&|Z*}#qu3kVZ~i2 zxdI+LVDx5CX5q(Z43!#-f@LY*BOv~ z0l^ALbx_T8Ssaw1QVWsaGLSpPUCoJ)>9oc zS0JT1FZM{Tspt#{^hIewnherpj3PURjlWxCFeB*_0aoyg#^7;+dZ?e6#-JF4v0F=BiLZRghl0>ZdggDC3U z?b4e%jD%*!uf7!{C3e%6Q|-TQ!axyUj9&PnN|5xTvzJEf%~{OpL5d$&VPndunk2jq zsod^gQI-JCj`s`xqb7Pl&qmgroSM}x#MW{NEJ40%%<>DcAlj; zP$I1L$FxT#%>6b5fcy4Q(lt&!lkIbJbRgSEk*DaDDkw7-WsHhZezjvh)ecf(@+MO+ zd-;k4`Z>2;qEXJ}6HJubXbHS}@(LROlc_Zf_4f2kI$-2}Cr0{?Gvy3#J*XVhShSbY zF;!Sjp|ECn!J%ED>ry3}^0XEAvjkH!nO&U+(;Z> z*JOQCsL{wVLDJ37>#RukI@`w3Yv6ht}RtWa2A0+JE`G8CVUTWflI2Ij2$A#IEG87 zntDlq03>_kw~;PL2{hx7=*OKstx1%vZL|atlBEFvRLC+ph_Cg`wuXk5c+_u z80*7A2?2Q&?GljaKSJ^^TX$?l-tTQn+vJNrbxeSNZV=|eJI?LSEZzmOi`$nfOs60L zf^OrH8q%Hnk9j<<3`K4xi7=&<;MD?INJ@#WdWwmCm-sA}(@c=Gf#B?467p|B`3H(K z#}25K98iF!%w-2FCoIqR**Jy}zTS$leZ5p;1_qeyu35o81ecUkxRMgQC6P4aW(Zq! zemRG>Q7%&Gs&h1Ce-CWbTy_e%;D8k1s=Gb`Z>%N84vM`#?%#le28Q8G(@)yR-f7;O zVBU@&!#r+X$qavAt@G!Zzft2TvW#;;FQbPiT1x@6;~6>$Ub_9L29gMNqDYr9NL6Tc zCyP%GE$lTcr`b`&e*ZVcV%x}c<;$h17>;r=Q7k6-^S3Z6zDBvc=g?Gq?V42rvY$S3 zyZ#SoFMvv;q9=>qz2oqcNpX=huK|#>9Pe*|{L`!`K`SO8C!J!&_%}E-m&Ctr#=7G> z4)B2F`>&WE=JQ&9XaB3JpiV$ye)0)$^Ix+4?}{bXxr;!?moAcO3jf{W+m}~WDA)R) z+Y-1F0(MYa8uNsp?*9}GFgvOzL+zDJWY6#at)}`x&A$w^V;wZrcxdMo`Dz!2NZ#?_ zOjm};u3$O2VjdWf(h`~B{Ri$skcp1*qVUtu{y!+FLOGbyCi!Ro-&)FOjui-Xf}rS$ zMA{E?3{q4hpNj;hSVLdwQl)wO2o1eKEm2B0X2Gu9LyYck`TBF5(8A9k(fA*F6CE%R z*7f1@m9LLY-(D-`$))Au+bjC+kNi56>23D+sQK3vrXcI|hvnQOkEw6Bp^FETzZ!-5 zIh=0sE{Mn88G&wQpx(00CzLa8Z?xlrelaJDAa%rCoxODkX>6WWk>A%OP_~E)zH;dL zR}RlPPkuc*#)dC=9nQ5?lRsCC8?|$G@=GfZ*ZmeL$sMrTfkw1pKe$C#utF+nVVe9+ zwbf0f)d}M{7Xm1|aF zSMOJAB_P7N`g(wsHNqt=+D8Rc4C{An=lS06yD^-=LOH@G5Iq~xS-q1e1 zEniNSY(D{G<}XpE&a8~l4Y})C%e6WD z%~u;=gZX%6eD~N$;l{9_bvIOdQiixUZ*oSbiY!84gu?N$f=X>#CiGzJ}9_!VfpGVwyVh^r{NamQ3{ucoM zi52xh9HBKnP-4onaIy>Qc80+1QI|Jyvcct7m)B|BTftDt1wcfrgPvL&3Tq4WR;{I) zDsV^gEzo?kMX%1S&xET&t4g4lYE#ivG{i(y;lU*-p|H|G3`9vK6pSi7QZWV&M_S;? z97#6+2**p6E$~L2I{m__*7^X?&tzebTFEeiG|Zn+)M9gL#o*OQ#yvf5+Nj#MRki~(^!z2b%0+7=H>flqfa6+|QBRNmcDfay zVA=e?TvOTnU$eu9SiT)ye#b8g`J4rFvQpskad1@WQCP0?awSy|Y|1}1PI$q~iZ2L1_{ zA^~F=;OvdgKItGUI(masOfQ$IK#%d5B54}MvXA}mAVVDc7|Qx4OLeFoA7w|W2=AzS zp_4nI)hcbCCv3FCMLtumCoID0cF>TwXQ9&$Aq|KHFecCSK+a-kxr0KY=70JBrojJZ zK;iO_Trdml&%t+%^o~KDkvN^xNkJ_H=T2R%JPS;7<&;X+1r&Rju*CeY6N3>bJ3L<3 zdm%*J9JX1bBWxtNt{#QvB5WsDBGZ9pGaEta;FFmxkc^PYtd@W83!>$-k}!i^dC9}y zNTw?D0-(Zx%L$oI@93CH!X`uK(h58uk?DVx;$4|Bh2L?`L~J`JC>>$5-HU12BFiTV zX)8GOkCHne>-N?37?b69P!t%adRr(304iX0*hSDl*2s_;76Sdy{XH@y*w4m1op8g0 zk~k>!>jGhA=jL~3cRs#0rryH4-YR|uT6i7FhA!E-@mOT(JFdlylf`MgHZ_i@x&@|X6SOy z^z!BbiRA?Hh~@m=8)c)f?s9TgJp%fQ)TbcyK5vX!BwUJ|X3uYElL^KK$<&98-iRO$ z89)zr8X=ow_gtShZhcov^3d$!&t2OZzmBC~BuU>C&T>@l!B5z^0hn&K#jHn&=b(gB z5n*P;7VpjW=3$*q4__Rg=m)*)2LE&VDTWw=jR5FvE(S?ZeiqU3FqmcHx_5b1Y{-l2-gxDdNp%t6Gp4 zh*~w`(vrojbXigJ;J<{Y>ylmNd)m=(+Xd-v>*81yefh>Ufy3k~t`K(8QISVSqlZQ8 zspA|1cm9n-#l-pgyF8HT+xT91X>HR;iX{cS{35nQUg==tCX@E`A!yrlZ?&G@?hX6T zIok(i`nKNi2hT?pcCtS9iKw4;=;$d{fY^xHWb+9>b6zd|`(-W@)sl81B5>K@>2rUU z6V5-hNy(aP=ctGw<(5_0NRNaD?Z*bI(6m~=hrIJ0^b#M z0K^mwl0l$HHyM)Z@?YYyx#l0`^m(LGUdiS9`C(I6QZqe2wD46HSvd)gk`9iC)Td@FkK=&o`Ba2g*`+>^H%~5gGhZ&Wl8O!3zysSIGq-G{sPW>CTwYQv^+61EjbwlaR^KOHdy)EgpwKzmeIr~@ z##XbsJp1ADsP&zjGy86ZwmqOV@1jFGTG?Z(u`LN#YAi4Rhq{HhLDom)rUX7FVfNXC z#4^4Fz>QOP!3;Js+r+9HQbLiHV;{V@949*l92d(>(NWf#sqaf!*P~YY$pr-Wnes73 z2I~Bwg=h5dFc;m;KaN^4KdI@Ew2RPw{-|6EN(h7{foL&)(yjRe7C`t>R=AZcjre4F_EQn3U?) zbzg2Z5wf0TzCNd5xc&fnbV`P6$|oFqK6-|nC*Oa(c`@`A(4yb^GzQ;AxhW1@xK~Vf zAc3pL@(uathoT2koIUKkxC&tGNd<8Al*n?$g&{=br73Qkl%^^UuzXel#DRRv^MZnS zR)BAoq{b&!MwmC1&{(zZE-WIl)U`>$QaxEwGO@{c!0I*u@$zqj(1q1yLSG610Q)kW|NrnV^mzq_9PY&Y{@TB?Ayesf&wmC^LYtXbou}{AAHej>zkCMVFwg z_vEg+;KC`~ZqOT-qclBKsccy;=-CqOi@EW*3uI-BF8tAI8B=hTb zWFAD@iUUf^!y{WSA615I@$;UK2YyMH50WQuLbh5I9ThG?qg@c+P7!7vtZ3;M3Xq^P zKY&_%!BYcnP-#KuDvXfJ=o&C<*ff1IU=2Q^RTVV;(f&s>p|o`)!Q)&4|FZM?TTTel zVc$JmX{5&jX%|O=ueH>gqoB9yNkb0PpLH8QWTy0*je2-f zQOyV2t7-b%jC$~Cra&|e>k`no4U~)aLe7->vMJBcU>ognK#`mwPp{?N5mo-lHvV6} z#;PewNns`3)1tVYY!cKStdqGH!nVsnq+G;d@fJaBpACb+1JN?j-OjJIoTZ3U(Q~)f7y39f9iOgAecMCcCsl02Bs)M<#Nyvoy#Qu69M8! z$Wu%ttzp~svUF<$ueFw}BE_jA8WcE?TL{{6GIc`&^V0d#)&cIdq&bREb{zsaRZw=e zoH@|Yb{%Xv#(ycI6cj@{hw`_MgcD`;-;&&X@e!lOZvvDNG6Hp~a215BpC}W?tqPj9 zOMt&vTZmrM%n690XPjEA#jPe6Ad3^bn(b`lJ^ry({7 z@#F9r<(!c27ts&#JJP+kbrBIGT0xycJf=1K^zBvvK@D_N-ngnW{8^2Ju3l6KLGbVC zgXNttZ_R=j-6)s4t*6P2)_?H$cexWY+IsDdj#UiekB)T=l#VC`JgJzA+Bkr)(YAJs zk1){Rf+KbcD<$;B9aU6F>WjE-5);VKossWV^~vi80W`H}-$;nNWI++;w0}f}G}b?9 zctL+M5Dn8_*sG!U8W5S|W>g_xAYqXJvkV!;3Htj1(|8PdmQC*mw-FDeqJqbdq2gXx zXeNRoB03pL5lBZ#o(v`@2ehC7PfbOVg;=;#KhpuAM%gw>_y~&XhwLxJpd8>`M%-iu z8!H)?DuA45KkWqf=yQ74z0FT#0ZN5Wv&+ zg6`eBtuBR=2Q%8{LF3Znn6c+(skM9Vbw$yra(6{!|96fLIi@0E$L>{uz-jAJ>h=;H zRlcR*M&4!^AIi{``-Vb1zbwgWIq#>X&K@TijH4!ew3Th*cN=gWjGmQ}!9=UY{kcj+JIkkgB4#C2_lNPA*QZbTS9HtP1DpZ|3)N{ zv)CL4mzY%eDH2GNWz7taM#+Bt6+D>jfwfhlQW#v-vnu^Qh?ZnsO(;05!c_iE*G!?jor(Q!lsHe4r$ceP3S*Kq1hL>Hy~>KYetiuW9BY{vN0v^>UGAc#U@ed# zg)Fuo!Xv`sHGPZ^=vHKBDB{n?5c{Kn6pgDWXC? zkOY$PPxP)bV&Rk9FDgAS#+TS#Z^Xjm`@1b?@KykYFx6&|R?MxbYLVpH@*SiGC@z$^ z4WUrCVwLPysTSz#aNn{Uf@k@+@a%;k$I^n1I3}|0d@3&E?GW@<>rU{lDZ~`P}->z^oraE22dROaSy%V zgBEbf9H^CY`!fi zeQaA6o2XI~NG1%kg;m+AUDL4U-Av1hUzHp8ls_$)h-4FgTu4|1s0}#ds4vBiwJ%EI z#4!ySxs7|A>~U8woQ>(8!6qL9MWzE}q2w;KP(LNNSMr(FCbq7wl9Dm)>M1Db&UINV!v|M3=}hM`KJ9ZPkTY?8a62EdY5<8gdyDcp!5H zR2mdfuWkP7jVEN6WU?cftuVe}jQ3R$*dpW}VcqSfCJ#deZDF*G+VlbX&nvOkm&dNO zRnp)6s#H5J+j#JGQD|~`nX9>2`9aogJ3D?y8NVg{!P(>}QzmTW^$5pD{kCkGvpJ1h z$u^&PxvmvS6?L@J7)T*gD9!dX`LK{+fm&xNNaWIs>)!V10zd-}@81M%(^Ok$i)g(l z0%E-ad6;q1NP=YSZ62gwfd;*g0yLCw>EgGJ8^1s2S#w--MiC$1{ov zp;Z56pbewzhTq2-bJJ`)!oc2UmQLMQD~e@~4qXW{gvjf`PRY>0*+;a~Gu=P|Gd9vX zIEh0s_pI%YM`_u4xp=YxpTxJ?0w$`@QVXjd!h^IYs6QjPFyr?r_klORgx~}h`#SvY z=Z=4}x?k)V!9A#b*EYs#mV?J3PxMG2W054uJCz{GdxZ*2cg7ImHPfO67l%?&12oHm zQKmI8KJ$qfvsI*imdDhK-!xBwoLsRGL*yTw7XYbcu7pJ2FW{H7l@t4Yf*)5gOB?b@{GXuCYp7)m1^?&J!J{Uq zT{AiIj7iV3c(!rPISg0ptSh`2o{T zis{t#>eQi^E3*4OBmqDh=e&c@{kfCVRlT_r(@nhulhZ|@Hkf&~(wmx0+j=jQSjCPG z3?s!CX%a=&$7QaZ592$mm{OwHz-mtKsz-62t?iZoD$-PK*I(DMJSh^5KYP_3har@A zD2DEqm1u%495l(6HIR6ETxZsd4!-SNIdilaZ!s=?Hyv!(EA<9W1lxDWxF$nyIjHs@ zKdafIOe<4R=yWvu4y*CVoP+I~EB{_9@Y{F@W%k7&p%2OsRDL&C4pvF^ zC|fgJzDy7ZJ}^CS&(0uUsK2atB!04BP+=>wGOsW)|5EBb^>uEvD%zxwDq{do&LZhU z?ZStDa!Xuy2=*PAv{RZs?4eBjTQ120@rXGidMbGpj%On?Fhvq!9liAiv^VGF>Cd;j z*T#4RTl`;kX@*Hl%?>u=+v8g)E7EwqepxdL0-WAon9>Mn4XL=NGYapHir+A*WhPkvHN}=Sl0V|H^9aSbRDuess2N86tMg#9o$M+ zd}x_a?O%OYRiqr}qr4@bZmvyH%hfmr>W8%uG>t{Xy;m`b8{Kk0KdSjg=>gigt%;5p zP^jD7E}}oSAgMDjeNScH$lE(U#O(F+3(iPT&e%hPAp87Pa8N#++H3L_ zirKJWk8&8Z*UT$811SR+9fAn>E4c{NkU!!FoiGkM;cLO((*b6$fnV^q80A}^J9q$kvb&%5jnd?W@eTNKf{cb^mD!XP^^d9TLwJ)rl>{s42==bb?gvQ3Sqfw*X3!& zs!h(vueOoC`vX{CHvLT3MAJf2^t2IdoH#j5y97|5-I@wQr0;}EqH5pjEabvr;v>(+ z@E;@!U<~KNro^mG1H=Cr5%UuOz?M)&CdqZ~La?gg@+HYdn3jrp5mk+NktTmI2|p*^ zX>PTFynFf%M)eVTkXQmi5kEl~)%R^m;;{#WkM%JJ1N&hshTg9Q@hK*{KlZ%NN)AQ#1~^l#45R45PTe}xpxrJ26kq?tW2>|b0kXM zNC&Co{{UXWU=s8}>^B|Q8CAauO)~l(;#$DUnN2ww6}N zlsI#v<}ro2((YQbB{i)js57Bt0EZ zUcQxRsj!SPi(_f+N|e-oANhIF226mADzvlyox3PCUVHc@5vvd-P3T(K8MM;0u^>4m}y$p}2)*j*n){5-Z7 zCW>T$GolI_IYoeR{%wY434n>{D~;(KyAJr+wh;k1M=wF*D*@0N)uYHKC&I_M>}-a; z_h!P?ffAVkD$IGii2jk#G->`GM$E|s3Sj5dzzEN+xL=g>GJ-Xq9}f3*r|@LR4~TYv zM&B)r+k(pc6GO`sNB{a6O`P?ST!h++((A=g-F|BPU$|CpL_V=FjJx7n7&pn$Vr(VI zJkOBP0c3@*+1A&+768j1cvi3(GeW_AuuVpf+~{L8z46SnQRZUm04g+VMoc~ea$F#h zV|h-^gMC)oFZA)8X(S!Ij66bL_0d{+QdiWo_a&-=e?J|@6}GghlIH}nOyXK}1BLjn8 zLAFeQTJo=t3NN}6Tb7B2jIA|J`7MxlcMVo=+v%|91NV)*lW3STJly!yDRq8g|6?I2 z+yn1r}}NXQeHj}JRS4)^9M$}-g8$;u80>%->G!j{A;*sn>(bn{r+>xJt-*{!8y?{ z%$1S{Fj)U239h`sUX%@m_pP|>_Do0J!Pcjb9`=rH|G|J_~Fgf^14QIb&=N8Km} zP%KhD05tK-_+eOyLqn~3OD%&m2_1#rNU~Uf5X6FpMFA5WJR+i!hy#ZZ6pcrhOo?1& zo<|~&r#8*kbgZ$2#&|i>%|o5?1NnP^r$EzSk0%m>{<&;tAE^X`Q)ge2>t8=wB-Baw zJ?bYMul5CWTP&v8q|z#zQlcdP=wLRtYcLFuQYKX^k*1DB6(CyN8q6RUQzDnhQw9($Li9rHOVIpau{FIHeEEAhBRe?+M_@m zYn6-E8WS`QnwC*CYn8PC)rBLXtMMx7cI5v+R}90^DJ%3wgqjB;$N3JTiW5C5j|OA_3uyC5oSF~f~oB_ zJ~G-nG-lO_QDS2VvNk^wi$tV6@#%me5M_ByL~L?#oE(b_8shB8Uuc_P5Rz=jB&wql z-Ed|#4M)3254qOiO@kXTRUrD6N!L{wz)9BO@mE#xSF`X}_wmi0@tb+hc3K}crtL(m z(($*C|F^qx!7!l9^?{B9gT*CX$U>UrVyD=eNwi}Z2R6_C7YAaBvP$rc+SIf@!x@B3yvj@)Dq7;q%@rKnj5T-YLC%8E_C^B@!2*O0YPBNbDd?7of%p;bTQHanu#}`o(47 zD|~`T&Y*(}-(?q6)~?x?N*E-_vCT-}K<+AxBr%9Yg6WEm(02N8z`g+~g34isiD2b8^Mfi7O1 zhpN)53ZRl{qkCk3Rpzypwl~vkx?RF&rTAbHXQMNg;c8N65NnRg1E43@-C)Hnq}j|X zwCTc-HqnDEX5-OIfI^mFi^|d;bdK|A7cYVD*JH|BzPSMKMe|YxpK4AElJHUmBwV&h zAxoKO?^9B=At7MLWwQT{H`1A_{VE$4B~%^QXX4PpPkRR;Xsr;jA8q&+J;TJDs1Zx}9h&L^9<-z`SLkHpM5F-M<}F=`jccSy2TK_b zeo$79uf47l0-&x1ZY;sbw(vdK!3MpcX9aE?3Po@l>>B%!jC(N@kZiaSj5~`NVo9xy zkwAf&K>fy6mUwF{GR9amECN_GNA{wKp$MQeX;|Us;fT>EL6Wn=g>3jF&~gbr)>eyj zv^rgP$_zFVg7B$qTrp~ofg5wUymj!N4ALuuT<&$45qnNT{0Zze#RVcUHK_1XIHWI8 zWyIo*hnS6<19*`5c%Zp2V=!E-ja8uOFba4Orp*;PuHkHDq%0K3MT@_KUvhW5uCQxN8aQ;d1m-rIpz->OD(1% z;%sWUy#*Uv(I|pZA4u&+?NS}ZUcdyCJuDR;Sf3T*!}0eXXsjk$=o`X02Y0E(AVW?^ zJE!4aL{ILshuiHU4=rV7rQlB{-LOTPgkhtwPa}1DC=D-tt;br2`UIg)DT6SN77G8HbX*6VP#Pm8%SXdCqyFx$E4wt)X%~o`^J=h-==xs zfJ%C=8#-Z$UR=Pb3b)B$9}F+*>**GcjjIulhUcfkBNpBtcd@v~y}8HDW1r$)GKDI4 z+{r-+r5P`;E;g_>i8w5w65${MH&Z~u0t_Db1wT}8gfvsY$^sl4_=O9Ee6U2q){m`H z5q66l(x@cvhRU(9LOGES9)L%OQi>#T5`)5BtuYwbNFrTDwDB-g)npFE6)~>%6+*5ivGWi3Q@0mVRn%<(YQkMTD%mK!Miq-_wkPoZRGrj+BPJP{OI-rY%bz84~gS zVv)emG3f3>=xVi6r{%8BDsdQ!3g;$~fmOd7HFrh=eDKItXqsRGJE6m={RIegFq9g9<~`qe~oN(#eb` zCtL98Cn4Hl(87q-y|?dy5LG&ap+uQcsw!>faut@BdCAueSZe;8QrNPkI2b5G2{ar# z?k}dSIKQ`#m`ew`s8hwZ4+FqSyQ!?hNHM_t7uV=!Ajd>;x8VdOh9No7Az4|Qs)S3n!sD@u$REc&%D^D|zEcBI~X8C==Gw z*iH;NU~_eb(blLEq(UOzLycnYR!CPE+Xl1{AH+@zTsIEUYw4)A#M?xPlQBc{PpZ_# zQtx4-PZ>n8#CS>Qp#9Nb{-CRY7XWx|fZK1xt9HO!Zw+CdX)mR~Cq40Pl?3!?Ib&S4 zY0={z2$+v)oSD;D-KQYibxpIra%IeqG&P^lR$g zYJCNs8MFJs-QL{!ICu0268_+N6fGq)EZB!N?{6z)6XqXQp1(ak!cTwG$}$h|3l}cg zegFP2fA+QQ$^x)Azu=zbF!k7|kTCVwsh~Xd*s3r;^|(4*#7ky1(@I{$$ib^e=1;Rr zzlgWnC1-I;kDFU}>Kv#sh&oGqpE+uUgQdnFxlnj?r!OqSca*$_KA zpa0yQpuq8v%k0LSbyMP;tvU|`#e7;o0=A<`BAg;_kNeTO@EV>8O_ds5`W5}8NeTY? z5O!0dfFL!GU0nG5Pn1b7D9W@Sx#8ivSZg5jVlUF8wL$RvW0vIu!fKhdW1-0MnnYAT z-BK&7TCunJ&GI>WI`)_PoM$XKx3|UCLlrN#cc+Tca?}w$yLTW$`Di(_6~ONO{cpe% z6u77uP1pRQh3${mC$em5BJZ~Gdam9?`99M!y{0Ivq)|M5T~2&QyYOg>L)k6Rd=`-_ z=ca4>NDrXwu5B|0g-WzRArm$-=R8^Z=5pNtIk z=mz@RH3F$a)xc36<)C@w=s)pT)?!6rBQD$*>I9~LK885uke$wP33AOYJqBIPtGIMu zufLaDZ1tNS8_wCHYM@JNp;V2@sUG-=gD@R27hjhH_cP*xz5$cd9FbKxJ$7MK7wCMd zVT@{xLa$A15AgZVv<1ZBWTURlDy3eVW0F&r9!2RUb6}roPnevdOn!?e9@#~iScolL zZD5Qd!857OH1A=I)?zRX(l?t#9a!5ej0;A8F9FW$$-Z%LV$tT8gA!X_0fJJ?#*5A+ z#yf7gFrqm%r!ClzAOpcEW+>T9;&HFXG%iNb#9Ka-rL9wv%bYZg%MQ!*8+Fly_iEa* zxmeOeHGRcRDA}ndw?ISpN$WKf+osV)*46TJnvzybFO!cs@-UN#TnP+9DcY_m zSszptRJ4t^laK03!0ed-(G?Q!)r%y>Kc^rvbNkWN!owck(Wa|sO^nG zJ80~ML)VusC7aMtxK3VCzaur9O>HPY;+oxMQQDSKMk%Ln%1)DJ%mjFUCy^%)#dZ!J*lNDvfTd!U~y#v|F;dnwuVaZU(c+bEn?aQx)@OSIbqhSq1s~mgc`_ z@X_4>YA5rR6;5iwfNA6#r0vx6gjZZyRp^GN4i{R8p3mU78BC%O*g%@O$hPHzSqJxa zFrillZQq^&r2{JOkEeTSahTe)te+jZ2hn*-2%ebkJpjr3@*$W!%=6RLTQnJzRU=}- zs#JAk%eB0{#Mx>U4=AnZ+M!tEk$Q0Z+3B0>!OyeiPaV7@sua8V19tO%?#i^~VR;b3 z-_YCjpt$^Ko>*D292l48*_S=xb z*p69~nsSI?Du#)?)uoD1TcSh=Z}VHe>39`Ic4inpp-nt*j)~TRbseT`h*4kOP(1~8 zsU*9KZjlZKSE5>e_7_oOJ@r;<6d7Cgh3}jzJqd5WHDxMW3a(^B$w?`@58%!_M2^W@ zpxWydeIQYnDS$nBj0!1NPL77()}BSCusnOsP?yp>TTRGumF=Z2+CwFTvx*s*czrII zCoQ+hdjQdnb}8v>H>K6f-&e_fgf;9JOrH<>?qf^-1l9Lj<~ME9#dEEICr)xXv2ENl z4g@KeRgq%mA))zx4m%Fjml*S}2!LBsx5gBH@%wN_!~$OCX*NhTz)$(_-(KpW4mS)N zzm{bU&SPJjRme_VhXqKt;P-oUn@;IMw=72BPpz|B`wm<quL?n!y zsjw4Oo4^VKo(xUAy0Xm7eLO7nDgEnHmZtdx6=JZH3RoRqVJd{In!cFV#-rx356I2i z15Wn<>mPqw2BkFVgR!@Yet*lIw$a=;F2OU|W0mj<65jF{s}mdz9!L+H-$NFU-Hks|U$S$ueCIh*~wj+B+1zb~n6Qi5|Q zd$!(1iK^2WA;0pIE6Mz^)j`xs1hpl5idK3!W>JD2pUXt!j2rkj;;diFqzPB}GBd4g zA}zorr%mjoK-UgZCemqj!fY{P-8KdNG)s3jZcP8CnRf}(5d}*D6*JnFQvmyTP?sh6s_J@?p|qSKAPK<+garpJeEbywEo9U`Oj|@g6Gjsu70_{CE~S+eWw+W%h>xcwE4JyE#yJl99@An zw7Q3_2-#Pd;aT;)V;86emO5w=2k=%(e^YzA4I1XBB z!1eD<7OYsm9|iM?)%@_DvCKmQP}}Se_VLzyxknv!F7$K4sZp`!L+IT_Cq_{|CAbL@ zes_%rZCT!NWh;RF6OQ0+kaVj{-SL5gIS;}k63A!E{$u#0T3zJc8VH=LK;z+ihU8}vht;aWlkq_#_#5&;j9UfxNC*d07OCF15WcjyyIb(!e|ze; zVFA>8O^vy;dvKcP!y(t@!E&DKPWSS%BtjfpB&cxQ@eHbX8LJvmxF#2@Xn#oa!ul}; zh&xkynIjr1Q(~V(Zjl#K;^esBoQG-ov5tnQ{UP4h((h)Shxx2L$@SQSIi>)yVd&)X zXe{(|*p=PS6|o@R61ZXXYNY(qT$2k4KLngC5I~u%H``#Z@bi*;70rL&$32u@tt#+A zM4A3mm-`-NTSVk1i6%q`(=a?Z+wjrDvXN4XUc%2O$NA;t-IkN9(@*|M zy1|yn&-||6v+wPrPT#Q%!qd_B<+${H_?!P!h_aV<%oRZS@%rg(bvoz57m6d`$wja4 zNu9Vi>1N+F{dxCrnz??5DjM0a@4JTMXO)MGV^pmlXeu8d)cAq5u(vu5e9_qbIf*LN zA5m}6=jZz5&&%fhq8WxWMd9YND3jJqXhfZ*;D+}+*X-66OP4nc!n z+}+(ZSa1mL?(Pmh?|!@g?ta_njP&V#s-EiZGk4D1>MC75Cakg*;h@ozl#x$+CMJClb}Gq0zmxmcdY2EMuyzdzN5^k_Oi+FqlMDKoW~^?g*| zW__){84#3bzvH(j&+DttP3rnQ@s*|OW|d`kIv!imA0{0ioU2XVUMs$JXeijYH_r)B z19Pm>BTH)Cj@9uyqo8tw>#W7)yP0EbzNW^jiweIdeT7ur^Kyf$V=KA0s^>ZHIo8hC zhU0|}FKwRpnBxVRJEVBOcc(i%c|KR0;cE46&uXtvvvl8*KNXBlmTh!t@Fk2Yl==;o z7dP&^t9N@>dliAQwcoNn+XXI4-hq&zrup~z<7}*~f60f9?pklG)mih)8~ShWoyXq{ z-WBgAFVvi!pZewqJrry!Z|&x~J2d!aMp0FP!?~H|n+H`uwX9eQ*TdninxX5k_uw#o zW8Hml^IE6uW7%8N=5|Bl?W&W2y~_uC@$7Ozd=~y#3mhw4-2EQj zdnpreA^3-T9jgj>R$G5kRDBCkk+T^R(0*$aY07+jnghJ9%ux9a5?-Umn)yoJ(TkIt z5Cxi_Cofb+AFm13KT=g>RyeXX-awxd<@{7T2W-v$qxq1V>+V#bpBY`y_)sffuZM;O zeca|fyJH({xrtsw1&sn5T_x|u!qc-BL8BX;CGRiF(|)f=48TF&Ga-UlLOFr%`@Gnl z04U{)=J>!|mZ zEx3uyLg(yv`P=hxtigkDv%=#&p!zm^()HAP+PI+~HU#M2VFQdFfa2B7v4X*+U&Im& zXhZ#N32|Lu^JPQr?Fn(6(CZF)&Y&yhx!C9BKoz(%ZZ^0&GthWD!EW~*YzDmU7AzbV z)aBGJWv|xeK3uN`VXGZ9PIHxejZ} z4}s2-E^hrsAmKJ!SJeQsT6^fVnxU*ZT*Hp&atM1)!*4q%cITim_7Q|V2NJK#M0h{U zd%ljbEqn@!JeDC@k{1U&lzg=$nzmmfBab7yZ+rWU+p*I@3>I@A-qUOIBX5(8WsF`z zuG0wHWzWC_%Fl~?b%jr>Hn6jAH<4$f8b-G=U4`U|hI^V8KF;Fuk_GpbPX?z+KvHUoU*J^wkcv->B| zUedMM8w5!7Q;arq6EU+td*!S7mMsXO}h=t|GGWwATC`RGa^K#A=G|6p)FuRHg- zYSLFRm2PQ6LEW8UZRAB|&b{qtd2)7@{++4)&}g;3>c(p->U(W`YF2wy*SNL(N@L&g za!0A}s>~ei`pz==Q$6-vJ)`HPr$_PWA<(-wsjE6Vd9yrAb9%r~R$K&T7unU_=Hy_< zbM!eglpqI(kEh7vd23hN*Jcf#o7_sl4IR7g-CmJ`z@agc^z4#*b7VV4KbyN%)K-?c z*LAVG4Sf$>`@4@w>^Yg_SNgka!MPG@YM5&w2hg$Pg0&9Rc3#7c@nMHOp~-6*A6B$B1W)2{W=f|S!#otHAz zRMT6V*C}=m{h>ptx*zzmxt`jIZ~**SpPx-`Pl{Ws0S$(wrQZq`Lc@r@M!IkSvFG#W zIc);pEd&CRyM6Hm+7jq<)acZu`dqbp$D04SXl5cn<1UN%6)NVOo%^~{VOXm9mf0gh zdw4%t<$_(n2+(3!vORTp=~GQvU$2xoymY{3Z((dwf2{cWiSyKNY~7G*)A)iWo9R7d z?##0tEKzUUeY=V>2b&*#R=Uc(PO!CC0+sl);@5RM#~IN9lGxFUlCB}%nWM1)M85KM zeJTt$I|kG_o>yU~zQgMxFN<8o#22Z%&JbaxOR+m&zg!Qv*(%j_Gizr1Ed8$1XTO=K zwzZs54%G{4Oq@pAb9dk^Xxb*@?r7<5??AQN=SsMD@bTKE+@Qmwc8L8<`2eJUTATm* zV&Jz2O~?auye=Md=wGf2;bFWVNB-jNc%41wFgTqb-V>rdU4sYOJ3KaC7&r7g6@blM9ymba?p*#lybQtIg2 z(DD`B+`WSPSU(Pfl0PGReJLZHD5uPH*w8bMprQ?7nwd_5+BSR9fmWKAy00h5QsXB`eJ)FE9oj$-kHm4Raz>wk-52Y6iWiSiRnJdxGJ^JZ}YO;(~c%mRbTspOV6gOG`A=>E+=?Yw$(1YKMgn4@WHL=$YZD8LlKq~6Rpg7wM z$Nh&c(8M@#MdK(&A>i${7xhVx6aCrZF$ep{xG|AgoC>;e7Z3sU3b`JSJz z&|+5SguUMW;m4)-byJs#UE#c7&=68u+g&3C%}eG{PHFYUKjViD?WE1Ga?txDkjC_V zSV!=Dh3u-Hb$b!0z=#tZup`L+t!7W2KI)r1Gso;b{!zFH3~Up1=I&_&s}&(s z6TuvJW>0l*ey`%1$e?*8i^HQ_A2w3AP)uW5fy1=lPbUyZb}rwksWZ;b1}{b3gdllr zlpr`H1Op6o1kP6~BTZ1_?4VSfnJF}cE|%s{K@|>1>pJXm(^3}V zim&)D?y5wYW^5h5=txua?__FlL!^jQb*Ksi_=J?uRDa7~v9SnSI^)>7y4uC^WtWGs zUGlR==MtQ;6_-nGXb%{@N9Ed>HwZlS?|BwZLCi*8w8TfpM&~c!6Ol;HEmptp<_*C; zgBa|uM4jsLN_T%y0t}LAzoZnihnNGPGjgJ`?1Mrf=$zsrAoUMbrSl5+D2g7(HYB;| zSpN#uYt-gR7a*W9+bopSgoGvTw%R~=&O#%!Fm7%KfEl<$jo{>x(ytB0F^MIi+huI+ zOQSSHU$>CV8^$?Cr>Zb%`rAy2O&fk82ZG2Gk&~Gn90?F%upKi$ zf9E-6{zc#iwbVV;ZTP-*II#62+~k~VlpnX@Y>Q}HK@l+OQHX<>z$|!^t?v!Cvyozz zgt%;^_~;bj(B}uj1*azzng?-iO6-m_h#UL85e0^f0k?-*;(8N@)#NED(+OL9PqK1K-vk)jqMLfS2akL)5y{H7#w)Oxs5gzJ zbHdjk$o@BScOL{}r-4CPLBs?A5t8Ev?mQ4?R4d`kgxW3drpcZoMy%j;Bv$k<` zti;}V>~>}uHAZ8CtLu1OY{9@Y#&$wLkNQm_JK$~<0MOE$B{0d??^z6nhrSwf#P|Az z{j_0f#8>Z#wf*bu75k;@Hpl$iol>8#HHd_iy4Nc${IV^xA;Wi4e+v0+bqUEZrJ(mL z({@jM)95xm(tbLT)aLNZBX;%&mbGauO18|758stOTeS!$9H?MKN=MLa8b>%gNd^4M z-pw=40KDQisFK<6;~PEbYNFGxsR@w1P-(sk#1ele^W@Jmh2;GPk9cV$q`pqNU6_j#dMYp=ZHBMK-4j8@tyw_L;JF3=t+%eR+d0ht|!&qB54x31;Or z{$ZE`={k;Tgv+Na6*F^~wm8Zu<8F5iH*+Xc3-nthVt)y%A|4dAQ`-jTSI~F@z2Fxd zpqspMY0G{DqINAL=9iSrzt~m4I|dg7<@ZkAxMaNs>0dM4*#=(tGyUE zpDMcIqO!XOX4YIxnP8?e{&R__C#lN6U9Nz7Zw+8eM||beLG% zoCOKsTZF70hWIMHiT=V2Onx?b6UA?qWZk@@U7{#X@;!i-OA8QN<5HwsXL>bK>FyY3 zrgAFg8Dyy0RQt6MvxU9NI?+|rCm!3{Bgjs{b047Q$}1&!rlq>l8;JWm%l8&||E5S` z%&%bVa3!R<9XRF@d%%)c*534uOkcz^xA_CWp$yfc@%EDGihU2%V3^pQ$xfCLqddS? z?UKx=a?m@q_PFp=xlmUq?x^$T$&zadS)a3`y^aHY?!(OZat#IFj=r0I8ycBH6-E+U zB-U(7%({nq2}LC?;fCKG^*spE0QyglPhp}1J0B%E<2b1)dM?d+5vL}msbT8Z)m&9T z9yUPXU=DNfw_>AWQSq>DCJ|5X7D4TK7nfWyNC>L?A2}`J zNZcJnIM#6{eu;ruK|isX#(@}$tZNqxj_0A&MO^~cHLLxU;Qn9V$yu-^{J3>@h0`~K2$SU)VU#M%qePstrksPF4g#ZS7ec~JUGuOzghj`zu^l8RIyJB^qzBJ;#`a&`M12Ya<7EH*^Va8Iw4G~hYp57nZOcy#X&oC z{<0enHpsvEoLM|gHgQimfU|Z4n&S=ss;*h zy}{c_xXWXDHvRi8f7(5{)M^wx7{W}0iXt5Ag*9o=^x@w+Z5^AZkGCXhq>nO2p9(+H zVRIHfL8Y53j!$ED1ZOVL2~u`iwZJS9I|(vygk`jIMFn%R@aoYMMixXO-Q}48w-uT- zvBt)K<)W}$O*efxj`g+n0HNFD(Lc=CN`FuMO-E#>lq z!GI8X3)@*nDD!D5!q`Sfe~oR36mS>b#6#o)|IkU? zop+VChyNjuM8p9_3%`T^ApWF-4_mO+bU(FT#O@us>n(s=U}FpH14NEU8vF`t53mYL z|9tnjEF2PRPNJrQKH1;o3=jH) z$t&`7s;NN58JhSY4$oV>#z<0r;Pv<0411nN2g?#@{!O2ye_kludDpq_Zl%;nJGiKJ zHQuK#CWe_9h^0WD`LxLT ze29Bz_^BZ5UMX~+h;VzWD{Cu=4+Gu&sNMo?Q;4+?{;~wfRcsR$X);rDb#Q38S)I>p znJEBYPkuLOPl2ma0I7FPIL*mgcc`_Y1-eE(Cd+Lx_DIwHhP)^R3pYD{4LROy=<-ig zyeCh(JX)fl#Fp^o2a2>v8+Jl{Tk=QW9z@*a>Cxn$Gs9NlIWUNiZ=3?O=8@Qgey}tl zXb2vJ7igwjQT&&4vNR})*$AXRj9X^=(AR*8ocn2MoJcc|m+UCb4hLK*+ynh8_V!>z z8BeJ+eBLx{7o)j;NPAkOn&)-<4wLjuDkucI-}klC;Rgg;zZyNH&bLnSX1^0Up2L$> zmOFt}^9=ho(x*Ys>qp$19yiA!GqCy3tFMhvden%KFKo$g>iMEfM~0LhF~vzMuqXnc zeXI4-`W+Glx-m{G(G}n7i=(6@3J*thr8-$-e)C^ru!}_w z_=MQAxpi6nDoF6i%Z+D~jcg<~UUSfL5lifpJ!7M;2aDCm8Yyz(;yV2LW36++aSJe> z)b~)Wo_b)4@m_6VfVaEwR2&NO()}hibKu=y(+8t! zpT9<{NOAWXqGmNde@KN$5>Rh3sMdhn?2%TBis9f_S*#zyd>@(5?_Xpxs3M(0=ol)) zvhqxNq$`2&AheSjYq&1UkP#nBD`4qk;mE!D9WMd?aAT}e8dyj7VUwpNI0L-@2Boiv zjhSV(KL5Q5tn0(0?v4_7aq;r0aryEn; z8e_dE%@`H&sT;BR-R>m047!AlX>W3=`>R~?lx|*{jV~EGXA;D>-Hc7@Zf`l+(6dTN zd%xC8{`GqjrTT_%j6vYV*}K5tt5|WPR9mr;j%TRqmRF{orz7)PVf>sbWXo^}<=*?c zX#ZgD6|p5tY`E4V0=U<2uzwRfW9O*_kIp+(PaNCLs|XC|80mx8h#O6<#P?TU<*MStCTSDpoj$B{(#x z!nb3CZvkPCV%mi;oJO?65hk0*-U@Nkq*lh3Ol*w|IB}0nn zYP<*gYAv(*^%wf1_6Z=)i;i&8@VhErEGc9JQ}WQsGP@p8+E4y$(q~CA2sb_&M<0dr zLYvx^yJfsEDFF7D8CjBINo1!`sa*S>1cea)wMZwB@3XtE7r5Lcg9l24^CPikJoqxF5vuP(i?G8p)8}IkfZpzn$|5 zv|-9GA1_2tU`Vg{Hk_#_M3Iwu&CSlf1(~a?a}2H$cIIu)zoEQ=dn@^-Bpe&<+@CNj zUs2y43j$Gvi|<}pcZwD>`lMuvj&!iPi-cIa{xnl&6iLQj{c|j@&4(M2r-ksPWp-eW zT^m%vP@j1&ivI=Fpi9)2OGv}eI(CZV2V-YXM=rDz>}uSK_05Td-5rsxVG_+`XV$A2?WvHrP&8l5Y-%MfStWuSvT`QkSU2*Ln}1l5^oOT!c!uu74P>0(FVpW-u_N**M)8GCt+=>T^-+%8iuhLjJq_(|ORznrip~R47ZT6K^b}(O4-u%P zi5CDIs%%5cGzokEBbtG|npF2`r~?(Oj56K2ctbcqciz}rP%P(V?$bW3L(pXT*Sl3s zm?S~vr500ThHh%!n1jz_e0Iuze!M|v9~ROsm@+BQ|K}%!}$ZCW6k58>D9H z1XOs^ar6^NV79o2XPz;EiLHd^;%caHx~(BL!FfQo#48d^rV zEJhhtk%5qvTFmjos@L~wUHXw4kCDe4-=r$Iwsh3LM(rOJ94@@n_OtP;gxVS{2^Cor zib6Y?_sxcZMO*7|fv68a9SLXlt7v@F&xg8Z)Fu#t8V2X>(4!u5&`q&*u%@wCQMU>T zFtso7ijp*H9_S2o&yIfXGO#yJ!--QZAUKEO`8j8arC z-Yx$XS(zS^(6xQi&7*UT>i!7qF681l5(H`sR`!JISG#+I{b+}*_^|MSwL)bmMtSDg zb7RSTxtU8E4E-iS@|KtaEImAHp0^3XW4MR+@fOfyvNt|Ocxm`x00+Ti($*LRP-`rO zW;{WuK*wiaXGG}V;Yx~&9*pyZNWnv};IIBie#7RMlhWkU;H5&OHMb68qrC7CqxDzr zW?Kv+GjI^RnAv>C!47VQ!B4Vu%j8aOlqbue%)|k0^Y(;g$TqNe=~D%vFlsF}gNvVZ zngOn(kJzIOCxhjiweaBfPT#uVferdz-}A-iOa-uZ{tevim@C&N@Q`!TSZYjKjLJ#^ z^sDS{dsl+?Ip^ja>6#c3_=iGfNoI*l2(KQ8)Gp82XAcRu`s(RH<)8bDelMvzRk4iv+gJh_Osd}q-R*)SY z#Ku}s4FZIj(y1?a0vtaCBMZM8a~OkF97PrHb?l~A62qz`vN0=dY zJT@LZe6rthV#i4a*}R$71f_bZO2MF!fl$(C#>qJ~+b;a&n(!wQaCBuvQL)s^u3+Nd z8}|K|q^4d;m2h}qj4R$oM;mg}Z{&7F7%oRb8V2aIWCTv`ix`*J)2%3*`yg>4c3Uy1 zYEk%z>2sH`$fSQ*ng!SO_3a78YQ(dh``$7a45v{3Mw#&6{oUK1JAKDZGNZ=knq(oi zW`-0YPQJ=P5T3=?0oUzdDSA475?lP2zI2Oh@BM#aPOM?UKkAIu;*8oEF|ch%2)222R&H@)M`eK}hW*IYl^Q z*Qg*sC)`kX4dh{bBGx2Id7~KCql6-hM%PwnL%H7v&ES_0tW&E92j17$noM3yS$2Io z89}i?O>BnRC&?p}Rqw3maPx}8&Epc(l7_|cDc0&>d022tZApzD_Qm~i5AX=R23^G| zcMe#L=0YkAis$NWhFo4aYmLoY-;Vo&tL0$+X%<7)}h)BRQAmoXkgaRVYhMNx65xH*M$Ap zIuoWN9|&oHxM_sY+K#n~*V9v19~Pq9bA|Jqu6YW=Z>0CST)FL&C%)AvL9*exZHrTd5P+N19t zThT7fs@sc~^A_V4_n#Iqf5it0I6RxWgOVc~Byra<*xc8_KRAFs?=6}X z+WM~s3vi!HZY{L-dgRelJB=FPptIGwG!r z0A2fIyo$jMF4Np>KxDhNk`nW#73rzoWaUy-uL=$aI+6*O_)DWV)AW18sfTr*15cI9 zM}tH{cD(LJAIZVtiDOAxpqx^Elp%x(0^RTx1lciT=#L4Kk!q1LI~LS;WLeSRK%=60 zDxwTvZ~@H5iN&(dYi-9PKq7c4hLV817(G6;?+9?>A>Db?tZ30+9cTV#;sx|!(!95R!M=dOCmjdySIWn1nu+^Mko7qe*H&u zPG;S=FPY|`%OamivtFSc^59zIbReAAWx?sXhi^rL3q!noy%#o5Mkyo>JM>>x>q*Pz z9%N4+)#v`-A7f|FFP4D@E=`#g?f$MyM5n{=I<6L%poGa?(jh$JPEw=JGvP9k0$u8F2=rNfjDVJLeV{R8eOQ5F za0~mR8rkaeJN0FCw%^VJfq339S2DTuzlB>C93yNFW0OAC=jXFDhU|x|Mn~{V%J2P` zjnfDkkxpO~}jRAKg`QT8EcQJFLlVCxOhGiR8nQdF}F_ zVwoO_>VAjLCHf#lxR+SPT7I_+twDdR zUW39oYy#4;V5&hhw7Ys_o*5VjdsB?7(XqlwOuq<({|YkD;Kfw(e-XH5DNht%ceW+h z{5tphDwJsAjgNiyKop23NHGjTGg@@;T@Jy6B?$|j6v*%MELHIin+G_r zX7dW=A0br4O=-=iNMSr&pT?~SX}%0A$9(yTe+1!&^Lwo8OR$5H}l8#?;oJ>nm zCVKXlG>8%jiQZH2+ciHCK@*yNdq+WCx3{W#0SdaY>k+w^DkkQa<|JnDZ6j1O<=N&6 z%k3!-CLj5rPz=>jnwxvilvg+kln@>KaL-$8#ANMZu<4?VJ~C$8uqDMUR6pGW%GRjX za-wJcchU@+88v{Ok}}!%46w3znKL$arw$(C-j(}DL1#`I5doXEKgrfaie>pPtse*K zo9x=o(8w=GC&!d|8^y%3N4&XmGNN-nPknb6qi1tQ653Q{xN3(bj+NpswWl1_?iKev zrD@Ix7YFMGPaa=ynJYg!4b|ZJ$L!Miu6bljWr$4%{z?Nq4q6J00#lqH)YRf$Fwnd5 z@!Q_iiz?sudX2p;`aE)=z&QqJjE$0qypJh$NG)lvfP-bE{^*8LDT@?@W^tN-U&}1Q z3*WMIsWCNN$4~A~Zj@!j21?vd(d~RX~+a>Iw$2rVek z_E~%+B#mQC-ddo!8>Iv>U?rOxxaYDj9}P60LJioM;SB-_EMu{N=z2s``1xYtuhuG}k1qN>_ z>AApBNa}QronMD(Xtr$d?N@uw1dkxNP@pkW2#9yHzYCbrjUY$%Y18a|D zVzW)T#W;!GOIOJ(>uyDqzdQ1wd(O`f6)OOl@jfhz^0i9SmhOht=MOMk_xLW?xDOjW zDDNB*qpMy5-rDCR``G^S7f?T_oQ1N7c&fb5h7B!VB3zI{V}46=p)vdT<W|AiR! zrpNz?bM{D%aB{~IJ2)LcyWAitn81w7#SfO(G8juLv+W-^NGaqgkH-TxMFR6tXdi$< zBLt{O0%K*osF!`wU+y;dAhCxas2Tpdb|@@K{j|;>jBvFP38N(gTggA?#CM?s)DY)y zfKAajgfnbrTRnxEq&(}m8 z@>ASsIr-*l|7Dpm*UrXQtF=CPo0f^aoH{{{uHOfX$N86j*o)`Ch9LRDYee&XsYlkV zo|-}{biyo9TJEWahT3ef+(YGT7ja@Uhnv|{R%G*<`E4fS^K1mAL+bEQi2xmNCKkb{ zE~qt~feKVCx2`wm1-J}DXKp2RTbf^W?4eAaY^piaGBuGN?9@dQUBmjLSxrBatE+oR zUuT%V3$XVtAS0SP)oE zRsXV?3`d~p%qevf@Ak03+5k2@6?XWanm{uDF3nXf?OR9oDs99oi zZ6xqj;D8{D1IyLkJ>T8{{)Xk_K^LJ7F|Sx_-F(V_zqV3CyexPPL;}d#@k;tRQV4&e zTo_1RtiHJSsDx>>}=H&-~^;*Uo;J0+5&2Sg3NL7a6hB``Ag$ z-&{acB2~D`XC^7Xm9)h2#s67PX+XTIe2pI4dHl?$i?e$TN;B$Z3##p>=qY;xU zin-Xsc0^~M!hvhaTjDc+DGEwHPaGO&lAXkTTwZ|1!}~L--49HenzgxKG}!%gg-3;| z2repu3)P?OYj+j#rlPlKaOJ+?LXLV$wO=}mmC*!zpdC~MWg`ZMPdwB&))Ju3#~B_Vf;=+N zg;dH<3Mb+`dw><=8}?skxv);O`Rlf_+A{4Nd4zvYD1W*0Z8K=4kx{@r26dIc0%3o; z%lEcVMO-SBkFmPXMd~3MZcedSaoX)* z=ms5g_PPEsFhjr4ouOTMKibeGi?%G%71TWCqHzjCa_-5N&Ly9RuOg8|GIN;vKXT{u zFjn!|YPe;F3V7WeOKi;A+P+{yJ9?_kV70;hY5L~D6iTvKZmD|ylW%MFf;BBRn1ZJk zo>XdHnGxsfok|J0SN&tVV^KPin-lw#v?Jpzoh`6B)z$(}C7s(@QBc>_Wy95O`B*m8 zw7fp&5JB3n$v-ii36Ognuq$jXtk7Wm^C_rkNEVZ)rR6y~^4!SOagWO-@idq_t4sf$ ze_Wy^J?NDS?D5iKLh7C*f{*0oF(7uarsYoN6f%UU4nz`YT8mE;pe427z_hV>I=cH) z2Lt7v2;D!Bt|w9Sp^R2MXuV8cRV;n^sB>k`Tugjo{xP%am8MlBx_yxr`!U3GDKe1j z?-n(gq&_X3De&|q;at=*+DGOm|8s~iVzxlyF;QrB)4JEi)ybY)#SbkE%{~_xeEb=eHA@ zg==;(63z+2te1P6CUeBc`+Zr>c9c;L|L&mBURBmM2I{|SMKhTcLhhoqacQ#vBOs!n zo+^%8oUkLzev8k-TKBTjD~cMN@JL+HskD#P+ZupMzz_EQj`}GZwAX zsnzR7JJ6(lIw<3d;S|C?WFZsaILja_(3SaadMmO_uy?U!VtyHV7k#TOKa%de9ADy8@fUk=Q@J-{b7S+T1TDBI!FLEKn zj4LxOY>HvNg|T9KT~+d<2Y>lyW(1@|oCRAW&w838M702ijZrXgtcm7$p#g&mvbyL;Bj>PRdeD=NM zZWD6%JV?8gZ;~lTrF`{QqZa|`IzRnxXELT6{*}tF?Y7X&x#3Bn>0>|&{#vAO@fTQE z#4|Z$Kk*tOC(Zdv9^nwKRp90eauTsLrjd?^CA2mqY&JQ&c^=x)QXp zoTABOV?AV%(e=VHcNi1-9`kA~ZcCd=krs*DRQvNy^3y>pBt)FFt9muAtBzS{5qjr5 z|Lu=sZ95{9>|F1Q$Pu6==BAp+3Y!ofWA*E$AfU6~W!lG9kDg8O31{*FeW4vq(QPQlW|>1cfejR#2m$?fke#1(C?Ev9^@+q*G8pYf;T zBH1KN#$B^KhQ!T{NetyUvKM8zU*fEatox{vrtT2$)@kiA-UC=%xdaTfu>U&sG{8K! zYq2y+Y3i^$w>;kkEu4gJ5m-y=b)&drU74IUV_APK1B0c_@~5BB+&`OhZ9G|oDpS;YEeSz~IMyAWELHWH&20P|F_1swejA-uq1#Q~Qfd z)&4G9YQ!CK$}d38elo@8hgk7dTjsNtn1IpHGT#)#?gfd>8Lw5A8KuWpZHl2Vu&N8Q@=x1YiD!IS z=?L9ITA31A(n_{l#&tR(IjdSE#MWl)>#%O_H>1Qg>IJNr%u%~(s0KW znyj3GnH^mb`^GSYwumDZbLo%a@#7MaZ$?*EoujVX)6}*NACt|RBjzTug%dKp<8GPn`|XQ8-e1_VO>c3&Sjc|`jDx%x;Zf!eNz*4z?&wE%WQgGR z8Igns^l)gMuwCBI3-wdd2`gCK*pBW9F$3QImQ`yqRMquaoT`yYn^n0jOfB$B7U32j zqRNhv@#@Wx{e4HDO3i~Pr+?8PSjw2luy+!xxvix^#ZRl0#V11^&91fxt*2e8|3&APSoORtuUF2A8sYeb zG-_9m?n|4QxC<0qaG=OTm;b*3yr-TTK4Er47P8JW9M0QHGZ>s}i1_!4#DNF%` z!*;p0UssANrnt&A<==KA?Hoj4lzIgKX%WC`a1}>uWUBwoyV82j`$zYqUxOU{jcjZL z{W`SBqFoHm+*fTMh1T`2wk=DyybgfknUj)Fu+j~)Z(FT$GWstCV=wK94sqe@w z8tW=2Q`;oDbca;_)<#rqnCvp@Z0huf>}g55V5V>;A4?dPA61H~`DWMQnZfv!u4VQ} z%iHyK5&ovJdt-O?i^@MdqV5S$&uf?b8B$`8R`%jheu_)Dev1g*m?~CKgf+6Ka>mV{ zS&m(*r5Mtvx`G{u263wBSxq^Jbi2lO8BhdT3{RD4+*ySDgBN!JD=+d*w2YGkC3))Mw&2L3!a1!sfuI5bR-N=v$|xT!WMY}jD`C%QR3 z6aoz#A_b$lG7N$W96l98U*)V}tv3a<9u7gLn(|q-(W65P!~+AH`8;4h)%>sW5zzUm z@TY?#gPEzhq6|0$1|-I38ywhwK)l%roPD1)-p?*@{}b_f3-%FU3ex`tferjeQG5az zQwTg5SPsp9mn=u{Uy_|ojsCmjSa|ke>aSp6b1YzBpVMEfAsY+Aszf}DXLjR=;#RZfb0f7nspK&~- zDqV{Qb$?cEe|h~+X{KiX&v5^Dcl-Zk-A90sHpKs!BM_K#9W#9{yzZG8@d1h delta 67077 zcmZ6yV{qV2@GYE;osDg8YUBg?t81=TmSoEs;8&t^wd;; z={jd-Hv={*4Hi{F8Vno_1Ox;cMCGTRvLG#OczQf4IZ&OT@*@!<9E4=nSw0>$a|ovo z8lLpqcWS?x(K1p0rtO4h1uA?^*=<@#x+0^&sOGeygqKc?<>YsafV3DKV$Kem@(*0C zx<54*<3^8`W~Dhu&qD3>TUg+yGRNZ@jTFigO4qe-zn(rnbIJ2<-Fj^;V*EA0sz7)G zgnG5|003frpwO0AuEd~G)-NA>9RYQSSSI^7V~%AcBiNOyI>PN*#wI@1H;J0!(2$;6 zRzdw3%hv#7HP2@WWfdB;`H>B{Wi%p}CVQ4g{+7wwh0{>XC=xD#86pkm+4iom<^YvA zukn%xr~X`)-=UJ;jEnIMQqNV3N)f}g>5K(Ao(^~@r9eqDf zw1LTMfiIHCxl@k+Ss!QLh%24pI>#e_3t^M4iEI;+QVW&QIR-w#N z`h@4>><(eFGsmyb4jgZ8e&;l?d#CVAhqjdvNW;G9Bb(D|6^NnEKX`dax`(v4mrJDL z+}FcxZ>?QxYl%#Lpw)7o?XG2Kj}YDk8nrbh9GXqJZ*^|W!!i@gLrxe?Jn~AfwiwcL z+j)V}J$;J1U0XQm`4o;E`*Em^w1z!GIiB$Hy?k#mmUcSd0antZvAnohsJHSpM4D=v za}OiBh0%z?A9FMeUE-nW66x3hixn#s;R0A~9cvJFzFR${j| zV0OX0>g|Kt=*C<=%4Zbu-T~@s5eB@7rl74~6d;X_T3cfHNalxD>RRXiF8JUb*z;pVp__eeBSF89iP!pXE}qYDGS4^#Rfp;-@rqUX z=0BMcpjsN%e!bbqW_S*_dS9HHLw~hF5cO*alKX-#UK*WDhweHXKk06C2xKjt+)0I@ zT$%oE%67`-i+UQiHHq8GHvSD|Q1pThp_NL>C!)PAio=mUBGS*XeAP!zB@jWWPJGPG0QhYpgf{=a7t5S2V zWjP?zkxKjYYgQF5xDe?db1qoXY? zFl|^r(jlBdhoR-t9^x47*{`O<(XDkrEqBXC4&~)qVKjyqY5Kb90g9(qDG>gE{G8MA ziN=+;{u#7)jnq!I*lD{{gVKEZwFM(DS0E<*oBx#k8HKqfMa&$GS&ZR#uAuG2`<d z|5U2yd1VN{gAiiP6pXP9+QHun;guM~SF}k(0WJ1ydHvTJYY(>LI0KXPE}JzNu5Ozp zUy-52)X={jWfUIX(i=XnslB6wGl%p7LEQTv8M{0T*{k@=xiN#h3rnk=BE5m+0G9re z7&0yT*MMGOnR&ehv#g6#Mr-m;puX8a^l;Xh=!&Wh%wrXyWzA2f3ug9d|ATi*s<2%0jE1c>$pLtr3|tpvBtHqkBxowEwlI? z3LYAB?Lk?Wn@?Ia)sHUizUL0dunC-)j~Yx#35p9+C_PQwaP(l$WB?Bb5JZSExh!0x5!yY@CV`hrzbv$jR;pY_92NXxe^% zUB|tH5m%ru;R8un!!(bWY-C`%L91G@_@^@qZ>Xh85mO^-*TZApbU;#PN*zn0%W-id zqBgI}NC29G^eVE3&5T-N84DWeahwavmBGRf5~N;4+@}I>D^(`o%(kImD)$qySM;(k z?kjr8WrJwj!#p(DW2hyKo|vs+8K3r#qePaz-W^@Frx~Z*Ot`9mIINPr@)Aj5NAVKq z8&z^Sm~kGgYWe=$^D*>IS)fL8{IxLrm(NSTW@z%hxXQ zHRbS_1xD|4l2br^26G##nEEaB=@czS@)~ME7QeBf>B}vEDieTKhh;gniOWK*-_P+6 z?Ik{c%^_2PRE!QcNdco54La!zgtb;&I_10Fa_T~QwMbG;ET)P&MYlWxfH}Sj`ocVIgXU1>5#Ngd9ppq3!uaM8BQAFCKZ+9+!d_@Ov)^+NMIbB^tKEWT3D+n z3ihZx9mMLdzm17koI&VvSSzzVt5lyZw@=__H)>~2$9nPNw(#cqVjsD0O*~zl4TwtF$^n78%ol&RmUkB#>%M`7u^} zD+rj|M;U7k{Q__e>EH4gMlz5JCsW18QfIPCa;-_WxxcN+b3n#ZXSi<|{kyTrgT3En zP7O;K#H}nL5(4|js>gnT-8a{Wo_)VD=ez=*(ruaSCklzCau588F0&JINJrEWvY@W^ zpU>p5I9qTAal_FT-7h>T()vqFEQME%c$s16cz^O`U{)s28vQ=l{o_xx%%tb~0^<-AZQR(;L8tTwE_piA_twXH`eQHW>nH zL=bHYVB|g!%iR*={P0QqQ8M7?MP=Ku2XW!Xj;CW5fkO0(g&O6_s#vx-_n zO^9`m|8#dnH&ygvGAxnxvLZua5L!@8d{ELUFGf2o_WQ$}>MyQnhUco2!G?HGKq8zb z5UR9MY{8S>p4<1*BP)5cWUlX&X;J~2E=1lPkB%v&!9vURUUOgFGD+Bl@@Z5b- zD|a_KJ4)dZ&W=@{65o0))0@UmLY&PN+Z@Cy2;=XazbOE%YE?Xfmz{BSuPvaFv_sz@ zu1-~Ry;nam$dmO+dG4<^Y)hjR^fF~vB`Sjsnae)zZ8lHc3dZ5+PvZd-VK)qGhyXdv zZf2{KwupyUIIppP=Cc5$8LX6v ziFtC9(pPSTu=bs;B2S}F_PLEID zfZMM2;8n_qAe8iIyCFa-!vU`4@?L0mA^d^QG&)Nr(OQ)8h8DNB;FVny)LiFJSF`U2 zse-G+pT1vx7B(!F44^~2ZZ#WayE1Y(x6XPFu};7iC7pxuE`e@=uvim~ z*;}tQ@=bY~PuZhC6~;N5zmxvDe+(9*)6CWOX21umekF;JdIKOYo&+M0AVzZBJWFz7 zbSN^_qwgXLLpd-}c(`h$GyaCg|4hCGsdvWV#uBkiwdeX{$jZoG)NkK~31-GkB;jLV z@B-w(Pw&hn{?i2+dDr;F1M|~|a-RxW?*s@VbzbH2N#gu(>PM=wFtoZw&RoYxs0Uzu zyOCeqj3bwn1Jpux41FLg;z)g^@3TZSleNPO8M*!2N~XV!RwEq`cBkJ~6_sdgZmmC_ zh~@LbHmSMtH(%Zd8moGoN!O>c{H1s>GF>6F*B}}xsG}I_Oi8!IuCZ-Uk2i1c}DWDaaJ)cy9p@Sm=i-8+5E1^d92@r!Qc7+ z0L51%AZh+v;f3(eH)is;hSck6o`-h%eQ8z?BT)WYIB?mx%5YNqDPUCF`IjTNoql`i zbRh%ZP>;+X9+1C&ID%|>6`tK4)?Y2h zUWt`-sseR+9@hj~cibEH1wSTAuNPhLP5O#_fc-9YUp}QJP()02NIraS`uioDie|jF zf63!gDUb|&ok5tq!JolS&y|i3UZTYnqF*{jGW=?^#~b?BCVUFA{L5>+rfcBkY){Es zoF;qRCJt9e>C=#cU%;({1z4vFSfSR;m2d%oJH}d z2&Shfeu934eYA#Yszn?YG<1028%|?GD|{?WuC3%D296Bb>R)sE8#W?28LU zPMv%%U4j=c#ow-OHET`zGLG(R^MkG9FDj7HU1A`WG;Jkt=YMD2$v7BNE|-?w7Zn$h zFNaM3(rzT4a}Kq$n_uO#wCjQa*^B0*wxACiU()o)796nkTYo$CC)2$U8~AWE(;BvL z>eCu@aoiZ7@Dm4qm_7#aP6U%COAh$;_pip4Q5#}wACgSH!hWYw7Zrq#^o$Cy&|Ci35cb7FWLgK zObhF{tzQE!(om)`9Q8#KtIy0!;@0jQ5wD8FEy>B66BUwisjPYf?n8UG=*bP)eQWvF2Zv3t_Xy6bcx32pAC598Sr z`lNjE3oYpT5UI1hdKKpahDqvoi^k1f!j`3dfBqt*LjQRZ#vK%bCY%8iGK!1}>OF3u zm#M8tdq&DNM1jn-Ksl2%w>A);B5}s|1%rP^s9ffnQh#}Gl3t(c?#ND6kJ{&edh9R* zH4no&Kk0iv`Lkh?jSZ%IMlxOEvGe>f^rxj>aAUsv4s9tVEGF16Fv6 zG;KWtu+ROG3wO!#Gsu`ql~ba~@*|26$vlzxJdtcYW8b$N;R$|*r=w4M(d36Cr<-{N zlYbd}*^yp;59$~_to0;EXMVQSYMEXb-oHrG>K23r;Ei@_M=-f{LEn=PX;|^JPXph@D+DX#L zxgdW2cgIq}NgQU=zQ9!l>78OkqQbgywfUup&%=|+^ucGi)Wp-v5hg#nT}=+$nr7%k z51&V(yn!kf%#`?EUg%oFJ}o#7Ixx7e0{G&o97ydXD~qESd>B3+FJdfs%-1g zq7u~W?|gJhYvEiM##jyG$@G+|w*lQhg~YY<1+&E=&sAvBsm_w)_esYZo$gwN;;Lu< z?YPpaPErSJVrN3pu#wQ^|I*G=7scL2mBhc7lLmnK$6?0J`9L&AIGt=&3?HGwr@(Ej zo?Mi}{LtAmCn-fIIKy8sSi)*TY3)ck>3DTHh`E~fUb_TeI7|CgIM6Fu-Bk@w`nKn5 zx@bH4mu!3!PPeiARo2ui0W0q{i*A<;&gz@v1d7B0ds26BfUwS8N#EKvtAxIK`jY`7 z<_+-8UcES&rkNC;M@IYv=%Sn{SWVR?^F}|7)2T-6H^RnudHp+e zLOXe?;`(9J{#Q-V{nP`)&FbF;_SNC;FLc1^UdzU7yXC7KS9I+9A-QUXYCj7{Yw~(~ifc1YEPDVNWs7F>_h`v-V)liB2ipxLl6%iB4D-1Ur;DNzaBHcQRmRySU5!ReXIXtsRmvcPn&j?D@LV1NBdD#7$ zNtLHwMU9%<+%XXs`maia>J%rZevB_SyNAXVIeqjfaPB~pI^^=~wC+BGDB}Y2&?8P| zj5}yE8~DN!PzKn+7yFF#^H@^B4G7@gzk>c{{hZ6Mi`_;SFsG*70TIjFYN|}oXb(~C zvaC;=5=;f{gQrQwS(`DFDxCu-&*UMXXCjAe+{QtA#^c`2ff9Q^OXGH?i1Gi(JVL%31XQ_8~13Ix`q5oDDgh6dE*D3Xfm<0C^~Dk zB+UlV*X?TbxU)fp+O*mH3us^d6#mmR9L3ZQ5-h5+quPzY0G-So)622ENR=oCF?xaq z3;!v}q%XQ5jEUodav352Hy8m9bWDbzOtkpIH$D z-k>_b!$s<;dM*h~Yl@^xh6?LG2fH>vQkhhRYrG%lqd}Eya;N*>qdg9)N(Ckaf{QTG z>)Rd+BUO=xHFdZ3mB`j6zN}onYNVX0$?Fp+Lw%N{DCr|zq?{HIo*pLi9;&4Fw+V&S zCmJWu2(U7$D@!9mBP@Fv!Vg1C73JdFQ30WxM+!`Y#y_hUGfT|nhzN)D(i4%FwBp9L zXrF)rKg5y+&-$<~gP5-<4^GK5wp`q#f%g<)`5pV*=mxLZNL~{Z!@VBL=b_Y?%dhaA ze|dp%cm6M37$gaxA9{|lbA+Ij)TAn#Mkn19b%me97M=8@R+QP*!cJi}BXMPn%2R1M ztu}*2bU5=w3q!aMH-)Dw87(bi`b&}$jGoE)gzNv;7P-T0+=}EXe=X^)MSJ{LH+mG= zpRtgC*WV~L}{4>2*pVR%yj%BVv`yf5%SX+GE#nM?3AosvDD4fc(qZFbMer!5xxWkvoj zk1vegLrZ056Q{%sYft(hReoeQt405)A;zD3fBF*wcfv1lDvBvEL6tCFoATsI?bAjk%Jz5Rl`>EF!ZNGaD%AN`8=@qNs zw0lJ#r>EZ9d#W$JaF2zdi}FMEGpK^ z`oMo$9`BF;t-}5vO%JfNHFmU#5t1KZgb%*?fZb6f<DZO6#1*xJEO2nr5Pf*2k&HR{uYFPx-eY8Aj6>Vu zqR*+OpOoOOa$xpw_2y1Vr7@qio9yRX(+=y5;4pWc1QNts06lQ_?Eob^uSXi1+Pn3m z>h2U1(I;Gq=!fb`uP3}+4>2oe>L#f$%*bTd=1U{JyjGCb_AA=GYdf)}TZAT*e{lIG zl29y*(=)>VyecW7wt^iD2#CS2|0`cQniw8wY1?g#qU&*unXz<}Ve?$10c$QAteK*O zc;N}OV{nf`%~ic&v^4F59b1s#vN6S$?%LC{t{L>OXs6QOB6Feq&ySTN}4S`jZlS!_f=WIBxAZeVH#fF3OC#8C8?o!IZ4etcumezxD3NGT3 z$5e`T$ekj9Us(F`?-Y+I@F$_d3^}An$Vm#8BOzrfQLLdTo$NIr$08)LN?}9b!bh@n zzMS%`=tq76CH{a6v%LHp`(M^Ar-Y5C{qFVEO8)B0iua-Hw?5RmK8D`E0amHI?V1&$ zA77v!B@RyPJv>m=f1Fxs)DR0&XfjuWhJ6cD?8OyxHGA3EDKgk{BQ^$p5gs~#9Xe4z8tKfHh4 z90-OMbCecCicAGufN;msD8CZ?K$tFY51#3t9?C8gDyj_B1nSw2s<2M5{&4;R`@#S*cd#<+IT#L3%RZZ;Hb2?OLOO=9&1;i^ zy_vb>&Jc*26JBb8Yy{{#(CtTcK||-p$aKt;sCC6*;}j#w`TE&vW!(jTQ+rpV1#nm8 z1qI~l&9W@;ojcwR4G`tqJq7pTx_iOk9Nz6gY{@PgeAJ-^0;DWgyPW!=Vj!Q`Qbi80 z0X38`ieCSk+#;ngOFBhm7m2y~>cLP<7^6M;ojcXX9Iq@Dt39S`!w_2$b&M(zMxLFK zR}Z?)Y@s8gBV3c5y>nQ@^Uq`Koc76Q9y?Rk4o5n(wl84t;+9do7>`}Z5BnBNVa6Z`qwkc&j(rm zlYk(UGG98}gd;4Q_mtF4Is$E2Q)Tz2Y5m92&Dtn9F}h0IGrpv(1~^QuXiyL19iYoR z#Ac~CsOrOkFEG;$+vH3l46u5~)eMLS4VLEkz|R#~ZIA!prYc9S+bkhSa3~b`RkBE9 zb5Z&$14Qv_?OG_aG8F}f4PEYQmrKJJ5w43DyOz0A;=dzv7us3bYg0llG(Un|oEY|x zwk10i*pV54zjv!v+Dp9S?{~23gflJJ=yydBK>?|f2sI8ApVa=>R~hT^2SVn!eLo5> z-JebnU~*h+Q*hYOe}MquwrEM!&akx;c846vz*d6RM&(rn0oOn1i!v>#;+={6XXY>L z_V^jh-Af82Y{)<{WY_ZUGvmkl1SxZ6>W{TJn_w)NzsWh-O+gqjGI>o`SyyW#F^{1a z7Q{q({XqpbxC{l_kWYf-p2D}{_BvWc)-%g|M#z~~6mmtkpzWGa;EN>t8@f%im+rq= zfV4{%BLnvo&+wXoK<$_7gnt?9uBbO!Fj+h=OFJ#7{t!Di>4Pl zbcsY27_xqlQZZ8f7~v^`ya~eH+qL8_eP7o+rE6`5^!NUc_bHOa-sA~WbmD~um-`V| z!=&WmzQ!?Cp$z*3+q@;#LX>66&dc)XLud$@3sJ^x7^I%Xz7&`|GxzJluiOdt5*YN1 z2RkCoO*Ft(xlq`@N<|_nDIz&+?No;uh=?g_`&k(%-!AzLJ^NeA!uNTS4L=smu=WvJ zcc)ZlBsZUYg^PUKe?s)&1)h`%*Q%6YF!k#hi>@_}Z(hXF*1BvSGPneS=~+b2E6N)%cM|1j*xTqMW1LwD!HL1iKY?@#jZAr21 z1DBx}($<=Tb(&Crv<|XeWAGib)_VD0BZ6Mn8MDm?7sF0c3Q-N&XTPjX7eEBQ4H5lh zwGSicSZ)d=rL3yEst@9<7UuEpAw$O|MQr&ks6ig~hB`m#u?1Y%uSXNM;m*+U#S3&Q z6fa=hD>R=$p5bfy&yzGetOULIAr-o&JY&Q?Z?R-`{a%Tsu@hC=m`3^$-{AbWZ z*75G-*~hS?HRLI(18tbDZ{THQlYU2x%J$<2qm1OYL>><@KG!a(+z$teGq*l6J{r_J z12qzzLS%|Pkv=FtetM4*ZA;>Or(blahGmD_${|9s|Xd96o0qbQJ8-61~Q)ePZA7C6=>b)u8@|`R-k0ZsB_e&V?Rdar0i*xcTkW9Xv5? z5c&jae@*P#M|+3n2W3o@TF8p2{2>HDqD1W*)Q+q*Fe}*Dcm1^_Kc`!73_c-0=LvoW z&K)~DfSkRrrfJAV0<4yyFG}yygdovFWg4dfpr)*K1eFkJzg=V4JXqro1^=;r88J`z zYf7cb7GOU$M_~lAYGe>08AV3MwQ$DqFTGoEB`kcg#}S?rk8YU+?3*fpdy<*yRf*mq zE;D17B^N7fk0Q>wNaq)bsH-C*+8mIB!{vK;3pv{ny5&+r1E@OqD3IeU3N+XIObPn| z(dZy=4jKh}1==DL$Q*&AX9ngf?VKPQF%_8lA^*ZT_AMTtn06tH6OfO%`Xrn31S1^O zNR_<938Lr@972rYJO=IYtA{cer|syL{Rb|PMykc3wg()3kibd;a(SW?d}_L}SSlwm z9A5-P*9vhuKr)Q*pZ~PU)0Dl6L3Wka3tH==#&Z5fC<}rVtd(9~ok`RCt|2z(7Hd%O zl|}2!bsGmt6pnIlA(iH^1w;aFFMl%3Emxk}bQ})>k8AC|KHT0tH;(}ZcDn+rO$@OC zyY6C9R()Vw;Uegj!u2oIb=6L%2G_tTvA8A@d0oNwe(4yi&VmN5~R+c8(c| ziFotk>p9Jq67u7c(6TpOEIYpMKBvmFxl2E;x_aI-+gRL=Ghcn~x6@*Ne_dF*%=H<= z0V6Rx>l|EwwEpMmCc=ZyQJb=p`b>6~Ku4|fCqhd$r|Z?6vQO~Whk?F>VSemZq7UV< z{B&-s$JglelTEyY3C$NFS1CSlq`&&xm8`+dtb-|{7A=bD*Z%DrQjRBI8=~*^7j!Z; zW@%)!qs^tDX#7N|Vt<$rZSBaOLS z_HpL(?Yt0@OO{Gr3b4ef?0Q=qYU9FM#kv@zT&It34WBflWpV;ZU~9}esLFAoKn4#h z9Cc8uacXjKmX!7=kyXC*Q&Pl#Evydph9jHE79FwM=|_AZ0p6(!vNrroRMN$Ji8xY8 zp85~t_ma6e*xN=$QNezktsujs|Fh1j!asVe7fNP1gs;LsnV~84}y*cGNVh;zQZP6Bjs~)8`GBG({ zr|Ob^x;iQ$O$24`&I6$=zbKUqZ_UCp{RZ32;T9C^SU0e2zw?NmEc};M7jLF7{ntU8 zP9|%XXfn~hijO>IR&^1_v|~QEw?zf!v?AAz9J4#prOLQC0k)7kLRMuv5a=B9DBLsd z!D7|w&T*)^9P9K9ak;%B1SVZ*0CK_|zHqpum{Ak`+?%Il8uhvdf4TolmQ{uptYfWK zTfd(`$Am*KJ3WPQ(2tlX&adlNNbjTsLsqGyi3|rt#M7D7)W12f6B^UoH}^Zip?=L) z5VxeQ&d(;MM*IBGLj=coK4y!Mkhuy;uiYYtT=PP_yg#SDj zn#7p(QluKSZ83KD_rHbTh=1iw5TYut)vCr)81t11O>gebgCvg@0i-3$ip0eR)QWNJ zYRm~N6Ss&>$2k`UC*AcGt}?~*aItD;C7hj^B)mnRG%oRyv(?@$M&-l1Vgtxny!9Q< z8Z)H-24x43ICl6rFmgQa=j_VCI9zFQh$Q`rm*cQ9{_GBydqfk``?WWWDN zMLiKBI6~1m)+lA$)v5j7k)Q~KgJ}NrKz;OzMhyX0K(j*iA2#}bTa6dW=QWB^ui1wB zld&rFyCHpL|07}-osF$gSmcZ;HXUDwN5g{T4az4YPTW$hM7C}v^GzJ8=F@QOWLP7h zM$R?%Y+qcEsyoVp8dnWAE2 z`)dy;jrUK&2p-y40FX!*R8Q9*p4u%MZkNObc;tVC$9>b8h<(Y7BHU*R`-+X)+>;Xn z`lB7e-a?}zTBvp`uLVA?;7o1}Om0+6I1x-~q&f}uV+W%4XzsFwE40! zacR@Fea5t8fC9}EXv3v$f zU2=gFnc;8D_s)$mh{za$pl%n2Ag7(TM&5|xtI*=1o|kObS0rJ2*w>^uBp9vbP>3AU z9-70pdqggI^yvxBHK7S~&)>sp{3LhIF#|M6{GzfQ`*rTm0zeZTV(u67zoXuLJN{cX z?tAczTw>+8w#N+Eq|oOM?d<6HvF|An?N2 zuTLk%v$|Is;Y67i?sRIg?~qB>%$fMLv7rAr=8&l#GL?o`=5)>DZiy13M-OHvYu^;e zwV@ymfglTx!kM4>p;H{tSEl{)V~f_?@>9!ouiW`o=@ZA6QC~rmF4kX2=@;p@AZ)-! z?E&(HSYshfnhg zp{t!t|930CXLF=4)}J0S?g%f_J0~QcW$okQ8AVF7^jzY^RF6ARW{>pLuPB+uNokV| z)2}Y1ZlhF>GfUwqW&!CE&EwKh)(PN)EWLA58cCU@aT1U!(>xv-W1X1%FE}!KXsYKO zkdbcs1)JYF85tWlHqrTrm;R?%Y1T5h_;gsQi9`C%L!VmD>q?*uO_4R%eODV)+F!`u z)PiH{ANNG1K%(EYfy`_(-#SAG340%=H_Y{$B1_)`AuvUe$33zw2AaZ>2ITp8X-fw{ zX`|A9RdEHrcW?iP7zbz44-j}HcOQ_xHDVEZ|Aji}14D41d|EmM9=Q!~R4FsNCw(ir z1FwbBIVzqctbHM?FmIKy8a~9B^Z>*gBoej(N4G&ifZzzqYHl_ie2>n zNvcU`E&awH7C(z*RP++~8UKiMe~M2OHa8(yN7sc8?(yjPbMTapcr`^2D*&sD3!KT* z4*FjU{4fK$P}DYkfXx{j>1g3|G?5qsL0|JDB+B5aDp?pX$0J!M;K>DJ^qBJBiVOKF zp`>kKJQ@d!$f|yc&=pLMd-vH>E0a+Hdaq;Cq!7RpZ-8CE5nc+eV zJw72u@IR2yqpCiMF@l}_2F}L~irV3i|2F=25*Qwa zEF>wD>G8?`cA0-5S`i1vi!r};KKe7XBmd>X-K}$E!lK3rj1Rgq zR8$a}M%|o_h)c~xOgxiUa(MZY{&=Rc_COU0$lYi6Z8qvW;BhCUaCal~n3~ragP%bP ztzb4|{3W(y&9y{1f2^Qr6N*`LfjV!Ejjo?2;CR$a`=b*Ro^ce!&Is%fdv{?71o(u% zb!8LmW6f{JHedkNeCVw}ydEgpt2UYh z$tE5pYGJSGG^!aioXa*6AZjs9?X-6OuY1(h`Ng$VfT%&lQFx?UQ=Cj!W^J#K5HqD%~W1o9Dlx`xxqHZ zQf(dNTs^%84a2-;8Y&VY>xLU@nsZ%`cqX`IWqE0FF>AYIHEFM*cK(-LSW6|>jEAVc zVr31QTBmDUOakKqfnB&=GuIa1)6bcZyFN*A+q2L{#8JbpfptFCvoSgj3s}*%4k94v zLeF*|*J*i!$IbWBwrjLGbzI)47U8JdHnem;x?r&hV)f^r;3mU)Tw5kC&Y!7A97F9| zaP6ILV;3e7ebx>|i<{QQktEZtL!MXl>=lzxI1|DpekyfagC1k;+7Oc%Ipbp&Hc~>; z3{}b9w!%*ce&gK;c`WAN0l3Meo*bnQIi|SnFxXJpS z>TE=wtLgI>;+c)aQr{;t<`HUW*!!o$95kd)eg2!2MbBDRjaUa|OWOoZyLIhwJ4>EA zNE@5?i=AdHB(nF*Es34>FDd`G)0ACUy|rcyn#aZQwwM`lW;+lFW$IGmzdv{kmfQC2 zsAF||vDk$R4*oLjQCP)~{!Mamw!^l6J6}rp?vN4tLa7fVRh83ChulfEF|o0$THvnByhX`z8*~ji?c=#gjdC{AZ|(A6@o}{CVSHnX55V@E?+0IkVrk ze5Th;_e%nW(&t7vxJ%h!4j#ni*pJ_|rD>jqWvAOsv9DoGpGp+Q=|vPhoiqrvld`Ti zc27;Q-TlYteZ(x>4b)sOZ1N3tItaS>SfiwOD&2xY-U0LCW%C+0&p^YLVXMa!(}GR+ zn<|~k8Q4g~squx!HN!c+M#pS^O4@cSwVIWa5Yc))TxUQ7ZNjB}+KmT0MgC)`YPkM@ zQhtozholMt!?KV7I`TRvIrDLP7G>XnrihS~t&kMz?g+{`K#Rw@;EI!Ozw5*Ru`VwA6Z6N|KGAOgr$k zXeM6$`8O6w@S{}y$AsiRW4Pq9dQ9ZGh((7b>G3++S$pbweVh%#zR`UZ{`(pxKK>WB zJ10@Gc9avRsgpSb(g#Vg8QWN)xlkxD5&!=sly;^?uHx}QKx7j2xT(>@hW(fj;k2wf z({!t81=*`i4ku1Z@|!&$4YNo)0;a$`m`DCyCk8!Ut|SfJTo_N1@4EW%{tL z$jFZCMRd!~ZD#I{r!>h`=vm<`jm@TMxiNz@E;`DIR(JmJjBVSUumeE>anIXXH0sE= z_lG>?*PnYnB)P1lH->Ulifs<2D`AJP^wn@AnJ-ebfx(u(dF(Y-NlM6)1wnmY#%%sQ zk~_XxO!(guO9-gy01|IMmCS9T|Jhug9ZniS;48p&`(eCzErVt6Hcz2Lb&V4z3-vzo zV@=VZ6n-=&hf(+gYSj*@cb$ht7uVj8sr9kb|ExYQ5~_p&N|%X|4-r?L=8T2GE=z0s z(qFvQNLZLQ?y*JZ?YbQ3`p$0(Pn=(@$0Np&?3-nQ7AvL(hVBm|=12!K4kMUg>K*({ zZ@HBfLv6s|4GDjgpGsCp1R4QKqHjTwLx$y8>~;O!yQzsWEU+wH@hZeKOXWooObXs< zDohxapR{LxMk56DYv*{ zX%b>c&9yQCm3YLVk|J2m-YZG;!us_sNp-6oJh8CS#2C_v4`HPt4Jtj!iZ}>wmU1IJ zwRhY3rS<0sWTWY%R?`jwQ2@D8q;m@Hqy6r;6BfrW@d4c#^CMU+t3*;*N>6 z8TGdeQB!lyp!445Nrw)FCb0N=4Iu2G5RTd3ZYh7U-kq%M_%8h3HAlVa`0fjxM$MI= zIA&&k#lK6J(YqZPdzJ@xSQuOwkpCJ0OC;>XWPwMnl(nd$PP|EXr{TU=hkHxVpm{iH zePsnwHFiSd*vyTL35B;4(pNV_v5tOfzyEBgk+HrJ8Kp${DKa>{U8(AXgv{6V@aLS^ zNdBfX!y{~Ug{PK+fCTYzy5oW1GCTFzZkq5qyJvHZ@G3j?#P4Cm>!h~s;UtXGgrD}| z{&$fa7Hv*)dRU@lVtJ}(>3p1Lwc9WJ@)j?kRE+q^_h!+ei2p1bhh-1%&a{@Th&b`= z*=#<3>?#>MSVpmS(!yli%k*%Rt&7<>-tscJ4KKY}FWq6(`FUK2Y0sNU+zfK>RL=MG zktsIJ!}o$EF!un}h=1fW$9!qv&zq6JB658{0a9*jz7hFIV5yAf>9rS7 zkMjCsU<3vZhhmw@#TStBJr0eWl0o8P$>!F%lo&4j&N1A%0QVv_dZ4Tm}a>DN%pvExW7R5cr-BB)Z6>mYDmHX<0C5Q9i1zL1UEH5ntEIO zqU3Yny^_u$;JKj-d|f1kUUzt%gFOTCzC;ePM(3Xb5+9!9i#_B@U%Y4WgZdPF&NqRh z{M@g7gk)ddJD=Nw#R3wWtY>|p-Tt>Qx|dJdQ-+?(^0bIXwl-NVpFPHO)XqdB5*pMC zDCG+!x^BhAPp@shFU4D0E!#F#j2)j&pj&;mbNJ_oZsIZGNP`%I6HuQ8`l-N1)lD=W zm*eK>O>B}t&wD8$!dKVL8?|r?ig}u}dUjEd?+e{T6G7L=D6d`5x4QvgpR0krj=7C# z*|BSK`J4AZ#tEU@<&ptNFOUA-deN?JeOhL}LUKFj%`{9mPn&utHAm%BLo$t8&2{8; z`EK=DsqXaHdGT>;lH>Nc2?u&ic<*J+S?=0v&h~kAKLY8eIfj1D%Lokf})^UQmzmoTsoNu+oGHd88UQz_{wjl8+ohiY$?!4y~= zigk{!h-B3*x-d$j7rQWuq8~orchrqq7(#DvRl-zHcZd6~rml$}&;@A3-|AUc#`b)T zA}&ndAk*6vGjhitWlLVtWp-#;1pXHt-vC`{v$PxAwrx9^*tTuk$&Rgw&53PW6WdNE zb}}*MX3lrcf7ZY5y?d>&Me>fXD%y3&p?Sw3X+-lZ}Bltt^fI#n`^obTtk zI%P5VS4Z!h?7Qo|t7HDDisp5_<<;9Pj|R*Q_nsLCQGd!Ia45yy`&dy(xum(3QeUMG z@i%a5Wq$jq^=bLFH}EQu@#10iNd{O@k-fFZ=rJ%ak-o>w_(as>`yWmJ>gXl6T+Q3<3mri*S-xwg!t5v_F|;GGX_2?odvFwY0K z1YZwu&j&pL>jRAxnJAwewP=a3$aG7!}5gF1fc^@1)c~* z?~^t}ufzF@pa8xMd>#njCqCH_X|Xm*>R{BFQfrFab~$;udm-P_nSKu3n$^yqy*1%Z z=W#@Ooca?U`=u0v^tq>>gfgUF#X#q;|Y!c_Ogbv3LV^Aq;wpXpiT9gWgcc zc!OgFAov3NLi>XI!pkl=tp~^&@+5$pf^rKoBtZTG1s5b1AHn66*8|iuSWwR$J{Q|g zxN^&IH=s|dIk!aFvAeMUTxQW2UGr{%pJXT57}906&{=I^SqZb{b-~ZRG~F21iGI5DuTQkl8a}8s?+mlhioW1E zT6S><-H4sE6Lx2H(E)IErd)CywUBlOx94PU4Y!21Z>4)7)?uzfUO*xM#e+fyiu4)n zLjA99GWu28PB3#rH*@zqQ}35+;RU>gnc)-JNV(QCxk>x8XMEEp+b`!5cd|S3LT9pj zYRzLc*Z4|r@}1yD;0E}H_y+lg`3Cxi`Ud+(g8K&ihWrNohMj4u&kB?UzSoy{bi5Ic zTc(A0bjB#l=BL(O{!fdVfW}bU=yX~LjR9S1i;2}#Rt?~q#CDo#^~P$W8VuHzq*@^? z0GuHO6YRmvQOeAo*3sSb{p$3w7KUJbLc3VD-sB_mQL16czxt&PL=(CSL><&7pt+C7 zkiQOi70MQb15_uVx{qVBKIiKUyy)7rGy3@CzlyW*(RBwpDKMQ8Y>VhLGkD?^(q5F! zKk~@sLdkTF+LzR)(zgKUW9@6~bLqS9gYAp%lj)P%HQPnsRoi9Xb=!rXyrr1A#fbmp z*zoX-Q`y}%yW*ejnRx_l@r)ex7?Hr*GucJmRWZyZ%yTjXu0zrUqk|v-O$Cw(H0yIV z1h1QqpJ_FSYh71R^6mL>ZfTCFKi*vd#SMtLp@_XgFT}?!#(3YgFK@=!#v~ogkVO< z+(^OiCc(%;HUt1{i6DQ1-v#*Q15trR1sEGPN2@j|{nU+0Z&Du-jXI08O^|f#0%9`Q`Z`XD7 znmlxA39ut}5!;Q*pxv{j-e5Tz?^k2e7+a%hS(;o5s5GmIYkaW9O}7DC`Hyh|Rv>C} z+7YJR8!nA&a5v$O%$?maHr6Jm`mwXmId7-KAwBdk!x&`sp52gV#S}3;vk^{7s-hzp z3OgcBNot~nI_g}V;us(f>hyL5D05xE->i;c)U6sfKc^Mj(lcTEFh@6 z4S~wF*E5z)wRyllJVUD&;I;7<#%^?owd06}9fqyogJj;9+nbR4C40pHs+Aeb;I2JZ zdXmTK%S@ZW)q9I(a41&lVd5E?zNa1>a|#RW+g#t|7Jf`MWEsKM3bkiSq#d6$Xr*1B z+z6}wT0-aT09j61ol00LQHo7fE_$ifmRl~DPkOCe`k}-g-VObYC%<>8Vyt7uTJ?}P z_{8jO#NW7gsc_8A&2sS+pr?`6jr6Tq2H9<6Du7+C$c{O9-O=>sb0xSAoml_zk;n=}OJnbWOt-Z>wrK&TiK=JRPO;LQyrW8968pW z0ovrrg9Us%YL&=cAs*Rvx?(Be7o8EGNzQ5GWO~_dPuJG9m=8ce}M7H7)9+ldphI?ieSoB?TUtGIc^XSILxddB_kW|Lb%wo|F z=F5`SS7|iEm#zcCnzY^Va^ULP1zWdi+1!jq9hS8SEmf4J-NcdpqN(6B-4Xkp zm)xmJak^jC3DEHA{wmJd#y?}pw*K4Ka;h8o6k2v@0JLk~%Z7ERTW?kobzBemt|>A_ zTTq`EV_YieD7*Y1s+K^J5Mx}nulonZx;9BA84b(NnXozwa^q|&J$X?dGl}PY52mzv zMZOGgbtw+Yxf#xp$rw}>iZ3MO)Xe3Y(g`$bsu_2O(#lrKDW~mc)+%~#q11Md-c=Ue9_Qv|-@K%cid3xQQ_$=7=Mk!ozQrZKn|3VO_*E59ANZ zLk5>bSEuEz-pX$MY*y4^D9sFtC_}?wCl{a&Kp@_C|_>N{`wL#-o3w4uYXu{nu}ey|m*ZTtXasj+aB~`fyki zE^9^p?hp?=VhyEh;x~rEKR-5$8Kf`)7F!>k>*vExzZYax8*$BsD#!6c^q_9dS#XUg zg}Wjq1>2xc1W~UEE&~J9D4$S9sWIx#4j*xwP{cP?yPY2EN(%RQN zLXiIol$RVA&^^eSe68Li^CJJ~Zxe^tmpSz{HD>^8b#Mb)ADH_HV$2dS#O#%+{d>5@ zqW@#8NB?82l;nagPEberuWP{TF?EpXvF1VnJV?{RnmGB&UOKP`KLB85_-h$Imm$lj zvFb8GSJi`^9WAv%%CN3Dpmac~SaM^KTEHC{Zc=b?$r$Qcf|9XiCokMAFEB;%GV}kM zZa9TxyS`p-(S*5PPZ2Gg@^=l5ixU`4js27HAl0iSHLecjWwmv=O^zT{^d<*fAs^KL z8LVflabQ3dLrab=Z;lrkx2R?xeZIk!Eo(Eod|{ykPkP|9gtcKH=+LTAaX@FCzr z>(maoP%^5m9?KkVYm*VjNVwBdzd4 z8HF=;gP+v^Ao+lQ#tLd2eH(T6pNSm?9No)pIIbqZjXbVK_TNMGSLvKUz|^4Mz@VCw z##^a(DtuvmF3Rxw&Wtz4T10N3krsbWQS^rzamxqfLo6-Gc#a}FqdyQdT$8*ijO(5K z*9d`xIuD@*)f(%|0e4_TbV#an3P1zOLf{RrP?ccpp^AQierzXDJp^Y3BAR5CjEeMp zY7=L$Xjlr%%%8C$5iBBp{s&0T6@-ajT2}Z@#uz$pTQn>F5#H2u7UolhNe+>U?8%7Z~y(C=_l# zUY)o=O4$|P2*9-yijY2hB-M$k+Vl^3Ag0^MAH1{S4xwc~NqE;rFhYgriK;!!)510y zhof}=y}no1IB2wO)ESA7@Z~PiIruOAcV{(L3n?e6@~>3HPSw!BZKM>1o<4n52(;1;Bi*LwlFsWX-I>829ig=`@ti{$sObQkeg!0sKU7l-2E( zqK)RIViMXOuK=Jar8dmNzefs@$QDMW;9Xk0^^pmEOaygrMk9oU)K9nlfMP zDSkkul;`RX@Wv%nQavR2Va@@RuapyiL^oc20`x8)3SW_}{|Yix-h;O1Hc{>$@{bq{ zrKR?{VcgjPQ0xbTy72>M5@pav_ya*u^gvoDLg;Ybvbh0JFcz~QULYh~3J_Cilte+K zma`;YAV`HzFfVry^zwbTmT;6rtkT#@ULZAaI$BDZ1aev`qyy^q$yYF|=YM;zYW>(3mWegL^ zrtOJUivGppwZ?=k%c54z>w(Qt+NJN_P@D)!Khn%#%VlUOw9sJT8s@CB+W~J8G z%9jL7+fxFzm$CD?Wgioje$ovFFQDV!YQ(>x6(a;!JQ*FvQvp<8OvVW7fMmRk4rBE5libp6W;tm;SW6|VJ^D!ucin)o@0TKA$Nz0q|!{mWD=y9eu5 z?)9mvt*fQ0m^p^pmeIK@!%O>GcZZlqujV)WOM+zruIgb-A^)0fE#8I79Q;yl{Yza5-stB0u61yyOv0ow6?~)&M|!7B_!aEZ zcE?60u+8_RM-?g_j);cBNNgd#BDg~E+qUQN`0y*Ct$!4a=$Wh8*42h!siTtkO zBQaEi&sB#*(Xm<;%~BzrobV(~RU&})i!EBQZX1s8!%vSwD!Wjd=y`;;E2_(&EX?9= zN14LkT$^W9O9OU&N#EaVgxlz)*Y0ld_HwD%`|xAtGc9#bQpGdx!(TdP)lZEMuBZVG zzIQVZj1MoqGbwzp3pNY%JEw%EBf7luo$_yD(p-CEdAAmI)R%UD5XX6E5GMd?{7)A< zE4l~I{T(GA+a9%#m^-9*0KQ#9yUe4B1z)qwT74IB%LU&?wjJ@QR+W4FS>I9iOYW(s ziX+})tGtdvs}4qmm2tODx3kd)n!A_vEz9p$I^}O1XFWMQ?lYwsMi&K~eeq2J_X?dV zpF2n*m*?*v{pC8p&Sp*^v+e-b*S+m5#FVao#>z(``?vqx?q{LPA{^=t7;bQ{MLAF(qrdSky} z@|X<1V}6>vx|ZFA9~z)z7f$YkTP4b}rfVa9#e>Rr_>KuR@y)=cFn$YgqQdz)87tsX z#JG7P!}+=%TOu{66ozZoG3UxT?p##6*~4vbpPQ9+Egs6C^Gkf#UUgr**k1KW{Lo(Y zR9wwo^-`SOUiDbqlYG=$-eKDf_weWvW`HgwtjNs1X+3tiZBCn0`&j&Z%Q6_(&b3I+ zzG*y`qjL^}bNx(wW7854j(h1+^kMJX7yH;TN6hKH8H><4hs?=yCO*1((uSMkSVXyX zl8?JI(fzB8m`JCTQ}qWC_XkZnoE6u2GR4G{Q?eI2!bFPSE4nm=0Ztsu4{B!@JoJ2n zM>RhI%;H4q9_f8HlUzz(eb^*Qxj=lQR~rQzhRh=3w_l#=gDbp%x$n5yCuO+!E=8D| zCt{r5W3loba})Nzqj0;=#B1B<&h3B4;pR9Od2CVLi;M7m90;Uw{xM27x8lltU~1Xj z6Ua(>f|8vk<)Q;LV!Mr!?lsB-ie}j!8kI;lCubyYrWQYOZuF4ipmw;g@Ht4DT$XEi z-S^jeuY1CL1zuJFk(ty4&A!@97ss^*%clUS(*3Jy%A5RT0TjP%;GRP;(rhLFeba%{ z_uh5#bC-vRxD7;ke%FrpW~x3^t2-Ox0QnC}XKzc3H4)x(?xx1<;NGk0j?uMgrf7>(qfg;u+}`EhCh0yPu}ZU5fVD-e7aZ9y=U!ss+?GF8ZR zq(MkvNWpJE=nD=B1C6k!p7brhC~BbfH|LX@vdf#UWRZ9={&-IZ>3qZm+sf!?0qBNq z&PX1-d+K+L4yzm=h@Bq5JbzKBUA2!oXr=WN+^6O>%*#&0K7Vk0CM9_{pxn3kg0sqR z=3qm$_xRTCZ0G)&1N{cucLV1+1VRNpd!JA^GeqVfpa4D8C`=qZR2JMwBLSwdb$RV= zQmlUT8n`37EbAtd;9#a|UrTzK>18@6p9>P+)P`pZIhfMW?kmX1w|9y6MWLZv!6;#P zuy4qB3bl3xkj&Kt!e*%iB7CHRJASY}#AU@N9!-zl*kLBlJvL~;3+X7HW(rU0skdN5 zVy=^TKQFSKal{}F!I^+1o)P{yj_GLCc6note{jS5vg&}U#o6j*xaq){Q249Z&-Z4e5bs3Ro6P9V zEj-q@7V?}i`lVsvZ>>k}%r8*QtE<+}DYi#)I1SsD)|yqVUc)v4pe`+F8y&Fu@_OMeHYxt%og}p4 zlAqni4LZlRkm8hQ0G6F-k7mx#89TgOopFVXIjpiTg6`C$s!9`$JtYK|-fy0bir-X|8RqjRZ za+hNi0O_m^+t~z0-f5Neg|25-cJwefw|2oTJtD&0cTL7Xb}`yxt&q?;wHoN{W{QXb z-|u=YX^XjSsCX)*31xB-RMk1|$3@(jokOJ;ZA4_9=5wMJcN0(4ijaxKDOQMLVZCtG*w>O`0!dN9$SKCFj*V9APB&>0$yzkiUnP zrpyN;VRAHp?1tbXV>2&%R|C; zw{InslwLSL<1O;xY~}|)@P|{Ft7*?Xn;l1E2zX>2rOtT1Ad zC}^za*D*?4lRjx(g$+birbGfh?oY&A&_?wgF1$T4NtU6iDqme&f$ulnoV)c+fbh}$ ztv4}omsb14aK~ur{G%MhC1Ho^`?=b#d&iFoy1jQp!8;$k3VC^YqoD46UE9yi8TpAV zhqQKd>_O%{JdXEulJ1YM6LJ$8J4RRt|yJd zXVl`{wrCe*?0*5oe&AxYJ^(g1+3*?fFXafrfS5K`MF_bg{2hjyWD^D@IF6O_` z8?Dc2OH?H<|3$7gUF4!xahKR?s&aVzxjUmGr$JUbEGdF_XFD_?^1i3U$Jpm zMvuwqqQd8uQQ*a;Qm(;WW~T*yHN5KEc>-WvX_@Ag4R*fLYbRZ2OY#`8;3MVE| zBI7|*c_sPt#LvMsql~nyM~I8NE>)!_kpVtJbpg z4mHkbgEgc%snf^ zBric~Kh%=TO#!AUK=i)_Q`u`ZcbR!1Jm8&iFS?W+E6x;v3HzCU%;jGs(2=!(RSgPW zrBz8=NCm@ba*-lRaumZ(w|R_Q84rV}AQZMmut1>mDs75lhe}f_@rky>q%ai5pqhcv zY>9q_7ep_U6wM$TH!J&%WEMr0mQR9emPv(KFfWsgT?l}ikSG=?#zj>xU=$ovN~u_^ z6+V?zt1!+BR|cq6IOhe=3#wHF@fNr?(Ws5h3}~xL(m(c#!1D2x5Nhx3IepARAVNM2 zB6vOr`CEpEGoFR#+&D^py9f$rJPqHvxe4*78XGN6l2+iuLugyj|8qBsO!et0L6EGk z{!4NQlNsItIYQ%5G~F$VOxGkzZQT%Zs%Hv$T*DA^>WOired>u(3UH@ncs~=Kr(O*Ltd$EeJCPyw-hqErjbUe zSVoabsaPhFPN|;JQ>>6QbF)9>~!?6Y%ty~&|cF)QFVJday9OT$%P#vFkel}RL zYVZB~H9wL6q$Np-P?h2hx1sPJz)!+i9-pN;CZ}*flfj`xJ_@g<469X{qT+_Yi?$Ke zPn>cg)H(+ju1XOmqM;HFd+B~MkDpuPVi~?NJ3Cfxinxwp$n}GT8LuOYr^`!F;@J6Q z8NWyQxK5>>9tTf(jG$?%-%--cnCmy2TidFAIKdWinagVN>Oh#fG`Y_#pap)AI3;q= zv8#O0u~X-??dnE0XVCs+wwm`xBEKbW)Lz2)kR#XJWj->`4fb{JU^QL zi)VRGfCtx{dH6!d;Jq7y54z4~9Z2EdX4C#@c45)zJwOa8Z4i5FuhI?S4Nb>b+C!3D zB;1egt3%%4Uo`c&H15UZj4SGgu~9J~&*=-(+2b+K_;~Uq2GxEf7Dzx&kfuZ)*1t4R zIQ5zRy0_F^fm`w7D_m6$>-l<5yaYg#pj?(NehQPL9F&JNPhxQV$T(-z-xD96pxpGN zKJW1R_|V;|uT_#|r^Mj;5z1k`296?+at-qqSqg$2LY=H~#>MsrMOKZy;;efoTa^RD zir!dJUGww_MY*Zv9n}O`N~9Zk7Nesw>*b@R=AJI|-Kj@9Y4Ro`Z#GenUJn5BsD(Dg zIPlkPodSO8uY-pDY!EX$(CcMWm&59=*96mSqr7jUseZ{92|hW7487HAQN!c>iKIUT zoN^P*w1Oz@d*5o*NydmDwK%E06a|jJ#yp{(y9Jo=Zih!LHfy5EERyvEV-j!qUxU7( zkVIBqxY(<(Y@a(`cTfne9#aCa8&t#6@tg+860r1yI(02s8C2-dnq$W_?{zU9*Dk~6b$Z19j9q*>%#MUMT%k$gqnhQf+N zze5as6`VR;YVLw?cTVvks#R}t2IrfVKGdxTiaIwXtkZVjt>QvBg|-IF!hIY-yjsBj zp20oYvB?MIEh}{nYw)4V0mLJ%o|pbgl7GUo7hAtd)$YTrT2GH^ zE9Ls}twceHANt56FwzW=_(?hG${F!0`I+U<-e{RA}A5N`U+Y98jqL^wRPL;Rrz z$_kJ>m-r<>shRr(l7?G#cvDg-^1130mpqzdxODy$ zXj)ST+$or!5Xw)ly1HJ2#S^9t%&AAPTU7aI0dM?HI+*Ukn?(x<%CbHZ7`s=1pZ>7W zo*BcP#X74*t<%Oh2ErPVAcv3pi7gq`Nq0K6BzG?Ufr+MM!Dw}Ddn|n;+H_zrY0-=# zHL{U_aB6UJ(|U+b`7ksk$&pqbAkoKk3EXP~cfv|oCg;7&%p`AgnAnk_-nfRcjoKwX z@IfoVwZq1$J?IFqB-Vj{a^TxD*M<#WPF`~SGmSjGQOMiWRT=h%nCnR2A#z@2-S+1f z6z7UDSJSWZus6my$88K9iglx}yhEI$3w@Z#2L4yYLGtYo3YR@cqC` zGWSvcyqO5iGe8-XPYla=ely+I;90l%8xDYI`z1 z4PuV~0vwz|?z0G89JvOOZn!1545IhoNc%AH_CV;>ON@Y?IW*dqH?d#;)Uut#?-V?k zaWCNwI{0sH%*}`LhZ!73pfm08MvZ9h6q{6!PfVG-OpT6PS}h@6`oLR1y}|!Bu*v`9 z4f+8oi_d>xws44EYAZ3rFt#x(AD;0^Sb z&F7@wjo;~Jw)+6BY_`vuAHUa3SYp^9gY#V1%=}y_$i;EqF6qmAD~!`9(hjdSS%y%8 zbX0xkDI%^CJ{vZ#N}CjGhn!Y8txDA(9M5@1Yfr*+vps_Gc_`LI!BhuZ?L%Mx4yACefbd4YkTRN zuqadda=!B;$Ajaax{QmMZqMgCX4@7??%QgJqu2MtuZ0NLjh$1MU7ZBCY1KK1-@U(2 ze%&9q)U_URSB$M&)30DWyIJWS1$50&Ty~Wb#O)kM{;i|J@mfW1k=atiX>@*;#)~qR zJdYmtf&uzj;3sFdEvn*T+~R8w&v0<}!m?VOP%9`Kd)192X{pcxZ_^L9+#aU+x)By~ zZnmHs98x)hsSh_zu2S!*%eO^M(4qL7dM<}UZbjmR2jz3)GHiYam0S@}n;ynPDI+r` z2(p~65yUVnNy`xBc97H^S@AihVBo4?t|a?42f}?ipKnpWUAcJ5&IKPAw-`bc1~I;x zEu_DVK7%`O9mR&B198p?`5rGiLX07qW6hllhDY?zH8gYuLjGU>zZ?6yteVzcb8letrZHtj zmTSq+ofjX%&O%Ms5O>uQs> z_9#$U)y_-}zOb=tcI?VIDN-x()YRbc>wC=7*!-rcaq1_}n$8#6r@6AcuOX|LzHz{c z7FFLCMQS~tSYrFLF;9r$!vnwp!)V%mDyVu^IA8Mc=y1wW`07Z9uQS0vxZ0nxYL{h>(qmF0!p{V+))`{#G3nZX zzhJ6hH7YBy!5*vbQx<#cJ+eozCEVK**|%Fek{MLKg!(BaAFE%i%NFQXK#cLgTL`t& zS@NP!neY;hw5Q4s~TJ*YAbaB_a zIpricH3FyUs0GE(GFMXAZSnIMF!yzVn~tz~C=qS^ZU}FJ#S|N_A@@%fV{cbna(BdA z-*ZoVprU|1{2?QN@8)Y4MzM-a{7q573Q$z7Q2ht-e;*yy%#R%sd>tLpO8oce$kWth zILpRiT@o#<_ele9%s|-+dNqJ}O<{yW%b6I;QoFpODv}?`Att;zTo>n|_d3Q%V=AiZ z7;m^-l^r_FE00@1;Chp)4Q{1f^Ilh=-}Wq=vMAaJz=e~i+wamOsqDY0Yu13x37UF$ zWbu86U4f!hpKEM5k1tW|-g>Ihk%Gf(C~HNEfs@Ye#Dk4tRnDbezrmcWLHLMnY_anJ z)@a;*;4+#xK8??`U6ug1dvKI1sB4jr&-U|}u&0;j)P$bjz6%wEutxFI;lBD25waUNqk?Dd#s1UASC(++v=%0mVCX?^aqInl{ zOc$DHL+qT;iWk;@ip1wvnh(htbjTbvMcfDtSVe=86;7mXd3X#XC>q*Oy@e1pZdPVY zC7!iIKSO_LF{W?e8cQWY*DnDw(WKN0EIgYnU!=7imMN=lu`US!MJJvv+-P{&37}Vf zEYB*B_710*!)?AW+VB+uOQS~ebr{)JR7*I;ZY#|P%d+ZDm_3hrMY`PYQLfc zNH&ZodloK0ru-gq9C@7QQ#$C#f-|_xv)X`ny#6=QI|*8{HbH-ZN6 zE17EY5VF)j(3%KIkxrbCD4w=}+VJOmHC(s%Q2P-0PJtPPd~j+EhAtea>4{alD*9`q zyV*%zikH8g-&<)C*X_g(xKlE?PO7*1 zSVtm|U)dk3cRc1@dTfk#&&tC-_E-j(Q#$b}*|;OE;YY>oQsfRRF|F}O#oQ|B*FV-P zWVT7!9QZ#uE;DY|ErV@VOdM4Pfi^6|&le9IT?XM=<}g;uNB2ug`YhwWNKOEkPBY0E z_jY-H2F`CRVB#CP;pQ$}@R{sdOlxSZOskUAEXjsxsV7|U+V2%&XGyRISrKA zG*h99I1E!6PB=~enoN?Mx-i5JO3wV+xly^Fug|$NPf~w~x|+M`C7eCw1yW#10>oe6G5ECxEvp^^0n;xaz5w$FSPYWb=%VgQA zHvTP0Bb<rP%DaugxoGK7r-;99R>5VV$Y9`uV1emHY()? z^ed;o^?KoUJ(s?+2mN`rd{|3>k!x6YUC(vV=Ehy%aLupN!YIs~6vHy;dd@NcoS3s4 zY_BJ9k`@0h?H&Y=GSin{hZ~>!m4{WHSypX;Ji^d#K$V@Xo&j^Z07G;=zw0Arv4Fym z zurH*WgeSq*P-4A zcmDT+s^RYbm=v7t-J0zUd}aZV%Olr`;}NRqC|Cjyn($wh5stvW%hqM|*`BPb_;oln znU>LdhJH3GCW$CTM3yile?8SB!nwu9#_KxM^YA-;Dt#)vzWyt28AqP^(V*=ga1Mjx zHi>N1y>A}zT@{qj>?7d~9mh}6sH1~lAJTytsU>=wOUsq@xf(Zsau0w(7*vIeUFa6L zPRY@@&;%7f<78G87qwb$G0I(d9<-&O^<%we55^{}ZzS`gZs}M3{S`s6R~O zAqoL6nM5iRb;*CKcH*vGlT)bvOStXE^__{Bgj85myeUE?)U#sgA)3gjJzwOe>Y56l z^m;t7tLm^=cOXG!lJOF|O{o#KEe@!BE%ewjB&K5#PF5w4`X>M?Hn0cJ0xfw9!<;8{ z65x?TC<9pKChPPwg88AwzH`QR{-)Raah&K!4))}s9nyaVVKT&dey@Qv8bjo2UQTO%n`Y)wMt%xd^DhEcAqgAi%6}kYCa8MkT8Tc`pif)|7hr%=5 zdEm;CWM2^;MX?xGM6{9zi{FQ{ClkbWRW`Extp&j(ed4Y(5l;;}QmhU?XXXo6(=lee zL-xGGn|7+1{TOqstYjw!pz$iKNMiQ8*d7+cOO0BHC(k!PC_>&BSU{+hf*&PV1KZ)yt)k? z<5A;`o7%!J5^wSjVJON+(C5b>b=oT-8k6`( zj#~C9mbpe^xvgcBF2~4(TOL{^-TNwG`WKt|QmGx_`}B4bT}2PX^vJV5?!q1R1AO(P z_V%D-cPx41-1f+PG<(i)Ybo!Aw?~JM>s!v{xIG(==L=#eSyu0zmVR+ehjHpkAKW$! za#sV0KP_#{_WyqQb3wFLsPk6rVF z4GkZlIK4=1_GXkUt0f!tNPEoS*pFM68ZrF7$98Axj7?+yQ5;fi0K<0UwC6@QjYbQ? zX7g(&be? zI&s0d8+p)+ZfrPFa!OIFmB(Huf#&bfMz^mpD@VXlz-4J+$H23wCP^Lx5%U`o_nJC5 z_+~YNX})}ERwuxjzz1nyr@#Vm$iG-fNRHxsOqqMO)8tQq$pGh4P^?K?F_UoTKUTPr z)n}Sf)U!i)K6C|0CkFbPu&J`ua%s&5CMeBiGQuYMR`UsB&?z1b1C)Pgh$dOiO)tLS{=j?o~>JX~igJ$zg9r9Z5 z&i$cja>ud&10THG#1h+HuE*{NJVTP%IThueut-YJJOp@^Gu_B&zRp>%-sGN^SxAqd zH>1dAsA8gYQm|T(im5misTdiQC{W|z=E!Y}( zV?B3eEBmTIZ&M13aHH;Cwtjj{815}Y|J^uxzxY1d!dx0{1F78^U$<)buK#FPxHp5E zd!|^#8x`OI0ZTpABSd%VyxF-&V^GnOinefXEiog%vtEZOu)cgO)=*Q=Gu$oU6)4c8 zdTKu4jhLDhBm8g#+Gez7?A=%x>4Aeb;Y}%$ZmS*tPz5OQ@^00FTVtTs zQ<3P9hEgSpRY}Q=;wmL?O*-7ey#bOJk!VW`{T7fR=3dyiF^a6V=oU!^t=mu~Tkk_- z#_3dAO_m#pNDxP0@4%TA9^B&fHtdbwgT;;IVh;;S!ffj4(MGC6;GM0&F2Me~{JVnF zU6LF+S@!GVWN8h|*{&;AIBog&1$VqSm5{H;1+OMQDKUKkgp<}$<2SBlX6MH20$z!G z24KW2jDgiTqkckG*ZhoyHLbltO`aZqb&*NZ!m61X3*IGej_LRx&`2~>-o>jlny$w= z!i)W`4TIyN2a6jg(OwfOH(Ge26l(F6s~#=VT^V>Zv5LyNh+88nn&4I+$TlIL{J+7D zTrXt=U`RIZPCT^A5Q4Q4ie%D>WNeEm9K)D(DI7t~wnTs=yCy!K%ukfw012k@7+^S_ ztC4=Eri3(0fGE4>BAwljHYLvOEG^YYCL1u!UvTg&gVCQh-GX6k zYePKJl6oaXU=}Z5!j}cb946t#flLAye`iZFgh_C?CK|y2$N$~N$$UXbNO&I)|ISX} z@FamsB3zW4hpd9Aph%<;4UZ&>P2u0|-abz?e=TPG@BbB!7N2v5au`X8vtPfVnWBWF6+ z1aTpkam0fd=A6blnjJ0GC3Px7F4T=}n}brS`TpK;F!-dzUw$ivcwcZ!wrOhd%yO(P zm9TTDOABojKGCj@0)m+4QcV^@z+j;>#-=@(sn62BPRrw&S4Jjm(CthaxURJXwUKRKMKPWx^ED&gV%fag1vC|NY`GYq@ z(!~{o_3sowg3u#|=NrxSjNx=cPa=yD7Qm_@_~_75&1AL#qw+tH5b#(=G17u=Y^(ES z5$MLg=xhJT^;f)Vuw33tgkL59l|^+=tL6W&^%Y=s1xdPia4zod?(XjH?(PsE=*16X4y<%fvtq7=3A(&|AvDpD->I&1V<@_4Xfc45MZWQXQ8UM z;<8$TpqB|AVpEI5vnCQ}>uY4gUm%HWKmwhQe}X>T@H*&shmL^nYFi+$ffP)uk5ojG z>xzCgy8a){Q!j|D-U_TyY5K22qWqtS1anFKA==siYIOwe6)vn&2XoU&{A^L7_(sLx zzb(_~F_7Yjrm#UZkYbHyWH2Z+R_%nAXYpMkW&3V;T~ImZf-4IMR51Jn=En%?|K#7*=17oxMG3lX z%?UzToXm)=wjkA81wl;&0&{{Vk>NPnoO=jt3$Ka z!Y`u08yN1%;o)Mns|Mj?x6206+mujz6*M_bNBpJw7-%|4#GDJiH;|`+bFZa`D{Rj;r<&%q0>u{)*nwOJ`jG+q%_3vE+f1lA;LJ2^ z1kGJ(4fQY`pc)7F4}fMR5Ef4{7yZsM7M$+R5ZM7tY9v4~n{6OD0zqwl3doazvmWE9 z7)<%3n2WsE;;Vx^7b?PE|MfpO0lbvkb>RkIud?~}DDqqb4d;CE>ESscq*SM2EytNk&`|H*&K z6R?|do2zYaA&l-*_v-JnJVJ2w>VhCn%CBx1eb!0sNh?ZvBXze&eP6Zpklj*0;7JUm zV5;}c8CDHvlvK3cZ)Hnw{04ITh@}peESi8JEg1EGso04DhhKo;PK^i9>F(WN?s3>A zvpdI8NjPG+tN}rdS)(a6fKmrew!>IiXJ2x>h#?N91A4QcY`qS-eb=qSMVjr~7W&rK zm_(K+NwZV}lSCB9!}Xuw)IG1*EVn>TjFR=x*JX|hxmNK@R{k)Lx9B0Z#k{ir& zCW{X!AlGIjFuP8NZpSF|%F$A^LW6?i5cwplKp_Y~wrBoDf;U_`aUXqk;d3 zpv%0r*lg@FoxZ%7;;XqQ=pr4<{s&;+Aq!5jCZ&7-QjJR-#;^s(|Cf>cGuF8^qwaP$ zq^$SA9=gTFey$Vi$UeyGOe-*$Wlg&Dph70hqYsktM74QXnwcJ4U7-ik5IK|S46 zqee#P=FD|b8666uf^iRMhcRS@*{TvL=4@e(n7uPMEfTy%ku=sAsDBI2S3C@ed1I{6 zc24=2;s{3B;hZD5YGeKi+;maQN9%7GK0Aj5UIW=%Po@N&2C`UxPi;HG(O;E$L@|~% zP@w+hHHDoh(#;C9kl@?(uy(=_E{X*2K~Q-xEl(4C+eU_Fy8Fy9iqQY%@EH!%yo5?A zOFtxd8>m#{KK?ew(yj#Wn_|k+?su!Qz6|%*EZ%)g75XI+>tBB%ob z)R909^3QICDlDi`0Eakm{{rp}RcSxR(au%hURylDG){ zZ#QKumU18;>BbOAGZx%FL8-}$HJoiOIt>B?QA$cx0!Xu2R*=*B3;E9jF!64&!?ALa z{~z9rYTVY4GA=apf4vl_V-JLI4=d#l2N;Lkf*jR;vK!?~EkLL^01B&?@7Lbo^VN~M6=hJgNs8DIRb$m$%iK(xCOdYumqOLll%9KPp@HheVuRY7SHPPPybVv^(sYYWwm8j zhu&XFsNC>d!u2VGPgx{(UqzQOLe_g5swx_aDv-xArD{vjLNf6v6>;T6f1}uJu0`9d zLR1OF#Q+2M$6;yW5T#r#SR%LwV2lt#&IGU_$nf9LPm2yF8yezjN#1Z*@WcdP~}(-EG&S*fHucK`XP;LjXr)no-8=@pZ^&+JWz%#RAg)$r;Ow& ztU9hkN*;!Yxkyv2B~u!y=-w@Osl9c0NvV!H!DRv2C{(yukwy(?$mpr89dUebUWnVO z*S2@+GG1)Qb#@`&_In2%z{XQ;q}1XHB-9#Pf%AE+|Kg*A#)oA?&x_HWK}=M4qOFC5 zF+-Ve!!)&WYV`qxVgP2wMy;Nw>k>UqZ9|8%?T^On@GU9bdXd+m?Tpfgf~_&uL&;mdlz%k&28o!AhR zfgVL4ZCYPnGd=<-S5H%bH8#wD0PwFijid*9KZV7WI!%e4r&Vm5Cn#pSf|8Y|B_^kW zl0nDC4vt2N5qzYAGXEDn#McWrnn#YJ^(90zW%fwH8Is3)j{7`~!DUqlY%Muh8amSa z^3cFSG>ET%GeN2v5FzDIFsg8fnQ|iT)_|ED51Uj8ca%kalFZ-BoWQ3Fba@Y+RSs7q zAy%7f^s>64guz~9nnw?jhC0a1d$*=u-tOBNk8gY=K|{+GxaoYHkm_Yt`MTDpm52&C znL!f~mid%_SUl`tsMu#RZClD4^p99zm;^)&Pu zD{F+v@R#ye3LVhtf`H~#KZku@|3%eHAaU{dpR~Ss{Fm$&{y~Ynh+|sOqgJw2lIB&C zyNUrj#r0&r6$}?=eN<2^l7oeb zIHWydHIS=bl=#89m6?%?xu(@WMp^EQq(hgbrfz4YyP@OoSLSbm)sI;(cS~{FRx;PGp6Z8pXlEH8-({2}%qjwKw^PzzPGD!V2YLxreU~FKz1vOFBGJD~B-8W(}uf z8O1gKPcapw`{dw=qdCZ!lccYfpS{ng(%y;Oe3~-b1u4nxp^Oc}u)9lr{D)>Zt)8Fla_|g$s$liCQi68a=%Vj0u;6dPtv;xmtBwQIW>yLYKs_cN_(53iv zmseDDr9Sk{{(3@FhbWk=fIgH44p`08c6PITJVGxiM2(_@*~lQf&);!bRbu1#$*nR9 z45lSjoDe_fa~k|iYJ;5NTfo4TP`8**S;Av-o7f6kb*TXD0;Th(QY_1z4KyM~nn11S z`CuT<@PH%^GSi@o3u<^sGz)=2=#M=z#827|xr2-MjbHA+^a!>jK3u#@Si=nIw2q`z zm63|X4!bu8{}w3FWJ4+M|~Zi+Rps!yXNQdr7@FPJ7VVY#?@o1@=J=dGk!VzeVr{_8D<9glF4)wdKAbIj`|=USLJs?rL&6zPpKp< zfc!~ItM7#B(^frYB4dGIFcy{FrDm4Y7PMNaz7pFIu&ADx*za4r61aWt& zG~Dad9(v?j+$fn7!rd1u@ym_4T)*mbDj~F`5UA1 zO5Fy`^&8Xh`*dbrmN!0g4YuQuv-X&}%g->ksmJ&3CRR ziU#(0`b_oPov7g%I;r%Iv=assY+6prIX7$qdE;Fo=c59~f?kdN*Lou%BS~B-IA?9x zi0!y(27QOfbjplS^;8tDR8P^S(PHo_6K%uOXpPCQ6-l!(ZVinJsE!3I`}H=?C9^W4 z=r<$DD`dj_Uw6J{bCGEK1M@z^dh3MtPdRQ>lw{nT>^FbuY|pq_s^VhYRC?GVAEEAY zT;Ci=BtKA^d!lV3t(y*za4d|CLRS22QFwwx#@Lz3VABXGp~%Xy4PID^lX(rMs*X4_ z5{L-jSlU+1k+xI2Xy8LH@9q(Ve_!U7G!=2|+QcvUA=bfg@xfg+0!)6eT6q1lHPeuT zsuq!OG1fSC%(2*{DhEmF4bWs`PW`DlvR|KsE~!-+dnU$_8r$}UWSL!Sl*?>{b`X1m zspyhrMf1mUbU8Dfcxy5eAYSwEWI?`N-D#`Gn%8`k_V^hs^)UdHB0(w1Cx$BrGj--w z@E?h70m2i=zT12^g49vAJ{m}DRKTz&oweQcC;aW5ia&g`HRPC-hVj6MhVjuy2FDX0 zju@r8vY=&rvYfPr-Iu0D3Y2txZAuFi`g*3xD!-;9C!Lmbh@4YWb_7f+v54O)t@rN4@O;Prij#06q8#AGF~%FdcQbWi~LC ziddBzTT^2OXWtav+Jk4`ObjCs^!zqfR`c3PW7CmItk1kNs#s=ex?IM-l-s2{(i7$I zdIiM6m0bAPeuTM5=TngTAyMk@vzkls=YmUOXOM;Oww-T5V#0B~+Ih9C+grEeO_AP3 z$P3QNV{_*47Vg9kU&ZC_!1G;=D8br+3za|qlFFp)#B~4S_xmz4?$|NEk8AJv!wcD+ zUmhoY(w2Hh|Gq)cnLG56cXJBnFXeEE=>Q--p{S(^U+`rA=knB`6IeP(dxR7tia_n2 z{AKkNp%EcBtHBg4vjKB_qJPHuT1TF+A~B~f-V}24{uCRt0Z)3`jp5)6Ew#ZY=&hRK zVswO7eOYf2EC=q~+h~UM0E$CK-TG~U$%sZ1eNBvAz4~n=j6)EY`|82C?gXTi?1oV& zV!9|HOy!j1bw!()D#5I3-;!AR$|?QNq}jYKK}}^h#m}y)pZJrKVO@*Dn;4;WCM?MY zF&KwFQT{JSUFRs){yG<+>4UEeSa^g)|~Z(!tUx&8KVQpF5)g(b|$Z6AY3wX6pZPXmSWF{mim6(2K}2+K)nf z!m*+KdcWKxaf;kkn$V@V)2~Tm=FM~Km#V;boJ|#s0V!IdnDz)`0P8ULD=jt(1A-N6*jw+LCmq0PuIj(eqTbjDiH_f8`g%b!p zyzc|GCf@F}rYaj8Cn|*3vybwW(vo@&194wk0OlGAaab-$QDmZS5aPE%1ZNoW;JoQByWR|p&AGxKpVBkp zx^<&rmcDgkV5YuB%jHi_o7c+(LXL2@V)=vw+Yg94t7wqb7I4$h0M_R5Ime|FBimtK zXlhZ{Oc*h=BJC66zZZiPjVT`_#B}HIblt)5b@_wzHrFed0wyHKSy<($HUJ#5z@`C{ znEt;vFzdJApJyB)b?tv&?>r^xB?AJRhP}gF$5n z1+oKNU?65i`f-e&h}b#DSQSkMc6xG z5+UQg%C@s=+x%<4?$mul+88~b_G#Pf6TVNhr{MAQP#}yCSoV1}raGl!^_M zjGa-$ude@&$|<2gkHBzA#AeYF?q`T9WEj%_bo}i zT8m4cAtG@QLMfDqgE+7yzfd7r|8_RkN}b5pu-rFH8g^16gKU zo;rcXWS1M50!EHmBYwNY8qlAGg|jz*M+)p*(vrt0h3xC`V{~k{$-8v2S6zJ;@I=!o z|Kb_XMa9RlkQh}Ox8?k(oa?SShXIZnSW z1WXFWVbB}1Y?&LzCe`89WA^>Xwkzg}zr`LnTjUwm=iT|ECxS_{sRePeGwy^TddRLr z$aQC%>9BQ*tvi~G!%Yz{5w_+9T-4fA@hqVHli>|#%^Uc@$wB7oM_@i=Z>b=d$UM05 zk`Ne)o|Q*h=56gtidRi(w>{^fVHNF_o}%}f-DH=R`cBKgi*BXS3Jjrvtj_btc$9$! z`2N+Z5T_H(RA3S3TrwuoY44zdqZ{h{@6l)^dxiF=x)2U z5|25ha@TOYjm&>~3yStf=BMm-NcFkL1{~{E$UW5F^83jk!*XTDZ&McjK_>nQX*TSu zD`py>$Vfju4;zaRhWn7nNR~>;Sn4*)J}!kim4vU(OJB;7D-R@xX2lDOT)1?3Fovi}NVctursb560`;gl)cBaG+a)NqBuSnp954~g2|D*sd~eM=b7a>^(e9UP?r2 zwbagO8FT0Wmt8=cMpAfE2JDoy_+zz@d~7)Ay7p59io+Woe2t62%4ywjJQV+kcEUSZ z)*(W+k03C{I7{X$R?Oc7nuES)!FT>TtZ>h-77@@VkJaA*O{JU=lnNZVDubT);R{G+ z3`_<3j$U;`&%3=mU$leiF(whCUx-vmJ~x!lgXR-@2CoF^2IKXh=c||OPy^KHLHCT; z?1tdkwjX&`4@7vjHq`}D;nmiYDB#iw-N7(5qbphmc4wl^5&5E1V7Ag?AzEGw@8K{t zmy@1c?DKZ0BUKA0_aRw1K}>S?l+bDh)j*Ly;YMIJR6o34AZ!F-`yQ}Gs$`$v`oZ*a zLU$<;^H<3J%$kDLU|H@eAsYGJe(u$cz9OE{jyTsxif?P<#tBr^1t1J>;(`XK<-fAz zzmN`uS_dh_JFkstJ&)s*(?+pv<%#0Pkgu?y4g@x;*DN||r{B8!p2Hf8BF=Xbxx*R=V3 zz;F*a;hJyRM*%_UQ569%tFJ-GrUjJQJUs=VVqZDuPIFW9m5lGI#~Gd>=ibv3i>=&@ zi6PE2M7>WuS0up}&ag$Mj+1OMgxG8&QUP;=9uo#0L`7UF9)-(H@@cg;b_I}#TV+_U z$P`Nt&RJr2OZ#;5I)^w6`6?(OlOfpaPa@U>+`CY!m|nk6o&tcoH0aJ9PQcjERSDP< zrD;1Q___XOJ!fa3TltT|UNGE}Bw34=lA5>!v)+pq?3qj!Jygfv1X+)z2~^cpa;XVH z6KHJLbcFEXA;R?cvf+tEHs{@3^0~synF7GLP0M7*dmC7zPei0fxwBED_@OL@7yrQ>4#r4j<7-BJHuIzm{aOyRtJ-sM{C&Hd~ILF3I z@j9*+t8W#8RT4PX-(bsvL{YlExyqQ^czQ^_^UE`r!buHt49H|sDcx@Z`4<;IFOkYJ z6BgR9Dq|-jkpHsl%G<-Zi+B^yf*ZR|a`6fv4$b|wqc7xreEXKp=K*wP3H7GEtYU%J zErE(bmFbd3O(#p3btg+u@`DhW;(^O2V5>?AB!!@%W!#_$OqyK7@+Hh?$xxq+E{S54 zzG$2XI<$e0a_3;Ab6>{tuqxDk>z`D888r)DV!?31YlcwTk?fzqhU4*O0$0AhpF^kc z%p>uCfI6w7mN6C-eND?kfxrd2zE*;IHWp^TqtLe)TLRPB`re>kE~uxSslg&*|C4`e z|Er;#LG~vPOj?y)JTxWlLnYEz?z3#CnnGWzKp*rtEp`zE{cpQn=?1}g_UEC7k7@K05A8oOogAqi5x-&xvR#GjHJfRfl)i!J9z5dHrNi`HHk(JDDjaSm_ zi%VVMWWZz<@4Kf|wk+AY|4v1lX%MwD+K*>j>J$-=Ho8PxI+*NjUMqW+8++$Rp3IH< z3oP5q29qtuMFER}R{dh$iD1Vz8e>-_7kDgahc-6f3eJc_YBaQJ{f!<|T7u%wf!B>d zYT$`-@45G2njl=lxBjVI>bFK}Q5v}}wX?dD2WcYF8`c}XnJHA8HIKEncpr8wnhcfZ zM)mqerbU56L7X=JIAm3!1)uv3l%20qMGELC8Y4Vbm z6LwJ94hsDfWYFiZdQW1_*AI7Zf4=wub)>^ukOEpK>tt+oxmyY@4=!X+$&rkD6|AUo zGrO76r|&g&P#X+EDX)^$l7bav^dRh= z)I@(V$w=ij<#b;GqPg|izLJaTVJ_S>Nv{a4JTK3eN%fRnP);?{qiNymn45@OLpJ5I zj)oz6(=?3kUvz%HT{%PR0{x>*=Nk&+JcF)23Dd!O9=;q8(*f*UnyW3;PLh|=!-#${ zA!9su6${(e*6M`nLgc0+aSib<(b1ohiP_tzaR@{oDr0M+3SZl8yljB~WZJn>8Uq>x znTn9mz|`l2Bzb->?@-Lgd3%R%=zZVgk@1K~ z`%qkhuRdk(`F^5)e(#6tRR(3Tv-f_(fU*N{z44$dH7E;D{k#Lpa=YwbAyL##?-ClQ zw~=WfFoT|u9+Fda`wfr6aIw}`1mWH$;?gNhD>M*dSv3R6eb;DBrT82FOoifJkgzLS zVX$O-N|}aFATO1Ff4Ez+Yncgn*V{Mpz6lY;Wt!$4XPr-olruw!kt%^}9RoePv!{U` z7PO!lFW)&m0{`VI<3sT90|=8ef@kAcXw{;P_1`bXgotWFRMCfJD8D-g;Zz{z$dnAR zssTv_;x){=0TTgf#J=Otl^2_!#3%0zr+FJGQXm~SPZ%eLetDUMa^M{n;9$;O*J{dv zwX>@{CfiW$>;^O1G()0ancv>^fey@ktqox{G1q!J9z`x&8RAxL#=i~MII zpO58hQso=lYX!0&oooi}wTQ|QjoTqjz`WcIK{@#^-dSaUW9`w^*}9lSaM@lbcx@#Q9kb{vBz)^PZo{d z?(3&(j=E_j=ExO43=I;--4VniYXn^LV@0A1g_P64sFolW-r_23e;(?)pYbXyqgJs> z^)&HOfhqlDng2kNp}QG0F$!d3(KV;CRx{LMv!$iC4c3abkn;Zo>d@dm5ZbiJSS?Gd z9N|jWx1Ltl@Y%8!i^|K#mQd=U*v>B|DI{I$SqP0UqA`Bu04;iy=Jj{2Su$PoBF*y3FI$lQ0f&1uM($CCQ(l~W^QSH^g|eUYs2GenPDJegOkgYW&9j+; zbWpZCTKRsiWoM*SlDCq{$jy2be;28eY<8wss4x{(RF~UuYADC<=1{suJ8jL23@1`S zG=lg#ATQ#Y33NW+3qvr!9wdQbM%*t4y+MTz;Q_KmvNMJZ87)d09C-G?st_hq5H3%fy$TZ=hDezd;;+Y+MWzb8Paf*Jka|zD zF3w$ve!013utX|Gbw#-nW^rn&-|Sx$k{MhqH3KD}*%2M0gP9Was`}!i%^<^WGSWL@ zvmc6}R7mPofg_xktR!j10t~@#a7kfQ6~CEgPb2o4CNHGs5P2)&ft?T?me(v;a}1-g zw3K1C0$N7&$mUcy!nj1lcVSI`o|~cY{-|Ahs9FkYy3|5*xO^g8L9!rH z2y&=VSOowm*zcUIi510Qn9G8Nm9EP{&~S`spotWWwLg%(VsJZ#vhFb@k+_b@CA6DU z=4Q0UBB8ZiKt=Iu2M_1aixxIvEMjqLP{;%E)O>w{8EkDd785qtF)U=oJ!JDc@2CIL z^R!xBIjuqh?D@qAdM?Q|$cYtqd_tF+s}Zw`7MRfA8*IK~LvC zi<`|`8C}6>%_qGm`6$fNVzu1l!oNvkNX4_jmSO~4YPDV#m^%x6_5@qwG~jj-3_U|N zi2s2nK2-0{Gim+vI^dUxcuP1QQq+c^h|~j4c5Kd}X#$GAXn{~;Q7=cNP;WbbyYMbTX zz~uCD#Z*Gi{c~Y~7DkJj#q@?K*2cB>Iel+UtOE>kI# zW{{^ZJRc?lT6mVyWdrv53U{4_8S2@LfX>HRBy~1o(hGIKNegP%pmV*P3%S}xVpFZ* z!nI_FBhR(3l6~_ES#SaRG*-0rA!Pd48>QpO(GITyX(Od`>bmE}<4OZx9=je~+brKO zFFWZPForSK3sY%gXzL{zRY}xMSbL|Qm^BbnlIfn&DZ+mS55wvt{8A1R!GVQGgA^4t zBcYK&gbEV@N+MxSB!w?GDkV@NRaoY%yV2Q3rh1qeW+zWP!8rBt=dSN<^TR;b-cx+v z0gz$weBT-81*MLC6=DO-%VGb}Z2E^_y-~PEi!wW0>hZ#yeUq6iUeORV3ORb26s-iB z8WL4KQLK^?RZ1BG&ER3xZ^`E8Y_8T(*{K{HjJ7}r0B~j%)dFd-GwbZ7n@29Jh^nTI9slGqVT+k17 z4Q(rsYQMcUi#HmU5y@?73Kr8lW3XwCOOTp}QM7oCtB@3Rfxg%XTAbBE!7UlZ%e1kn zEXWA2P0@i2li@@qTal3Ai#e*SGuz&G#Rr~;vWl+5(SYxk0nTYs!2su>v8Uy+r_-^g z*RhT5NV)`{oi|_3ExAZIreZH||AUY`dEuBbm3o4u0z+Z|zZAkPvT&0etz=p=NH?th zcV>QiA-0~9P67{?ba5OuQA#S3gH5bB5r|3_8v|gBU*?gA6>{(!3y|dCN)NJOfES5m zB8Mh{>N0@q2Y7a{xrZaNHcWlXU@w;BhBu9;r!ON~^>_MJ>cbldm^<+=}ekUB{{_ni$!8^%%*a%Gui8wHM*9E3Nj`ew+U8-&lCZ00fSg= zAk62XM)TofDyUp`NsB|(dqe>D5TYt?6gD(xkGLnQScRwvYyc<_J&FOwMF8cABzW5J zkeqBVb`}gdbQB66n)O@`{LMimmhj;-^y(zAib1TFGn*JV>Z{d?K{05{pDi`U2yIOB zsYdruHHfD#phS<+p}vyC^5p?ZR0u@#d7Oe)=u`;g5pdE%v{*~^!q}0;D>WyJ;nZr> zs1y_IzH!-(Y9LhiF4kDzwXhs&B2T8^K*r9qi;zPFNx?$I0-*3nVL8MAHlg~Kwi3D} zmJ6MExau`(ZwjEgr`Ew5RT{$R#d?^pxPQvBn~S&_D|Nn{#Ns3cqyb5Bzp+qct!F9#B^aD%Ax3 zVpF3MT)|@ph^tYBBW76Su~Yo+z5~FT=52XQ2we%l+;_Cv;eJI6)(VV95u1m1xe77q3#dSVAlyol|>4K(Qvwj%Q4Rw0Se=2|xj zXEB1Kf@#4IV=++08ck?s2?q&QB1}4ZIAa{}iC9vw@NtllZ5i|8KZe>9#O9-a4DG)X z0Y0nP%!H2bP(tP4=&{R3u2y#@$>Rc$#VuzL3xMeSCQodkO6P&wQvgrqS$qe`{npGl zBnyNyDjO71S_pA9sPNk1#dy;78#o;jknCdH{M<088*?y0I_w=)>oOAM zGSn0&{u!XAlyb~i!OkdT?nc%+ijCb=8G^+^#n_CWNkhOPdW^+V{Jn$s^RmN$+}Tdz zdbhHdVJ=(?w2oM=601TA+#oP+Y#T(%@BUU1s1z5lA4@b5fjGr0tHNT zG*NyTna13M@oK>(!pKK{PRaQNJF&wRdi5PuY%v`tiEtv}oHN`45;v)J6436gCa&hE z4et;PA5?)x5oQf51tDq@28FwV-vg-*E^3ngJ;EeCCdiZxCVT=1hsu0!0%r&rHa-vz zBZsV30WQ%VTmEH}&b2K9@WLSW6W9d1FqcUKbwQ{-5Srh^&nF#?NGA=8Bt%0%D!wcA zU~`S{c#WUkI?<_m_^HIrAQLT^Zmfis)a2}Em?>GgI5!E@kuo4%Sk&Yl%2b;L=4cKl zUD({@JtpXd9R`7ERHK}jPr{UL8O1PIk)7ky9i`}AC@ciE1b~M$IObH78Mt>oo^T4y z$=_IBi9Lvz&$5DGima0ECf3>O1WSX+v4a%cjq8d*0)-cU07iQF_-!<{z+{$A?f{Cm zt&U^15cw9C2AM-s=EbkZX_UbuWPu$web>CTFS zvt1A|n4jFiiQ z1cza@TgR2`i1WPp{Bmg#8Hqc3ftJ*=BN{bw7 zbD?5o2*=I*As;T-WRn!uz|)H$pM`~HG{$TZUPmw6vOPO2NYf(%qEhI(ax}=oF&kpI z2*QIJaqTiiP1ej5nBUFRB%Qjo$JY_Z%WBAcK*~U=drhY8!A6Kb&r{)%L!l%N1O@_5}hAlbZ(taDlxx_LxWD2l+8h zp+tp0z%wI*($m*9@sg?ZINMTy zy8pGNz^Qx;R=XYP9Aqe&5=}S-h)^={37yc`WT4LSYbqL$8o=Qeu&W|$d zXZKsADWG4~G@G!{P~L*G|K*GI{jQ!TJL9$WuTBMSOCOz5X-gl2Qff;dy;5t-*V7;K z1gIQVn~5t}nc0kqLMd0@Y+|nrD4XuSAQ9GQA%0MBhIDT%%<|xB@F0>A<{!-P-FP<&gHzi}IX!@KeM^yaUufX@=&A&QQYU4$0=r;!5cG>*1 zP}n7V!gv;FMMWPDq__9S2;0(BnclP5ooNbB3p_LBAjKHGO}MarBw5&9*rju&g(w-c#ef0~UE%qs`8sQ>G9 zlXuwZVl%f&zO8+sgfXV=CJyaFH`~+6J+6E-)0(0$JZ0w}n@v|50Y3lsC9|bZ`;1)v z&>#Vu10?u^gfxDT@UUbyRmnsjEfi~%f5P5C+3E1-z5=+8=6|$dc~(kHO}B99xfK75 zVe`rvgJwvidoQj;*;&o`idlnZxVl*nk`}5!5}yCJ9+4&NZTZi-_KN?YpCff9;;}%6 zU@W$=)ivWgu5SzpSMA$|$!#Na7Eu!&5KHdRR>$zyTDlb?>rV8kdy3U&a67Q{sW`dl zz!a}@IcS<+g6hFfEYXyu*7DP{-|{gJGSI9srOwvaDZ}sWlN+IqgKPxlngsOod9_Vs z`o4fc=U!1$@vYGGw3!#SY!^127K?LNUoK<^C{BsY#dQpHK!igS*w13}*q@Of`<()2 z%cw4AND+4z4jM3eFm>Y04N?zqfN6~KBMp&bY8(b8yfAJXg;W!ynCpePv}6Qb z4v9aV!+a@#^xw%}^nVadqi%>0Q=-q0zpvn4L+M)>#IaGw2ik4gUD30TabHZ@u6Zz zKd<8AEvR}nm94inlZ%on#|I`tC{L5do&mj$8Z9=@8hj@56!dH?LuL%9G7~d4k2MO% z5GKO>umNcCWK%dzIu!v&gf3vnK&7(t+8(BEgTxI7=dS}I$;*YlOuVlc%Ict% z+1i0tridgtixPXQ>%o$8*O)SQEuIL1J2-Tuo z-{It-G3B%_2S5mJI+q~`Z8ck2R*a+$i$`>S7+to*bLv;b#^>pzmc=Act&8Hr1bM4I z0jGo#R51kJJOb+-~nZcrEjkR3-(Sc~>yL?3~hG`#~Mwb)z3HS+hN! zEEi8J&s{gM0gqx~`50;Mte4e!XhmxdVZ6efChy1IVv5UyN-pD8nl$_n^paYpqbz1?fvrcDPmg=iM~$yr1PL@r&dMgO z*1er&7)ygnL3wAe`b@#T1Hb#&LUik4Or$Fdb!>2I1> zql!iAca%)Elh79nam%Y!7~t?_Xq9Jb^XqG9H_<;8x8T}b;+<}f`F+q)EN>`3QVb?0 zpc%S`-s|VzCh9`yuTk{`fu`mHZqH(s%6hi9)!Ezol30G_H){N3D{r9JkQh_OMed<5 zR+y)tij_tn_>lIq=`zufafG{7Rvy<&ZN>KT6t#!AlIs_%?~Rf7=)#AH_AdLJjw7Zt z{wdhF1wK!P!wc44f7#-4LX;9rWKZ;L9ecLruhwwI3{h7N_^Dqdgm!C=MUR`ieG?jQO`&537SWehnd6o~Jhpnt1T&Z-bu1 zOZdKM6mT3^0TfDl`hq`w`fMzOOOx~Y+4{>UsG}4nm9oYf=Kqj*o)z%BcS)X`zu4~z z+2?Je#)Pr;lZ-slA-G%RBd`K{*7BQ_JuQ`0JIqK5oAz&Bh4VY|AAwxmUlenUH-CRP)T^$1%^&eB{~({WY^glIRYhXG#v$wO&vWEAUnRM4 z(iy{`;QGWcJ21#b5%!gO!d@m&{g}qT>qYKYcALOYqjpO2;&3(9%}rpFgpzkP))bXV zc1reC`i%S&+LLVki{);&&=p7DG-h&%^Nr>^Mg}VaT;3NUH>RL_Xf%ntvI5f|wlf*` zs|o2DIXmN<7KM1uGgjKX)ac(?pp}=u@+KU+aok9pnkP49$kNLXMa_?K6Y^Ts`6?j5xOUt|x(wn;-cx<-c-r=drNRHg|n)#Hrk;m!m$(||n1C}f{d51Yred$dkY z1Ehl>kpduyq0LGjEAl#;K2V9EE2-anKc8CX)no`h!?~ZPDJ`Y>OF^&!&xC& zbMOQ1XCXM<9uemRUP6`zT&S$FPkWwmiB^TxxGPa6ipAO2**-6wjE%nRo(YT%N%x=7 z=V8h|I_Q(|K$d8*p^(DH~r4C(<();4#JZqQsr^uCnhL~WKP9rl8 z;>v3{VrYps!uL%YIE!lh{Vvr%cFc@8f?SBlg5T@ZG54rMy&qW>Xzh69zi!|GFC%nO zH}`@8A#J^bikvNYKe`GY*3{o8w6atafeJE>{Y3)TKJ^~t({M_n=U;Ofe#6JUlYzp8 zP5e)=_W5!L1e?4Ge#jSsi^i9vxfR6dLez#UjBLbD zeqDh!W%R*o+`0k85;`;!*b?~Oggh#Pg)EufR7**;lQ9UW>eQsi!HzrD7?@eds(XUL7gc@27RhhL=NRSk-&Mj;?WM^DNx&G7J3I zZ8&qPpmSy({#Ts5w;vt2y&Yl7V@-VyEZsXxJ?C`CBEc@eTk3f6Mta@HX4y z^RE-|HDZi4Ue~jsTSKaLSAjhFxcr8@fRYrQJA_vp%ZSG@Pn{18g-W5Jrxb5UK-8NSdHQr^96 z-aX|-pYm%8_d9dn-R_@}toKp;kDvSYMBSE6dbf2$z}=oZNa5Relr^ub@++a|&8}S| zWuqS7D?|UM_pX6MX9JB7@d}&dukS~a54+f3xk5DV*O)6mPWG#ayEkb0LsDnD+8S`?UsJ6@Ty z^qX{UwG#H$(((<3`-Z_XoX7( z?@!TlK$nfQ*S(1LX-myFV(h$|z1SsUQbvw5cmFR ziawpmd*5^JxoYw1=^^U#d6{FXZ2O#6q|FTcUHE!?>GphjDBM@RvuW5$_{PjsS@&fr zM7dz93RM17_*xm~@cYUOR72A3@$~S@P{VjT(Xs8%RP-r5Ij{G_?GtllU99$!HuDE? zMe>33rn2TpnW=IhP3ZYgZ%fwN&O<@hQyb|hsM#O23XOZqdpWV3NaW_llxY-y&m$>OQ5Wi0*nGF25u ztzOk;++Lph;IMY4bZhT-L^?ij1$|oapL5}wr$(q$%$>- zwr%sowr%6(yZ`;)d-uOJMvvazz2>S~)jewOu3CFepB?{c`RKwaPe9|%rB&hUO86=1 z-IR(fpW4RrC5hs&t+vwFMWDL4rTUgS4`9tdSd5bIX>MV&BDXR3s=6sjLQ~Sqv+=DC zB5{Q!?h9X6shMue;xJoFi9FdB|2>+ntT^j*wfL(){4|x)v3xPPOjW9^ao_nt`)`cq z#XDd}_~GHEtnl+V?={G6A?#@wec{(oxk?IOXNiR*9PNUVV7Pht*Q>>Ts^cLd;4m*u z9kl_;Tbs2hMWwB}k_>IG?8CCGbg)6>v+0zJ+qVwR`=9dw0y2xxAS!N;sQ|p zYLjfEdFxu=xPHlLK^BK4ZQrI2bzg1=+X8f);(J7qEpbXA5&rJN1tO!KRJdl2=c*6=?UD zme<(ouHifKOFH2vk=?#)5%>sug|r!I5bx-#Eh z=%U7D*((Iz+UlySD&JLYW#pF1*MOns#)fjYnuQZuMVHn`hN>)=M3xGlXSD}e^4lr; zrLu#^OX~uCqQoQN=kcv$nQp7<=*@wtO7~$yo{jbO!l^1xQ{$cKLN%Aw8z5l3Tk+$z z(AY z_+78rruK)+w-og1%|W!v*Sij>XmaubU%Tq)=s~n{_ulAYRGO>u*Sw)-xOe4ia$s@6 zXPS5&B>B~8{tzhQnAh?|GdcXo=A6}{Zs^U=N?Y;Cg`syKO z^dPv5?-p-%^;A{`aLir6dWT>AIZvp0_8xjVeMQ=Pf6H9PXJ2OCez;Z+P*htt`FTHA z2Jp`Q6F)9n`RV_Lf}`!@@n9OIby4G{_A&fDB6_?Oo$@(Ym`k4lCKmu2C8T$+@MT{E zrG>Z}TPh#H=#&1{ACPDr$?>PITMXU|6q|Xqy$4+7Tb}(em6gF(Z(CX)pV(a=z30T; zoj;$xDoYFWp6jQOgb(DQ(*ROGIUR?NoWSxHb{9PMe^RayZ zXnP&_2M?~Hrzg{yE_`|3P};sk|H(}J1$4i>}%Pbkn}^eaQiSDy}+ z8ao2LOAYdaPcO!Q$jz5{tG!qJ>FvfEHoM9;5AIke1Fit~FF}WYuO6_G$;VvOpEm_^ zDNf=~-(Ug_;?Wo2p96RE3NraOO~-JG_s6HJeXe)>=~Ee!Y4pSXtetPO8LEC z4i?}$UwY9Wz}QyE1gu6|Jnl5{ylU0+>ivE{pf!)Mqr9}8j&~jjHToz%$kE=kONcaZ zdZ$amo0kG!6X`Z)uwKfw+KQx;s$EWiFW$T(S9P{GFwx!}%bKxhw!DO?533)R7wx6W zs_&NBWKvahuWAh)IJb^#E4#W{vE0sMnuJcLy{|Fx&!6}%H{U+ty;~xM_>MOe=ahKw zm)S7Vl()9a?4;6_b$2$_U8b8=q}R=kInSxfQ&j<77ctY7BJM9uzjd{w9Sf9u(3RtH z%#EM>EHa2$trN5kMts?{x=wC;{eQOR>7AxC=UgN}EHs<&uLT3zUz1?XvhPY4n$!L1 zD7w8zIy@3K{!(4ly*9y`1%5T0axvv3-ZPg8gEzqWD6&4`3pmc!dBkn}<$Yi-HwJIu z{JaO8w#f;vJ)WJ`>iV+LcI9ilfSHXODp$gQku{LtW(I;7uA;k_%H=38w5_5*&o}&T zrbaO{<8#%dU?{M@Oc(G2wm!J{0BIoGQl>|TZV2;eYf z4AgMt<;$Op@7}vZUbT3&$;OwbdF0{UqT0?};OaW*t-&Zu)wl3|Njf#9>w4)u2D7PL z+kQQw*Ez1;8>g@Ic?82>)9R{xZAJGyKfa|d({<||{z?D+!y^&B!`1GEb%DMsWuzZ4 zK3(PWFldvh^*MQ(tRuJk_h3n-``sWqQ`<-4!IIwPY~dhTx%<O^^-DR7J7HsHM|O6Y(L61^84p0xuYA_4!jayVt;}BAHegM?cUg1 zd`q6$s6gE4ZYcGo-YRqA zUsYxrnB})HxpBGIge_+=%K=H)a8eHPBV(^*bkZDq3l5H*5Rm1NYvdxf)X{%1K5__# zJdvl&68kuYM^yw@nj1HCuAp=i?q4-FhQTD3j7!W;5u6S?K9-a@SJ@;9PQu$#Bbz%PH6t?(z6Y$swAQQIy3h+`n{&nDp^17jJ zI#y0iCa)SiPdwdEF}KS&)E`C&TT9c>iqn9%)7Bt_Nj1qH-$(mv38h2dR$@bC2HHcC z7C)7c^uX|bNELjzR1^?$uT@lHi|G`g4qiM!(QG?|n++0t?2mujZfA0zz2omQ!xZk9 zAS{Dg*rzfrpI56V&SzF~@C5XP9p3kdZ})gYC-ep1O>c|sxwv>L&4Ot%Gh0~NEXT7y z0YU5iMn~Ipzgh;WYDUGNiico{-6SP@Oxc(_G@??@`_3^;7y&?DG3Zlie_$|WALp*9 z`juYd=w32GT(a z_8Vr7DA``d$Px2RIdSs9XL4$TIbOAKX)52MM(=35G5i{~?YhtaIT*C*+&N@w7tOKn zR^|8Xg5mnp4=p6jefu>%V0V9E6xgX`DE6{FgWi60Q;IoDa5Yjbyb-e&4DsXBwh8AC8|8TeTQ?}CUdW6SwKCS_!7a=nd0|pZVIDd;SeV7Z0RGY4Vok*f_xYxKY6LX}a-xkZ zy~HXt^fP8{l|xka3aK+w@A1bY1ID;gny_s_6Ayq>l6*4rD-0Bwi4#)?H-0%~*)F<} z>kQ)Z083}Jeyk8}M`|OH37FOdR6>nx4(yD?Je>5!57w5MH@eQ+LyD`5OXc%4^>o-y z{1DPdUV5U(&)oZ>j;$m;1Cgg7*SFdz(akehOWX>m>&C(-Je$~SXm$d*hSR6@>!L(E zwH!bMv4tMx)zukD(B{)U=fLI}QiPr5} z%cf+@eBkJVeqSN4mDUv*eH>l_vUyxK?VJ%}@oYi(TqxLsy~Z&)+I?AiU}b>hMurxC zX?74bi#w(8jl8(Lu$H(yT@@AMjW`|ka48`EU7@vNucTto(9e23N%qEU1|WCw3Kl^b zv+u<{3hu^)$lEgv`f`hho;(RVa$~o3eVMa-EZlA*uFOv zyCjk$b^(LA&IOs8wN-MIh%esvv>>|(CeOQ04nlJ&kVgA!XA{3A{b&y_u0xd7GqT^fbujQ3m7gpqEYVsGkoRg*<*R#cOtWSUjF7oM0 z8uZ}iy*nm(V8s*kNRSxf9-%^?Sx7=GR4lYe$nhOsQRsb>E4Z8g$k1arf;{>F_7vcM z(!>|d3o~!f00Aw3Z{R>cKu)%HbZ$1l%QWP3YF2J4jO@Y!1mDGgfx+mXJ(Hm!L-GwQ zyE!U=oC3OX{YV2t@frv&A49t&b$XSrg#DKxG^jp{=s~NEQova4fd#AK^yV`8+aIl- zIRf2x4R^%KA{x=(r~Zgh649!++VcfBldxiYR+0bSna<1-iI*QCuVyYan|2?)TRpl;WfVbiOaSo# zYz3?Rh`D7oQb2UF7HbP6*szg? z)Hyln;f19E%U%yu`#`r7Tqhb3H)^7`*fPr~xpg^|=xeH$K2?69HJ;gQ z;D|W5mD8H}YGcQfk1kdWIa;6=zl^hNuiniI-aupGf}B3gv62%SA=}A z{I4gi29N+zE%*d;Vp=;#tqN1Gy=T%WuegsLW`z_ zJ|pWK8xmto+iwi}V30Vrq^`(QPe;wH+&cQ&9*40C_rYu-^)!NZa{44zDNR61v+o!> zx&MvOqI(G%PHCE&M*{7zts}NgSB8C745DoVM*6g#JD|8`aBnJksqa8{UkL(qD)~ZA zR&HyI@_k(@G6?fW31Gm~#ob^`1L!=7mFNF8_+UqD(xwD9NepsJ+m-$o3) zksGr}-usR3U1HTQeWagqE!qr+$A)WXsRWL#d0gY>&tJ@Z+Y3EopqO@PDOt4`#<5+Uff2&fov>)S4tBbaML#_IeK{hIg*T$d&j%^kdM7v?MN=X%0;@s~^ z_#4?M;TopREfTg?mUqTAwQudD{MO~e5xKNA@P#M!O%-6{wQG%LiSj+cYzeD6r z;}!*f3jbdUkpT{#(4X+J8!wrBPD}a4J?ij&`o;y^x{$Mlhz$3r$`R7WFw5* zt=8C#{lt@Sae-0B8aBeux7t+XpyVUyD!5evWJW+wdl@1!!{QaNk-F9HywT=C^IM6z zYv)WYE~zrn=<5Bn3^bzxhQ6t=Y=ciAgp!l&55WLYRqe6ijmoM`H$1ntb%~I{u)XoL z1J9p)t&Y`NZe39dG2P2%s$PRUXPf8y`bpqFmPmE1EzNjvW6~{!S~%WDeSVH^{w&Il ze^CL*W}f!N8Txc5kFdpEfK`JbUn-O+>~h4mTw8p8q#gL!(=(O5<}0NH~p`-c>uF2##1B^{$xqoBBpoBX&#wnhJNIHe_7 zj#f{5WFGbC7i%G#9c5?}!(L%|2L;)yeO}hn;nbvbV3EO~pt?8x;&@^)Nr}>@+wbhb zkDRe52w_${nV~K#QHzXD8QW1yRDv7`=CljKi-%3~zIGc+3vv1<{PrZI#ozb2xTG-v z?1sW8mRu6Mp07t+H+Ezu&u?OajJxFVJ$p{gk=u+6MZON|1#yffzeE%g^;{XXbhB1} z1*POqZfy!uhvhwQjN-uApI!A*9TA~wNV9zv*#p|-9g9^I9-3M)fTet|9?1<$D< zYk_w%%$}`(lvY7)w-=MLY05cWCug=yiFdxR{)RSS56WUKf$ep8x_J_>ra5PDjA~76 zJ_?wp*N0_ijcInNET%JHntm>$D+Ggxo6`I9u^%?1cwVSUa7ySD+y%uI>%k)e#;K-J zYj%HY)($|XsWKTk8YDh!MHeItj)0mn$4&#Q(L{-RR2eLvUq2VycR5Z(k(MhR8ACq+#qLYS| z*gj|_W*ssSdhu0R<`A5cdl8ZWI70NiMG238N=8U(?rBH+Y`Roi8Wg>YO|kyQtC7?L z;f&yMs5ePw+zOC=#93sx`)pg`Cji>^pfvNY0oqCLXbX)$ zQXe!=E!UEko{;-|Ky7vKAP;=CwDI}!Ut9f! za$TO5&lNB^LxAPv*>7;RXf^I%zHq|i2DzhAL*FG)`(P_zl3B{s;^(jR>zK8YfJMvu zon#1=bmQ#)$easQnoy?}o39gVdyL}$@k$4hi?p{nATNZkse9fIS?8SW}MkWqP8OZj^48bLb48AUO0pQe|s)NRDs8D-wK z5yReS%A&EpI35huoi+$!*VOANM{}ti$qe`IM>ERb5klgvS7;n;Q(p zs&g1-xD7gBfn3@J9nYIm_!99fG6(*o-Z)Vl@DMu>FF=PJW0y}fAhC3Mbj8y5{F`A* zhiaLK5FV=kHELDwWoSblpY_stESz;?ELMdx6En!gdvv5vRAcwqglDUbGK@k`WvacA z13WBBN2SQ}e2_sk3BT3>QLAELUAMhvU#-4pwYfW=ZSON7-MpzK;L?PzS?A zlJy=YX>5jeQLj)e8P#lxfFO#k9f247@zDdM*?L}rHXC_1P(>xm>#&e=1D!`-ObDzh5!(r@Q+G|I zR5oAKe(!|ao@JF*x`G1&f%q~#VH(oC)W8Y=;}i-ZV3=}KbINY-2m10mMfv7Jc`M4q zpfs9o^?guh`Dh=|4e4F_^(2e!6$8{hZ^4&fNiK6KK#N-bPnKphAAPbnE!ddvNN!S9 zc8yhmX@#(<9Gr6jElD<9N+gA--d*wgvtc{xxcy z^}r|p3#fqSGSh2<;bjw-BY>Zo*!zM}vzAO(Q(WDI4+j~XPeB16DclF$wD-6zL~vsw z`v7`?%qFQV2W5*eZdp;nnUE~@)y_=4eL_ntkE=ZnWZ<*6n)j$icG7=Ce<94Tj{{4ZxgqK>Mj{`-p%>x}#D)>+xl%iMUIT z|A=Z#A4#Nabjufq;}0eXjzevAYQ1ViKbLdWClP7O+iV?nh?c1874WOcJ_gq4;85c8 zc7?3$Z&!ARou{L9d4gIBSOfAlL7?#Cov1c5lM6jxJ$W}@S|#Choy-KTQe6rc#O0jB*` z+d8Xe5ZjF4)YPDagbUAc2V2VP8WJfrxlErVOs)~0c|A<7gZbH`AFpKS$Bprf)rnEA zs~@$kd-k`##6P0?coWK`kWzzY` zl);8s^HYvsBJ|~-C1xx(uy0OU1H9wYNo)aqJHWCQWM+$?<76pri)>>9t^7wpH#shm zq+8BJG9Skg`XVMcbxxd@V>UDe6p-(LJzWbV<3hqnRLUJZv4jWg`U!fC^n+QdvLiT4Kn&53$|s%R z+W82hhiyxjn~8;FQL%Y9|NApIr<|U57D{M%lC!mmP+9AynGmf9mBbl(zIIT%a`Awp z$etOtGc3}AS)H^JB1z%=YyqoWY*c`8X)v#0bV@n*a#gOLc0T>9EI7$1B<6aDw@huP zfo}H6!BHaxn73xqZ#u_efX2ASCS>tqFOeVViN+O|<(=Gb-YS@JFTbw9uIwmwPW9PK zgAe8H^p~D>fS2%bBS_gJ6G?bSD6@B2Y&q1(jo^yV+`Q%GFOsuGe&wk4VIsHpx$DfR z_85JBSkc1F9c52u4JVb2lT8gcA(CJ?sw+xT zg~Xl6#g>#C7c>gAu~%h*nzg2FM0UTnQ@#bNH$jpcZW^G(SrN}(x73$^Hw~7wZeaXD zUfCMYm`EWP4D?_40az>I=COn+fuiJIA}v!VHz0pDSPCoc&DV`6e+KJ}Yjl>$>u&JVf1%n{cbtGqPn5OOcST;$-XT1x8TN%PLdnUG}aRA#i1^5cs4-Gl< z^txe*V$FIt_wRN*tLSQ6ToLYJPp@pK@^cPxWovG+h8yKlEd32(WuCLBrg^wsgJlO% z(~HwtsxMx_0_ez8AENq!rrueu(9r#*ZP~)fNB0n)Jjxl`gVq*zg$MXRAonte?aJV#Tlj?7F{(H~)T(EorCQq@JiGUt+W>i*u9VMNTp8XU6G&=gS?6tD+gb z1L}L3zykxPHyT$}Ccw|@k7lgt*Mr24B=B1jI_ZHibZ4Z_7RfJZMC_LBT@4|7kOZR% zO=d8n13Nw~M)tzX#-u2yhN}-+Xgj6-3$6UMo!Qhr0vBPcVho z&cy}Nd)h5XZ`QUIIGYU)XrfXi&iXdyj`Jhr$B#Je{6V_=v+WFO<-yQVVY0AOBXLf9 z0A<5NJAV*KF_p0<81a6ke38+5!z!aFj)Xf(^Pb*abI*j@X-~r#%2pRRq@s-VxR!yGiQ7k6Vv|<65ip34UvzjfOYtr;eZ-5bc zY9!9=)`(VDpa8b-Dt?|T4!EEQZ`mrbvBcUh=5D>}-7-W82t*%Uz{|NIZsB!PMo706 z1XoNU_EFTF<@0f-L^FXcQ;#7N$msf8QL?vj3W&$m{p#rzj>C~>G!FJRbFt*Ux+;6V zAO&z49tAr9Od+7}t&JL=dAFNBvu{g-+V54{U0t%Y>6b(Pr1gXn0mFgO?}u|XAvJ5XDp&jX$yic=oZ^8KRnNz6ILqqcaet8O(&C!ns}4HYzXm^ zuDk$2J-79*P=*W^B;vy^q<2SVJb;6J;z{Z!knCR>rN7RKCSVby;DI&Y-~NmGj)1wf-~NE>-{TVn1{Q@V6_ zc@TJz>;OX-N~PY>`PqvA+XXOO8k5dMUYR0wW;-5Q>5gC1bn$SY{0Z}jj0;wG5K>s6 z_@TPhEjC$j0Qx{_!R)9@XWDyol|Z@pk5z-31RuF+L+Puc`EM;$eoJZ^+&#{*)R`GL&z-v z;&ag{E9?;)jI&$(Kml0F3eExNWMrYBuf8Wnsj%8$sF1Cf^z}R7L@|msv&)3vvw@IO z8w(-LE~Rz!CgcOVf`h~X&}WS#-8~%zE`AGdZy9U>p{X|8^_VeW-(f+vz@w@{J7Z!& z;Zk)_Un(i#w)QkiTV1qo#`gqJYzUl(XNL76pSdBUe&o1k4FSyO-H{>i$=-=4S0kWk zN7NlYT+6MFB?MGnfu!B&P8kU?i);kW2O=+!2f{C}%)rRiBV7DTv#D@3aKR0&#od1A3EFGATXk~=( zW96FTodXDI=48^i^Vf@=wkK$H^#=n}7p)kCcd0$RAO(#wPzFV3-M6p5%t~*#U~Phg&Vn^h(v+a8 zcciv{2JZ1w;M!+JT?cBwoxI0h(Re}*SzBV5I-c)nAoObFa8R(-qdRsbk;`5nK`JZg zbpu4ucU=g9QA!d_?ol&uf8cL8vai!pz&eN#h3kwGgW*7i!{lxpCa$U>wI-hNtR8sp z%x{ADH{?a4$B~-Txq-+W&OyE75YUpUgjd!nA88|i*s<{Dd@?T7;@0dgF+k~wZfQ$DX&S)BfSLGzCs?I z*%0{dBU%akZt2~~wx@D)#UIF)GFArBu;$=GC95@bPL(?%P$kfMy=a?0EDW%p za(RgNx0(iGubv&nggXA7hDr>n4SVN3kDMHJMe8lEDGbj-z0L$1BW6$V6if_k8^62! zbLky7-PVQN&dyalq@7_=ZdH3d5gZOojuqfP%iXbh71*x_Q`@ zUo1hido#Iv&`+Mh>3&|u9-j#cMvzP+^mwa->~`|<5!16jrw16!_(`cOp9CP-2QoR` zxG>V*^JKl$tEjT)VBKFCQ_M=&POHA{=-<`m_u1q-3slh1^B`g`dqYF}^#o zn!mculqhW{uluPI^gYk1tt)98s7d+SDp_9S!WKe9u%4Wc(;`fiI_i0k!TIME`4pHs zcOw}*vamw8vv-b3Q625{LYdmfynlGkU9_&$Q0iuL7|?*Q zZ_zy%8FheTpSpZ}3VBjHUFCa&4u#ew-{EyhV^DQ@@wr9KCq>KydHKD>_SwMOYb$-T zk`gzZM5DeUYwPZ2eq+Xd$X$Sf?b|RE3+{o=pzd*XBJ)L0L_Yk|0v}|(^sxF{99}^G zW8?H_fr-Y(+vV>n4tE+L1%ra`+~JU~wp)~1ERIt)@occXOsFZM&SOs(wkv_r_9IEb zVJI13S%d`yN`%01lA9cz>D_M#K}WI5%|$kq?#W|H$B1l1fQ{oYz|+WHwEZ&-k4UF^ z8_twRf>oe?v(MEqUCM?dA-(&)tOa)D;A6Pj_rZ2*O2r$$m>>x7Hq3K<5zhV-(gb*= zG?eC9CMKfH)*s(k!-cM3y(qH7ZUc9wFE3#=Z!}TI&9XHc2E41!I>dXD&;~#y9)?_4qe?N;6C_iG+06s!%;0kRma54*>!6)I%1#R`HX|cn;rv6 zx=s5dbWv10Uh#eDICQ3bP=U&u8YfidRnMd70OHE2jc@?4nXz z6oWDAw10oxzHf{^OOgmSwLF{|B7{qGQD>g(7<7nU)FlVdFnV$FI5_O2W;DIO&$j}z z>rp~YjlMmSuZV6Vim(gdW9lY=37%jyi zT61CpzShT!VF9C1j!TWyepW7 ziY&K2!}Ab;cnny0>$9t~lGBWYK5{+e-m|KuB+l$bX$6Z$ss_DYnmd>Adl6haa^bj` zNTWK(pWSGZsCM{n^9$AcyiY}#)uDv)wQ$6>q*ORGFsAXvo{7~xmx z*rpo=zGve(0qMF2WUiIzR_)u5zYWlo3 z0)K$P(XSKujsu>e1L5y{(um(GIg*KAn@74hc&P|lG_iuued-lxNTpT4ISQ+_-?5;ULRe!*}6Rp=<1zo>Nn^gta9x zW$U(N_juHARFZTvunLTn-MNB7%d_{|2FDz5Hy_1<9r$zv{E+kIQ|*s`PTU{?Pu&Ct z^#X}jW^9qs?CBDFUd$220LEI)(Z;JmiJZ2uY^3q?8W#@YjL3=+mj!x-$e})@lm%smoIkLBE?onN z0#B?JDipF*Cxx2Mt&^to#9VN$v#BhWnyz8uT3n3E2<|M~qA=EwQb@`F+nynNp+t03 zdH_;)1rqQnPcQ04zC&p@G*^V~J`bii&#QH8jb=tv&#>Ny&f*O>h^M#$l-&j2u0Y-= zR-o3`qd3cNB4}U|#ERNEAZ?1~02B`h?Qg-Ao^=%;qft;iJ$AYL%18$mH$Nmgo7xVD zK|sQ`7UPv1T<)6ON};uo$bj?7C7g{QOP{rz6*Js6Cy`XlUX4W1VFnPi_)l01&X$k# ziy&)EQ))nQZ|h*xZ$%a*G~a(gl_sBXeUQ5!LH=CT`?~m(&wq`FfiEC)1c-i zOe`-8+OucA0^4%#x(ttY2r^l2$%0F4Njvq}htuCkE!YRkc%ze(mV64Zwrcm_V5{Wh zdb+0vh$odaN9nM%is3n zf$>{^%@HfSU9X%1gWos;f0#3`*pNl4iR{LyLV0InS}ycwBc`AA4Cp#kK-uVTM>PIq zP%)BVF69&13=tnBZ{QFB!wnigT+RHG4na-k&bN}M|DX82ITpLnVe^&9ZRc=()@htR z3DK=;zXX9IwY)kC*G!@XW@jXC8^;Xot5}o)d@AeyF(A#QW_12cfsa44J-($8_{r-l z(NYb%F(+dXZ1Px=fnoXCs+^3-!yMVDCPhWaA|}1_l&l~MhF(Dcf%`)^oK`emv3*=g zX^DpCn+tFlR*$!l&rW|9a4hqN>vg;VIanz9Y0nLyNvj`>`?cYiSJOD`Xmpt1)k$ z{2~DsC+m;Sj(awf8iuEJArqui(H^+{k-`nkV1|}!Wk}M$}ObkB^MUmS^`yp?l^0 z?^92}wfH%m4Hd{`edsxy+!Re}8V8@9nREUiOJEQzFSL0wQo~A)H)^94f1!wtP}(G_ z7d7@S@hk)3E$4td1|}FKgZ__7s^v-?L{ARXW}b3DAVa<*R6EW{n#LOw2;!_=+56us z#q55$lqdbzX$ZC5usC4#CVYZl^Hs7L>OTs7W>VH93Kw2k?hGgQvA92Uz_lw+xbBkH zfvA%9PWiIi9e?sm?f+_|6F6N)_$ZND{>hO#=N&A5#s_W5hniy=8EF`>yJA2{DYp^f zi)b7H5Y~G)5zd-Ty$_gxO1q8E);9NX^&9mI`o(7wXkvteQ{-A8b{ogHU{Wy%hhZp7 z%4J&E;StAfis21jL-w_}lPS6CMMcf0@7KHfr=p6g@uew<`Um~dD{*m4*LMA>nApXv zr?@&ozA^ii1MG}WY>u?c@@wT1>m_5yg_bo6KuiSl58f~Wz6G~Msr}KLr#XZjKIN33 z9;0Yt1LcqMyPL8d=tCZm1S<@)!Mgy(Chpg4aoGr zCReQ6^cH_vNRLXoSMii8eA%Tvr{Hv&1}}`i@h&3C>M@vkZof!Q_)sikXj1TT=#)Zs z115JZTG`x{j^g7(!NLR8vE$74+jFrJ!{bme)A&)8wy2NXief>%X&~}`q^7mWniIB1 zf6HP&L;GJqrM4BDfLbl9pg|?9{Tf`2uV(1Zt%^K1!m#)H7QI>a`kQEsL-9xSf ze#H2ARE*T`l_{pmDpf7V4`+V0T@aoV0uXXG4Ujh7R8}h!k!qi-XOAN$9=AA{dsHFM z&V;}e@WL;QVtBIxqk>K2^Y7JY=A@u2wKA@8BYvj;#hJa0StsJPF*f93U+K*zNSY{` z<{nLSkK;ePF~+e^PDUS~W5q`JE0pMsXpc=+en804Zsqbva$)+k9|L!^e*P=z3~(1a z6_+57^F7%?v@}tQSeM&yh~Le4))?9<4U23E;ML8TDxPh!g2OUc`xw~PSy-yP7f6kbW;%VT>+TpKtH|kH$ zbLoYVK+6She;3R#b^Vfv5-E0!2S}J2Q{JfZ)J_lzc-QLC@5titMhk^o%Z)Zy|Es9V zV=YqrMP3B*LypdQ)|^PhT02U_q`{2(jve3FMojZBxh&!UwoVo@vr^Q-0CM$-%Ook<6sao0uUlY=9q|FkRRxYldQ$Dt!Q$N!2iE-^Hnei z0x*aYLNjnE2n8^d5`vB*KMhT2YBEz82o2z$LnoiG6E|!ipwaIQ;yY9O-{s4%3;17o z+r~yFa+1IxC_hlXuR#L+1ByN7LGAxO;{G;){BOkfN1!i1P27Kh%xw%E{u4!vuPJ8> z1q7r=^{=Y<{~P%Y6TnWhwVRRQe@3e6;_?{31q>vKfcSrV z^&jV7ej@Jw1{wdad-0#C_OSo%p)bELwd8LCNfd&ATJ&E}&G#k9?+B6qZSr5vfr63~ zB0vZ^|FNPtC_^~?KCAw1_3yy`)g{qEApd0X>pD6)8_>D@&!#6|MS$RdTXg<54qmWWphReD|BK)r+)E=1i-x*vyt;t z?1_u53G)Ggsk<5Fey}uZEUL`L3?8hFinF1i_}gnXFhEWOj>c8$iRH!=v8>+7A3yu0 z;$@pSbyyjO_*Q->dZP3YYS2%ye4kH6Sh9%}YgR~lW+5)I;RgsMGK7peW|8#3*V3x6 zcRLwe*c89`Rb>YQtJx)HRu56WEK-;Jy_b+w!Bgt)y!;x4hB7IzraES<>MtK!`-tEA zgM(B0pk&*%cKKEMNklpI7mQuCW-@#ZG`6{yBRP0JJXVQIDmxwUEVeNgpB&_akli@W zmR1=^+l*7aOe=H0qJ0>r4jA=V?~`=j0GQde&T0bcPXC5@%Qd0HoOecj=sL?P!gSOsrFl<+7wR zgCS7^K*sk__3HTSQUUw{!CYO**g#w%5U{}@WFTbhu(kr=Yy$tWt;gQI)f?3t-WN*T zr#F{Qs=MEnnv*Mnfr)5O@86TA`coq>v%lS-z2&1{`XzyrUCcUb4=on)p-AwRnRkR_ zxXqQ79G!@jmaU;U-b{rhK;#oeC61uA9VKl?KVd&j@HDAw8PJhr1toC2V}whxr}0ZH zD`hWlAI)agbf5>0j-M4oC0yZ|_T~R|EZ<+%1GxUC=Y0 zxpLxdMQugSz1Y+uPN|#t!>e}e8_iB>7y;|yX7(1xYL^UFj1SIb>tmr`13gxGwTfK= zMOv4*f_H(8`zVPcx8khR&!F1AeliNH-ej8AGaaqJ(YHP8f%19M%h@)f-Z8?JVxO+@ zVS>+)bi0~|gQKDvwe4$bhsT_UhN0gD!j>+iaEJwE4-Nh^f?)YL612AWr^#xiiG#`6 zk(pAi31z2^5xn&EmxvOx*Uz8Hfbm#7O}wv?WaF^hRq2xB{iQv)RI4aGC_%dco8OV+ z40xMc?VIF+U)ZCx4wK&By$-o^gK-CL!6C9=1kOC0E!)tS zBRhkv4}X_`d%!ub%}WyX;Q=xZGRDSvV3Brv#}8jed@b1MG;_gjQ)x@|_JgmSxHd(3 z*&d3$D(_QQr>l$+*|=h#s3|nOW*sdZx#VQ`06a(@ULJq0z8GBOdY8;|GsAR3bOqJ&SpW ziS!nDW{+yE0ci#tuC5u20o_$XqUgC9Kzr$D16BFjds)oXaok1Sf1u zl@-`XW4c2Ji{}0AQ^7}1Go^OguLJbZc3<9yuVv(5^CL3*()h9lmqPmw2H^!&EeN(q ztBRq+szD7@#`ZQAU@W;gaM`(M7>S`xnwYxcb}<+<@dT6%PJ-QZC02|09{MU{hmm?+#0~n zJ`9A~r7EBp3=vcwNWsd9(X-={)&=T>(X3-`mi+`VI;Or-)>1wC16K4O_6440u_)H+ zr8uAroqk)?jwL*0o^9VRFXV-ts?fbk;CPQPMDTR;)AIBFHcuw4$_XIgY_H#0cUcvS z|u*;nP z8A4g{7Rtb*2)G1P*i@J>H_C=8x`?y#a#Z5B&YXLQtrSAF(~e68`7*Kj%h-64qK;=; zWvsEETVtqEtLMGwn3pgTsJat^g$y?EHBsT@@;FdUCV6un+HNz0wwnIpuff1xo}zxO z7=I?rY(b#_e0>RSES9NNlK&OSJT(D88)&%6QdliBACDej(cU`^rf!^{OZyH z)Q+byq5CW!z}h9RQvmzhBHya#Hw!osyUSX->gHy1!zYRJz#xi6%)HiM()r$M&)g|P zrfjTv-Pn26`a_-BvT&4)EpQ+^J$%Z9Yi0ThSA6$*R53m5H37r~(QGPfzB~3teTAtj zNVv;c=ygYL94;YWx*=;k5Hq_M*QXlZDTgaJ+eqOtA<0W})P&5-$ih{VbDFcna>CEa zRE1h_u4X8wyc)0?ekjiAQMOs!ys;)=?MCl|o6IxFo>uf_)mUJb3ycb%Zc58W<(j#@ zcUL7rv47|iDNoJXYwvk*ir16dOu2!pwyIY;OX(W|9;U^E&iU7$PU6ZR_k_ZcbT(q`H(@Ph+4gG<*Nu)mWo&ER#=hgf_`eD&KrUBcFo_C0Eosr{jh>LKV< zK!7!NizrT%32rU^6LLhK3DwQCUnh9@CP*xmWkEa90V(4T8o`>VP0=6}fn8g`&c^`Qnrt}AlC^Mn*@_Vb}{Zf)b zk-T5GlsLjXtwYmkQ!cx&OFn#?I6nBE38wUm5OiQ_wtr{c)tC%gFv1WLOuaCQ<2ta7 zoTcSZYVuWG(g7RQ={%#Kak3k)g7uNuBn7|1)R$;yY8V8+ZUDaGn9CsJxP3#wS7$eg zVrc~NG|*q9$WAw1_ij%G$j#2Yb#Tvkl7J5=QB)LUW`Z1HIAo~71H>8TL;=ID`iQ~H zK9P`l3t|4oFPAsj@{2&QV88yIq@9)828aYoLR1qQN*<`p?_nKxd#%4p_!VwaT+jcG zG8$_Do!?Ipr!f)!CL67;DP`>B*H5;6!p7W7YpEB(`T|fLiD7llgqDc}K1E0`OtmL1 znItpYUJs>^ag<3vr=HWfG=v-CC)2F>nvexJW#JB;E&2FUbY?g{C^r&D()M~ z9TPdd(nn~L`l=DqAMqpO5|Aa5lp<^yPnSAQTl(oDeSO1M_#F${y0F=OnBzd_8^o5K zZEehI_X$M7z871a4nJWC)Vo6r*o@Px-|`+r$$Vz*F(Z$Z$YyAk8SWBT?O`t`*eky`bM`%>h|{zrvA(gS*@KyAdidRRwhyEk3Tt=|gNU zr{%qCkDUNA@0U(lW-!t(jAVqG+X8kIRStOXeM8{r=4pmg-i3u(n@dD$?SH5R!t;I&XzvWk?wr-s(w549y_S!Ii<;0$ zG$2}r;ID3x4`zgQ!nrvB{rh5)rIV5vM;}x+tUr;o)DMCe22S5)Cu8HTpDuK}Jp}Tg;ZQ=@;sUGvWD_g=oIne2F|SvS z8Oc>~=6v4#W~Q!i(Es>{0d{ByuOhn{IXFV0e6uFX8@|BBy6FdkiS+8Amiw};FXlXb z=Z@XN+nM+PP7f8Nq_&arMOp!5Du8HOGVPt1@CM#*!#L9W65xB8-hElRUw7%B_ptX)^{Ao zJ_98B-iakLvcPV$uIbY(+DevnwV!rU*yZI~k3W<|(ZyxEe>O5r>bN?&Ti#c31p57&$eKwsgk^Ty`^`eN+)LZp+b_qH2D7HxT@EmSX z>r~gezx~~k?iE2`JKb(8?QECi?4B}u+MDPMhg}dEIIJaN3rBF417+GS68i7u=T$37$X9S`tyx&QL z4wYX)Vz^ofLYX|dMnMLwY1AgLSSK9)7gdw5@w4yKA9ROj3&b}^s1qw~sco@7OWB)H z&h3OuU#4^ufRjHz(WgZ6>U*7s!=Ni3c#*S5`_fbW{@K4&R7CB#yWvRFZtITmu%2IEZ4muE( zJ&bmL$`rnrK4GoLvDT~mWlJB}pFYatt_UgFJLOM9B8F|&Q!mp8j50P{^ zs37|o-5z{gANnUNP1L8J={wh>rFH3Lb?+*#8#)?IVtFDsw?q&)C;^;r ze-Y*{NY8qmI(sxTL#p*4d)pR|Pmu0cY>BK#3Ctqp3y>(}G;4?u~^ z>+bWD4M9O;^YV_W(*Un1a+pLSG@;n4q$zD{m+p&HFQ`LN>3JkfJiIuxa&5#O9Du` zMM6dy$6byFwL&~te8(s8ttycjtGHG3dE1mf4u_41#~x>eNyZ+h--*Xo6*Y>00k80U zy<11X#3lX+`9L4@6(iLRP78h4$C_0M&KumZ{R-`68*A9@!^s9s5Q`l($ntQj16D+X{Y z=EU%vob#OI^O(GLZd2zHEM+F;aMCUcCjjtW%k#OLTa|FyM(d%W^p5i-((Bxf zdjRU^gpC~M3Jkg$fXq)YN2q79u}nlOEC4ZBkmGxdv3ZCwdqAX|^9tLFQc74DkS=17kmY+qq7IFX7@Pvs&v#+*URlfO)uhBf2oZ>SxdRiKaa4pfvYgVz%BK0k9&VI?}|1`Fo_mr%7aS~JA`-Ud`o{u~z({L_gZF+c*pU|uJ zUuhIQ029IV*OP+EU$1uD@p-MzVk^re{Y@zdE$fi>zj*OIP%hXRx! z_6W2=<2UR*$NXe}$Pz?A^r&|q$cnkqcAqO?eU-uMo#e3?wj}G=pkDeMEPB+ooKPFo z@Xf71RNI17KkX@<4Gt}i=!>VE(xOgahIB=-`z>C~BfVBTaL|Rr^6JENMHbo5qfHOaY3aM27pM{Fy|6la-6BXo`tw)LqXq2TTA*=31m$gL1ztI zhpGliO*8G1x4AC*@_cNg<~e88Pq}=AnZM%EgC}gDAzf}yCF-m%gJb0S&LF3b4pc^Z zEt;$O1kIj?`*DT3h}rt4T>c9YI$!mJEtidjTjkL-8NV1#zwVQ~9^*kB z_Bn9KWbw3?CFbyI1g*w{(BUeE)J46=(RrYz1qEmh<01GdZE!qo(B$Tj(Co%4Dke{A z+aTlEK%?>d&b|3&AHQ;d4ed30NtxnU)f;F#Or52vC8xkWM61V1YLMHGxYU2?f3 zghWnpT9fv(LatYrBEok&e&aOZu9!nB?E!IV62vIhlhz#6*>-KR9oN zOwJ5qfbBKBT$z4&ub^Pve8pohO=#pVK#@PefuaFt@+k^yWltI5vdpdUmtAt&w>3mp zT*3ZESGv}WxfkOawk9r8v_Q3`R;kh_K4YFsbOOe>CSByL?#pV3b!#r#P@1NBT2$2{ zN*trcV6I)d2C^Yqdw=p42}4xh>@X0>>BzdrB7lAkU1DtN6NW+q?!uIfU54O6#Tf;k z%iJF%oziYqfJ4G7%H9G;R~hE`~ggDLiTKM8szPxbG*c%f*?LkPo_%TD5aMk@N*o= zd?>qupwOsQA7vOuh(CJb*;sb3DexyPrDq6cJao^+@_PsvsLEaVIJL3c zCD6XP4W#Gbvqn^^=(+nql{5%Ov)PZP0?t67K10MFC=rz1SOBlxcSd1Sc8|hEmCFN$IpY+%(3|@-6|Q z|2PASA7)pk3H6;s%$KykTRN*|1legEZU7}YIg2XrQ))SC%AD?J;mNgmP7{K4zB9~) zQiBC{017VHV6A!Zt3<@&AqQdrM7XI&!J95_JR%*d*`&b6knnSQqj+AvFHFjDL&(Er z0oPm=1bqb1q|d#v={HST;)@VfgvdVBdn*qFm2e$pa{f{dNjTp|;IPDKw<@hL+-VwN z_??UXqZ8NxU_SsUy>nWOTV7cm*$x)hMi?>JF*=St**vj_ax#9q9g<@@yn%7AP-Ej! zpDmjXJ<;J6;)%S^HeWY{v~K}qH4MiRnzxk)h(-=# zd6}6CD%#t$P)^EU;xhzOXNvRIxW6E?Fj}C11=L<5T%7;`#s_}f!1n-}1FYYPkjzmA z3;?8Y62{DT-310hxN}%q$M>gD2_|~;bWUAhk(HnkqE3}A`~-jk1Ge}eeCHKRm{p*4 zT+_v2tO~3Jvx@e_i+KKQ?vv323Up_fEp17qL*Lis0J&Kc>>0YTqQAfzBdvzz+ zR2yMQ*kIK|b{^@BkRw1~UMA^1uJ0M_S{328kPoW^>}g4Y7~pY4j$96;2@!uVc=Zs8 zoqL&9r}R~%hX!OXGNq^w8B-HiX$y#yq~@AkQW8z&6-nfjtTV-qj&{D1O{nT?@Lp;- zGjjatJ~`_PXb3wpL1@z>gpdPK+M(cV`CladHiu`e`MAghGD1n9^VraK$e{bUG9v}b z9lL^IInZG5TwEnHSRgf07O;B)pADX-!)_8E#G_89|+DesXN|%PNS$in9eTPzSg$lgWbc9)`7`~&8YlDqxfrV*- zkz1mUTTU6Cuz6V9N{eoNFs|t?W!i7J?yb1S8C;+a)4nA+^o;dz5B1;-_e7VmjlR1} z`%RQH!on~puP`_Q$0L1X z)a}AbP0^)o-mci?R7J|U)7<%WF)PUp5C0DUc0nmKee>QwCoDXdA))b@L{65=QaFKzX z@GyTd`C_9AB{qhUnJ_nhN`3NZ>dbc9uH>QWLnkLD@C$ufWksQblH8M19e&hSREhNq zve!dy3?oIHdHTJ^rY!ZeE_P>Z@C4?kmj;o208th~r0)iRFJ!Ml_#=c#J^KbUZ1>Or zFPW(RFU?zUmS8Jt+F&(g`}%p*-Z1O~5siA}(%wYeH)SVvml2jQpGsjYlq*AH$Iy*U zRISOWS`7sHu-tfwq4I^;)N$u;unIvO9@+H*{_oi#${2SlfB^syWcy#K)WJx9T4U1| zOC3YERJxP9-O4k=CiC3JwIkK$Gj8orGb@=-;*bq%SzK$=d_!BMF#L1~mm>$mB*sy{ zS%AwA1On3S0FTQ8drop;+CFeHt_S3uf)`3=B zr!YgKPP;ivmm=+DAJCpD64EJpBgQHL*g%5UagOAT^!td2`4UI7NuFM+p_nB+R5uTj z#GmTEMEKByt2UkZXNqmkiBpHUYDNB2k}+P2n)HyPEdr0(iRq@j*=>?BLu0!6Fm{qE z)56%$vb(Lsrlu;T6^$R97kCkhHrxN)qKA0x8|I4C-kGbja+6bYxw%1OOJ^LqB{%RI znKn22`odz!!9#1(Qg(UydU`=Z{m30$ni$E=lX!B7MXD3`Z%@Lkstax_?4d2-9*oc5 zgmv7$;OK#tj9qn#8q`+RT!=hZz@~uQ7^7}Hv)-qU=YANfbwt?LP;K{m5>kW-T6T+d zSP^UsR4uSM$u_oxYuq_Ksi8@$laZEo#VV186wLT7e{2ZtY183{u*QO1{WAS{urGE1 znZxY*>lZ9ED;H8GqL~@}k}R}JYkOkR9Bew%g295m0S4k)Z29N>LP3HbW#9`0xi$L!*5BP@VdeJ#`97$?HVbc}^^pXl zbrvR)q403%Dx7y&FQViiE86FZuBrWA8X4s)VdfqPd#~z#7+=Srqo?L6H|TJc{$MS0 zLLB~BhkW>=8jB45^q11h^A>c?9A1hksft{kxS*jKm5(wWQ3e=a(Ta?3Zl!XdJ3T-f z4R3bXQ)H#m2xZO&{-3u1#!x=&F`ugPCe0agPAtoXJeHi~DLD4;pV1NDRiFfe8M=H^akHRqj#&$#)ND|>fd5lF}mGoW6Vx+Qv4c0nq zEKj9cXcvN1B(b<$cwuE?N)J|4!o~Im7_fJ-{H+{dhmI0JC_jFL1=5gGPO|E_rpxBh z^#wHdyzaquw%rxfc*;Txif7-Y$8Hse@4|nb(tQ#5dT(Ozl{~$i67B)LBgu||evv+`Q+>^Z$8>I)yAUp-N7=7Vn7p;a z92;>Pe8xB-BJO(5g`^9E_!*XuaE`6n7$>lIL2KnKO*rnr9ys*8OD$F2{tO%%&5p^H&z;WCnmvtkkg`)tHJp#=yh1vzmUo za8n34f8@Z~eL?fX1s6xjzZpVmxM;>Tm%oPHrC~}ayVJ13DbHsaf&hG3W*hA-ICV|$ z7IY2FCSv{3Zi3mkElY}gN9L^6by%;tr(r&T!K}*x1s(dRYF)DgfjO$@5!2*mGQ#r# zwL$zEO{~rMYS~|Uf_ilTDNeEHgaOcFSy~dY@k0?h*(Gxr z1ji8sy$n;xl$e6VzNGsvf$WiW0wo@SBUChoBqi<&j70Ap{Fm+|B2P|{)5t zHlncH1BlBHeEhki?LaDlXy~76wBj3{F3b!_uQ?_5RV<=~0vICnl)nc*%ZY0O+_`-Q zT%}1yrkVv5843WR{4jr(yJzUwY>oP)c%eDM9riTiSqPecJ0ZeBPw8Ei-GP;EW9+0GnI1cnjl7ToK><5+^TL}$3&CCOx42f%i<8h-d>iAasu!fmt&h}om z54Tw=yB5$WaT0wlJ5fMEO*}s?wz4Rnp5*F~r|(ZRv`8^lb8ZQ#J09Nc;rpy`?$K7- z+<(=UU-KAs;zkt}b;i{O9vxZ$Zao*`#7PL;aljnSq1@64xqTf-byJ-uv8achzU#iL zqKrX}vIS%hlEZ_9bnmUTFDL)B*L!insiE-E|#%&AgY z-1P@$qPk@=1x{sU?`g@c)M3?rI=GJ3F4E0^ zoN@?&wnQI(wGsN3kYFXX)B8x^v1^N6W1iqmdApvpFu$Xd1AiwCEbdUMY|*0+?B5S#m)J;d9PSq(=SxlmX&w@!H{;MdBd0 zBURJNnmaT2QD!&Tk#O?Wc$>_$(cyq^_Uyk0q1?LJ0W>Yl# znbr^7CqV57088G-`k~zV!l9oH6P)|#GHbrkTW625T&y=;X!Vy7v&S#f`Tmr(hj%OStioQl6}|8;tWE z@g5rgy$``yzr=(1TN{#27|=y{;nvWM!|mdi*!>C2kOS5im-2K|SJB7!UA2PAtm3Pw zASHa2vHaEdztfml$iG1pe*gfM836zw|6h{lXr^yxysY8rlr`+UtA1_xvZ;N2RfT)_ z`au(jw~=f$=?7c6o!qRp5TQN3hER{#(gzm-xY-3n03>CXXFWhvH21}C=7A|DIcx6l z?`GR}0V%zR+|V-rZ|_o40zq$`U=sq(xd*;N` z@q2yX$|_|^aXpc#)I?^7-O=f@qJb>>~k5sXEKqz0nLK!Y)6f^MnTO2p8UPHgh{%Gy#9w~q+J&oA;V=4Z;kVzaj zsb0ZbD^sycdW34ZU!+)rG8P%G^)aqVjErS?a72r4RpQtqLe!|M!q|*4ZC^g!;^Kp8 zuw4pcz25+C*2|;~lxEudZQVb1fap3X!$$YAcM?!!2~g3|5`@3#CKe=VH?)C z%?zWaMLY*AgPR#x+^@_qGx-D~ojFb<XOnR+QQSfSl$V42yuCG9GIQ8dK0pKla; z&cM#0Olg;w!Hc}VlZ4Fb7ld5G;mt}35Wy>53Vrg9B@u!;6_N;vXj+wzeCjNJRDxw( zbpo9h!`!?F>dFKn2%-n`!wJyRs33WMrg6bS#+QD0^#GT?u?()|(OJ(%el-(EkcN2I zZ<1xL&8Ce*fiUF&%Q&<}B_u=x2BT+uonp_UNrk%f&7}J7$Q52ngur&?+Cbz97 zs}_|EiU`9eT#B7?m~@NnDC=*NO zJ{!4sKBT4ijdtNu1kH<;y1}|uMh1e1&yN%U;n33v-ETbK#wUF$kA5DVW{GTqWl4O>dNUG>Y5J8zOor&w=noIpT9%u+PchcG@tbm+!C>@(oxW8S z*Mgt(#9e?R&omzio)zxMiBSY|`iP!!0gnPj3Mk!pQ1xEP)t)g$hM)P$OsL+W$_U&7 zKIwv2uP341Sm-tqU{Syz&lX2~vR$1j~9r?8K1WIJ?fZmz2iy z;PdE9wkRpRb)QWd z_1xPZuRjWKH@4`i0eDMLaE!yX!P!P4&;(-lf{btp-2QC5)P>0whjVZATBxhym4A<- zo;{SZ>wWrE>|s!I6A;hBLfisBS14nX4Y~*hi4u_n1sQ($6GlWpb5kG_FrULUiXj5q zjj*($Ablc_{7zhOCYF)BTFUvBc&w=0>$2*SedKJKlL6&y zh>GcCakh4p@&XfE0&ub#P_Fixs$rIBd_tq*7&G=6^_4)j$IFm62A;%z%JQF#kSK2AxYkW zVZ4-`Cy9OKEQe6O2uKe<=Auy}SlXgy=(jXAF8AOC+Y4M1b|Uac-bd4;&VcdXiKf{7 z2h$`{9vfjCYS`&m*hY>=hUmR+@KnB#U>H-qeWR2j!S6_G;9TxS)1@p~Y3?k3&=V*9 zbO2{>tx*np`;E%L_%eP;k);Fak3-g}QGaPj2LtU2V~yX)(yi#0;A2rNkH0%2BJO4R z7w-*9e+oxKX=F@R%{_8?odY8aS_-x3ihyARs6i#Pbld?Uo= z%aX7TiK6F4_T`G<5O0ohUFJHXp?;W|Lvk=nCdBS$=`pggIq6lpU8u{{;wmA0zuq6w z4*7A%AK&qMKW*fwkxbLv;`eWL>F<$|UL)w3?mwlf{+y<-ktH06llBY@p->|1o8tBQ zMF(bq3-N(A0MgW1;TwShL;pZM0JMEDIW?~;+d#JHyQHn;IZgnQkB!)qDmseU5D zGs5;xdc~E{HjYhV=V?uE|9xzJe9z5Wv}Izz-x*-~^d{w*9NMM7M@RCV8d^E4_5zMUN)4{AxB&E|c4k9z||a+KY-rla*GJM!rtF?zc;qpK-_oyeJLE>ApAqlF69h=-{EfepS`;=QpbWLjBcKP;dl>c73OC>y?;;|Je-8X>|YGL zf5dpYKT^TbADkjBIG=roy{seFER3dq0qoe{im8NVU{10zivEpv?QqvygTZ$G!G4RlJ`p>GH{gV8$brg_GVt>Q*BE z=w2d;)Xyy}@{7rDXXNMOpVR;8!S#1B_0tt`IrXzuzG%|>Mw48EaH=qk;2zX!g+J&q z%;nDqEOMt9SEvCiVoy*3;ZtngI^&<-urmijFSucpARoZtWa1y(h{`ZKxXfzOr^c`v zf~QvbsXrgY5tia!Aj8hYI(;UW;h&H~tZ{FQDLh!=cjN_`s8m{a$&iy)s)h*^H2OH)i^}o-oBZG_31|t?WQ6(km;4P)-LWWCPX;iXxa8q)1U}keh z?xZr>yU_|4&yM%&pC0bKJlx&ny3upg=t4wSH}P`x=|V!+H&JDq(FPB%ts_sjCI^9U zkN3x44bp(RtQpYU+|!V|&`rQ?HKmYrU><{8X-MJd;F-eE29*x1BiV;12agVh=_lPb z4La^0=x^N^r1*AP(!#sAq{MY_Pe|$D6O+&et&WH3t=&Hdzy4vo`=)`&DXTb7+Z|IW#=o_rC5*Nd6&o5a61 z?t{afd_IHMG=j)18XD@6{y%pj%t6tJ5o}*D=!dXSL0g#U{Y^nbHQ@F-{8$gRC#N}d z9twy;)*$FX-hdAFC&D@O9%Luo84h&nF+&|V)DO%-aKD$(;XG()hsnYG4{bxWRV0z% zE@iM}7XBJG-)t6?B#Tja(*~Kv4IE!F)muLp7~Sml)oE&CXE4 z=K7I3lNE3F9~jgl3c68tQ8lQ`clJx0I{YrsZR`pomJpPhkt};m>aj(g7)MjX{R)OE zC2h4hNqEy6*RpI1ft(Uzf2jC-Sp~!&y4r=^!n|V~5C)E6Yp0fhro+M}c*7>ngC-O4 z2&0G-aKU5>Zgh+h+m@`Eh9VithU>8_8g$fptE3awW)pJOugt2XWyj&`T;57F6m9WLDIw z4W%iyx+TSwdOh#5gOLExA_;V8m266LWNKFBPI)`eGGy}ppdPs-dYrxKqJ@x<)!2lQ z0N1DqbWl2$WqmWZ%W@gReoJ|04CtNqicPgU1LX;Fx5o-&k^V~!8dmGO7*(n$&`5yh z1+_W{xymTeN!aEMwYna8%YQ6nG7D;z(vn7@9!22_2IdNfMYnvNnF1CJY$av$yjtCr zLS_`GB}?-yfp(VHQh4FNWgPx#}c_(UPX0Y6NwW^c?$-pBu}$8l~QIo z&Paf8(gh2Y3MZwhnOaI&SH0eM-bYlxEYdQ(1bKcgnFSg7eo#-$60IB|Ik&8XayC2IH{9>vML6&iLo-hwHO97 zYL}|gJh@wWc|!jFblJp6z;Og7BUBI4(odBRD0Rznok|&|DoUYVdri$>s2-vvz(ugc zT1*C11(D~n^YP-529tWZ@{GS}Zp&^eqnNtP7nst8WJwhmpu52pF%orX3Qts~1Q>^j z50kcJuNv%+*8>Mn@rF5c$S0m?Ujq83^av1D1HP+acdEJUuPzA+U#qk}pvCvgDVP+g zn1{*t4u(c2XXPVKwueVk(`nGcV%9G#r=>z_&uiQ#)$&ZaEB|-|%@9G5D*Oa%W!DdB!aq5$q zD@3h3_6JTi4B3l0{`h*fAAcSY5}B>!eSw0M20~s)p8DUI>`=WR-65>Nt-O2(YAEI* zL;G_9`fme(4`ltwod@Ud$ohaN*pz=j)C!I57QP_qr;qLSz911UQ0ySQA=$%@B-qk^ zKp>VV*>e8_7A*A;fCqIVI2u6!li9&ud$N9VwaST+2>1z?OZ~zS2;@y^FlN)t4)eg~jZol8>7wh46JfDVX7f;v6WM@i$s!$RFT?~4R~c`! zf8eIGeIOf<1z6N|rq~EAa__;E<@3A`+8TbIr+cMD)=|x@)c(}XzttUmNG6kw+POr5 z7DFWJ)ylDL98S7px(E2ofVMsITE?lxHiC6Oxs*+dEgJZCd{MJxg@HwzYRuP=BcUANf~%iSY3ycRM64e z3ED!YuHaaO(n_YT0^erm`B(6Q|HRXJFn+W4FHPH;klzw(Rmsn5OhkT2Ww^Fv2Wwlye^!kaRMRl#B7_f4)F<|M-3$7~GiaJ%d zisz6}yGZqGaoVD;;^h~ZIh>WYF%oJm9xpeO{j4<;A$9{$0W8Az^qqrFkO8WXXlJb~ z2;j=^3y9rX1K|_NqzBCgMBqf4?V9^Q1ke;Q#>0i2tuF?&zf7?CF|?y_{^LdUmrZAFMZD z52FB$#jrM#gCT6xhfY7D&mS3$)+j5BijTFz_y9EW#@+kMTsY2tik(C>!Copaq<%!~ zfWSWJ^SV^6FdbFmdlt*e+v7OHz3VEt?bz92fxi8Isd<}qm%01HtQ#rp#k0Eyy{Ndj zSR*0XZJ}gA$l^UvW{;#W3J3dj^CMca#rfR;Ft&tOQff7&U0SUBaI1JLy~XhzFSPL{ zbg_)Ius{}toBFo8`}LPV7zrqL=ezZ@blWq~{Wi&5(RTfH{Khyxyi?X%t9)CX@o`z| z@FpM0w)eV()8^}%&Zd(k{$=n<{w(?LPsLKbYH>7FI5f?!+~H~Kj#GIX#C)9Y?^Ve- zk}t!(X`(Op^G+zKtoV2Al6c~6Zk9`V+nd(a5qRkjWQ6{~rrvp$RYnmK@S=Gh9bTGD zu2cFO?wKT5!SO{%xFr~_J~HXsdEb-S94ow3Z2wm;rrQsLg)1p+8>e|9Es87rSV`db zqTdyH-6EKgs4OPI5A;R*B=I**YLDs1F0LD!^+OV~Gqq?Ki30r-c7VLOL_K4>!4pJ1ZM%V#fEBJD zM%RqelKv=w;F>5OrAGqgLLOV1QasPOgS`@E2y9gy-P>`|Vbp+>EwS>Lqcdr_0Sq!8 zsdCh?1+QesM!iL|ut$UahM zFW4VRT_#d4Q=tRiHx;Q;DNA_}Tu8F>0|Z z-J$WR!yquloDfYknMH;IoUCqRZQnp3GCpfA{D1g*2k6Rr?_sz$rgl5EZO=??+qP}n zwx;dWws~vYHs{vv*XQ~D@xAZ**0=6i_atX$E6GkyPLdr(5nN0yS`2XdrEZfV%E1j| zDI9V;n^A~DN*^`4q(CWX^bvlEaDq`$oFEI&MA)HU_DmW2_z+@AutP=#C5iM447$HM z6ReXfD1EfD69S{O`+|_$oYlRlxcA*Sx1Q*M2?)^Jte4YCehvc!oN`dU0?!}Ngq}|% zzzAbQ2GXQ$l)TXca=*-%UgK|^ass?>3xWb%_XV#^1K;YFf`>9W?zcu;3P}B$@);xU zS^NUBY*&n~n&hys% zAtZUYpQ^|R3kUDIwcI1#lfUldz24pO@c2A>o85YLko|DK?&O2c7F^}^Ys%^Q!AE>+ zkda17;xeZXtegIu-BQDt3FM^iIO&|ncy;B^E4SEo!_K&x-W_X@MYix7xLck zHVpHTU_Mn%BlyP3g@@ojdgZDhSDdCrkkfGu^UH2_iHZ!;c=37fg+?yoBj<7)?s{Df zNFG2V5K+>Zr?2!b0N$yeGl&?PS@;h&oX_m*XmR2`UK4?*1AqkMx6<9c2;;XCgS}_6 zaUyo<&g@{(YtEz@qtJ-Qum7+Jxulu>&fK%Xrur2D#ng0{6V9*Bxn-r;Df7+hZ zeaxed-Bx1NrthQP2gl{^>vhJ*4!9DLw02hdM=vsJPX@>PbwqNHv)j;;1x!Mb#Go@67k%4D2~ z1svAuJbeovUt4Tnla{3_H@u1o+t1D)Znav@VeJE(2}!d;bt1r+>POtBw;v;`!f}g2IHX8_vZeXC zK6>vf?6{eLy*X7%vfFNFH8^p;^Q+RGHCFAd;#i-*qoQP(gPoPW!76!6WfqV*18tPF zN#@hWCYUTj$DRApNsV7vGM;1Ewo^{WWtbKJ)Wm0?TT~~XUZ3v0wm$_hRL=pBU4W!I zMQ0>3Sf}mS#Zj~E*o9Gb?bszz!1h*6EHr1J5n9b|4=bR_vGx2wTrlCtlY2u>#vw?0 zn~IfF;+8d|dlg+U?NrP72y5<5@sD3|l-~KCo{K{TgY7&(Sm!N^!FCy7=M2=+*(iwG zKHb}PaVTN%t%>Sz1`@SD5w)iqM}AU6XVDEm2eBy?wXL_0qd$u8>8@teOcEW^`LO_e zSbTaW-b&c~Qco@ZJVi+herKI8ey))IOk*Hi00_0at7G}i14!GSIv5BS0fNrJp0CRV zD5vvAr1Pey^Cl=XF@ul*Y7EB8-ZL^M{upH)6RdYoJ82Tta^3MplD7&rgq;Q)Dh&{VKE&~g96UO0X7S~zvYO3)>+bN~BZD1Fje z*p+CF=D&1W9tSqoEw?EbAT3F4I@z0(uE&qUg zocZoaZ7p1{9P4iByx>qg%#X}wf(EbDPI(4L(*cbBi~%+NH32w0 zvUu^Z6A<B!shdy;K37|f`}ngiP;r&Q~zOsk<* zHIL4?w5FQ_+C+`B(yflH;n!7<*5Ylm|Cz{ufZR{q5Xq;iFX`!nCv0A;PC&?Op1PETi(aXJ=7rl!u^W0&N|T*m9Y)J1uXy~03iS- z03`q_04V@20NoAJ4bctO4P}bWW%J|DZkpYJx<@Lp*(k@s6SuV!BDWMF`tt;aeRI4G ziW6W*GM)C&8fV?{h^AMCMGdfO*~~t%Xn4evPiPK;8$gkdV-Bhrz>!aI0>Tr(kdK!R zIRSN~|JK%dOJ!uwu7FrW zaDZk7(D$n9Th-|*F8Zm0B1lq(N({y1j0>;}q} zT;nTrQy(yQRChRcTz4pUOm`%ALU&Mi6n9uI#7=}xuuiy6s7|EL0Pd{=?ybGqZPQnz zX$Po{j)|_})yGxeUQ9dS)6^3TsW*Z*m^U2V-+fjjIxtLdDgWYLqh1z$?piQ)*h(pJ)`2qh;R3EJtX9dg}vKe$efS^}aAFCE`1^f=gE5N7McL&7& z8y5s0589;lvC++QdMNP#j? zZ^eL&ADHoo2Ow=f2;-5_K|+3@$Rkv;J46Qjx1}OjgEoV$`(O4V=~LFiuOME4dirkgK)v%gAqa_fs6SU_A>8q*@JPxW`pH2z3)jVsoqm7Ej?Q_Pp98_^TS_pALSlj zz+3AXUy<9FWO!vX)YSTN+1`y^dzO>`k%5gbj~Kj<`HGd~KnBB#H`HxSjm zr?<)V`iyOuWxr=%Vp;dFRS`V{)FylNi-D9%`urfo3I8di^((%>25+PtSQ zYw3-vVYHc@$g}B9w1%{~Tj7pqxU~SynQgfCO%sa_&AB$0ymW$)ilKipO(T(n`p|XcW@T84Q8vD*rjt-(wI`M) z1{Xz8kAAP`ON37*W0=$@ZwvnbkhZByFeID8a0a^96(y6<>&L`r`n$AUc3X8^uUxTQ z+37_1sz$P@iL(91J(z)`O6nAB9yiph zmOZ;Y`X|Zc*bJ3Y665<6#f!zCA-v-foXkcIS61s?b}F^olN)>;j`JG=0^RV)&UQmF zwcd8r4xIWmw(?m;OST@Bicy|L3q_*lOt$i)TFzZD=(`q8c8y2Pm~uYjZ(Y{gD{>bt znrv;=NHIKJ=V$d;dnK;azim5kYE8`>NloSPViC4JJ-;=R432O845o(xeyAdZVYTvc zb@ojLTZKgxrU{6@&5g}aE6Ls6t*LCTsx)i3qIHxjRbOqYh^0+(Y|mnxDIY9i@V0|B zle@AS?i%zc4|}9}$(M{1Sk5g@QElgY^Lt$+uivyPL?QFbx-S#VXSfE%1)8IlQ zx)NCXqXaH^5(a3iVg*6hDUDGW(o*&%wCrSX#jJy^yp6bV6A%a2$OJptf=u<0#R>g`hRpqu-P zIxk0%iWD38b<>~<8+k-dE5r}=I{ZbBQqB82)Gdc#ZIP6)i;nP*B*(NdGM#Lf$7{

HrRQp$jsK?A;8$Y;hO;7o0m2neC-J|?W{vf_Y!|mUc zcnQ}$F5~e-HKQ>;D@xi!`eL^qI~KDvSGS)xHohYLn)sFB_h-?DiEbjpRQs!2({hxP z>2GXM+Ie9V1ZyT+q#vB|hn!SIRG>7Vd<$rVdu0%%5NH0C-yMIz%EKDNHU^;PqmIB8 zASQ#P{7}WV$wxeaswXiAw@L6%F;iqvRkT(V&<>GE`DUz74A~oD-dip*TL@JJv2HwP z8ch4GIg1T?*D<(3+VTdv(u3kG8lioH(}4{^GsHe1VxA2K*~^`2>W-4dbMxVC%4V9; zCUtYrW?HVz_u6K9)}(=9dv-UYZKQ(M)fuvq*1_4`m*Me@249EzoaWMWq+iJ~B`?mX zVVl9I|q zJv-3d;{t%Gc5V0usTLj})*C`q{am4niUvm`AXBW01~Gv`mGaE-_jdvVn=@UN$@T|1 zgzBX1{f{CTP^JH?hzTgoe=9>Zz}(aAIm>?UEf4>!R`Hfe$vM=5~hvT~dTZLfrm~faW9{v3NVY zpOy!vO7Vh|bi+2J;{U$|h4Aw%u?10?omV{Pra7SYyLiW;4Y+|tyer8Dg&m%`DrA|& zPBY4&?jD}_7DwQUZx9>`2ZRE%_vLqMgx@1jCc{t7#8gUmZ8j@C7`?FH{w*hvpKbkK zVE<{%bqexHYN}UeF>0#^XH#JRr9&6${B0ppa=$*h4`IeqKxk$%R@>mHGBfV_D6Y!dK_RBOiQQj z@PEnP3;iRwvY>DqvE4nvzrB!-!TJVV{{3?p*!1Q^ODdhQJ6NsDi|^{nHNk7mSvO!X zhUn$C@^8(z6|Ib9!U$fp!=M?sIkOyiP+6qXtMbP1>RF_MuEkwhYN&!AzqCM5;zpJ) z`09E(-^0qJV|K_p_k#~&r1lga+uRt=ujnCZ6&ED2F1 zznirzhS!=^H|%3-w0Z!-4(k6>B+CAtRC#JLGMp}yAHSTafMs!B%6%Bc5u=!}{+i@P zZcIlq(b-{Xv!|jE{Zq7clI^ zl|t&@3Piq3k(37hj2lJ!_H!3OlT7Xh#PMi;$PI+BJp`gAi5$qUJ6&?Ut$YA17f#GB zgs~_!DUDojaBT`kamH-23paw?Sn2bP1#cuUy z)I+INv8r+^6=9_<3-qfd)wP}uWW}cB6%7Lw(K0@omaVCm*#yD+jbDI5Wwa(g0>$Ad zSk3z}&QbuoNST7-G8qXT^u;pow8V)>#g_KL)yT}kH|@h?YC%00 z4eVmbdibS!afPVGwN$De2*xZ@3QzRyxT`&e^IMZATLLdC9S3a%9ogo{@Smt7YFOCMC1QENS&Jo#kMDS}0B z`<8d43OtpbL@FQYH^V7{1#c1$ptPitDK|$@Y~Tbxpmgz_{D0*mjKnh{{veizQXc1o z<|98EITrhR*6SpMBoC7eiqnd6^fe&Bi4U0mg*1cUJhJVygO&vTh2OiE{&W0m7$*8P z?!sF^HXba1gbQHF$2E`dp@nGoZ*%CR5IvOfGEHVFeB+J7o(vz|_OLHwHF{v5PA0sh zTbxq8#DDl&_bS@P+#%JSh)yL@a3eyaHz8o1GE_>I>R5!6w|;}2QdY|%ia}EutB?X` zWu#o#|AM_(R?94kNMjwVkOZe?wD7zC0lTTJmQ|F1<|0-h4bE)XJQePE$UGMAc-TA_ z4r|Cf01j)|Tw=lrT-)+fkWY|4a#U-;=%(Hpi6|Aj>aV35&zec4&f#Kp#H?nGYfhog zbjU^}V8e-+<-lA>PtZR*HSmEZ_T8{4J74{|2(hL8RVd?7L@^SdYU(IV~ z_R8q8ph43cWzZblcE62z4Mq*MF#2cf;xH9jHHj$eZn(TSbXPv7eis1=NvJDCP3#kX~drrG5f zEXB38iniQ!1(Xun>P6!MPXrdm!j(+%)mn!FfUbXN>SZ1Ik{cVLPQBX*$aDu34Gt)5!S;xL>qQ^zmNI#G7rOXa4M<~N5 zrMr8xm|L*XbR&#uS?aO@59QueWs~1{LR@f*=0#d*jk@vkzny@vFzJ0&VrLMXbXI68 z2%c#F6ry4}@3zXcX;Fo-kcvLFIB&|xIoH-^s>{Ih*g3*hNQE`z7COnefvOlq`V9&` zoY8BVVBX)!^hDDrS5b=K8@p6hQ9~iN7De2C88z@WF@zjf84qUTl%Kkvl6ljQ+M|NL zt~%|9ystqMUtfHzmaTfEVJZ2Zojwpaio4!FgKny$1{_tU`Cq49mU(<@t1bNgZkD_+ zK9;_vLBADF&Ukxd)=1wjT1~;&WZK0Ztyey~T6GI$UKjo0FL~Bo^fqI=R8nITdOSSU zY_n~ddMnZu5zyN=#5XMU$?@aj^U2bF z=YH2$`gnimRHHZuzVdS{2O8Es>gjqn1dbMDOJQ5{9^)nhfkdMtum^s-wZyV|;J0h+ zq3%FjmlUMiSmP;wkIeyyP-2f0&2&Nwu5#zXIV(g3=Sz)yc6fU#5#8@}pQ6(dnC-J- zws&=SK4+qNZL`O=cOiH_r=ogov&*(@Lb$Ok8&vZ#rtJ!;c!#YD1$Y>33UzoXtqNs$ zDQyaEcq*+5#ds=h3Qc&6tqM9nt`ze~*hZx+AMk!J4QTgh*q-NppYXNd;@J@m+cV%8M-7s$(ueL8_w{2XCS?ZXD;#@ls z&Dt<;z_W2Etg>yKifL|}b>&>!73~OgZ1QPtzZ+%(2&Qt4hoh54ktH!Aib2kyw{yqB z%`kS@^<*TDCWjUxSk!Oei{YF+E<#L89&9(pAl~*w;LMu^sNB#k?9e59Oa*!*WWV#I z^I6HL({*ASHLe9x^DazO}OKW>qj@NZ0TGKJh zYwJ7|v-RJ)Y?z0a_{|;PUZ~t3&@i6s;up{dmi2^f<{cr*zaW+32}Ze`R{R zhi>5$TNZGsCAT9%?L)@HP3k=kE6O$SwlEqz|4g(_e(p3G9?Od)C+OH5*F@HfW^vF8;Gyehwm_c8Su=w4CzI^7jvZvCv@c69X2ol9N$qH!xALxdd{9?9Zvsx7YpS zZJqZt@kqxZTFLFxbq)`UL-a88#3aqBCpwm$cZoA}d)s)Y3#AZJltNX#`G^615ZCUj za=6b&k;kW7DA%_BStel?SLa zc|rPBx`n*iX#jQm#3qvxw|bPj6(8`Hx|e}A<=f{^9QW5Q-dS)@aNRbD-amuN;ADZw z#4`QG4!tvx{Y*lIk-z=~*3ycHtZJQK_#FOT*?$DlkzJ5+mx%+KsRHT9tw08@ijGEf!;FkE;Y$b8(7ff+P1sTX=XPU}B28M8Q~I7X-~d`1&t$8vdB);bKF4 zFFrce)EZL@~4IyNM{_`jHZc(AtP>0oKPyHWcB+(vK8U zEj824)c*7cWXq~~*PNKwmRcP5wj`$G?^1G?7ov=uWM^ z;eSpH!{M%p@s}k1m}rH%(Ts+3JAS8K8rg}sEa<&3F!Qt*qxBS*C=}6`8Wt8FSxb5? z*g`dxP{{X5RFls~q6~xu<=wZZ9n(rlVp~A}c6T7jN~vYdqjwW9zek#w!W79fFAZsv z;Pr_6LyG4DY)BWhcesaklY*yNISCy&LEmN<`z~GHvKaF#@L`RPUY7{Y-riHm2lmlg zpgX`88?}_E90RiHx||&8+sj+CuXoqBrvK-!n!%~aLm0G$TX5xq-<>p}JN-n)PmIRH zojgkW>6`!*aR97~(hP1?>>TA2t{vX20E>*AN}6!>kl~VuiD9MFI?Vd$5GCFz0_@3F z_@g;y=c9Ho;IfYF+WT%#Hmzh|3T&B}{DHJpuOr}+}BB=WK2e*S0)NhMsRx^nS$>;1d%If{ePNz{!>?&4*m`cP< z*Zgmzt0$nbyz~P43#zM70cU44m7X#h5$#Kr1lnA;AY1DC3g4JsIu+4XYCjgMRot=s zOTkT^YDKXfE)AqTnF zu2W%SsU3`YiKfZ6u?iVgR`kK*ZaEqyCT2SJIoJf!I2Zl$$Z4X?AiGqQN888yo>I=# zZ*1h)ABDqRo8ExhG)lxcGf`vl%>Ej5O73{>$qk%<4gRcMcrRBo?%cRnFNgE(V&xUF zdThAgx;24g8vBQE#}sE}!V$uR>0)-2jM+_cUiJME;WU?=&P@$Tf#W{l>`!zqcb3d; z_X$#s4oX5uNy=Q#H#=)%$X-}Z5*EWspt_mptLtz#z=%=7>TnAzD#Qj0YW}J7X34M8 z`axAjJ%XS0aoi@9jo&0~^Em%#b_a@}@|v5Cxnj<9h>=&X@o(EYTZ{M5{_+UDZt95B zwdh*j^**>=t5nXMoyC$4#Ps9p zr1N`R@XqqIVd#2h>p;WPLMDvfB_b4A-=(@S2gD&Uo}tuj!(f`djDx z8~Mh~(U25S?X3-I{a$}{SRr)9*lPlq;W z-K|fw6oIzgzpefVTF-3yawva2WQoDHzs$A3XV$qI3WRll+$Y?xqM4?D(HuIxT}lOx zeqclwj;kO`Z^LQ@KGVMijNT_WT(^3*!|tQ)G2+yGbL#AOj*UC9!ja3es10>+><;+M zG0Srqexh)bZwaTx-bV7F-(R<=jRng5O<*I$*=DMc3opi2yZaq&_T`Y`!#FkxIC+&4-q^c{}?Zm744QBnj<;l?SQQlXans2pbJC4cg4ncw&4Lyds?XlD+V zzKx>vqA}4C5tABo4}mZ2(Y1^E_!}l}QT{+KlbsHbh$)IW4>-;u_@eK2RFX+*U@>H; z0u&q6tM`rQK%9}X_ci;38?xaCvA+7VXqWX(?1^0JN*SjIMY0AaOiphsn=M*rBn#JV z&afM;CH0isb}Q@K6L~Kg$N3_y^CM|=e=&8TB1Z!Op-TE+mo4sw#zvPp8rFCc zp2HGnmQBJEwi<-1gkEER_*0QJNXpi8ag_MTG&`jg!-mff<&C9|(n;9K4vjjua>kw3 zoGvM%to_Nvb-}C&o#??1$UP(}dP#ohq8?b>qJIZ<+|F9~S>Bn&Pr{boTHnJp1RnNjIcuvsJqD7Y)fb_I4-ea|J2r;FwLkL;Ou#*c>h(@`d=IA!J zy4U8a&h0tNeji5k9;kZDtHLq>J5qxpvLtkfs| z9gfg=$v!>vReC(L>Hi$-CC#^fNOSi7t~ zWeT|vbD-evY-H`o)00ezE}6F2xf(=^lsHAM=1+KfV#B#3jZXQ&6{4JOXWFDcYODuv zFZ^YDsAJT`EE~BmWU1rDQ)Z7*rJK%*^w zbo4Mmx;wp1CuBI*)&1BUiN7725Zaf8%GU-%i{*Je@o;6+ZmL6e0LPHq=P`?jH;k@u zDTCo>y!>T8hJOVP4f&xWMG9>tMqkj_yNm|~CIt3rpHTcX#zBSwW&E`brc_FXK3Q`* z#Ni!5+e;5QcTP^kE587g!bH8H$3Q)D)Bnfs{uBPp>YRuO^)fJI5YyiUCcG<9#gcY3 z9u%H(P@s>ZX+SIsuaL8DFIW;@AzK(HM3PoPTNo!m5>H`6xD6)7^>;Li2{sLZFb?Gy zWGRer#`iIgQfE|?M5^O_5>%5&Dzkz)kz|YC2occ1wq@XGss+nZHdoH=Ie#8JBkVFdKAfk|N zb`({IZgvuNSbdL6DqBAyiOwOCT()5lC)GWIT-P+Brgl(GW6z2gpt~Enfcw|uUHz#Z z5st8oT^Y^S6$h@NR3sWkP?)~6R7B-zeZ1Bvj|?I(pwwwhj_0F_gX%@Y(4Ty&ZqOqY z+c@Hj4ww`|-5`@xbnPIORCL{-6&>>wDjFU0C@Pl59;%eKX#_1DkVncphkQ#vV%so+ zQ)911!!VM(yAO4q&LJiIDx&;J>Qa89c92dgSz`}ZO4}@=Mcpu#yn7VYu3?Z*YC}H) zGF9C;B8v`4pQ>&cVUntD5TQi}M3U+pN4>5ae5C{0q(1qR`-YJV7)NZIL~O596QXa` z)i1c=Sl0Z_Rk6&`K^UxKVIaiZYLR?c>7?9^D+x?cw*KI$_Hcw&^~qOTq~r_SgzyoV zo18Ywzu84PEF>5k#x?ozG)Ut@LVkD?idp-1f|@L7GM-m=I84_j=MGjVVl^w^jzN=W zl}xw`Us0c%p#LnT(ngfpqnLu+3?ta=3cn<9o{y-$6S!rFw#FDSj|45gHI@PlB^ zmU31`*B#;&DEI9Y5ywqED-zOju9c_|FsoDgK1InVpPx)r>a#XMd6kTQA|+BTTv`^E z*!B8Bm`SuGF{;wyEBS{@(NR7nQFBC7HP!;ZS-jaJMW}o&hVUE*sZ10hY^ojUIO}qz zjEk?@VFhkj-X&3K(Y8^h&t9C}kjr_|#oZ+hZ8KgdZSOC6%mBw|bH1ba7q4dc0pi8* z-5H(b-Cqp^?HFM{_G@+OLzEkm%Uug?$U=q6Q3iI^b;1fvr{|afyRb0>NGCJJTt(%Z z=33Fa@s@-3T)Nu@6{ASQ7-5v`NRV7oDn|d%d1;35vtH;HQ>f|+2gAamRX+Er5z?%4&JEyS)kdqi!H7l;UCsXog`@{PALG$ zRFGvJ>ZBE8H2HaDmUK4r^Cw-)G`F*tqYps2v`R3Nl-b!l{7n)q2XRMV;Ij{$8~Dpr zxv&;hJ+B#YI*+iYA24O2bmQ*l%L)X*L7U|-etV9o26!w*iAo@$8YyDY5nY!owet3+Q)?AB z9I9N)36c7#8e$7s_AbvsbxR-^OZ z!(D~0Ji26{jn#1vreG8Llo$IH7W1nt^wSgj1g$Fch!W$&5eGX4P{-36|K)3d7o0oY zO|u|C{@PrMN$0sIF}J7Y9Q&rCIhexMH5 zMylUQU1U8?DYSjyv$1~6c_~swxOPHoA%4FH^sv$h7AXBg8``8H`9R9o3IB471vnmq zH)RpJ!z{GaI#PEfNSZZ}cT&1X1izYr?{+Fpn78lF{A$L%(u)qxvZce&d#j@07moc?K_~9@G1T5OE+6nOxFb8E z?jk2{O`j5|V5RDQm(V9qDs3+GR*yQU%YIok-8F6`=aM?#V)5aZC(+KBAtfEHEzWOt z&9m<1QRC2|doi4taxN|&#@};Gz8;N_hdFm%0w%>8r(9Nn{gl+QvDWR0sx=G(9N%o4 z`|QgrdMTm$J)UcJxw6kl{+1QkZ(yn5%eo+bIm+T9`ecU=Q_=w=#Dh7uVah&(`#bo9 z%P(kDSqDUb6TO<*t^D>AKIaxFrKyLSbkFSlw%pa>SYA8%Hzh#b_oJb(H1?jIz)D2B zoM?QQh?cZuuH1ZkdGrd|`A%{|rW;wKS%W}u*WS#! z=?ThVKj@SR6m%0Ns1R*J()cG`s&$pxBdDyWD( zz13bI5wN>`qZ*aM`j>^ye^@;HZm#Hx^W*H9=DF?E`bQ|{e^^-9-SD+oq2#rA_+}pz zhxxsoPuvpzM;|YVe}zi>SE!0Fq0s(eG2KlueJen}cgry?7vG7$L-fgW`{qx$Zi{2k zkh9?0^axCQ`bVfl%&AtnurHpk7izDSHUkSeIyD2Ui?q#yXcA@o==TgyK0&;H*6@dw zo%EZ%ZP`;2Zl76N)rhZOvhY`ZTR;o=7uhO3kSdkh)yK|JVU{gyt3mr0FA?b>OwmTo z=}ZMS$3;&96H0{LLbIx~W|{_lma`CxJ5K_CAUX);poRc~1(8^f5|KQ>oq*Q;UxK83 z_2>{JCJzUR*bes=a<9-!1aa=&u_i&=O zXdsjON7hy~-!SEG1bX;T*ON8p=gX-j(w|i`+qZCr^a(D&Bv+{+ zI`e+LS>MI@0UPHQD}NnOOS6?J?=T`pP`^*)8A0x1d?TJ$F0;hNu%{k+=%3rzy8@`0eGkA&3~jrO;F|NZPoW2X_i@c+#rg(hFDt{7G+cyssSOBf6~&>mVbwk)QhclC|IE9V&9>n?HPjl-j0_4+HIabak0+rn-Dpjkux#|}1HSgpaxP+^Y5Hex%!ojIz6S0dtoERPF$%aM)Kvc6cY>0~I4=LK^e6}yh=za{+t(MDIZ7ax~LW}s7$}DXJcubGG~%X~{QdZ~HY+A#1h5K)&#AR6z3xuwkKLI9& zW+u8Vx^3_$FFKCEZP_lBS$QqCzm##EB6Lj3=ra1l)dPl$tdFHht-$cyjHXI$_ z6hYt5`!pV{Z7ydqE^XkImRI#q$1#M(_VBPve`iJsJL&bt)+D{#BUJWiGX{xN&DyTL zkk?A)e|-y1NaF9h-6ZVHjDtAHpTIq|9FiIP1S)7)VlE@zY&Dgm?1d+P$H@shQ5dSf zchv8_@(p1udYmsk4ma>;1~bahey9QVKx2!YvfXEn>aRNqAz_||)-vHd4&fqJeHrqX zN@9|n=MClG!*9Z1V+yx|Fwq~8j0PTa-=zALQ>UNRQ@@Y*=HK7t`P@#L6j_UHfSO*2 z;J_S44at9;l=#&2V#5F=4cU+n$0^oc#$Ns}$5??EE`q9Gb7AT-|2r4vZfpd|va-t( zM-T0JRKt&8ENeu9(%Ul@G}tei0@PV^Rw=8PgBgV(5_U2j%$0unnAN(am=iD`Q;{hy zlKFe&apO<%avp0#ozv;uN(=N`^T5Q49S`WU33LaS*rQOsjp)K!h&4~6+WJW~XuY~S z?m;Hey+?(yv@kAfLo_sw{Ib;pWYDS4&8V?Wtu}S3GiDI{AxXoD3Tf9Qf7kd~Q7w+kOX4CP5;r zn-P2(;F&y_HRpTg3CrFDUe@54&CWWXJoBQ{!5?ut=x)I-$U#XlxDTOHwP9L7-GmOz z2;u~m2!TS|=hV~vs%tZDMvv4EkO&Vk)UwOnM3L+i!La+0Uafkjj|1jYqfMZYc6hEV zT&EtW?EVW>v^G{G_P6DH*#b)Q9{GZ()+R+5KL+tsf7Z=|ABa||volLUDM)UYoKrjK<9 zc3f8&4i?YK7_U*bCMS!uQ{)U!4D_rHxQ)h*JKiUxLUQB9>ER{~P&`x?b#;RcjfR}ALvdvd8NpbW%6X-hA z*?1*|ah+k{-C@4vS$QzQ)D3N}o0+A2<2vE)^%Y`O0m_zFwIA>c-!ARj&4aV*LfhC^ zc_P?aV(UX++FyF&+uEl0A+7DL-K%dbpkrS;Tzc}^Ua=uY%rY!j4<8>Dv`TrO&0L}+Q~B)$z?zL&HL=5Hp3)b%Y7EiM>E9LASLAcTljl(1=nMaa zxLCUr<;VPs`hKf`o!`=G1G)tM7@Vm1h|S_Uu{c=Y(r^BD#1mIQI%KEdAR)I>$JBzD zq}4Y#oKZbtv(h!#1B1v7%tb5! zwnLU{WB3LNmBNEzH`dG}8%`W6YY}!s>yWFFtS{o*@RK--rp~X(nKxL zH?p(<)wOXR#Q8{07Z_qtmm1#{H)+rOr7KAJ7d6O-LcrWprpnh#}M-T@9eBu~VrLrMg zdTD=ig(zWe?LkC1Rf?mm;Z@Hm$FcC7-SeJ(D*wtw*csO57A$!s)7yGrpdI!dv_oa5!8)k1!kTbG(%KML1IMi*N(f z1#gQ==UBDcXWfO%b9tCCqZ^q1?m3Gpk;cxr4ygK5d-kH3>ZoHF2xd2jm5b|@wj;~LD z+sJX{>j1o9aI@pBdL5L=bmL?c(-sEO3({3sft9LpXy_yUp2Qseph12nii@GHlEj>y zz=baMl#A}RkhBX3w2LLPKrYunXxH*0h%O#Zp>SoCDv|_LiC0b9N=PUsQz;~0^=!yk(sQQKP-I9k zV7X+?*s4LH*#|c{M0iD#k5ukGt(|C`-`$^s-&o>pX>1&+GJl+4sG;MbB$rOz){*%Fg%L ztLFV8rOC3BL(^)h+|*{RlK*jSTG#VArYUc+(DSa%^AafWdhmtuI*)~vr>FIKYE{$I zxfD%t>CB?>MaF8n=jLW@3r|q1!qlqp8LRvS{?ggz@vk}JR9CHP)g(prP7`qLuGsYL zVa@pRYtfWu94MZL!kZs|rnKp$HxFTz%ra(27-iHXBD9*7oa(KF(sa2eDtQ)s{DRf~ z5OqfECeD7-{Xh(WkfWfiyt!Pgp~-S@HmM{IGfmF*@v+%5tw6`CzWVr9gOy;Jq0ZO) z^adCGuQ7WTdqbO*Mi+;W`~Hdka0G=_!)jyZL?&?J6uNJ8>IHYfWMgW$q1gd37vy7M z2$O9rg{@3$WXli`%V=}W>n&Sv#M}X$-sAX5u|L*#>v=`%48p|bebgA6U7+PQG_*H1 z3k1zosNv_XS@-10;y^p8LsToid`|)l4K3{w8=Ehk{joS&Y|P;fvbMDbUuj3n%3x(# zm^Fab3eyt%?%%AoXwHW-iEI9Pw6%9I_5nIZQLVSOgk!=}lP{YEttKmeEWKGaFQN0b z3k{0qQRl#WPl6IZ#;gH|k6Y*AUYuj2@;x(XNkS)1Yx&}NZK-&Fpeku!$lU8Pn%6DH zDsy#W@p$f`_iEkEN8=F}KgoELEmXCt2|Ze#;*CBPCOj`rV`Ms;^+x2ax{56WT$?XlCYm zU`HpC_5js+2iBapoW_o2eIyto^8U+`+SvZ32Qxv~XG3`9PYqmP`i znC{ID8`f-)oLNoZw_H_M`S{wrgZBX%2NImw+MjKHJNfHVXrN&M2im5}O;h99Sax={ zmvq&kKj*nh94QxBmpg3Jrvn$#xB{5@vwkI6IVV!_wKaCNgbc?|BG#TRWOR$fZEmgh zbaw5+gS6m&x#-Dk&!E%*ujhX1GuH0FoX#1xxz6!V^FlE z<;02JuC?7_%cqYmX>#%|Tn>6%!5o1EyMekrq^nFC^X0TD1%Zg_thb|F9hE53Y^~0a*$`d`4$Opt4^&{c$eztwi)qIsOZPj1v-(q*(aK-JN6`@;u!4itytMG9?kcP~W_ z?(SBc;_hB(aVzf9;uLpxEACq0;11vPx&QaMeee3#n!P8JWRlEp_RdcB%w!G?rlQ@e z*5)yG%$6D?bN5opOX*1Xw9yE?m>EZDM>ThHd7KZAk9#W)Q+jrsD4e6oA$?QfBq_{| zM`Y#too8BmlW}a)+COhi>guwZJkb%88)m+xMC+cYNGH40YvYu7ps!5Ib{JkM`^FZQ zAeW)REyyu#nz5D`*sg-3Ln+y;3&));)&wo@;dQJzofxAT=kPvx;R={+l(D9&Oig%2 z*t(b+dmiQq`tO^Z?>~FtUB(f!>s;9EA9o_NRk*AQvGWs7)s_uyWrg7G;N0DjW6sBAUGnP z07UcBVy8EAu5WP(oL<^=EO7_J@Mf~xE(i1!I4bQj-g`XiwGxO*fA_Y9xqH{o=fWfna;QP zwlJVyO=&A4TLmxbZJQcD?(iGJz7Q%|7QfDm#B8rH)_ARRby*c6YlxA|>#359NQ;jZ zEMbCbJdnZcLYp;az<@xhX=+xbr@pxmosN1zddw{Z&o{jE2KLK(b4sHn9rCvj=H1u@ zmSGT^#tk?YA4#f@*?%;ha*5s*2OU^wq&D}c{87fhF*#Ujv%tZ2ofbStX{#z5d5>Pk zVz-EzxE#*5hE|1NKjNkJv(|2_vjJ)Kx)377?Ds4H?Q7cy1NF_fx-#EXx4A(hnGC!d zK5btnwVn^AS*J0zJWkf@dmJW=ReWqd-PHFyuT^im2L29uyLV)VY8sZus3N!55JJ=eK@cxOIK||Y4 zM8mf^s4Z!$ROq_8|H;()y~|AwrqH)8@BtJE!tp+ckUo9J^wkIz%IRv$>jLjXnGT_q zdr&Et8)M!F2U5MMb(p)IwmX?5LDwg6$nIF%*zK<89HPCw6hAiscm)T19EIe18;EvX zcK);$<7WSkteK8%2>>>R;s0*o499t;a4QMJMi1ZKqR;~@cKS*O!q;UpZf$X=zAJ?NsqHs7)y)G3Vz;p`S7!J>d;XS|8^%+L^p)PJ zMGZ&K)7(>vuT#B_3mT)`b>1(Bi259Rzo$FAG5=3+pa*EF!sx3+w(xD)cRu`NL5{TF z)1}{-6TcOT-j+4ynbH_#Ph|T~@b`umKl1jl5l^+o=$krg{GpT{N3-wg?!N)4GbbBL z>2urxj{XVWJ09~s-}~O^cjaB@fM0nn2`A3_gJB<1PmYodqcj#b@<)xStN;eGCVrfFJR+Z4RciL9>e|Es ziT2K*2x$_$x&-ys>>~S3d#Fv}ZOAu+$Z0{DAE^mYbxz2FX1eqk=%;10Jc)WA~% zJjBXQ1K&Tv>m6_)Q#bRCEqY+6h8Q`@Dhc)az0oVL=jfQA)%zIn&XbmMs`V<22P8=U z$F+kiv|x@K$)23o!>vQ8)h8bmYr)k5ej+vh%N^?fgtMCfF5GDY@=9(&4W?;E4OY*t z65?J|aH9}iUuVF@L@HHq!$Zvyq37@B_^rq922yXMtWdt*?6_Ct?XKN};lEkqBYX*F zW+nT)ybgod4Hp%H#6^!wW;DVm`$NIa&!LLRKe+R$%sLE+ccA|bZY2Y22>zFGg2bo^YDXjB5PNeM*?`m>>u7g_lM5|F`ygaxtKu? zH#~e9fGRtUe4&`ZJzX=H4%`~SJ*8FidFNFmRQPsu*24I;}!nE%IaU zS=e1ZDD7R4$AUBGRCE?aul80sf_b6p=_ft|x+(I&yZzvXcUwWF&);y>?@B?ny3TNh zfmGV>ig$Y@(JGd%t_kJq*2Fvh)a`x&OUCadrwCoEn@1)4oa`D#TwZR#q}sL8afEk% z7iiZVXxAIK%@rh6)5jS^r(Sx;;s(6lnVAbyg}smIa+8kPwg_i{(OSGQiJL9$kyQt7+d8Q^nPUk8mtRNc7LkwL0qmN{5c;*u+D?XC3BT2nqgf$<8f@NO1(by^p-Xlm0Y`|7!rfIJ2%>jH`OL%q>GW%yC$+xX|n z9!O6hpv;Aj9Z5_+v}F9)VA0Gubn41UsJE64H zXk8={_$$!4vfFJs+dLmfo((ALlgAwt^~s087tr#P^^?t;d&`GM^d~|8w#*L-FHT=Q-Mw{! z(D0WV@Ed*mnBErB7A18fe>*d8GC<28I3Lkc)xITJ)2`04|0`%0J5$-F_Z_rm)QgKUQ6A0BAhz)^MzPU28O-d=-7NO%i#*(FfH{}abjPy+S&J8tzL zZ%2SpshWy|QE3xwOQpo76PJotteH9OwR4fT6V67P`&OT$#!J85*5~_ocG;}Pjp*j_->#qLBB7nq3uW4 zHvWaMaGvo^!JBt8Uy!)0b$rEg+|XBk+WTB?by!j#x>^cHBXKGIVN~CIzBlw@L@9WL z#jPRmCs$V)DRRNMrbFBUo1nf`NZ=Kk7ccDAHai&w6W=um#RX>16V13}7D)$rT>EWOcrzQ_ z1#(J!x>~>E5Yqg>8*=CKnx_3@_}cNRF@+%racCepk7$en;V}y+t6=Aoz-JsoENK!5g81&Xzn!uP#(K zQsB3f%!%PWtevw8`AVMC6IfIwYb8&tRiFm-06Wpp-XfgvI8f<^X-zrdr|iQMWrA9R z@TJ(VMR#6MqeNj{;DEY>09G5r0psR|n%_^5(Ec;?CRq4`5uC0ex%{w5-6cOhx~5l0 zJ-)fB0vo!TlBBPp$@1oMXft#`@(Bx0wJquS{nAid*Eh64lBOh~D6Wp1ncIv)WHI!ytZwB& zzB{xuDmP0*Dc|?>oyKz?uvz&%kGRp8EZZyVZ0)x-eSs z(06Odfo%)@zD1 zF-E>|$^&8->|+lfW0Bi%k{v<{^ZliCGxWDsBtj4$BJ!c?4Wgi;f7FB)mBqW1?ehib zG{S#r6dfKsx`mm82N#YsH!2*$MFdB*VuB7Y-SY!TNi?9qLjFwHB?X%qWF!9K2a#Av zdB4~@2{K2Xv(JSaN4JYI%5jv4-R2{bA%ylqQM)U`qO2M*(Zi88CO(KZ-Sw_G;LMnG zllvx~0R{Vb(hliQ2z0;LxChpTkq4g7AT*SgFDM(&KheA@q{85Ik*3^~Vj@mL6HI*v z=iJ1by!3g?|3=SOKM+%LbbTKNaKVH|G$aS+98Eb#SFi%PGY~%ck@V>seAEfMepLz zR(zDHIR_##qo^f^0!qojs{HUc$G-FsLMDB%J8^U1uw__c;nqIdDW8S@P+Q;*m_PVV z)Ms%n@)l{L^%JSM6_)1o9ChT~BvDmSEHeIOyn*8GiUa=4({8*(%VE~;`_m0-2ClQ{ zPl}t=;T!4H!dsH9x}>suAxQl=#Gp50(PS>!fn|w|b0|4J`eNYE#2%nu!G$Db6kML} z!b`sq?8zx#K#ThiIx0J@vFlJHo8YdR6Nw zrh^5Mr$eL7A<44UX)_avd_zd`Ezn;($SXwd*D6KA+%i-nc}n-tJ@H91l(=@}r-+2B zSU_37KN+i)J!_yYd6%8|-xx?BeP-k!3yY2MRCZ==j6?Hl)exG2ej$jCB+rsf;`G80 z5I*KT2Q?lJ!)i$jzMkX6_vM$%`dGC`$@j8Hwyu<)Qg8YUp|Pd3fWD z_x|hR0pkqvJ)2?ql=kpU3j1$M16ZAMfFz!IQ&o;UbJyE(C2&`cJ??X`r|iB%f2BN+j-Dn*OBP_uF>ALpx{LhLh^z3|qP9 z2j3?%fj@BsL})D0px#ctQ|>3Y!VUJ)G?yJxQWtceXka~m)y4`3YGDnubTmbr+8RVJ z*sd)c(?k{O!-EyZ`)5e47-s2yw11x1Ip!1$QV324dUIf~eW%uTRdZ)@H}XMg>`pt( z4Qr*7wvtMEfuZ<_Rebgif8+CKmB@ujMzzd-OoTX6R4Tq#;-4w>ixA=+!@U0|ZCeEL=0h;lU=snRIqq$iK=R~w%6m7P@lBVT}t zvd81ACl9rjqdlbK>1Aei9?8vS-*YB;U{Ud-8UdGCz9m zV4Tu%I`Pfk-iHa1ei3TlVqAuFR?@6dF1jsy!(OR#EM5Ao$kTl^W z%(L%|4{`M)2pCSmC$wGozGql{#gMhEHHVvp(OI{jhN9vE%@KRWnWz>eIKeblWC)p^d*g z`n)oJqM6S3%oXCDhOZx+hA$M6hQIn}&mSlkNvT!1SdXk4#681h>K`9nL8RYP)9SgN z_7L+?-%Hm<-%H;{|3Lwuy^Mucx07eOdZvS!D+!b0%@}ixv5@?go1;jDZEeeYsy}eI zBC9@?F1HeDFA^y5ncl-1w0dK+H2q6)QOseB1jUR<4);_%TNJkXd4?Q7gofZ^YZ&43 zza;!;2JE-@|7g_e-pI(|2xWHxq)-uU*;&gX*T7k@ebqCq#J&C3#I$CqHCYqY{J%Qv zMFJ<8^>jvfKZb60K*@laYUxoV(0p!dW58$Tp6sdxAD0fIaV2**$MeH29tlH26+* z1DVo$o`Si+%k6%=qIn04KZFM)=VB_278ct5INOX#2xB8Kq9kn$yhH&y%UEc?A!kDG zL6odrXb0Hi~2_$iZ04*65)G7;65PJae(Mn!J8^ z@wXw|rtM978?3+c*B!aA!ZEH5$Hsg*;9?0Wm5Z_m_1phBR!bwSKcnr%3U65IYoK23 zD@t1IbK6M0Vi9D#VnHnY)#XPTTU&Hu$bXCd;En6MP1gHm?tADdQddLhsU5~9S??-Y zuRxTqXIS}Zu+}1BR`?%J)JmiO+Pe*7gvsRN_+4L(rSe{3Hr z_u~r-_Y7@7mW8Oc_Y{1t3;Z4ubm3&=f0ZW>b9t$e**e5tNtb#w#&wQz|9 z#9TOoom<0e^i@qNYVttkNF;A&Kqv5hsWKM^RXHYB{)~oT?q4~qRN|%%K#E$V6dy|A zoQ*AO5zV)*OYu1#7z#r`3RYp3q8Kc4MU(|Jx4Igr1pg)8TdvDW4WoZ`EBo3R4+ZKp zWh=X`PI0SxC43EPC5)D3c}+uD+R`aW?)$)B&4zFm*N-j*+t%fJc|*B~4qvX=&)#m3 zdKj>a2Yny)m*io}I?K7uSDqX$?B{!U8F|asW$i(JZI2SeLoxlrf;tgghHVYX!&Zee z?~Z>oJ)t{I`XM=n$t2Or9ff}8xD^S(yUm$f)PyEb%1t99ZV28dIfg6(`ZtyX)L-0CR zjtAA91XSX@l@8+MHDYcKi5x3bcC2e=q36+FA^e)2$Yrb1j6JCAM%|vyB^hYBI z$TCQxT#FRPMeBq?WhcAL9@fm#*hg_D`6lc1Kk3wx?$jmy?#6!fcIa5!(J)Qln^`V@ z6-Z=p@_57gP14EY+yz;RW--5I>a*2Et^a$n^_FO#MrNJn@BUjx(&LwsTgu;^O+7EQ zt~a+;&-<~cI4bNGBBFih9pS!x&-<>9pRsX*z8@r;8~{pToAfnr;HSQPaCvLxNf_x4 z?@QjJFDV^Lh{>YXM6S7SXp)ZJ|ubZ%T(8U`RmHS^t*V^+x_!^EL&usrq?(7v%k)#km%yGI2nD2QRb9MVTdwG zg)lyhCT1bhm=8e$7tw18QzAGd%; z$a_KQ=D!BdKvb{N4f@~R2#wJ4cidz9zlK2`JrMN=_LpTh`w>}pfp|hK-naW)@&gbE zKv_e#`>UjxAz83#x{(WHy+&jmt5T=xXH&)<5Fx_b{pz?ZG6^4#{Mf8aaUYMDz=>2s z-W9P~KFqs?V^^nBx&4sr5qzPR7q|P0TZLniK6f35n}zC$YlW*=4;SLlsa<#>0YOin zJAIoEK3bJGmalYv{{I4}8<{2Qjw*zZd_EPX=tImh_z!+1R}^PcCKV!Eg~e$AdbHPs z;yw}EZ2zVM_)6gM2w`At7sBKK_?h=WuHUfM_6^{IzRDV=ets>B1K>9Rxqcgb|6hv# zZ~@HzQU4n^?^&grH-AT|KREY5x;i4VDsGB}G)|`$ld@Vreek*Kd=22>z+v6)-%|^<0QkXqKU@U&L)!3e_pRfye1I>kd?zvzI8xWE5*$|7lP2^ADIgj8-SGJ2}K7Texs)7 zs@^OV8TfTJ^=-@M)>6Sy%g4juRGA*KUI=pf8y2}4GC;;U8VpKx5xky{O;BJ zw^$ZY?fwsJfY|K;;*l*;fXR~r>lgn&umNKC_I5um9~$?1WoCRY3O>X2 zK?TGaP(pU2uUSC%HwBoStwO-$^h5rb93i=5maqrhA@hIJ0SS#@aP%Rj7=*xy-&*>O zMr5@b#1#{8|6lM_W!6>ytBdow)xGLXVfqXH(=`4;TNx8k>Y5)FN~?RS)20xm9R)c{-&Z`*5)h07?y<1;BR?!1sy# zpVV=)$gEaCCLsXMd}mYll>pYY@IsFm9S~n#=oC4!&)pf(*;Fi`xS>Lr4;P|+kX=R( z;@ep+-X|7*J`dur>2C%8bbXnKG==$vt5tiizZQ-w`|$`w6XpX*UI0jD7FdC)1mt#z z#XVA+EV1@29b-lE3IWsopLEHnNoBxvPk|IYh$%im;GESiF4FLm?r3+FKnm}IEj#m> zU(j~t>o7Em)*9MhUnMew)X!OwT~yDJ27Qnl~c&*aR3I&zREAu z7X(The7(P4xqWeQ0)~h|{D&(fJ^~}u*tL_~8^-;8CU}7srpc+F)B-JZlT)7ed;98C z0*?}Th59iU7jt)e``$bPEk9#3S8(=U*Zj&Uyq5IvfaDdrN_lv!UQSGTCVeZ^$G^B> z49I*+{Z`nVx{dlzIx))!MMVjDML6-- zR(|vn7~`6X({|ck2aD+t7~xyo0+g&y_I2gtMBGch#Lkny4TF}_G%(_1`g_U@M5(_C zuKYWfhlHX!F2~E}DO{JE|H@aVI9+1Z6`?&EW-kmD{m<~me zAx#g-hRxEAOa+FWe{K9R$<39i*-m zlN0b?8g?iPeG1{f#2!2ozkYi^Wz=(*e(Vbbo}aThv@AC^Y{q3o{(LgvqWX#b>)hNW0}9i; z>g`vLfDWY%gjsQ7ZYSA5a`SxvUCSeWPr9;qGWENLZ@|7e!8r8+zKoZ9czpZtc$9qU zs&fX+QxP9sjvr3$gnXBuZv~!r?QUAp1%#TPJ3aM%x3??RH|_M6f{sx$q0dib=Rv0~ zZk^X}AUBx@C}9=+FuuifzjrdBQV5Xw7EFkR6NKj{G&f6$AG6L&;Kv@nQI6hvanF`yP(C=*{{Mk*I5Vz&CpgGllb44%V zJQ)HD%`{*_2!UM1ZC(6^&ZL=8u^}9vqJP`P1SHWRK-~6;`PW;~T>a^W1F5}+`9v>W z1F4(Aq}ffptz?j!xNQ_6B>|X;1iCe*%OJ>ZCNvhnW8eBZNb?v)|G{$!r^4LDt?Mj^ z>wjB$3e+DMK*#TMl*v3IV0d`q1Zl_&JMBxPd(gOq7gx{pYn#UD1ukVXfG((Uma08vr*yv;$0CDlZ zm!b-Qm$!y8Q|l?ZWQ3jx&G79PSof&lYc_T7)c&_L=0SmVAqKb3z5iF(&AQM=roF%% z=}H~{`p5sh6<)_EzFN?rG5w!(-EVt=d-B}3gi`>#Wi3?8zh3xnanMGfah4r8g04rI zSoMT~bPvZu>zT|yF(Ef80BFkpg2s6rUg`LdF$J z1pN|@3Hmybr4Ip(s0Hd3j&Cbi!z<3R(4Ev%maxie$nxEiK=v_0Olb#>iU@khY z^&32W&7aS%5LZrXIK8~MiB0C`jmaDAA>96k+-2{exCQ2W~pR# ztw&w2LD|yN>BRbgJjYSob%qerkZ#Z5S&5Wh(za?=^HCg^^<@o(ornBMu@46Wfe=%q zx#?-mo6N60n?D-H>^I47^WIc?qU$I1sA}?SIR#P?Y~FAS@(RxL{aO+5$^Lj(J^iRz z^7JN`gX{g-8+#cWU%WJTyTrPqG1lWTR^3uJ{c`;lRz*dclipD_YCM-&B0P_Mla_-@ zU$(t}-q9=o4P=>@gWHq#4hq<<7;s zRMH&k$uuEL%0?&Qdwx7|kM>x3k*i09bjWv4GWIo{ee7F&*;D6D@*beiV=a1<}8I9$(pqWP*!lHL zmx%2fcWg65CMAgjCQgkjPW~g8X0U>DS(eSRw84eT6!PjDm;HMlj7aGfLQ~EuvR_PD z-x@QoeR@nuJmp$*{j;k#BkF@q1WqA}gqu1XQ(2i8DNvtJg~?QZk;GN*x0%rWQFBST z_AC=8XoSYWy%g-GE3LC4g&?nGHg-^f68Qx?-2j-1;agGj)N6 zdBQAFxO=h^yH#W;HJu>s&IHWO9NKlsQ0;Q6KEiEO6|Qu>0ILRLfl8}UwQ;0~tD<@Kogn(}i-Z z)W3`1DK3k999qpJ(a|-<*3(hrWu>zt+9qTjFZDaLJbYKo`kw7-vqwte(HF8ZJHANl zp)F>I=D`>~M=f?b-F=BDi_xQ;o1>g-$R>6&JkG~Go)5 zY891CH_EjXW;BQ;Lrxi(GkpS9km<%<=J4hr1cV8q+gABygT%yE+6F}x7&Fl`O$#sB z6K$)Rh=+Ef4bM?$UCQg`ZSm$XENU0dgluX8jMG$g<|5y!IplVzg{pBM?!zt@C2*Xm zHhi{#9YSKLZb}5JB+jYK<21Dwy_1Kewi9`2X2 zs8plW!Q+4#J#K1e#;FkdhdVd5SCLobr-E`{>Kf4ZHAvtXfU?n|*qEm$;0b^3!R9t$ zA@msFUQ_J&OB6y0*6J|qQ4_0|UgwM$Bzoen;fk5tSNsUn{Dp013c372J*-7!SisuZ zIB1qv@_S9k6ls@%k6Zm$M+idninJkbXR!}zYBG)l4pUx{p+JkltgsRnY`w=%K5jc# zq+Mc?+F#gmzk_nz;iywdsB_%=J|!>{TeO!MUuI8q3@2RxTsB|Oh&TBcyNmnsE5c%( zt%=|0Ms^sZ)>9p2q2U7@$-i{2+c7!O4RDPJ{kAKd6R^$7qnNEtgHi^ONiutyH0``_ z=yYdCu+1{_ArsLnNu9e)?u2JPm?wVrhM@J?98lO z3~v9RPg25uIcp5oUudm4S@JPA;FVd^6-j7CrBL|A@)~n>|vs+CjA^Q03j=ANX4PKN-`gYaSXm&stA*NFF!S zlBUn)aa!eocYrIsbg%r=hLuBu+=M?3%euDubMPm?n_N7d6sGk*f!!9&QK{1P6%W4^ z-~x71cvbfdI0>DJCxIH|Uk!w*k9)~a^?91p0{58ZE^oG@%=kjOJTQf6@7$ssVg+DH z96jyX61e+M$dR0;Z6?{eV;pMMtXpGld!xCJz1X} zo$XazSQ>I*^j+W|K8+WVL`eDm9IPHT{N=+BC5c{yUwIU1_$Ji>=yspDOrAL1Ws-A% z_0W=n1~}KMoY6q<47C^G?DOYd;Eh^+oS4U#vzeI2AF-Ke9^cvWQc}Y+k)Wod`$Bgh zR{cZL-Bg#iv@FsofrCk9wpn3a^_84~F0=%?&`jHI-QGlz!j=-n9Y>=as_@lPjDB#M zavG)f53L*p$w?>o2UAVcCR@OK#Q&XMKvDWJg_ou0ye6D^@WbUaZ6`hBKH~)yy26kJ zx9CU1Y=TRc1r)TFus{{$O*tm3;HK=#ly{lME)MEeA_XoEUoGM225a8M{7@38eHX)8 z9+@k9xIv$`I8{9xnxPpXS{}%kJ=>9?z$W*SLyJoyWmZnHz(x71@i55ERjj-n`qq%Zn%?~5&ILfGS%M`wI@L}O;Q{}5SUCQhy z$QN9Epi}n9|MI$Ja=?Zm=zIJG{0jyL86(vj%Zy=syip|&_K4qPZ_&}prE=fzPtgB4 z2G;pc)nUa;3!8K{%*t^#qf_Rs{_<djjrlN^!a@{tTL@@CJDI$!WTNH8y zJP&K+JrYf%C!r`-W~Ixcw)FpjAm92 zS=j;Sa?}iBnxE=16~w4Y(D?Q9T88=8?MX4NpWLuJP$jY1fI&n}^lWN;@7L*qyn|1u ze2?n*$3g~cP3^pJW^Z)AWqc=dE~;aNRKb_n;?7rOJBO9NjNMWHYOM?$y3SD|+TWRHbu#7WcbJV;^t2g(f1MWI{){@AlDz;qn3DUQvUs z3wn`?&Uap7;bNMo56fKaU7#{TM1vk?5D5d`KVilSLPUoi<}i|ki9$CMI)(~2>a_?i z*fQWTM+nz}WGIpmhlU*%Gg#@=O42OV@8|OxtaNfUJlPo?-;SGOl}gN%r|H*nR?O>C zbY0Gu^oh|b_6K`9hE@tO+ISF8{A{n9$eHw|X!dfR%vh!o9iGfVut#8H$*2wtct@!u zvrd6t%e4Rl;hW{J56=%BvmG${%$Y*atD!!_H%a`!@(mXtW{Ih;PTY)7#9}i>vH23w zC}pi#ByNHgO(na5)q&?J`GL__T!}4jLS-N#Q;w59JQBftL9Db(q?nx9yVIzsNf^{T zS+8+2A*N*4)M|Y_;$97{-a)nN7+S)C>?)_(!3X_l&L#+Qo>91X)h83{acB!YcbkSR zVjdc0LS*STZ>n6+<96;N-Q}H67G8wWLoma1J|l|9M6NZR%aL*=5`T9qsRjmJ zWC-Bx@(AkpH4Mn&rLga`@a!i_{2=H$-Ka1GBd86?Ik4{nisqT&2#zq!ly!VE_y7ev z+inYV$~swF+U3(oIRvShN&2~DsngyEH!;64KnX)2?=~H)ry+jTo?&QAsrxDY4F~(k zTU@!!_lnqj&ZK4A@=ll%Us&i<5fwsrTH-sXEDl~OjAVK+1}fW`Rw;?BYV)(Pe?Pt= z3zX?>m1@}JKc9^#v)g%%Hp)Z5_wznfN^o!pau$!ZZNVYqli3jw4pQ?N=gUphEL$@2 z+G#MdpqFI1;3dV!mFo(~v1BYz4jYoRb#>vCY0!9{`SoU<%0$(iZNY=IzARyE5{1Cf z;EjHezoLOPx;DJ~sVoI-rmZ5LH^Sy%Q4}lVEeb?L8SA}b3%seGc6)QdoG6p# z8-=jRdZLM?#KvHI8Z4y!z)$%AN7u`q`{itDkH})l)>90dJPgX-!#mItB+^K!%O{)JwRy zUrqKUIbY+9M$!7oW!l%L@Jo#5q%FqGeS`zoKv+{#(TUf}Y$M+2@Je$XOaBrt@0+-q zRR$h|#9P0a790KKH^@Vvu+9-ykR}M{VehsTgZ~7!10?;=N0zfPc;%=qYK{|IP$e~n z>USC{NBI;oE^$A$5|q>?=@KP(bw_)vkO*bT4`K_F&=%{Qq=?SoQaSZu51Kk&yR=zN zu$l#lBBKl%m@dJVH3+sx&{YJITUron!Q^K!h^cZ*I83?B-Y6C7A<+eh)L&Q z7A^EyHVr4a5MtTpe-fbNBQGHMxByf5US94iyi{s2^_%%}?g5nCjqak{;%|O;>qiG` zY19h?{1w)*)&<8Ko$A6}v%LD&*t%<#T2WRdw&C&A)EA)#t-a$Gw{@rI+L0lPbSDTS zc{v?TM53kaD#!1uYB>V8>kvB~ao`ObU#GZ|ehk<9DarDsN<4j8T)xqLpAH2h!BfPK zVN;cSksCa(my0NFiO*%4Q*Ob?0;Wuz_0WtVz79)}25fgC-{Cm;b@LZB`VpstJ3^EE zg~$}*kJ)Z30iy@F34G>$JJ|Z-2{I(e1O#M_KMBkbx6>t1t!>ud<00B9na9!NDl_9n zV1&L)A9r}`+X}ngU>KS>hho%GK1BG!R(EHr5R5zLUTMr#gdg4VIf^zTj4&ECxDhXV zMKN0=K^4I;A}HbRtKeg!Vh=q~LhKla=-VBoV3!DvDMsrZe$E^9eSLW-F(5}2w7mo1!w7Gf zo9dW`u>M`C4?)sil5{&0-03I;XXxO-P(z>f@kQpCJW7(M6Bz+P8tlradRoMEbgny% zZ&V}H=Cs3wFKK0+a;Rjd(y4|u1#skLI@95qvrQizCU{j9wFvU%6cWG-hAYpJNp(?|Ltx^n|bOAByB31p_jlgK^^ZG?@ zP81agzHp#ba>$3nR_Dd~&(4Jt)i~5k95$$-%4&kgm;+Z;4mVQWe3f2yJ%&o9aEJa6 z;chV>j%FJ|SFZ%`W${s>=xg3UR>u5vlg9QS$7 zlwQ>3t9Ul=hn%V+Wm2$Wkvx%N5oRL23X%+NNgxgC%=$P{_8V0s8M;68Hkf65?L{DC zwTQh~9WEjr%~+*$wxTV6twzPcTg4&|;GC5g!6o(LhTrQ3J5ddT56(_s&Omai*o!CW zD%pz<=rU9;zsQ%|Nz>s)p2VdUabB&4Za`*$&18bZ zUY+M#Xmbupux(P#|j&#U)%VUS1!O6QFry~H~O(b3$8=Gu|@SP(r5ic zxb|8>kj_6lVKzeGE2Wt28^Ldpp#=MTS9)Z-;_UkERH;lKuxIX0CPz2U>de+LnuU^9 zx!P(!M-A$G5EwRwN@yG#VkdfP43m-JN1+-@(|Orq7kawou}j9I=sV_b&y)e?6?nO) zg@)Shq*$|7bbHgSV|nH@0=B$O@msVymc=HXy~u8Jf-|JbrUZkHerZoS)&ci~ zODXs?8={-|xLD3x#C$Aj3|x0K#!H0?chgmRdIrxBSpxA$N_N zFQLK89vZ+K#F2zFoANn7#jM{lhF#LE83~{^5Ng1Xli21qaifYif3590keje3BdE7< z*GL}k>RcnkU*0sFNFl=~TQ^(>h5<1z7IM3&TQ&C$-t&eG9=&*9TBT0W-boIdQfIF& zua_NVdSZZ}Q9prh#i4VV$E)9BTwW9NZI?Qu*CP1KnPyfw1jOz< zvriq$>i2rxJAiz62$Wv$=(oM5OztxgKN|_gtWlQT(|qs zdRBg=ad&&`eb=Y!q-zpm=cuk5KhHI4OCp8Dck|=s48>CGnlA4aXR<-w%(ArOyReUs z7Ohw6eR7dPMtuWBKf&C509($;*y2a!?=psY+|-&T&dg;#I4$oiSff+EFYJCT@v%cOTUrK1?<8D6_$+XgR;wP(n>J@mp}Gw%&Q7)b?Ae0a!&dQoZPq zzNQ?%uh)~%KP`l-gCAJ@lg40PB}!s+*QgDTyJ`1M0}A1b28UV~a%= zr8!ol{e@Qasbq8679KjGIs2((5|poI*R(=ONY%7qA5=v!f<4S;?Cmc#L{z&vB#aPL zF_5Apfbay`3Q-v+xVQna^eQhY_&ip22LmiZyhNJ&%^in2)$^mcQ)RS;?L*jsOMf)E z;>?Ytx6`H+JD1N~#L^9EItefV*>ttHeZW*B=}+g$DG^;lnKV$PypG1?9Gqp0Us)Gc zoGBQ-r7pPxk@#WH$mQLEelG{DrF%=eCNwczor97H(OOB3WR1|@&{ z4j+Pf_~lE(Z;}9KMh#jJ;z#7D90O8zcu78rvsoMD3IC8Z^4g$zVLV}Y10abafD^uH zBJLXrtQZeGLrD8L%=Z{G?9#4N)Er7?XR(J8RKE~H*iH0#J7)M0iK;#q|6?kwL`!aF z3k)RIip{1{7=#H2T_zhui-yZ}voJP}m!Wk5xzvme92uHoXni>mhetgV6&oG|)Wuxz z9t4}^FH%uoPNT-G+OIkm+uuPYMmi5}c|uK-~tHpF;cUBX>x z37bCc>~BbyKI`nSsGUB~4l`<#3MwR%GZ+E&f5#9(ZSd!=r+z$-#+Fy4ZjXLK=}+eA zX-rP>ic%iR=v2|FsZbb8qsNjsPjs#|aH&vf7|Ni!Md#G&SBbAov#K%#=LVD+Qvv?N zz;h2*W@YKtZ_?{c=zlz+4AjFalF7A7CpCaqF`Ul=a1nW0=lZVJPPBC_bd>F0s&V$d zA8$ikoarv2VAQTRK&tlmGM25RQSS1TkjRurqJt{XuKfPYU zG&B7Y%W4f2=ZjcBAr!a>I2@mdfM|vRb9)Xn;%Z36k4Y!>ChJekjN;_40N>_Mpl#|N zCx5)E*YbZnuO}-1eRQ{W+|;p0TA-xQ%A1@}&Ls86(<`G3WHlk8v3{qShg+jGp(Szd zqOYG}Euc|+p&H|}$nA@WR`EHs619r=1p;cx?#N;;lKdU9KGD&HdK{)1sU*7fPsbEIlWW1b8bJAh0Vy1|M*5N;nGbX1$S%!4DRsg>%=`rAH)xWbA2r0kh zsS4Dz^~U_Y)*L`O?4g$h#|JoI=hFW;Y5yesx-*&UD6{eYacIyLa63-xQ}*q4OSoA> z`w`=b7rLsxW)*X4>?+RYFB_# zV{*6(0oQLX&)xq=)>}u#^+WB#xD=&#(!k8QSGePzt;al zjk9_6TIuTlGB*GEwPk%8TERR@Yu|NUNRcTc5gVFtSC&QryAxsXPZzOdhS5_o+@h@k zhKY}a9$M1x4y%7|yXb{78hZpP0?hB4m6fGp*+DgK+Z`nG*CDA*e~@ix<*%bbnLZ-g z;O(Z+363b1&QdakfhG<*4v9yz9)TKP8EQ?zlH@9)1=$U?(j-z0lL_gl{p6l;c{D6~ z4ZO7jNu#LS=#exjF7NYPGKM(2S2AYBsz-fl&9~a*v~}_A>_RyC4=JO{Qn=QE-Vg=C zh~ciH#R_NpLN3%wYkQMBVaW`{YPeSGD4eYnYk6GOPD=IicCS3HTq)hkymdD`b4veE zqmboUHavgxMlyy!WJ-2z4Rg_Mdh&z+LgX$fVq~2HGxe}NOR>J|VM}LnITih*Z|RrS zLAcn(^N-nObH_6nE%71t6swH~ak*o;D$Pm+JbKC?E>~T(>_H>NS`hOemkYwvBjEjiH=Em*Y={nGY7+(jLqhaYOdt48t|q}E(8VU+q5|)Y z@i=(ViLgizyF2dj5C2S8YVG8lF$o^!)C9+F*4X93csMEj_n=uD!{Sey&^<(B5#|I@ z2W*u{9KpP%U0+a?>F%_H90LF0ip~}@e53Z6Ms<0obIs)pk zlEo@WYsD&*`>GX5w=^2!k2DOmno{H2vdam*$yl)(LapB^1x@3{I8EaR)ndoxoiI%r z#Y!C(pHzw(mh4}N858Vk=(u2Fw%#lvOJss<)n#Xf=(u#G<+&iHPy}H`mwJNxtRIfE);B zyOfS$PAol67#`1tsTHvEm%MaT$c70@Q47whSr)d6Sv^L{We>{9XGn2sR!*`8sUz;X zn-!*V({jMPX7{ZWB|5E0U<;Ggg05^#2y;GK*<0ogsSlzLPP_ zxQlyAdb&z?T(oumG~fDwMXK}n2jfkkT`TFo zxrtE|J`{QJsTrj5qFr41DeKsxTh@MC;V{1Z2QIL+oCvF4@BuXY^$PWVZt>C!cOj^% zLzG>Evhv3)Mj8Vnquk*S! zqCuNts;}y7T$Hu6vVIln*8+xpR2xHC;^cKa9Kb<4aBK?%FQ6B0T?c!A6hCAQNC6r9 zh_HmvtH`#m&Yg#hBOQ)PPa1Gg;LH+gfL&{?vo_ua+})3BOm1A$7cAfuWFUeM9!yIi zAUPeGo~pl!hDyYM6&x4^kE*Q@(4k6L+D_UH^a7jhO7uhRL-Yo7WY@l@bAWAd(0>7k zL^*FuCC0sRT5kti)kNtQ&Zj^d(PX@i3^|?w-nx?u?|PdTX<6JLubgKP{VlC{x1lar zwBvKH$WdaguFk%jwx%(e`ZW@g%wcPoHJ6IM(1#|uScf9Hh^%S>hl$B`m4@HyEqAz5 zIK-*(0|!%OWcb|1v!-|rLxXjs%O=Ww8DkEyc)s)AvlB@lr}I8=dBCUk{smR_q{=Vp zWY>?zv!MuWmxGmXxRZQXz>a~Kkf<}mKmm>j>uXT>NXV`UAU8zGPVfRIjEAY74E`pA zgmxU-^luFD50&c7qvxI!jK@Q-ta%rKT2fw+M|vfJpuLNZ*CsCBE5NfMlDLzk&9lHt zW1IRVDDB1%T;Vx`{=pV4df04Q@`9;54SrZ`%e^*k)=Sj+44uB|WP|`aG2nkua)k|Y zwzHi5kEx~S=goLM(q1lqCdD^TL&-)36X~!2*j_S5wI>8XUxRgF%T?7hc%4^xMASZlHUtxM` zhgAFz?Mtk#c2n854waHUwq0{Bdr(D3#3^`5DO(4%mz|St{0t}!6pYV06g$jeSecDy zZynLg-(Si^2Se9PyF=EBL^2o^DhGa|_z^D*5L?D5P*@=ThPfH@E3>Mt06C=ESA|LdQ88C1zsNe4o#VJ~b|kqNCn23Vm~Mr>@6(48Ub zjJz>N68QljSf3Vi7Szs8k^@>_6Q>+DP(t#Q5Kax`jntH-nMP1d^^4W)2{s$iG^kPA zd-K%$(O)g5T*`k@QnNI48Ye`XT!NdGiSl8E9?D0?5J@%YGw-4drZUk3p2|R|G7$^D z+?twImrm|U05%P8Cb0%%4hvue3qeX(tNGG^IMZLnM~5|Y;NSGJC#NUN1r&vTnIx?b z_{<_DHeMUMeI`Kd+oGB&{ATg>_!*_a`nUw8WP=esM>l4O0Q;W!hn#wi(z^D7{}U~* zE%f3YW&IbOXBt9B{>v#nTVJO?WhC^u5&M`j1~bPP zpeSKNxFs;uM~!P)f!*sNQMWw(A1SXQ!N>k?FPi2fghQEJN#D#i;N_{MvRAP+TtC1j zVHzz5Lp_$FsccAYK4D#|5N2os(WWfBlG?OHua@ScKAD6qqkBh0D~}>4gWFNfs1R2N zHxdV~5T;j)p5Ldl_k|XBljM{TC?DV`;~qWm??*eRkem?%+;2e7!in3<4ae_DG85n zl18JcE-a~A*W3_QB&U$cnNoE3idi7X(Wz1_YZZg82vIX*wZ+CjFr8mCILY$Rs;VMO z;ZKhl40zB*J2TYeW0(9|M0KuWyFo`8U3ne_mr|l&2p9DKh{1k;pQ-~{1aY>-+E9Cr zD{|INPBWE(L5cHoY3|73rQi>-iueu+bSGz7id|rmO#cWa_8&06EpA-HKCunomyUR= zJF=*wdkcvNm%}o=6)}e@Qik?O|6I&$l04;dime4dKWX^Iv0l^dH2?_ zMz6rQIyC9zjBMDkpGt6-9~0zcT1W;d(+T_lsWNb5LCSj}g4K^+cF&B)g@b zZ>%!x+*o5ck2@@|WeNMOo~2*U<%8RHHh6-oL0_y#5GKI@JD@ zx4q88=3puUX`Ke9(}>?+ggultt>o$G5yt#3H0!L|HKh5HOU^nj&@&V+m4TA_R`wAW z>r(EHN>dEBUZI0+EcR|4`hN7=S(Ix17=VACi&yhLs&?%^lb_PT$_rxqCA7^Bd5e1R^S)Zc{QXE zmEaNFZ%K11s9HHn)oM-r(#8n-+=z~hJ3o6w9k;^pSgP1(;IXj`5djfyD{IW$O;DHS z5)SqtpGmsXL3nFp2z$~xy0qp}8jpd!Z2PVMroWkH z@)Zr?*CPwo#8;bkzrWxxXdWWtcN3W|SHlL*pFV-A_KVsp6^)|ag8a8kv68^rByLe!vE?pR z8Y!}?(+T6*A+q7yhKzSXgBq8vr5drFQrM}H$X@gyL92%lxhW^D@K}y&D~#U z$&AHZI(skdnM~{>;#WPGHQPUE_KI{=xWsdCUob|i;P4=k_1prD8B|rGeM{Q0cA-Ki ztJJ-yHGTQymZAB-EEbEG(51purD8Q!r!lk?ETf8;lH&1y*!BwnIAwdV094I>hN=k30&4HQpMi?7Zc4obB5 zbh=?x4UxZeVHci6VOJc;6M$m%HFA^lF?A)og4tKqT2;qU^+L%(D5De48B*@G{h1SnE`guopQT~FMS=h+fNP`}bK}d4P3%&n(?Ok$3)&YHgVq%@qAS{KHCh zt~g|mo8%H*&;fZ2%Ex!Q@i`mGfKI{A|5ZKp{&q#fx^XxWGlW995FGhR?Dfj7kWZQk z(}_3Jzk31$+0I`=AQSK_I%1#}N5E({E`tl0RmF&9g8MsWgmqP4Quxjkz74qUd= z4IE;TOwZ;D9;&r(mg7b){Nj$L13OD{3QqwfX{ScH{#7NEO zZy5k@Vpng)H`JX$-Km*!ai;bQ#oy5`FxBzhi0eja(?T0?BW^mGb4s!+WO=!s*LDKE9t2_O@g#xgU z&oI*DZAefrd)XGx4-Y-@cVWA*TkHYakiQD^G=r5{kWo{#a>#6E)?uz=XTTb3gR5gx9vVB!Z_^JxK~uo5ozW~KYsgCq}a2}`K04# z$pv|5LdrGUp?h<=j!UvWV%UW~6cis6=BRjMW76B~=71-J03UlostdESVXAT~_$LgK z^P0Gk>4wd=FLu=OB#Yl=`drrxAcH1AV*Pw#?S;~2T6nZ53E)oGyV6DS0x{x z&9a3xxC=O#m|qD5w0a(h;1D2htm>9WTFRJWH)*mM7}(t3Oim!n6PHY-#~TH-?Z4&w z-+g!d+&!rbu9Y93imNwR<7*gBB@@~dR2o*r+RUITx0qjQWP2olK+*nWR(H(wZF_a67nrsBZM{PnP$CR) zgU4r@Z!zyOZIQ^ci4@?(2Xt>_+a}98v+!Hi8WT1jpk`r@bfkZeFsT5B>50a@xkB?^ zU1J_}2wRO8y8GX$y^t_3qa5H!>E1QuTSac277w0wWSc~9oh~+csbR$ffNcy8lfWXw zfC#J$wo_L6kY!2ddCS17HPd5t%4S~Yi4!FO`sir&r;@R6)PjHcM1OKF?{lWzo%(;M z@ezla<@c#gD z*G|EvZpwQV{fH*Vs#nKUW_~nOf6Oks;8t97yveqpbe>?onaL{Zm~|NWVegBbu;4X> zZx;nrTeRU&Xms#*zvlmSl58KZ+$NZeWVf;k?7HA^j|a5+1J(AF4F1S;5NTkf<7o{X zxu_ca8CPAa^0L)cHL%J2ntlNsrmW_3c19)|AP{IAZYb4BiuGmdcJ3SMHf=$rAEVgSNf1JbD|tjeyDsDSx!ae?hlvNxdW5Tcy2pz?l^1}weGhr z727P&)jAk?b@`4{F3ZJ~8uM+m>HO$6Q`(?u>&D73?9Js!r%r00?X2lj=pa~S>#HcI z#<}}b8T{}KCl;8t$`18~ueAZ~Td52W3$Jp~MB69+m2|G9Ra2=|Y}$jC zxOs?EX@qIJ2s~|fLR*GZk!^Xel_nb%DWUR(vZCm${TrZy}bD*`}*`e#q-j) z3MM{p-m2m3qbMK{b)ce&f(bdXOF&{$|K;x~u|6XI2LR8h$8E-x;h1|o`p&d}-c=Ck zKwf#x{hREexs7+Ykxx}!^j4@oG^O+W{Nx6Pm%16~K^by^GnzmpP-y6E=jm+v=@jzh zeV9I08ajS>D^_mO(Oc4(edvm%jgB~w&QNK@Cs)CHxqDCh>ty;cr`m;gXKvrc?-yjE zqa#CIj1tHbJF@tEa(pMn>`^i#@NVp2pUKj^8vVLN28AeD|;)6*__;Y zYwHYo0P%SPJ@31!gEI!QG6}x~YBiz>{QYi9M0V$1{5{Ah|6qWTzGv+H zKif|>)*bWjz`O^Q3uhN^O*X`xYd|d*qufiLArs>a(DaQw{Z3aP9qZWI%0YD9vZe@o zT5ix}T zPjd{=)^9m?styeib|2q`(hj3&Br+9}<%>p-rju3B9ytqaMMvt+P34yz2pZ?&=XEQl5GOhZ4(uRV%=W2*WmqTrUs^)D zoB}6eP8V-S2TlmTSZ1Iv;iWomQqnN&iR=W5z16Y>XnzWgRP*%#Eru9-@ua)MvkD#` zk|8`tkJ?BBCMU2u%Y<6}Pi5+#1{&oD__s?NWW{P#78PQx%cwGC({#pcCd-!ltQT6` z2U=R~^;!yQjB=}}^)*AaCZe?GF`99pBvuujql)U{BC7thQk4BwGrNOjX z=UJ(Xru^Z8mKdBQPwn*V_SQ~__O7jb9TrLKA152AMvEB%SI$3$&0_Y*T=~K}%tswA zJ)NpE;EX_hTek4M4PYO<#}RNYaD-vQcSHJo1MnvU&awVNsJ-{skEYq92)H2xd^=Tu z#QaM=ft_IYl*7E55==MEhop5Ot(R%@)Wjla16AuMrxBHUw?bJdUEh+92VtLtDtTMz z09z(|8{QVgj38r-Zgp`?rNkjY05hkcKIx51@>>#LnfzfEL#l1%AsEvHVEF3ob%~xMKd{oV@^QsNa&JN!f zX5)+qa(6usYFFL%hk=MnnbzaoUZ4q!(OSTeHve}-*!k{H zOVIV%;n|8b$qNA1E{e{ax9;)6ylY@Tn3Tph2qC*sxF5O8T|^FA=PzlXD_7+QZ05PL2)%pSTV*AV>11C4QKuLcpxiPK9kNzY!G{9j@Lba62jRfc!G%{-mmGkP6xPeK#2dZCrJet~*iE?|E|`_WtI`F{&NuaQP(40e=U?9>}p575NK)?=9#+(S;p4= zvrTrS)fCT*PAW8XK!9Nifu#>#eefBb2We%p?)J)G*V*rfgoWeo4?#>UaaiNefYPYy z(xKQ5x|&pE$FfB^Sc6MjzavSkc*oP!wY@EGo7?A$C^bZZ$HGB9&m|x2-%`wb^wJWV zyNYFc(Rkx^gNz$rLpPu%LdZCuEhjV$*u^0C#X~vCpVOV?ylUc2{IG+P2#v%G2CJ0( zxV~XsIf7|9Ytn9t)DC7XU=cT9g$|Xcb0|X_OaX{~m&Ixkr~EbVZgMhHfvD3O74Bei zHsfi1B^gb}0jTY|w_w#RqdV261=v#A?gkpcx8JNJ(wWIUzYa9QC~<(ctJ-9eW!%0l zAA#3#qBymBzm^0uA85(3<`6h((r;_lW1w80shYI1J<|ly5EzlwykPF0MX+npePWbH z*%=B;vL2p-TTI8WbAYfS81VZAHqJ@ zUlS6!zoZH+*Vwf-|KwW4ntiL%YSQCm%>U4Sqj76IN_LrMtze3b=KQtYuAFLfMO@cD z!t;?fTpIQu3^cUK_^B%)yQzNPMZqLYc=T;e4IO*K@CQ`Wr#btG$9{9JUsDcK6d~Cxq*OVRBC}Y^K+y8`zRmhIepNN`zk}Rp0ke zWaxFD{TJB)=%F`n!=Nid&Y7X#RML5${ef(-zmyG*cH>h41i(=*N(9h)8w=+|HOI6c z%>%iJpkFpa=&RZjz`_o|>9&Sh{+IHg`2=XU=t^j}@oSTY-Qzit_U0ybyF5+#)6p)s z_&C8kEm3CiPmLD8_+%kB>j@W`50v>gcwdV1J(T|5{K`!hX0|)l(9IW(f4QHus6_h7vNF@@mdQeu z4xlwEF*yoZGo8qhfAXtkGb6DEU^TReFX3HEI`r9r%fG?bV8|aCbV(a-<;GvuTwml| z@OWkqJlTsiMSBOVd1mr0IZm+5Ic~GeT`#gY)!E=#>yFv1$N|=E3iLkoAeY&st6uda z^*5JqPA0;Fl^&P;e3Gf?Kuc)d`w#v@%SlJzd%rfq>(hq5s;mvQ3meEQMOWVgiARa zNCU1Fy(%H|)mJ2G6*6g2fESSLs>PwL+2}UC@Lj|hY-rdlEwABEN~tBobQLVfyWJ|?Iqlfef4%8W{|NnGLATVzf4xD-QRf3s>sQ!v`QD}ZgSC!7 z-nRVan2x`V7I8-|jXb+A?-x|Ffnd$=HEETjsWTCH>vd%NxtiZ|tc@f`B~*W0(XlC2 zGW6+5j#iI*VdB31p<_dqB{~IFV(_;}yk)w;owZeH zwK1*@OocfUTz;W!*_2MARUDGMp-6d)Kp~``A@aIvrftm@Q$ej=^uw10HYFNlAMnug zhR$RR@=?)3ZONXID=q{vJUM8s)G^a9mmv%`I_oMqz#B2uj?~pl+9=u_GBrzb zf)}`xvcwE-)u1u8=9V@&6u@jvD>P=~+H}P;=d4CJ;`|_=+-yZD3o6~+vrEJxzj@#1${@nP`-D_}b(^4q0X`ar4 zVeV9TXum%N){Z8a(jF&d^DYM2@^cO+m=fTkyd}r`2SoxSyLqTex_PKULJkrNkWiNV z_XOUGwPZ!}L`qsi#n77i;(?pdwF{ow;yD+?6va!;(3rIabcD-9BBzt_S#8G9s#V30 z$wp=VimnXyzQYq)A{ZgnDVJSor7c6YUg7=^+y9|OJcfEW$6~(eS%QWCfA}EJ>Mqm# zT9Uz6(%Guxuckn_P$TrOs#gBLs@232{kmOOrdPq5Q?V6#J974{3JTR3zL>6yIn^22 zC3ij+uUf3!l?p}*SKhkIpscL9d7bGMs5Y(Xo90w+RHx%)27d9VrP4|~v!Rggj<8Fk zCqLfHE`0(1Y)-$t(TCYFngCB{%MV;2Gma6PD;&FP!?MW3A9$e^v;mCs&eIEtT7w9A zS0{@!h#iAr6*l3*g?TwiTTFXRH@g+6zBO3S-w54jBX8xGl1G!mjZDt;zkc$41O5f~ ziH!dvH{u1}~%sN|k12Wi_JOm)-CE50(Qo)%_@n9sps?veQ{Q$>o8 zZ651@tG`^LBI%VJMLDG0h}R@r81;P6N}7#z#>RLubUde+X_#K7LM0GXlC@ArCM0M- zc|ixXtVhEAaXOc>_6oe8%RpTg3Z0MhyxCt4 zV}$>_+OH4kh}Z)`0D&J@451i+#{ph72ek^LXiP_p+bWq;Lj{}r{YR6(x8o;RAEdNT z!X)>u=I^u4eJ6*jQdyyg<3U14F|B-pIK=r5nx+)R9JXpSl4d+sSs0qh+AxKSb)}2- zAnTg-po!Xb1z#KdLoNGqkAKNBbUkRQ>9VH0P1Rx9!{WC`SZnBpTIe_uO-+)XA-BZE zSNa_#eVskuR!x)N3obCtMwo5ODVgqd^6oH&y2oAhGpxb7mckK=nYxxIBmin)$ak&o z(`t_?V=dp181mL~~}fy`s&t3Kc`m%gwrZ0^5=r(XW2R zEKUkkPW1a8rFlWu=$ufmxUKF|_PO&qmK-a%weC{3xvXt7H;mkNMfq%vDGIghWh=Ps z=P{Y?qnmtYah4T7FpiWAwRGA=%jko#@$socO-d#Q1!|FD*c7o3(|*6nuA}R=BB{5~ zHrvQkS#1euv6~umE#%JRTgxCyKbS2g;2b}z+Q`JXzVmQWjPm{{!9xdhe8*MgAI-I` zN4MXhLt~Gr2`yl(MJM4nUtW!aVFOT)o7TP7f2&_M9dfasthw(G@jq&>+SfOYWQe64 zf{w0kCEWsX|E&*wrja%4aR{x@nWw~i5?c>&^z@c2{_H0+XRWtW6QvJdpTFbwg)s3a zP8yrdV{!dAJ9c*=R|C1n0Z-wsYb_-A->jC+8-i`}PIl620CpSCPls95NBDd-T+q1J zp9+Qc*Q%A}@6`eJCb^fruk;vp;yd4t=0sM7G(<`jR+}|JxXGDXphk7EMhC*a5WOI| z%m)6^fwD17JIgU`jx4r~wcEp(GKOCm$$&cmUgy-Kd!KM7z|Qk%W2RH#6mFIK$QTk* z3Vb=IX$pp}K0q#0#Sl8h{O{*Q@0RHS-3*rxy+6EpH=S^}tfr6RW402Ttj|MxoXe+Y zBV(YbaD0fR&rz=9C)DFNV#Sswq><&WB8){+ zX7j>K=Jz87HrKMUW)FF!4A}@KnCJmp%k_@>Zj;fce%ww>f^d9P|Ki#4*QxPWryBEY7^2URV?ly202=`IA_){$4q%q_Ba#XqyJKxNiiZNAJPu%yjQyO z6lMGYWqWb@)FGuT7Jw#t`I<1rmCl=jxsx!}lqT9*XSuS6VxQ-77pM2o2X<_i@xFoS zUd4D{3OCA)NXwi_Q#Oh<<;37imIW)_NP-!jN1M0KocZcn*dPv|*&}72+ea_Fa2CMM zT;$&;D0DA0hSdGq1G9>0#$g|?zU{XSQBzhz`8JzhkHtvqC=8nAsW14Ok?bVhz24I) z$P%&1>8j|t#>%&J<$+bxYD-h54W8XV)TCLtO9QhO)C?I!_1xsoy7;^+w&XJ7@~H!s zQ>p>pG53c4M--QIWjabN{bRU7tlFO3QG3l6PMVuzSJ$v%kz$2ZQxe!OziGfb}{c%y}Y! zzY{LRXyrqDB6p)GtGr`Sy@_@hor4n&$(PF{X)fU8!xjuh`8F~^h#W_;>lE|`& zD9_68Ac!ZvuPuBqGAk@NYER@Cuh?Y8J5VoBKdH9Gxin5abY7m)i_ ztW3F4A;CvvsdJQ%bqQalwowW3;ftDbf)C>PAblPQblD)@OhcGH2R3Myo@0zu{wzbs z%q*||vNppH97=H&L22SOzKfYapn@Hv3KmZrNSrDN7;v6F>37;>znDRd9qC=VLY!YE zr5Qt?Gy6DzOlDE^>*wa@9m{SIW2pP;h=O`pAl%~4%=dtmi?F}wYV3H6LAh(@O9M_U z3kg5C@1&~wQa~(+nmddzyId;$suFZ!XY59mc#g>JpLUOj#$i2Hb^OH42S76oC;8?) zs^|x!2`!I|yWD=H`9*zpf@-yLoOO8c?(dF&0z%tB#pQ@DUxoHiGark#^E%x~G2Zfi zAt_dB8^KO=K}AdPeb6BT6@!9c8IGx{D4=p2F6s+ioJpCN#=4IS*KpAS6}8g&qo?uH zWFZk41+~I(rS5Z^4-ja98b+#=owxFBMT^x?H>h%2?+3`FML^XL6Qw}rkm$mK zkk(A(9}r4QO+`jSSI$$E2vm)1Dkgo9foD+TjYJn!wH|p%!-$vD4<#~HwT_mBwZ|kf zib2u+_`Ed65}S-12OEH3BnzlzLVgSg`Ai*?0auyXGzBYvPR}PBNn_xT=Zr-OHAT7j z=Fv|JGsH$+-U){QcxUEk!C5P47@n~1^f5rimeKjlA!TrG{ba>SN((b2JBg*ian z%WhUnJriLkr-G(+Fn7lqYn=LF0!hR^hou{In^>^J`+4+OTWIL@xr>|f?0?HzyqDO8 zDnCnGW}Ifb9>%v|h>5=n8SzLv$S!unq3U)uejGW=R=AHb|3Z^Mz4-|jKT&93?1#sK zUT+A#q*EgzBX@IR=q7`*1ZVFU8wUp(>6wiDM9?mQvB-k|6@;*{;m?KLnK;1rmE6be zc7858%lwvTQP*3^`bDKyMEn31s>oVM^!Jw+RR49xkr{a0k*7j2o6^Y%q)<_44XQns zh(rQ)iV&ZY=o=pDymn5r8^<^2nYnop+(h_7L!=0}ByvbDQg!KUQdG19c;!M;_kr%K z#E-YnZCV(=6-aN%Pf#x|seaZAjO<2|C@)ce`j3?y!W5iaCow2JwNB2@^`k$Pfv7Se%`@(1947JH&Tqn zhCVP5yZWN%SGo>D<-e2(#Rv)8i1^CIGI3&T(qZt2g zJp;sJNj@x@{lsIb?#}#zqoNFrn6bR507C2R%r90qkNUb9FMn^GUOZO0&ORtq~~ zB;Y0wYit=sCr)!+?m!!3JK%}q8OJ(G?ImJ0h{Xwu^&wzIr0+r;;YGo>C#rfD(75%k z09F^`I3t3}lfi?SaQaQA@w55L0`Qjp`8wq2u-u2I1q=kDLRf z963;taimQl;{;^tpaaPUM^Jgm(J;!%q$z?-ack-D#I#x6q6*Cr8Cf~TR2Yz4s30PG zLgAvS74pQOMl`9INrQlN$>FG?N`)(VuiQRA_(LMpPs4ap9sVf=w1-+lJT@%B_}yWog7*6(Q`)&WDS=*+x=t5@ybSAA_tIU_k+-6 zV_6FVMj9nY^yDmxYkuZXT;Fg5r?sVw?^DrE5Jj|&ExGtGqDNzKkUHVfxH&ivY&IPA z6JQ-OmkYn4`V*#ZNcoEYf9t2lXf7r_`SZXw}$w@DGf+V!whA zExwdFxp4F%A5nNT)U0i4%X)lt`X%)W73D%P!O$-c2Lk0`JdV|*dmT3iqh&^gI$u~J z+^v=)Baq!~OQpLoAMh&l=|589#1FKd`zH1b z7;O1TG9AJMW_@Q$*^bpn+u4I%D-)`-2Rh}&uiRmd#mLizg14TZRxQ3UANKoaJi-*| zyKY)oZKsGHugxuG7KOQCR;f={i0LmZ4Ha`K%`G*TU@6UMBrFBMM@hDFs%E8ez!ubU zm(e(clxfjM9rhIJ%;5i19n@%I41u>cEN#_Dqg(|8t3ez%8~I4cQ|j`uj55Kn52IKm zAY)6B3Btl|;8MdE2NtaXq!B_hap&@Nl^PoSs8l=g#vlh8tt+Z{jFDU$ao8lI3pre0 zDSEXda`4f=#gD^2T~Jx3<1bZ&VnI7y-i<*ec#u>-l#u~5Ll%;cg~RO8rqxvEJltj+%&{Z_2*)NR<9BB}5NGsPQ5s-WqpZ^&SfKFrMb8A>^gxOkW8vE$+!BQoS zLvxA>sD|r^3s9NzsbeVhhD%Dw9TLeH>|8XwB+k!uv0H#rf2XqIPIQdO9_JobJirH1 z-68RYMd|RG94@{6&j*n5DN(^PCuYaNDFu0zsQJ-4X9cof-FnNp+-r`@|%_YC4TS|WQw3hs;Z7cbe+g|c3wxi_NC#O^?pkAF7-GuS9zkK#2 zT?Vy-60o8kCPt5w>Dt7G6EVfR#)c?yv{_Rs|FtGIRARw~ zh>Zo$X@Y08Qtc)qupF^xvOgP9qWJOa2dThff@THhX1vVHiepvMORKFo1wGKu7GH-F zt@kw2!53@^SB+Z6{_TyTRZ>80#HRZ%#W^Qgo48TZY8;EK>4qy!O8D`0F8Fm}73x&ff5I~0kL^Qc z*L?8BL}lO~5dlH;v_e=Q_cw8V!=F};fAkerh;Q+ED{K*D%O)5DGO5~~ETwKT1k7AE zgr~l*7t?#BapUERKC~QekcDfuyT%YMk^-!!Tn>$9!fgrc$2RK57`V;S^q=l? z9Wp2(e_4OFrx%i)b-c_>k;@=SnS3&GzABm@o}d-IfAtaP*u!vQKCZitjAmpa{B)xj z8<8?op}oYuedBReCOGC?e^LPOX(39J;PGK`*oduv7c|B7JhX1v%02=okDI?T%i3I} ziz3er;#wJ)XF41^o@X-8Qk+=);#V_}t7R2VsMm$v8;Zv=x68IWhbcjz2S5#9VH+-N zPa%&Rs%UB_huJy_FtOhf#S#x&jM(Eb)saFR(#n@Y?1BY{xr2ZqFf%zbg5$BWB6dPt zHpO*~*k+-$5K+buS7+F;%kFz~nj?7< zY@?3aINNEG7Y;HtnIqt@(Atc;@|6+ad`bxGxF$t%-Vq0p3nr2D-Mj808H*bnTSuHbAvt4}k@neo(lBxB zjWWpOP%Q&_GS9a_=0eiKn|JDqu#mxG6k#1P<9g-rvYIZR(3{Oiubq-832%#=oFRE+ zV%O)CA#Gm2+@W9}l-J*+p_Pf~UNfa!$7O7xS=*S&DxrH$AAc&4N&57&7T zMZA}j1pc*KI(=T|kHm)k^YhuSZ1ylXz5_b;Yuv?+zLn_ad%Z6`sf!52 zCynJk3+gho&9Stff%4C>3%AuG;@d_s5Dqj-R*8ssUVTA`cqMv?LrW=fVc$dU%q1oy zdkI^`uso$2B6O+#O6YQbfZX|F622**i-CrmldXDNP0Dzv&meo3O-{o!sc!)OFN3wB z&j4)isD<^N4lc8}<{i#?n@Y=OLFWKF%=;|y4x0B0zO7hAFQ*}j<>vUw{7Axu5kHC_ zCB2U{QhXZ*oc9uMgk7@<5gdm({-DUH<-mE{LK^+y8Qi$Dwa&`yz01q}pT)>GxN&x8 zx97l>3G0rEohSVzCz>)NjFSgjo(0;@sc59wziOasHmr;n_FM=*>krDddSU^^G?d~6 zxMSS-+%WR(b{L|fx#VPKK_^F!@RRsO=I{NXu=jgQQHbGMAjj}aA(C^oB$6||0uubW zoVZH>&rYj0r$o-!+;=?rxR2_N-1jJdc^tV}WY{Z@!k@j73 z(e@hh0W%mT+zbGXViectkSn|sg5b6tO8epd<&~4Z@OnDREHRWc<{Z`!zrSD(dpkch zg5ur5=#C`K{ez_;6W(`>byaJ(RX%nSRCZK~B`hUX)O!c`I-M_#F5XZQcw+H|@qwe8 zNURo776fVXcg!3K3+oNTS&roRy^1=DE@h*@$CXk~sQ1m*r9oO=*6DL)S# zR1${yBZBCvvcp?(O|XwN`YU+Coj@6ERr!> zuRLMXh1bioWb=-0JhF5_v80>v;KJPFA4Ai-@Nh5fHxgX?9>T_xV*ZHGw(U5bKhEUi zMS^s7yx9$+U9$P1y=UFrFBR3SZv!{<^Dd`#wZF4 zUHzJExl^^ws2BeG?&tHef2)8%b?vqx`>@T?k8NWTQV%1MIj_lzTF`CnWRwF%X26z$ zyF;h3GSK0W|1Ix2D7uxn1SfDV|(gWkx|d5d`=g|AzgdEKW~ zP)U(gJn%Og_VsQQbbhC7#3+u!C+G#5IKo0gzl4AMeDQnraOb%Z^DnMAQ|zG%Z-~5x zLhASMwFa}OXTZl}@kll=?w5FIJ5NO8v3$tsydN<(nB`?>VfXxnqwpt*QK8_ATkSEaq#5q5 zWIpM*nLHxZ+QMZBd`2HT+DA_0j~NMJ>NMu%>5URyEfxnXwrJ;OR6a_|C|G>07u0=g zg8)Vo>k8Ip?$IF({Y6yy7!{j77&`p&1A2$AEGu$-BYY6@>M+aSDdEtSGS!qa`IL2~ zcj-mHvea5I6ci-~#wFCoa>jSdMvS};1^Y#eI3RaplBT7yI~=mL#)`C&ux9AMd(e?p zrsbs( zE4&YzErhq7*Rx_h6`CzPS)~dNdKnQKyKT9}n4`zuA3UNou1e30y0`U;ZY)M%r>8K5 z$7MZH*=}c-csnK?^~zQ`Cu@9CSsT5^V3{@Y%`>jDV*UQ$s~mD)cv9B2js$F z9Z7}~x1g2x|NK@*a5|Z+WPYfgaFzF?r{|~N=gXgijC!R!jlLjSHC;0eif=vDcN5x) zlamp2+pW}ra?dWgew|ne>CC?;($5b7?ti=q{X66O45Yu+6{r86Pd`5a*$(-hFQ`TC z982uU(hhcb0=a!;33@1yjnI>+3H>^e`wU>e)rspKd@U*Z^*ieEX8&QId7F(PLUIe? zd$XY*8uhpK33=m3mn{EE%Wv&#pzJ00o6G!fDfzoYJMBs)V9>Q=E{ zQfG*L?JHIcfLTy`@@%=Y)>%{v2%FUI)3(B+A;txlCvUc}UUDZyI*`u&Jul)fI~50W zS1jeqkw9FTTpalBKxHJD-tu(6b&rt$G$^852rH6-NwdTFKdIuHar_$Qz;nO#Yv7)dh_eE(IZ9y!U%PfWr#R1Jp94?0=06T%1SV zpjoprKk1p5;Pl|Cy1}frwJFG|@ta+TT2@3TNzcv76M}Y|Lp2h0=ndNBgYNW7KL!#O zNB>@keyU*FhVAgme*y|tMLz{GZ6kDfF`q2-7OZ^1zLR4_irK_=aVJ13{Xe9=1#BEm z)2M4^jG672nVFfHnVB)h#LUdh%*@QR=Gd_vGqb&BJ9f^#?{~hdqhD87N4nBfSC6)* zdbGQmQFlF6^++x*Y<#O1m`%fy(aS{qxW-GB_+t2B2&$_?4`9()#B! zS?z{duDe%a`os>voaQ3KrAZWFfgN$aojZs#KrVqV``_?wY&!fr6ygu>E`i_9h;#@( zew{s_3aU39nyk>DzJ7naO;)D&aq?DNnqMBnEkr*<%Yx44pcROi4(ECCZNzp-i~>n~ zz(0?nU&?TH2aI|#px%jnG~!{Rr9ZREz@Oj5K5I&Xo*^1O#e~XBf`D7CN27Iia@-DW z9~MCb)5+LEr=&7D=X^>ce7mEL<&=neE1OoL%bbdAe06Jr)p9tC9%fstH0)0^jxK28 z@i1L9Z*4!TGyn9`1L$mJjmY~(03U`cYrXD2T}!r)IX9DVrc|WrdgrXr{MI$X>_v zo*o&dP9*d5DPQeU3bdt=zJK3G_J3e4Y_BY2(k?ivtg`G6T5*GzQ9BC>{Eggsq##6W z=3G)unt@uAA5fG)l8Dsh^PE1DI}NdZb`eE=-=Qqi4{^wO9~jG~J9UJaPetN0lV~jr zgt3(nG6TY=sKO^aRG}o#MDa;8O&}2AaS1ObO-98}vEXdeAFXTC4o5H5GsuK<7H=5` zD)exI`5;zV%ee(-F?dKpB%4xc-=-lJT!|l&pXTL8lq{u7O7sP<9DC8zHAA=dE#bro zqlf{afF^k0bo)mo3Gveq5E`?HnlPJVVEx>9p{ElLapg z#mn-Sk>Y`d#97xf$*ruilT~)^~&TTUeUW!9tTVs!A>7z z$)I9>`5C4GpJEFBDu7jqT%NA}kHk=2fY& zy(_liOhulJ<+2fHW1uNWm9U_J+TcK$;y!0s+g#JF_og=LHvYI^SOfJNS`2Ix3b zxnZ&JZv#EkV}?3)`{&%sq-Z#eA=Bd2zvms9{rlzwjjYlzKLF56!)NM5fzGfLO5Z)x zFD@9g11%5UK(?S_exChnl}QnJ1l)uKnRx`gH~jOCvioxXz}$ka$%a`9&YL&3?uvu~ zLh>hqrbP$Xv;RT_8JbA~jrUAu&e+j=1D%P322o7C_kvXKHRvXMU-@RnI8=mo7eV&u zI8+Wm)~UQT<372N__~pg04aB}X5Ib6?*7=dXBM?z_xbsX$OfkK>Gx_vPuSqOIOKve3)9U+t zx!(+`f1)1{E;t>phgft>ZOyn?(`$Hlp76BN>#-wGj(YbG@P6O!9*8K%m1A>|ibA++ zR%1^15shqT(Aqxbp!h@CivfJoNf)Yps~OIL{}}C8d#+#N^QmMZ&wI$=s&@N^^52vD z^b__zORl2IW4q+weR%|mKU!&}yz0@>`W0P?(HmN26rNI}(C7(~nH;#zQ#fxmqFv~C z!&fda5(%^$@lm3!j=^E4p3&Q-HpQU=LntZbpj_kvuH&)^UtsCbG9PGMZ|6gLS@|J+ zDm;*=Xnl}?eCeg6&aQ1Y!rya$G!DIeKHWagzrW9)JZCvqVe&4DSkbJ-yB*MUv>qzG zHZ*87@JN6;JBfigM~H#lxPf^_o^y4n9ls^NZObNS@mc-~qqw4k9!fDoM5Th#r|-OA`{nVw7Bkro=7yt8A@D);md?pSBzoZOkAhrx%N4 z9J7L|IhwjghRO7zDyWS<4=RhKR#p>iOk|VX@%$^s*STK_9!0ByxG9!QL8t9Y zPA6_ps5dkXERk>zUPS9hJhhKjjln<;daQ7y+fY5vh%B#*s&;aCsPB2^S5% zSUQWrJVj|!u1&a0E@9h7C0>CEcq3K(!p3o@p7MICL8WWj-&>hf7^S7yTbop_s-w{mq3b?_lKuIcP z=Pw`M2jr~2`#V+2>7D2+ij#u!Bo)^Qi+I#2>xO+PGm|cO@~ERMF%`7dai?Lj8)X@c zpv;s)x(_tXBVe|?FSpczzI*8f_Vx=Iv=bwF%h9?r()kxqqlT&Mi2_xTwMlFTUGV?$ z5Y^qDRom=qi?f@GUuJFz4YbWdr-?+%-y zP4|zu{1XXH)~~qlk^iVn+4EGBruX;EPC!Cb&xec?pBCJ?o`u2Is5J^hy8c`|Fd--}`sq>mMg$PpAfAAc}^x`%hk=lbv`;+_(JW z`w~U3f7+Lf{No+bCT>B%KuCvqkMGML5p^Qb6k^e3lGoNCCtQS|wle*Y#UhGFz86T^R=kN zYHA}Fr26F-xz^y~ld!XLY%e+6_-~63q}!XyDvke6V356OFiGBSA1bYJ^uQT^y1oZK zKff2;IF9LmY$~uT*p}XDo!<2?p)mu zUS7Pm)+*%Uwu$?H7#V#RT$8p-MIZU-D9eorL}Dl+gJteaPCXsMt90C>FgNL-G~aE@ zi4Cf=$4L5&R5X{2J=VvR(kE}umDXq5$cB~JtcDS}H*`Hz8l#c!S6ZmmAbjT+y=Ut{ zfmp1?0`?`omd7rJ*VOix#2M)Z_*_T?TRjpv!c5tvI*Uzp{9cfqMglBbapF6!O@TJ% z!jS;y^s{UtJ~2rgiBNUz8L0gA@TZ~J8#=%Q2T`a|n}%lU>bMIteR;ef#VnHil&{9l zkd<;4RADGJ=GJ&dL7xK?ah2~a|IE+FH^<_-z z{%$%R8bX(_gl+#?x~_YkkMo%VB>AJpliBDK)qqgi>I8>32<7x)b5}ctjmW4y{7V($JbwkG z$3f;3;2!lo@Ztfff;@4kJ8zMc@o_UW$t!V zRB#F|(cb}wqE7_oEVRt??q3rt2v{eN!9(`VD4?j08GkOhL69qD%ZUEwI}&x3$A(Dx zhB9|etoQ{uY!HYEvp7bo)Wm>cUR=s=Q7 zp+W>xu-1-N7VR?7pA8#o2NmaDwM%2`N3Nu|U5%hu+CEiVnHD@rSi+w7))JjIEhujZ zicS)?fgYBm&ung151;Awv4*ZgQ5PLMC;Q6%T%B}*Pf5Xl?HGib%uc>OYhO2lScklI zm(hsh#aMf(l}OUgI4yf_^@}WfVBM?s5s>k2XmZg2=O9QF1W==EgcWwhXp;Xq7g5nO zAcIZUX5rFT1lKIJ0;?_}*ZJ8m(0e~&Mp>EtbdYd%wU^LQvi9!I3abxhZA`49ri-6( zX;2YnhweUe3wpAR%oLzH?-Uq)chpzroOnIDzUsSwvC@t^DK*&HE_Lv`h!#fB1?P>x zE;iF4t~;uISlf46a*l_P$iacT;QOJUYFX7FIPk~+vygMYXQtqr@|s^}{S!;x6ARHZ z3!`7A*H5R7kre^upH4ZkIpnaa_Q4!>iiuKnX;opHv)-$%?J8JTi$ezE^c!qaCKtIh zJ671=WbR=eRIQc*R?>pJ+ZQQds7=VVRMz3PMtWu;A&Usgy7JH*G3X;Vt}7SYkqRw0 z&yOT#(j7|y@$ip`6QRDD=3)UqS=;)!`sV0Sg;&u1rKz;HPwNG;7Abkyy0JFz_w5@P zaQOFQ-DUMx>x0v^Z(pi3yTEGxwo(0f{q%bN6LB>Wckur97IS6|-5SS!%zM47>vh{| zP6n^c&y{)m>)M!4^;t2{|T5G%TkzHLw?=N&%u zLA=P-ZqZ_hwy(8V-bkmLOvIw>Ap;j&C)uGvKTjZxhO8sI!!C+!M^~3^Da#HFgzbud z4}9ZCYbwu0MOewxauc*IJB?u^A61W%dwU4e>1jNyhsOjYU-tnx(bipH{Iz%Rcgeo% zr1tl5lZAZfRwBcoRSBBLIybV$IwZ2&>5B!uEl((c9l^fdB&HzSi87QFXVjfLOjAqm z&Of5V5Qfo%C!Fund@lKgLpk(sZyf`*pZqXA{i=AAMxln?`w8K()t=5?Y)jm`LJ<_4D(i+|BW*}081ez?js4|BTKYfLk5m~gn zk{~gbIS1cNEL?B$|5^w5*_tJG?zgkkqMaBJ+r8_Q^zIiw*6Mc@H(%8)l6x-`q_$oV zHcz3>ul&4b`a3`WjnAZdw*D|MEgEZkUnjS3AZWCYkxIw*_dH_zTW3NB?2?^^wW&Hu zK6}mk0~;|doid-AM!rXBrNEhts?SnVF_5r18$(&;{=J}P#fT)IIbr@sZE=K@9#G^? z8})#eNL%j~8|uk~6RmA7TdJ<4LiK{eZiO!sA9}8;l1az=iw)m$*_lD`@lBiMstw=w z6Ulb7RhsK%=)M;E1|o{1ko4%mD>&5C8^E53q#Yzev&p6k6x*1%&cxS{UN119CW*H8 z&wx?d#`)%&q>MPVZCnL)vxrX5cC;SZ#tWz(xkmM1A`A!FMh!OI;gGdx`Db)e4Fkj) zTd1)B!@|M_M9c@zpx}NcmBPOeZS9IBt4wwt8(ulush6YcD0tg>{56YQQ>Fw?TeC_7 zhss7MMC?Z~w`sJ-p*JJ%nedF4yi~0crG4zXN!@~|olVolY(6nAnw^6= zBazc4f>4=pUg!=u`grY3Fl0VZ8lrW=5x7rSIpFY3WAE}A?fZ?i!YsmDVR51=MiAtG zJ;%QMZOfno8hds%{XO>%{2wyNP>q;MjY)%AlQZYXDbWA3`>XDNEGRY7IjKrG3WTK% zDQ+17jMQ0pkZQN7Nvad>Ct8wHFmq0jD6tWA6@1^C#t>ixlCcEmhe(Ia@80OI+znz2 zfErwndl}5A9PQm8C~A{X=H?&dc;hulM57-i0#G$bIBil;b~$xyXoPia8Vq`tohk!m zT*%sZ_^`F9dX_3*szMYm1ggieE@AjY>x!LEt%}iqji=&Dd=8H3@f}|AQ2ke0<$fc? zrn{H5MT)kr(mSlh2bbNnGqpfaKO}7(IrkaoNfwe|0haX|^h+k)J;KC4^Ua$-^S{r- zdz;~~{~pTtC5mBH@<+^OIn?~j3cQ={P_FCoej9J*H2)BNjv{yT61Sc*J;*Dwp7cSH zV4SJWc!_G3(Uu?mi#ExQ36*D{c$pKq0hC))p31yu9R#PekJyN$C0Yr*97zL;HVp4} zq1i-%I9=tar6&vLo6onuz>^R|okHyfQpclQ^U3Z3niE@XX}IHn-4MU}$?HBu>2_C+0+NVwmz!G-l@92r1ZG|@4$^9SWnkHXd?F*k7fjzO zj1_cHR#kBGz-*$|ZZm23n~%CdHvf?h`WB2oN%NV!**}<1?WFr901G^YaV4+cZt{mIJt+^3RG6{6_OBt?Rs{@MOSub+jY-NPv|MQCN89(HF?M$qTvpqQDetF zvSNXoCzZfwf%+ZAF!kuW;K?f%8$f;9je&bay`V_FTch9)-&wTiqoC|=xra-Yf4t2P znN|>}o1G*V^hCRMZhPmNqMMAtcAITtG^YSrU;)9%8e(dHoU=@XT;|zU5Tu&ro z(xobYt9ToKJS{*L0u}BaKXYJP&p;S5vHY9gN+c%{9Sp`+>IN*_1*Xpg#*<#VhCe&y z1Ih{y<5oOja8Pk@t6;E@ziEgj20?<~y;P>Av=%D;@D1#ng}hr{MA~q&!IOgp!<6Ox zu`E`8PfF2jyjjgG)s~9WpBV?X_S*1$9|ur!?_8s?echL%n;UxR9Lxk=g-Z5(Y2j(k zo{>mXwh7p*pnme-L}C;Q;xTmKY(c@jv2xY8fl_>oW@}Xp(&%Sr_a_(h^1vsrr3r)Z8fo?w6mJ-|ri(O$e%(awf7d_wX}BBdh!t;|?Npf2T zYOHGVCW^GF%t)m9*bGB=kQJ4;&_8YfmM4|~noczvlz_kO!~erT`h&#zBx0UDz%zO% z0q|8|=`^5*|OwfZNIzvY#@rZY-*+exR zT9Wl|e7&^a^gfN9km`7QscH_HY3LPIwf-4im=u@9OYSj~{r;9U%n$(X zSF~xKsrao*T!r)J0)%f_fwqDeO~}J%l#hp+Elv(HyBee9isqYyTFR#44oWQf>9CpI zSV8iI6*OUo@j!zwU9&*-5^trRRR(h1P3$+U+r1?{qrb;D_pWne2I--gVBW?1Xr?ro8HSr!E`s3AMPf4(O0@_Q%+Z`oLbMP(Xj^1Nbsw!H{Vaf7v`)>gbzM7hUwxH| z>Gx{A+3UN{y9AmU;3Q245B02b!T7ebkw6pyd3TdlTm4%vhwjP5IBD8{vX{6aJ03v`mIbQzH?_(SW)QG}9fucLKaqj=a+fFzAx%%TahZsW%iV z@(a%$I7-i-l?RcMqbyN6$E=7A#J=f{6B?2571L&HFrg#K9uGmw@$S~&$g6Dpj{Z{8 zH1AJS$}f~9y!Z8DkvELtcVusbw@5ih286g{I$>e&ol5u9wTp_`_f{D=czUkag(zeV zz&a_PL6|ADZ(sBF^ov&X!!WJsMr0|fK^lt{4x*yd+?qmlla8#9`R0sp{{!i1f6ujW zB#6C=hXu(*HSB)Vd4l)guWRqiUq+kVubprX@jg_I%Y~@BS>_1qThCdxG@p zY|jmZc6O-YGAz6+dUl48amB;;x3&nvpmL7p`ZL0(qm@I3Pcn{GEn$Y`K|OK_!M(gx zrONT}w&ms6y=F3CcC{$_tE>y1H0=41qqBb%8I!3S7}(Ip9XGN5JZtrX>m=PhzYi zoY$YlY{aEhD-SnE!@yu@fM2O^&}t1gZ`z(73oC(0PF<9A2%sknANIX>-Du0x!#dm} z?4g668}me{CJg7;+P4o9ki^AV5S@XHUQ%<(E=%{eV2xB1hZbL6xP$jsG;@6Rz#oou zA}B|RSIyDFZKpLYR;+`Xr$dXcn^+%RY!WL5hJU#vO*DaRg2H0*!b0?4K#gX|kpyQ$ zbhIBUbgaW|uERobpf9R&qzq5^)vlH4XwUX>9<(F^e#nPDE5X*=ZKHQBNfTH>FURM1 zg+3ZCaCX@iHj95xD83F{NY%)4LHG-e|G8xT6~b}2=!Fj3mzrplh~`lVQ%F>>UhAjk z%hK=ay12ZPqfmt2jC-!;Z3>-DPKZVmI%Cm`V>&d3Lob)RfCDpefG#5&(hB#!To5M_ zqxx@pLex{Nc-RMo2}s|t18{r3_z9RZbph3r&dR_dY{8D3fJsk`Q!>dQXHu2jI5^Bs zYY1ri2ngt!cnD~xB9S0=U-Y0f(TI-$=1Y%QB8HQFoX$_ifSJ)uV zQ_@CqA}BTcUB_VXlr@&$*EvU#P3kmRHAZ3Av4|Z^vtKw&Mnp!wby55(!fC;=`cR|< zCux8S+8kz>VSWoH4k^YHjKt=c+umG^R?)_71k$20PRMYoN{Ivo+;B?N=`L4t+T*ub zfmU+0wk!B=T!%9()lHpOQt+fs?9WvMnv_98PK@JgKtuu5DDa5|5lB{2({fx{&QSe^tMApQ`R z1^G~Q@F#o5UrSDDMOO=$8&or{tsu6Z5`}`Kwr1{1k5UfDSL~F+;vERgYa^S=(+)7K z59~q}XZ-AQWZlqC>59Ual@n1OF2};gYGO>SpCMJ{ay1Alzxa^D>Xub5tZ90(5OKMb z#P3^eEAp3=1j~xE)l~simB$(y>|fG6P|<&-8>ae^iFnhRj}^<~!F!?Cl4*O;mbf&k zR&6F?gsjeJ6h10r;YKzw8j&@|iLlbVmBA);EQ=goaiIC|8^TR)p3wlk_@aCB;=ejq zlmxXqx-*^v3S@_R}_UG_YJ#5Xg7Ts9I!y7K_W;%$l09qCQs-DCi|Lsn? zH1M^jAVfAS!T2<))tYpJ=^53+J8b4xD{8++!3as9{X!C2$gG?O9<|NP{l(?X_^&~I z#^3UoK-E3BEQ9lJSQ^#8Otcawh$_qtNimpQxPWK^#6FSq_&&IZQu#5NZWE;VKKPMR z`7p&v6+x4wTxh!GF@}l;YJOr0NrF>OK|R0H3^dUA?;_U!#4sZVK`(xp;Yc`mYquVaAJQyew5C9K2t0Eg*~PRSNV3J9&v0LGCQL zAlhar61(Z(Qb9#@tL(bWm<1*9`hVp?n3#N`4Cyjsbr?|mC>1Y$h|$tL*Cexr@gkG6 zY%~lmcBns`Y;;{zi}zKGj!Qz^zg41<;hp%)2>80Brho|ORB4nPJlz&p46+%KQBCyZ zDVOu8S_F{N^t+v*)Ee0|%fvw7EST$DrzaGY9MN2@B?? zQK90c!QT=@v_xHgXd8QQ!gObbi_7>5r54n{#@;EYeir~t+1+4~5P~hHqZ)>SJz#=T zMeR|8+!8pW45&;zE{~EO*Dgq!^CwGgy&9YF^2W~+#{8Iy1Z`gug(d>qI0_{RiGHp@ z-4)^c$*QNy>GPsEz(=MaHzGM-$!a*s2ke~QM8|P^~g2%gf z6v*+hYZXpdC2O)L)Kw;t?~x<3+*{zKzHo%4iV9krZ6(H zRA2(9nuF{aiwO=u>r)~ZemGb*AjhrJ{5VQ(5;rXLeQdqa={}ct4$|#z@TRT*Rv=rE z{Nc1Bubobjj&HhI1Tok5@cnz$xLL?mokgbh&|5iv7uq%Sm*?ATeuS@fWnfO4+3WCZ zUSs27Taik5lMuY7H+`ngH;*Ex2t*!_U%L$uwqhfhYUrMQ_=F_fU(;d;hyb1}EbsIk za&}xLDq)A=+FBCwZeMA+b@jN6^*pYyZvBE}Kl3h#d3lN|c*|&5>xckYK1HM+^^^79 zggc8(UB7ukOFdbf0u^CH-XJdjK=^n5xTMlpeoiDaI=#jikvT{+KeQ

R!5k8W!W znOnGvy*g$kW4on)>c%hg0DXeKZhe*wtr(war9c0O)@?XG@Ke*P-T;ze{>L?4N;@ieu_G;UaX<`YAn&YK=8IM8 z3!SY0SQLBb)hyWU%@%EP8;0%`5?~|BndGc*vQT$?ByqxLqf}*pWQE6$9KlW?a8vp# z7zvLjLtSsu1}sJ3_Ob7)F##s8=%a~?Q_@gR${ec(j`ZRuhANfFQV3)U3RBILYU{g! ze3|sIT2!IDl!Bve(W&1`_t-!w#{xPW74ANxdqRNJabp7kLpS;d(;4GN`D12V8Dvc z+3FrLs~w`wq`c>=8>PszVc8sKRU9G=)EP7b$?~#+T_V zujXf!)JLbMF9)ZzM?XTDaePNj8B9(g+qI16~h=atLkg2cs`S^N+vfQ zA_f&70-P|}1dksa75zLh2UfUu|J`nUhtH06a>r{T*ImD;)U(!0^SH{Rt;AXk>|RH% z%-Q-^)tC*o12BUk92=a#wfCRjH4)r}Eso06nf&4`Gg`l8Axq0H*U)`Bc6d^H$})>E z&Oq2%TexZpFJ2)ZJq>>M6Q9xy8Xn{9YIa?LFF5zf?{K4uhlwg^*pyPFV+fE-JYc;- zDouCP7h*5p6jX4GupM7{sFvp}^0U{S;~Yc6(o!ocXwX7Q#vtdX$e*%MN6#UdqO9bdLH>_(cN4Im~nYU?D7v}I2| z0JdHYMIHg!0yoJLz=V))Ro{F9nIZr14En&j#n$YtkNB-PtEITjc=)KMn4_X1{0bf; z?MydGpj|glDQ~|MHs45FW}0b}?$+)x>afPtc|ziw5QHAs81eYMOy>tve#EtwDA;bX zZl0KN@kjC;F(l$SR(wLRuIthcU|76aVmgz*YT(BIB$eTk`tb*@VQ4<0ygSb)S~uQ2 zU2vcOI%)PNG1m5Sjgp_oL94X1vR~^*YmI{6sK-W$Wrbj~z|~^&a{jgJ252(6Z=fkb zrrrKgHBIN&=u58JTR_$4HStwLdzq}#)(339!%U9Rto@(8!maw+Xs89;^0U+A$nCDY z%-=F*^kdSA++y!DBM7f5H6~pN-C7$($tE=E;@pKXk?y{?qYfu@RlH~Fzeoc;S`Irx z4!cU;d0ae5VB@b31{VWniMFI4(^f}(oP7y<`M)KSxOW`fQC(P;kuRwYC0g?^>-*?+ zj)qd_rEBJ|uxaEkqvRJo4*(5rc4aH-!l(-**k|IimkspbOn(zMV~k_Nz}?YZxr?EKL9?qP-K&5?fUl*z5}vKx z7o*RBqLS-P2v`2@SeMW7&DA{g39D;p8T79mMA%n4oPmbWubELLXNq(hj7Ikz5}&!& z>LrRJ{wqxl^X_IXg5ff~>T~7L{9i*L-MIsgr-us=u42fGH;cP(pCM8#i}1$DO(Zxx z;_E=ATW7SL|0Z#!uFez;RN$Ej&O1d~rZiG_$F)+*D;@yS(_yl^axIpbr<4E$U-@bV zbBF~2QL)@wzS+y=eG?5cLXHzBww1FC!=Fic4p4ldW?9~dEk%SI+ErGBZ-5mryABD- zM0>=I2B=p+neu2uzd7($T`>dFR-p+WDZB16=Nrdb>glN1IvrNpW*dU@W1@`Fe{4NfSM75<3~Va%yb|-f&JPaszWz?|e%Erw*#a zr5?EyP*-a|Ae-&UE>zmJh5{@b`&~xToAU`+KRA%T6^nlTJqgp%J0$mec*_=6=4TGF zMwHL8{EEQ{fbdl+QQ*WveAwk)uK!LlfW*b7Jjer#795nK?cPk}L6*Ci+#WvDgIp*J zpURSi8-f-|76Jsm)u@5+w}zH)DDA$4fc6--h70R;FVk<;ZH5dR?I9d32g5(W>qa4~|G{_c6+ z+PgS^O~cHLWPKuG$bur5$2NFaj2iOh_Ln$YX~qHeVxz(desi-LPTb-mS(1X305O$~ z8PCf?u8JUW=7T^%n(H0KU|FpJSykOHg*P1HH5N?cl-^?@SWaHcXttW1?@7AQ8b^Yh z=MYuwrAmp_CoO6Cgog+h{3n989;1wR$q~{Q%}ktpOBpMbgp^1<AA6>YrBUG#8YxuS%OizHrw%J{8YQ*E0@_gvQ32OzL_}Ch2mpFp zyljoA^xI}L)!JbsMZL@e7AqSqN~3{RAuFxa#i2)uyl~j#mUKL#m3h5z9IN4UT6%U< zGox(Tg(xM4#EPf=IgKvUE18KZ?{YG{S5n;4dGG*)Q#;zWULYoxb5vlXm?DbuG>#)I zM=o)mfUFNx*oqf>q(hg}!`WpRH)DnOW-a<|1^9NqEA$K7V1oW=DOkbAH`N)J;{eeb z3Zt|1x8lM;>J-ti&AN76R7njGtCkeHVU!0pK)lNh`NxZ5540JN&&q*lhj;!RAPNLU zvD%Hg2%2@`vHo^iww+OFU(J9opFm+sQp`vZT782v zgNF?TZ*_r!{`>eHLS^cNURmymB!!v*y(83=4OTWd2c0`qk`_}w5DmuH>~ax|D<*kl6~ z1@E_Yjk)}JQRx~!d1mwlw!)pZ^X+B5^lvOyL*y5K4VZNmjLESZPiw_XpF$M*p1e-hKPH3f z4q883L%4qr`>2JEY#P=;tTi`!k<={*=jka2m`Kt1+iG| zD+iYQ#Beps+k!$s3Rn7PoMhA@uVhAWbR7-B#6-tMf4>HTT!+d3#?30xNBa6zLHSnY zk$A7qC@C;}U5+DH38j!O+bxiKU6NTF8Mh=X`UTvfcF_RO7_sfV7Q8o+<@tq1NZP_ODB3xG=Lu2&Tyu4E3RYy^f~`VYue9@XMPGBt(`@fgj%WB z@nruW3izB!?LSIwv`QAYvq8064yA;~Iv$Mg+V4u5$BU|H5Q(F+&0KU7PBNyOT|`V8n?9 z@Zi)+z`M*JKeXM)8iJAvM58_$yk+g|M6fDPN|3_;{NYv6P*zz|p%B#L*GIQK`glMv z>Kk2+&^_WHF%3SP(Q=P+A0p>(Pdb7JlEsiUv z!}j!J26i5}`YJ$se{e6odbVtMK7#xarD%dDP5K_knwiSo#~BS`&3*~_c_%({z+l{` z+u8CeqEjoD4*FsTc}QA9P~8yz=1FYDWAvf?FKUJw{SRsu@VzgoZ^NL&%#(x3K)B0> zv>)AOATC9v_kIJrLIeGJP5VAjC9cqw35$@@(RoyMMqg7jW`!#Yt4$3p z%N&!y=!aI5T9_u}jF|u@NV*{Vj4b-cR2< zt65TR?J@f+aKCXNe8K$W?j+mzr5(Et8z>uY(A|vn^w7vufGM=4Sa2U^nl`$ zuM$xU`zN%71-(b(%HO;DJ;tI)O&(^AAewY%{@XlrYs8!)IPKosJMebSI4Z5sZX-ds zydy$*JKpGKevHsRXXaD*ztmYTqa;0n8vCEmmp`|_labBmiVWk;ba8;|_bxxb8-f0H z%>!QAwGM9D&212OB&H=YR}@x>n=Wn7OaIZ1=%*z(qPl2I^E(kkWu z?e+e;YODj0skgEhTLss&=;5|_erpbt;sFPY=TyDY>-Cy^?@Kq?=0F6QX6kEr~Yvh&PKKEOIkcVP)X@xmez>i@x^!M|ZWc1v&k z#S0Pj!(Q<6fY!q(8f>2q8*FC_9i(p%xA$B_zx98907`X3l@n#~DfA2aPpF5c?d<_! z;SoJ(Aqv(mNoe78gJGQOPcL>po8NA5FW;mrN&V2h&Z32d3PMJmQGe{5*GYXw|Mh-J ziYk-Yim$~QeL7R?R?nmiLY=>wtbsklMWCZI6*gi*ecp8JgZb`lHq))h5`y#R(f?Xh zi&3jR;r}m%rc?QUL!s#?Kcoh z4M4RQPh)PG5g0_&42o&5@PS+e@%d79DV3@hCU%%S6~)~qd$NUR3K`|@@Yw@v>AEFn zFL^lY(Yhs_$~X^m^}Sw+si=B%5FIW2g#+9XkXTj7G?T%O;^>o@sHQ|QNWcy7N$mgw zL(OMUV6V_jy_6A`oR2w+VieK->blq^)lfagCEbt`yq7{F<&fHx1w5(|J=2zSpo%MF zZ8FgZ$^= z(E_V_Kb&zEQ1V5nHz8|bINw}MJ~SSlk&BP2)!{7j61QseK9Hb>fi9&3PCE>-mp8T& zX;nOM-3DjKt0~R&!VE3hS{Q5P%{|i8xCt*2&0c$hRu}&W_PC;_kri%Q-sEtgUT1&c zNlo&zhKc*hmgr<*{30VqC-!c;)0tNEmo2UYI;Gm5D6Ko#A9TT zW{ZVIjvFa<33-I%>yqrPB|FRHNDV1x(Q`Ikr;m4`<-(Wpc+|VRdKu#un%NoMFWU z*4PYIF*6Q`Sfq#%UzP)XTAfILv^wu+%~0Z(EX|YR@}aFP{P4p?63$(GQfW z-ST)jbQ^KY+brqa8^ay@o?fZCkgCP`p7#u4lFMO;K3`~C`V&I&)elKtWmll+&^1ZE z(f6ypJt_DEVs})TJJmO64N;~SYFWcnNX%CgaBvQj6)3b_b8v88?2WqXV2MKQmt9m+ zsR{oJTg1*Z&#p&F-R16Yv!rN<(Wc-|7=}D1F(q9*NTjKw3f%KP*%{N@iMXdq;_*5M zHvN;NzKC1EGaJI#@dc4BYzm?7liB6K%6h@6jQBYo9xe)+2Q}(SaySI2kr6OX{-q&5 z<$nM)7Pj3lGvq{#cO1spXu|N}BjC?T>9?Hm$2&vTxI}=p5GQ;VzwDqU(1Qvh%eu6URTmw&tjlog$UtsUE@vGiwJ1gb8;&HrDLXJD%TCC?oGKghFM zj=x5H31|OsVk4u=zx}KWffy|E>}s?NLPu}hj6zOq@@`@pY*5XZ&}xe*!*#v;S+3(FG9 zehSTAxf$zAg8NR*GLsod0!qtU|C{c{=DH7qAhx+uq?KLTyj5;m&P28z)kV6UV$DZ~ z_8;I(YWyGeEMH@9(et*YO+3Di=)lPSZAXTTOUm4Y;r4|Ti}(j^PdS1qB^Q-=Ft1tL+IZX+>M;j_$5_R?T%z4=NY3irq9aST2Sb^ZduGgROwOl9Yk2?1;yJ?))soTG& z>GYn9-y0{qqf$@v@ki3lBB7w$wd6hteGn}!dQoF z;LE;LW&}`+^5;|bmRqHXgH@5z*}d&qHTk#^xHlgtEcvk@!0aeczL1(K?C`*?Itm^H zn92MHFyjFMX087L%m_h%*r!| znc{x{voHS-U=~sMFJPAVAHeL_1<&mN0L(^I{sqils{RkajGU#%Ta8euT6Y8?cQaGX zJPMnZwiPy6bCY1+ayrB;5nJYvuA*9FSG(KoFPqMoQoTD5o)i z?}#)oQz?`qGW7(y3ILC^%66_XZ0-fFnK7=IGy&Go?{F3LxUZ&b|%IJq=q?%%0ENo&*Q03`@t5b$mWVIzA`cq&BEI-z6+5*K{`T;Czk37 zdV`$%bYJ@p)bASi7C9cVWR!7unYAa80I$>MZtr*GhgsAxPG9yz%iX}D`oSyz@ zGYyHc6VWFG+ut5vJy1qnCaUdx^OnC4pO;xHZK8g4>=hR3JA&*%`LhS%knB>6)?*5V z)k#K_Tex28W4X!B)fth}Kevi7x zz^4;q$=#Ek5s30&n4ZTIV@*bZ(aP~x0GnJ)$~a&911gZB_>lUDYq4l|6;5~YE1NgS z&l8(3b+%{ULS#I9T1SaJKbqyRy z`c}ofRGYcYlL08urLN4VT64FwoHyBszLfxJKF#42Is?`r=WL>SY)DRz2_^z zYU|A>%bPNGJjG}J9IbBOmq06<&cBrr8RRb!OGWg~aYRrJOoUO{q6Qy{-!uxo=>>-V zWSqST*v-YtN%N33tH;C23x_x2`>q$YcSo;^j2`vnnX5ZgTCQ2>Y2U0|(s^Be$sp)@ z1VIisr6XC^c(7LEwur7?*FeUP0JAE(fsO}b6O_e4_k4l1G+IeR31AFU1$$f4lJU|j)K05B*SBab=Nc`f=< z*=rUSY&Hf3_M3tnH8nk?%bOkn**mIS3)mT0Z$tw{Nj`s4R%<_Yeuyp7gBlet=o`eP z%^*U+nqq{>B0%2hMZ|jYgX%_;|3l-)XO!RPK% z14iG06eW3CvDv>`fYDSKy@QvtSp`K2BP(}U78EMGeaWhdBm69s74*I0-q?mVMCiVtp_~}&VKI0h&x=(Uhie8U=2qlA-XhVm(o=Bc|xj^r#X&ve1e3^PvGgsd3~+ zrNv`fU`sL${x4SR+-{qVQ;oJvFuubs^2goPP^`*4E`Q?%c1qE7eg4W+c7XDOtB!rF)v83yZk3oC=j`Ddb8y1VK_xrs zT(g8hrPW6ZK0HlRw0??=GUaR>9Fzviw}SM)-Fkswo3cQ|({d7Z`mQmml34g!qyf?D z?{fu`e>`T%>#SzKGvc){f43nHwwkpe{nGL!B4%T_PCBZ)Fjht{cdX!zMgQzaBk}|@ z!61gkElZC)42}fyLx2TSsma90Fp+0L;}qM4jRj{K*qY2-%rw*Vf0B+R(r8n(F3Jqp zT+XD?1*-q|uTUr@K9tQHIPvtJLP!2tW!_XP+MF=X6dn}mK)lr)Ggd9WunXd$eAnG% zzd0NEZb}KgZ{sFf)#|5SkK+*()22UzC>bFiK^up2OpXCNQ z$q}*$LLPcxIa8KlVe8Vg#Qas$LOL9JnF?YdcB9dL$31#>yy(2_Z5MMZHW`X2oV_kr4;%JXvKnTY! zZF0s(`qP>Xt=#0Z8YR4v3qBmis&A-Mh`SLVA={RQJye**F0qYz9`rgy(F}&w988K| z(byNT4?T3_vWbt2F|mr^V`Pv9D9Vxd^Vhpl$PumxvH1N{D(E_CQ67i_Z`5yZZ4Q^+ z^?f=K$Iei4s%*8tu;@3{)E(){Dy+ig=R_eYxoIfv9akYn=dL-oVUD@6qtZ>S3(jD9 zYMz<`>cW{z#M+zXcYYN`26cF5)f0p&HFKg(T!o(E)9KchKMxdmbNta@>qgPlsDx|61QygRVyXn?l?6ev`y|Ct!%nt0Vu^W9V$doR~bp4&YAWzOe zEeJIol3oi52APc(HiMIi!;7k${0#FvVxT?LxZmH6LiDHfu5z0n0Ww;7`(gMVvEc-s zJE^4h`zBXwN&1~TRFvQP>ahMKeb!cIXVhZ`$$YYuYIF0dS(cfCwGga_u@KqpC zK|5ecHvksZzSNaTn#T;7Mp5Lz_i!8w{{yOtE4M5$9%sECx$p$>h6lR^ z*CJBV={aV*JIb3Tjf$R)ephvgHQM{WmR9RD(6cpCSd_fh@ImWoI0!V@A2VT+Xw|Me zUq~-B|HXoTe7jHcw!gdu2B9MzMqBGYJnM z9F{W3+=A4(lpXU{DzbY0-@|B#F))xkr6ewV)xW=H$Vw~(Js+6%x|q)$53~%?&%M|$ zC(GiZ-F{sBJSxInSQ&pC&g_`~x#nDLwi2gv-P%4xdBMase%5rA`%wnXmB)!2zLCE| z!#|G`9B!wl`k!r8>zCWTW=4WuiIsu`byOsor2v^KIQeU?jvpy)23Xj7_&63?hY@rW zglxm*s{dt{8iYm&xvJQuW2?&23GRQaJC@ouYaW;|Z*O0TkmP&*Eb5{Q?iovuW*5%i z*3lDBY2}hwWkQ&PCW?j3hD-|(hQ9*`OYLE*VDbhBCbz8nNU;0PO=@gT<+Y{@wKPXm z#Sauul|Gnl%T*}0)Ldw*#t*CvDi;FUF-sY*cPkXIMJ$51!s=YjuA*p&sED@MKOgEb zx~8}iK8nD7#(mBI^g5HA=-5FwXso^TcMbG`n8isvbK@%#DscclnDzfmTzfGQ;eQ6| zRB!E;dOfX9Z=lp~OZaZAC3UnS*cDltpV~K%Qt#jmv6a0^q7zy|zDP7(tO(%!wyzCj z_NT3oc~V#U=t_ll=j=f{HQulVdyl%$iGs*nXLpY4w=pKIy!$3x&36r1=-yBK3%MLdI7K)a zOp^ztur8vGc7@p&i%7dVl0JAl7i01P?Z#{f_2!@jnuWG4;5u3!P6qXF>p*MFJR!J0 zi$yZj^4{m$P1WL;6V|v3#Lyk*iG59$tU3?c?dI`r#cI#R!V73yql`Z%nk~O&J%YwO zwG_SA?6wx{tL0MfLG5w^m?3|@h`Ej0#+mY68Lt+bjS8s>UnEYSWK0}}5>}{0y1Olb z9mCd&ugit@o~@NK(}Yw7FXxu_hz*1;t>gFV4!2EB*X!@I0Dc9tr)jUL`Ky_~bj)4H^|a-H7&;cRRnynE6EP0M9kqy)YW+ z{_;(ZiVnPHDlG4KXe!j2=qJ8$I(U8Gi$hZ=Gaqwl4L-js;TrTMzk*VKEHiL$d1kblyxcbjT&3`w5Qk?C|Dsr*Et`xtyM5w4CXN1w3 zA&1U&{dG{y;x*G!I(B~C%f$Mq-8608MCE~V56!KBYnW_CzIv_xC3^e+ur=#HM2%>X z6zG%mSOyo`)l|6ixWUR z4}vaa|G}vb2Qkl2+aq^-a@LO4z}nuOZ37>fyO`MXthl)IGc&UP2DC)`G#2~KmdK#C zRoFEG9vSMnINJWfQ&8@Z*V!lRu-+w4srE_S>;CRvelX9`$eOTW-|=GM@sklX=lxl$PW+^WUFMpn)Ke9MPcb-A;J0kA|4 z5I;92tzjO~hK@M#thw6p&?SG8G6od@+Vv_Fx0$c%^Od&C4!oFFhm`6qb%k|$YKa1g zuTHKD`ic*Q^6vIjM3`TkmgAHC7Fl%d@AqQ;x|ZY1;DG9K3`;~pzo;z@swC5G=1SSE z!8x6Do8{6hw@M49YMsgdJ8Mw2#8SyLg56b=D}6^Pth~@BDLnr5jzlD1c#GsOt$UQH z=GHmPan~y1tmi^X@vR@yx6$X>Ohoz7=UZ@uL7u9mwa^0&Yu^mlpYpW|$ZKC31+!{U zT-4%=tZOqTOc^vh0SfxW*-$Cc#Vxf72P)}R42GV7(p_RflTcFIxZ|#RocTu0KZnZS zEE&Gt-EOY08y)?0r&s8kBv4|^oq3|T2^#;;K2f>546$SETsN?m4av#_b?r-&V4DSV zyaFP)5g3uSxG5!`)5_F{{JO;j86l#jWbUKe2lc6S&5WX#H9xl{miV%KtJfu41LD^A z(^lsA+T}Np&9o92E&eZL;KjGdC>+An;rdQ_kPme)Byxa(+j6=t!8< z;HWBJ*Wd~9P@dqLROq;sFc@*6(C4TxYW6xiAa0xBTUkySt z<>{nEvWXO##{2-PxUirTm5&a=m40tJLb$TM#~VfQZ=+YG9*`Mm1PsogV`Z$ey_GGv zXXOf5`O&3P2(%0|uH>V_2o#1%OLGi-8w)dsnxBr*m@W`RN{19L5h!wfza`P}mlb0i z^y|32ZWg#?2QbVhtn}fqFUsb%FFs%2i8@B#mcbL=C2E#|2tN;oJn)cWW>2zc6r3EM^wS>q7iGddig5gyN5!6o zQ_a(BhbxSuFLgiTc7m~`%XUmq_-MGYa!S0YtN3? zvTRr02Y9R{Rp84pc-&*&H&=iTO{y%(GEU1+Ey+OBqfFR*i*GpM1qY}Kyt+Yh^Cis~ z)N;I_l`Y+YSa9I&AO;{4-L%cUtpTQE1D6GC!6ge?~C_oH>#I{Zrybx==Vl*--59sM7UHyXA{@B^>2WGW;`s zcN1*IW#^*j{X4KLYrq}-N2s5}^Sr}&b84`k!$I-3p1VjwrmYCio_oRNmY==U&aA>< zpnh#$_6|s&f&4LldXk@)<*cB)#*WsE!7>!3#V^U zlpWnCv7_V1vgkYS1<04~R-$(tyZO5qzi4i2FPUD+>~B1_%?;fFy9doB(dj&58Q7`jQQmG@c2AL8qO@!~R%rK9-zooH){!k!^K4FBC zzD0;NP^B8G(^)q^rOr;N_}Z5h2)ARD{U2%lu@%FDq0ngL@xfGL@N5a98Dc%w2>Fm66Xp&Fb&3!{^kahSy|H&Syq4w+K?U z@WYvyni0!<*17Zd!+G!h)-Q-`z)ZzHBbNUB+)x|PqHB*#0sIb*w>G1Vp!u8wB{$Q8 z4oH^0YD2HNxvy)pPQr7}`YYZ$%)0DD`N9;|c&Ijab!j_ika5wT@j7eQ{qFuadi*g7 zL<7<<4t7gOta$7r_etQ`sh4bT!j{MhzdDdLCk0Nbw8)c1qXDhR95Xm!w$pu(O$10Q?aALD=aRLChQDJevAms;K^EhA?BF%-)EnKu8qGBOEnR?u=ydmBPs(>WzS&Rz-`hN^rtWU9)vs$VUh3GHe0rt~gJvN@ z)A}_n_6E?*VMn`C_q2MPVR*L>&HAzmK7NDd9^f{02l*vuu^HS4h0{(Y>tEkD_@X;O zI#YAMzF+>paRD}GoaGJ^{$V@}vt+XrjPBg;jMcf*>(YvGvSfS69p3oEI5Ns{pKo`% zVbSdSwL*fNmRT6q`RfoO#!;PIb$Z9e{nzj$S>d=fRtWDodfUfx;Vf4Z3ZtTj528>-?CMk za&+T`kvjXW2B&yGLoW~PM3S%Y93t6~4cyvr8wQ?5xzXTxxp#o^3ieqY!?J-^jQ|;c zC4^YxWzASfA@K8r8%@fYV#b|7<99C_l>J=R1owfjTZqt}G{a=u3@9%K?gCbJGITfH zw4*{?OJ!U`G%4xHC(?yoceE+##GyCy#ma_WSQB#bhuvbHCyjEy4e1GRWoY3=qSJOP z3%lMJQ_}P8{KPz;bx^L~*9SY#*1#Vfyv91)M@=62$3(lqnX*^c^akPVOK~{M=9#5v zKHiCa+UDZB`R&InS(i=!Eo4KLg{|sK?|R@BX4h5ssbO2?;z-@3w@KYqC2G5l zd$q#2$Eib}muH#bL}j{fQJJ+!`-FnJcXszT=Zik-bt#PGu-eeY<`-5Py$-NK1uiGN zY~V(Bh@{ksZU0zWly@7rp)GT}qoww(IeTejAxkhg?zKC4(f!;i|Ci~+ceSvc_5E^^ z{BixLD{|3nufU`YJ8FyiR64%M?~e9#RB|DH#Qk(ql+)^Q`}=@w==R>(^XtAP(JSIgd8CT!=cqY?YXZEh{0qDz)pT#@@!w!K1l+@4Sc zBA8u)IR-z5f5W~T_bs`Y8+($h6SkY8AlJ0%h{1Qg>5B+(2T1}~Ed0c*D?4>g@ zx~!e~o+-)vm`2mSp*L=UEyMhHqo#Msr1ZTr9r3%u1k)q?NjNj5ju&59<}mbc&4pqU zZYb($tr;ofWQ+#a+UHf;fPWA{o0ea}mD3{cASWSTVf7_K2MkLVz(10J`IAG-;+%g_ zO_(icmQtw=)J7?CFLNMKeS2Z~H)EkJD+TQ2_k@=GhfbpRYC-;G(|3ovJNKo;{Z#FD zrBcF5KD(_7{qqAgyzuZ0{O2JK5^7p{O~vPSi|X@QozvUDlUwPv(s6tGJ+i`LR*?<9 z)&e=1c|X=J9nF|r_8$GCF+`(=oWE99R|C)f!sC7r4i(a(Q}$~Jwt{3kaBLALk4`?r z;grpw!7$HHf`gGUZcFE5MmG46I4QQB(|QysdFo^cTVj~t=lAenm=yYzp<^^{Rw%Y-G#iyNhgKgp?Ko80>ELjzItb- zojP1GVN=55AmOEJWX+f>@D z4Fq&_-BS*gBiX%as3Oda%??fd$c)qvIk=VG)>)--sf})sSJJ4PB4-|87di;%aOpsp zyi?zs;#?qSyjS~Wtoe)FWhkmaKK_eswP8vwj=EtU`(W^{4!h7Nq++{TQT`aN zStcZm>+a91Kx-!9i`U-?=5uW^v+p=b+P;IBMOouw_r6%WmC8hBS9@&Zg7O@Z*GFUA zj6FCWUp&s;8?BH8j1yr&Gu`Rfe zWNJO>r)=aRbYjK+D_^T?+v$q!x7tL2gB*_ohw~{MCcsy2N*IUK$XF(}n6v7pnE5Di zlJJzmfHF9{6UsE>;Ok40^F$_sHDEuEKV*Z&NT28#7qkXxfa~&M=3X{W67Jd84W~(P zTs}oVr_I1j1yTYB|6Qt*n{dyL1dA8wYS@LTDa60oMK*ZjW&#P;wqfnA{g*}J3jXqaE9IuzEm>2uxWK0}6=70U zt(X+(q4=-YSSc*Ywh95L(9VuY{VH(q_<||X>?}sRMe_J5y2Fy0VsTe|HOG_`gTuW#;un6RxR9);`?;*@YS8Lb&=WOZP|{DYhn1b zQwxmk#;}0cg;f}#isb6;*|h53Y)CThhOYzXTbkz1OX(lg8t;Va7ZNY^X=j8fAJqr^ z@V0ey+Aa!(S++<^`f3%2Sz=r(ovDLa*tq6m`Co9&HTnGN7uts;Go4hZ#~X#6R4fwr z&POCORZ+McnFC^?*)>G@emI}X>!(Bx?Ewc^_rHU`i&mb}uG%$Ep&ev2Jws3n$uybZ z)d3JHG>P&v{QgIE>#nQSFkcr8Rt6V;3+7e)LIiP)q^>6x^(B84$n{(*A-dy)1hdM z?tjFQ%>dRJg+4085wa(p3)bnYVPDV0}&YB!4JYAGzTl14xEN64SrW*zaGB2 z)X`^aq-rrjb+S|0^*F$OgQ8+8nbFUphALC%IW{En;K?C}WRGe*mCLYWoebeYmKDRt-ky;~N*gx@mbEA(--s zq!rfeMOE!e9@_i)oIJgTxCm$E)$~ndy#KiJOjEs6ikoJlDK5uiNV0?uZp)ZE2@QxUe+;m7bj)z&bkMqxj9FRPT&UPWxm8eiKU* z2xj>SjS@fe1X#_@m9c9%&B4_smq*ggalxJWUoWn%o*KnHCzUb}fAG~)KQKs&0uL4K zvVWEC?(pPZ>pEyTm?x$RUxbma)xo?Y-mL^nm1#&VGMZiTzj{>-J_hmtD`nG*#WR!16d$IRsPMCaWo#LLf;hRSoV$bcIFfN()IJ?f(l<{Xr*maM* zo!R+_)O9dE*aN?4-G^|9L;nUDuhZq$CH)3^+C};hA3X(ZM1Bq_{fXDJFBX2oE5Opf zH%H0dns`&z!`05ym8$@GiBv2dz!&j1M$7iNiV6PO2GsxxJ~266O*b!i>}D!gEnm@( zZFbraZPz^2{?QqorJASm3x9;x29G^-=QW3fR%D^9*PktpI71D6^wAP){Mk8DqsDOb zn>*rN!DN=N5@Y>tnBgT{a5->3f2#C)xUk7us;k zPQE3%`3!by{%PLV2pM-tgReJ?-)AD*)eQEjE)C{gF8hrjAyoyBFz$XtPPy%ULK+80 z;l_rx+sb!phThcSJZc}Do$r%f7VPm{NWeujR7o0qCJxt2Jgbm{wkxuy&U4Cz@wEpN zTG2t#4;T7ZL|~TyT#icQcK9rIT!luAV`{MPU_JBHM;ji5d)iO z5-0ktx%wpgzr4zSk4f|VGxhyX(9q%91qdn}LTXvuE5_hBhx-E@plFzGd=UO9>;WaR zut@uA$Aiy2(wC1~8qZT_Z7X!X_f@_Zmp5ihw|`eXf8f@iqJ*G#wm<4IbJq?bouQ0JS7_!!x-xlzkun?);1iB%P=mm_(yXqr91L=8396 ziS#t&L$RZy_{Z|e;U#l3YAuA@MS*K}L zs!X5V%f>qWkedkfSqSz3zd&e1VX&CKJ6i`1o_;%LM;*Y?juptQUDNp_6Rz^2wps0Egwt`$br0uYL^H_w6I=azkuwf7jFh?Q3MW z9!V!cFALSf1s8)A$KQ|Kjilm_*Z5aOE$84XlZp%1ka`WX+Pv71WP9sLht`Csg1Tt#Au&<7& z|CwADZ`&=w##LDknT?jHhSgoRF}3+NVOAbS*|AT;NgOs{SYKp{n+rVd(qV6i_kQ>3 z6>$*ew;##iHl3>YX136H+MVoGR%OUrK?k?BMn5W$M zE#+RA-z$f(6W9O8eli6K(eut&U~v834aWZ4_1?|(B;U~ne4;1=ub$=2#f1AB=NhrX zQe7~y9kR#Y4{v*@P53~6Q`$eVD6oF1h2eQrmtH$e5V+~7qA38_{vomz$b!>$hV4;K zYfU>K>9Gd^s8+HR$-M zSADMx)krT^){jzwKjv0{SLfnn)iavDsr#d>(!QR8D zwu~WPq?!=yeVnq#ho+VWhGSD(lf9z}b=2;Q_vgI}B6$j}i+ZIil9|7ch*K&WG1eS# z8BtYu#v6s-1Fj!ZnV#0)61g_11GxQ>psEMrUyoLFu>l1Be(xV|3129_-JXCA!QD%I zduadO5XjDMCTo+i#@H(0ePK}0YyJ!Mspsv(1^@WtC8|sPModYLuS>X3dQzZYJB^8g zzu<}s_s{G4@44eF*ucdh@tbN@66bITRt|vp(R@r(%bb2X5R`L0AinE`y*( zhVXb_ydTV{VYza_CP(u-{SPtbnr_{K{;v-qN9sqGKjuZpo*N+%QHWW+AS&+gGlX`m zCcPoka3Y6#q1@GO@_ZBPv|$JDI5}|zi-cXFHbOOLIen2b#Ot#^??QL0McViaPewQFNjWg zxD1Wkot0Ik0xu`NHY$(*6BJKkG&FBL3WR$)zH_pz@P?_CYB!|ysk#S~F zp`*I03NL*ntyRm)wx6FdjrtdUM=n^%x-!zfB`lbZte}8{2iS3MV+4A=UpQW#-roKl z{_@B*#4vE`3^3s)s}co}lZf7h@??2A_EgkCpd7+Gp1w>%>O z#P2f=f^L6rl89TFe($XutvGNG+iv-mw5n_}r3qb{Cp;z{GQ7++oyG}GL@ECvg9Xim|<0)%K;br~6FUvz8T zrZJAiCyHl|ppSjOfP66*8{vrcS!cX*0Y#n;foWv9^=D8oK;{B4g3`+BtEpg~3Sq1r z!$-o&X5m6tfANvPsAtzaH})=$5$ZYQgYa245}C~Q-^buwj^o#^5r!8uAO1?r02hXI z@+b6XlL0EcjUu!tA2)ghFlBi?IDhz#l<5_udMLNj*0jv!P^%EM3=aPqJG;FCf5CYx zR*kBRlCu%YG#4OkRcy61ODOrXq}YmoxQe?*JpL%!bZ64xs9ve}9nE()NJ!}I?cwA0 z0GhaoFF$|5lpFf-==G8FlOo#V4~BtUgCD6FX`M5gC=IUF-vbVeu%S7z*2SJWa?k2pW%%EhGD=RGnz)+PN}y?VFoO}A7~P(muFfxKJ47T}2^kmCjT&Pt=}Yd!oIglA%|!t zx-Bim#ql##U$L;js~X`NK`|>CAwqvb-4YLeoTZwkp1tmVGe@eU#fKiwgH%4p2mc|z zSV}TeVR&>g4A0U#Z{6RY)<1g7^U{~dSd8q0kH~^;E_4~caF^nkjRe~N_EqN~)H*iO zfo*cZH!X8IP>#KGBnyyK6772@9xl;3MCqEG5R+m*qjr)rRV_tyU{yrumZC@Z&0V7k zPd4GQQynUs;yz2S_B`X#cRoqa91bX@WI?*ATc(<)!GEGkMmA3PSzl~rcPwOKnwfqZ zh;Tfhi!YhZZJ<;`%zog2tvSqDmMz*Mk`wLp2VGBT#F!X8y6 zpq{$KQS~L`C7&+E0}B|fil5Qj3Q^jKwh`V7Ro@j^m7wtZPPVd zrmUJI1XLgSlx9>gR|#ga^YS@x9D?O_Hn92cDQ{B?vG!R z^yDg;^r)>vRo}#!sOFYQPobz(y4{uX7U3bSbFj>Q>Z;BPTM~x6}(FqjXT@ zZXo1^&6nm*W+P%mQZ9KGR8o+6n9SKf$40_M$QJ3U?M5^qonFSUlev@v@i@*~;ab0x zPtLbU;Ko!=XI829$fC*tIzd3eOrW3+yrijUB08QZW_Wm#74$s1s*G!o)mR1PT9`!( z&)k~=T`fJcQ^~hLCx(fVMZ(grsr8v6nbsf)QzWX!(=*03lSKR^b8_z0FDaJa^lgCJ zQ?$NCqMh>6y-_1CvPIY>PG#~IX{Y<%^5H=8`zU4LK*oe+B2oN7pW{J*CI4E7Q*~}8Po1$03wyj8egGzT(Old z3aer@IFoL^&jou{53U8``6g*aY8qJsn!{B6dn4PKkDONvi1yaKcz_05xT>M1+SNeB zFA?xtQ(A9&S|YfvYYEd}+IpA5CMK5%)k2jkBXY%<+AVzU0z|t7nG?b$xKeMpX_vQ+OJPR}nE_fB2iSc#OGq9dbTSvRyYg;`}oc?!_@B)w1@Tc$@aJ?qFxv}wf;1REUr zMA^Y)Zs-V=grRYwCqr>9vJJBC-ppd=(wxGZvjd+aTiyAe3z1D&ml6?j7R7-W1fg1n zz#C=uRGAReFU>nrT(5#fNlvcWWwns_l4mSZK73KkZK)4&l?=WuIxifP^pT4aT5xlA z2Wdsfs4}jSDF*md#kRpt@0qRo`*U|yX7qH*Ju)44Zx-1RRbAiNShKx_C{+y3f8UPY zKHnakoN!$3URpB!~@zBA9>9uhLx-8e#GEM7ws;*tun=J*me)P5;kU3rsrl zAkA+i_BK+}$x6>3E_{JOF{r|eCnI3g1FlKBv+wB0SHEE`@$VtoTCWCBm87P~?A$Rh z_}S6CNHF*W(iy#42plzH+8XK+w&jx6e;}RyYfvgUCKlQc+GYD!PA<$w*-Uq>nEoS2 zgQ7NBO|u#T0YB}K8}2c~WKf4aqOZ9Q`U>2?0?P4ZcRQ(RvQG8X z2GH^_p%gD?Mq&nPQ9-|Ukec2a^M--@fwsYSqbKdRu;6|gxS11lt+xDneC*`BZ}_&F zs1)bpx*tpdkJb}6DV=cZgKG7w^AmZnreoVL$}te52XY^&X*JU)I(1RUX2XQWKm^ed z>K#&3f!$LQGXN)9zMVn+Ee`@L{(975wQ_=aaEwA&f0W8` zjDdDq%VAy&Zwbu+5O+u6&(w+}A(NvHM4I9MaSMUa&NDwah6(cjdv*?%khR$ljD|uT z`iOc0yb){|mhvRn0KqX3Kk4ypP)w~L!Uk9X&m3Se?V%Hf!QvAD*?Gr6^l%$06JS={xggJ|7R1}W`Ov6KxQ=LB)G>AU^)UsM>Jzk-L?#U{@nZz?-DoYIRcRH zrb9~(>psBLIk^fmd4g%J{1%l#J_cH*9nn0D0#84{kE*uU)H9flr2$Kwx-)r+Z44A6 z(YwXj4OSP-TqbdOOf!J~cf^{Y7zJq+=mt_#Qz$#->PC|d1a@w!Id0BkaK*{YIW zP>95mFfdjDei748UC0gqh%Z_cQ}gRxooXHyY&i z`u4&RfX5yRECGSQI|byA7ip-x_jhMB?lxmn2JlvD|A^NA;q|jXc2*%@x=HzAIJT^ z{uQTZ1n0af$Z5VuQL|a3U(1;=1lh0-kaz$<>j7CPJwn|wGB-Cm83+VJ2mnayzX!+0 zQtvm{p1FO%6&joB&H3OWH31qL5%HdI(7-F}4mdOteYK!4f7E|mv9*RHAn1Bb`MPbJ z7aWTk@bSiKG<*{5`218Weun;!TX2EJOjj-qja2^@&Bm<^(8igp(C3`tFnty#a9_3D z(YU!|rU(zH!=b?3Dim@u(}s1`MwXUGJ_ z#>z1UYcw>T(Aq$NIVE3!YQx2yLeM`__TP*LT#;{K%^Q{=JE3At`VoA_N|SL362g^W^ion@LXE^f(LoZET-Kj4)((6j8+Lfeh^6UU&BD8Y+*1J>Wbjj zhG*}=SzYkk7|ijwqG&LeqrN_uiNwY-Ay-8c1qIiV8ioZ0$L2mKLI~VaK+b&l@xjCE zIW}MqFjn)dg@8GR-MpgTJAcBpGza+33cf`X^3-l9Pl3?cZMWHt2kL#+!%oKN<=|LQUo_xF!L_6Bx3SpHIkvR^pb(j=>U#n+~( zL0?{_3qd8q+65xtIhl*2X*km2M7ZPZcnf+kS)K|Zi3Xl)E# zG)aYOxUi4w)k%TA`i>2rtb~K$e!yQ6KG#z8o}38W_t`7JI`;0NI}T=LBXgJ2x#1p@bK#xT7SU|MtlaGdFA$s((-~dIky9X z7zqdgP;fn=gQg^Kb%BHMD=7uPje>)jctN^g+fq0O0jk-@tfL)c=zn1ca2;Pr^@aV; z68K>L$xIZyL-tBY2tb6Oo`lf8n1Ilxo`MjVCWCCy$$*?)$_)-yplFT`K%G_<_1WxW ztt0i|OJ#)E@9$rR6wSva1RRL&P=jTX-w0+(_zo*0FKAYwzrSCTZ6{7u3Vd^LK^zx2 z+&tQS6AENVkYF!{Iz7Q9ip+z@Sxv%LOQ@DR;PI zZ0po=aKV{}K-D6#J{Ti^Om4w6mxhMcrUq^}vTmU46ABu4YVwY;DVwVhn4q*6dq42X zzWDe%c)*b>_nav08BgjJ|6lCAbyOYSyXFZ&gC-D2a7}Os?ht~zdvJ#X1a}D%+}+*X z4sOBS;ot<<;2LBKzW3hg>ABNAy}D=3AN^a4I=iaQp^Ck0bDq!pyj6S8^q^(%r;lYY z$m&!8di#b!P@uOL?Mcj=;4$hY#|dE8GX-NgMOMk1k21fIPm!Pd9ZNzBZ9fF@6m+CG z*}w+?Abv*`W0~+Tku)w)!DsGewa90A#ra2Gcb?_-8H)gUl_Un>LjaIh;um=ZAmzW7 zS3Y927lH;*?7uIs&#X(ARq>C!8a>Nv<23+h7^qCo^2!9Z#d?v~&?6*o?thNK^mSHl;14gEjmmH1g+u>g6E{7>Zdxu$@; z;^4Jh{UfiTFY>zD{g1qM?Z0_$t$*Y-^8Z<0nf`Nm#d(oe0Q{fjmFZbt4PWGy*g#Mf zxU1&hrp@m8KL^XZ`2wQ zPDmm8rzeBpcC%kpl#j!9ZSA1J9hP(K+Iu_oFENuToXLTdPuPf0Jrsa{FWE;})dL_@ z2m}!I6@cty<&Qvs@b9@jAPy)qZ9|4rX9J-4zs|7%VMb+D9SZ=vD{c}%N^1;2+%I09 zi52S?0uAo>vsn%=;ev0+MEo@;1cLFLHL6^QgRYcHgmz38e5G6S878xY8v!px#P~fl zUUHt#P16s(ZvrQ_)ap?E0D-~y@G(FjgT5Wo0Kf7BSC0*?QxAwqvH;l&E7R{F$O{FU z3SNM~VyzR7U?C3WIi2x>x#PS`sIbM_K4H=ZFP)&XH~s`@ufz{S^G@)1i+4a&Vg^7P z4}L@VORuonUVhj4jJm)weue}6ES+mNv@={46OC<{=8}kjUIOH+yVu^bxklQi|6SPs zP35d|DyyK0ArK@W&Y1ak z3;Ct(um;MQU>XEgm&DBJ5761z2t{|Mszrgi2InSH0jL|M4>I}#VRu*S>;>-|*Uii< zIadQ+Jepgbz&C6}?BYOr3TP9miFu}B^3LAgkMW(<5QzOdRw!War*Xg%#2XCLHBiK` z6l7iix_+wJQ7h0QVoFCje}n3T)IYC6sK}Do1f819gUq+>LrUX^J9}r>O@|iEc-~5w z27v=`lE#IHHL~dlK%fHP#28xs(nLTls40N6>F>G%t8_Ta_dtt_PV@l(QNo$-QyIOz z@o+OefTlkSB>nF((NgAxbhhs#|m0@9zQu z4ZM3B8h{=vo*!;2Km!=yUN{x@Tu1{P)-mvVO+l-1D)1SJ*eb4?TjXD0 z>BDO@w)Zyg000dC>{ao`Sk1q(xbV%KOvvO~^Y0gY3I$Gqa1X!Tmd45bxZ(#3wtXuVqkroDu}vgz#H?);7dfF6E>-DZ9GeSX35G)yxm+(tp^^~A)+W`FBV z4Os^M?JkhjM8kI{NQfZbxxE@owIdROFIxkpz24A;2`}VLF4jWeUBCwF54+6;r6irO z%{G+6Qo^?DPnQ5hxU}Z+SUk{`V4hq#xK4jp09r|55mLN;eUAc5yuMdX__>1}T1Quj z-{FI3lC8f8#G?W{472*{cNIF!T*q%;3yJZQikIf18(Gu!txv<)bsMq!UI{&(L9~s` z;qiu^sNJC-9|#XPAjIEmw>$u5f_={RmO2_i(PA2Vr)@_4ecK1gCERC8M?)Yh3 zMBHYj`$6?hOR5Am)Y+Qufp_K@z)@$!-!Xw!83TfiFv0I1o_RKZZB4i2!*@|CAo$1^ zkn6*B{w@#z`si!AqM7+}Iqm;C!u%*sSks+Sdm-BIl^=OHARI5*Gyo;}aPdL70J@8*q0ysEnxV>l0pH{t9Hl0z}XO3@!^|1 z32dnYmf@8Wj2rsaTO#O^eK_ch*I3;zxN9%{`B#<7_e$Q`8Y6mmTHs>Pg zN!`+cl|3Ec{lrZfmA*AyW{d~dsS5y)CG5c{MB)I?2W^!hp|KGNqjmr-^}1G|toNrW zP`y~8#qrQ9;8K`qxK*s_V*WSGbl?isaV(rU;>!AAXk;JhiF~quTN7_82}D9vz=?%a z^`;Qu5mE+$vsHa)A_OWVDZ<{qraL5W55yyyZz)$V3T!I3$|m;p`^JdIcE%D4D&_!u z0F;&3z#srnR)Bh6l=TH>o|U!#MOldr+z#ck0LwSB%BXuNLJ|wp5HuPHkRDG5m7YeN z1K}y^hH@LRK^Jhh+6yc%)wa|FcCIh56sQ6iB?>roS*5sQEFMk8J6H+C2EGtOe$`xb zZQsx^z#ko2&Cv4>`$pdJa|h!)>Oe~{tQrIHe>ZB4dx=`xs$Zg3U<3YQYX$fV#aa5F zqt<@Npz=%9$^=BMpI@TZ_scI)E5K{dQ7g{>P}B;~@*hzv;L~BZzya7d6Cd!dn7Bb5 z@an$!5gTBBkE|lFxdbfH0hQv91Esah3D~hr&4`e3TCDClRFn-Qp9IB$S6>JXK?H9uT!46n5Y`H? zJ@20{o(&>emk;3M;sAjDa&H09R4j=5YB1>ZyOrgJZ${jZ-@ODT<-e_nf9tv1>QIMcJDfN||B(7XM+!vXgBxDL$6`H0WCVqo!(A47yHImChLGbx&N z2^+mklhgbfsQ?S4j-e!43Z2{;FW7>J?da%E6Tp z&jZv|iCkFfts%(bodJzqPg_Qn?Y%Ya`r9A@X65#f}m`l%G_G~Wc8a$gz z&-PoFKOoNl1ifY8r&pD#tl}j53HZ2B1%77gvo782p{ln4?!w6A0Za%ux>IK>&rl33 z>DqwFO9(iyKda4&C-SzEU3xx3AjE9*$5?wI0CWT2PmnVN(+|32?_XE`ZiM|N$W%cJ zJ&P>%FU$8|mhbe@s zr@2uHZ~)8e$`u}D0{>$j?k@;V1^ulNS%jkX1qyA4By-H;NGJ5~X zqyEe2{a4BIdW)m}W%T~IgU8F5XnT-b5xUz{cx0Ljv} zJn6c6`}g_3(C{@Sg}+4{*;PKg)u1MA^6vM_pc~xDIu~ya3>Xz?xz44^3vkB0f4k1H zUiU@J_;v9HVKHYBVeWQ6|HN7&8L1`wo5=Y@4RxWT;?%7kZN}wpiI^asRsA%)S_doB!j* z+4*q|@2wO$J$F`SAPB2cMKclCMlWlcE0#efDU9A)aa&m+=*ZbKWql_shQ;pR``Nb~js?ncs-P2Ga=JR-ne)jvhW&ZxWz>|w^1J&sYx{M$)%pl=GM{1U|Z5Sl`&){rozV* zw5zL)n#etNQE7m%QDYm{`70s8a$odj!m|7>%405iYu;ywjNdkC(Ta=!c#v{K71I;5 z2>P}M9-il_qY)vTH!{PMnfNIkRr9yER64y4zD(15XBi?Qvw4`noA^PYq0zDS&`*D$ zFbL$bVZ`hygM8o{(u4h64(g)04Wws%(0Iq=VPGs@GmSTWgkK2m>>#tn`glCAtj5p$ znI8t_S|v5PPL69-OnI;`GlB{N>C8N)`#>n@k^7e>Q*%^qhe}RwmO+DCw$n`HC*;G| zuVe>HSij);ko0^J{VRcS-@c)C2fV`gP4r&OPsf!EB{raJA&Y0Fef-o)8S}}7UUusk zTdN^8Qn~lR@nKu$cy{ttPy5$2ra$C}+aWmF_hzPao{)JgyZL!Tv)22P_s}O1PO!Qr zQgFKGaIYc1ouLmAo1ii!0UP(@Bti@IW8;dxKlI=IS87Jcx;3g$7Ll*iFtMS~7+S1I zJsLw}Y(g3JPyKqF1Mfl+YR8;!{-Q9)T7~-RA0QL9Z5^ZS`8ECVJ6E#)d=`L$&pMt@ ztb@Ii-RX{`9YMIpJz4mw%~w`vgd6RE8`LY%_i%C~O8AtU&pT~xsdB>WfmEz<*;T)} zKWUN;Mg}Dwy}~nY?)W)RU#VBK*N6A>oArKQ&Gj(Rcl8D-iZcoZUgzDe${McNh_EAH zmL@)am#I%aQ|y0=uRe(_Im1-HKA+I#W|t1m7b<)7ZR^M;r7FAri~Q7Gs=vwq5DqzN znR4zHSQ7Y(yTZ{QwJaVRWj$B$H^O31nxDU%zg0#5?-gxdjbM``=AenFCkyIPD#K*$ zq)tZDJVz{ugN-9;P&oh-?A=yo*3=JWe|ssuHyKi`v8*DefqH@m+d1%+Mre`WHDUsv zQ-+9Tm(q1^0-u?Q2r4P()$I`e$B7E?&cpCy9B(pTQcm|;ZuGXY z?FYA~;J;Tds6C>mSFtyGBP2KsetN$qR$FyX#DglcQ{?PRW+3*%Jepf}(Duh|;URju zE}M?ard^CgwxY-mLzoYjUW}#PI6M(C)-0(a|RmC zvDv~pGaZYOl-9v5d8OO)oQ`jxO9JuzQDvc&l zXSb+<_j9u2n|8QwvSO%H5LiL2#YfmPI;+SE(8*Z!`yGn@HW?QvU*TFDqC4HDYd*MW z*W5RV%0H1SAJb--e100v^ok$i-`dGQ$zhIcpykbbI{7VjpG;P4eEHpP*?SX#pu@M( z2X}5dlNT?=obgkZi-8xxK*g73$vTTBqxTdBY@N1|U3^Lysc)|4{={gM?oM{WDcdKGu~aWsbaJ|d*Ty$KMyKyuP>@JOkI1o7&gUNK^% zY&@MM;UrpfNo?{@jdXXWir@ZC4}?}vh}~)FHxae!yH^LESmb`+f96Z3Q!pbj%CI-8 zuQhk_SmI>+y;r{_C;4WkOjYtI;_B9cAu{FD0nSI=`ggVrBF&OFZ`sUZpboY^es=RN zsaPgrfU=K0BKHmXL7!`9rGH*4d>z`mD;R@5k}o>BBg@l}sy(4xjqfVpKp7=Y^*8-V zkpesO1NSBWL5mMCh%k|HAjcp3iLw#2W{QQW0NcZ#kLS&aTW@}xj^7M-tv-J9w>3Q6 z6uUNrqdw%`ka+ABrTKmYX7+gnY0HF~t$Ob*O^jTr;^F-D*sJ?D>@xOf63$f6ScOPD zVmq!nDJZ@8joV-maZbw9#K_a`wwL{R`@67%;V&8WUo*!vNyc}|#tmJ|om_7E(j`Cl zQM$^{<{NMlcL+MWBt%kQkp0BD>-9Bz{dU(U(|?d(cS{ zOFZW7-y>g2h*G!J*D*ah6_L@N=8e+B+^iBZd}u|-RD%reHzwxJe;w)B=jTU;fhHDs z(FRkkNvt^cx&wyJHDwY1ygxvDHd_{hOOzPSoO|Zm6LR>Ysq&$A+x+$8fy|`$6#q8J zkJk%ov^z1j(+ooqwatz}VL36qLCKJzv1wnqPP{qIzTkKsDhrQzQ zS|p!w9W>kdC(!}B+I-nA8G07d^#^i?=&#r);`q8s;cm0QQGspFk6st zP7`M6c|=1Pu4{cE;#pJS7_1Q$`&!cPkqG$L&;dTfi2*Vn8b?cECP3fH-W~EB*2Kp^ z^zO-aKuvv)kZEZWknyi5ck@1Aro_r~IsC;=D9ws^@3eyMsx%JpMhivw5hD9;6O?QZ zutl8Sxek%3ZcsOo1U{KX5&;auP@1qETA3}0?n@TO0Y1m>b4=z4KLUQ4nuwq=4cYLv zGm5Ax*#?C0sx%=17%-%~?J80XIx{EKfZGNH9GvC$ErCR!0XNF++dfBHT>>{~2y8W! z#Z1KW`vJiC)c@A4K%%PFHlTtK4gbfcF0QN1ajzTgcUuJ*@A@2pK7!{x3~~l~2qU~l0%+cGZeGXmgIM=*3aW3@CbMQ63bLTr=e&4?N{asa9+nsD^Seu|`+h_`AFY%6EA%38Gwb zbu%TmwaLUEi*pU{5XZQ|50`*$mdfnzvxjj(mhYP0r zvvpA_Hs5NWK=mG>%U6t!nk&;~y^8hI1#PTNb(Z_!g(8cRB8#wpei|*p{_7u2yJ*!m zn}iBJA{mcFnZw(YD)iOM->5TIboY_q-^fy$dyiB03_+4H)L31j!P@aCxYeT0F?!Dt>ylG}EV+msjM^(9g%&4>vV?)kLwNr&?Q!Urn)a z)Mm>5H=DpoSaNEYcj79ET{{0-Xt8LKuQ|^nqRz)Y`>4jM*wQWgXh^^u0Vry$&MJVS zq-BW)c+cW5DZ^lalbfutU@yI;Lxl&{tM;l4 z9vsK4#?sSLA!&<&H3mnnV}HKw3)HV4&ZmW>u~Q7TrPb4&|Ct|OsY9*GF+W#1M zWcjVN$jhC4l73X6wW!9O+?7r@Y8H^DIM<3}5|CCv;J8x9+SoPf29{71ec3gIXv&Oc z(I>MLUkp)sx80ITS#$;x)_WWYif%aqc6@H396oZEPdq=%KK#5~M9^!K4Kks;4AzuS z?7GSZS)?>|mr*XpOQtQW#CGMYL)57#dL2F15j4{%7q{$|LK&@}M^FJ-aDjRu6Y%vG z3TOVB@?aXxvz32}Wjy(t%*7xk%elVI2KH?7_Esz-&4kT5_UzoM3z1kB^3~w#v^^F9 zNN}|ll-ajBETd+oQ2RIPgSSXV3nUsyMej+DzFx#++I6=grcjNmZ)?MHp)QAf*(q{+ z%Z4go(&YAbSIL>-RpYF--(h+)V?UmQLFCv$FLC>Pm_S=Sp6|UAd+&&G=;FwS6zri4 z0(%3H2CbLlco_6P(ISF0Bbd~ijhJj)^>r)aArHUlaIJLf+pJZc?dA2d4-X0BSN06A zj=ZgfbctlW5nXifEiJUqz@G@6>t9{YnW*7AO?Iv~#Drt_>162HEQuq8Y{+n_rSft$ z$1uf*bGtn6BMqs^p}lt?#5NIixwYV+3vd28mE8cW1pj9%T}bZSNO+ab@@mrUg<@uJ zqSjBSD)0hk^x4rYP_^;--k+@>2L1wV2`vH^T)>28y?P%cF@*d+4X0^fL9OJhwoSJUGJ#@i18cqRc!E?(QcdY-dk zHC?0ke-RkabV@JQL%9!`k1EG%yMS3h{+{$mu#Y|$itMA|uKn-rmN~dV{qHmXDZ2kD zc3%p1lqC);RcS`kw~nIkoFL=b4KNMZJw&^e9Dlr{du$L~Xv4X6&24UwBHs5A^wm=S z;m8-Z>0^{iIs#UI8o6-~qQM+5RL{#Jx;auj)mynqb5Gt*fmb`RJ=D^D!=rAK^YR(jAl@g`_FeqB16~GVl{@UB zkg23IG#QgYZjLnd>(i*w?!PxeCX>L{-tn4!{%T+SA@gLCC()S6wg-=g?{p9Qr0gom z<;lfIh4-tKvUj*eA=a_hccjmS*Bv!bKo~4JO4*G_Q~AVB_3l!80`?Awi1NqO-4pqO z&-Csn2LsrdM2LiMKYP5}>cXp|_9&R|VK-NPoXqvLo=(2clSEi8WWAM4L2H}XKTF`_ zOK*R-zlL`;phN9&g8DZv!matnrf=ufMeCdJxcArW?Jdp_EVBhnvn5P32&UNvW-Y4o z#$T{agqGsoB}NjLF)(C2J<;mzTBjtdb#_DI-)}2iHdRyUzaYx!P97)1ns$p-j?bk( z@Wp!=?681oF;`$+E8j#mTT3(B#{Q?;Lqh^Wf7r|$WpypoG>;j?=kYKLKH^IdcW$mA!5!0O8H${I)lc2K+1>iEYwNKuA;mQegyh-wbmRX> zfsrvE&>PF)t)K~Bk0?ke=o^mP{Go`B@3wZb#byw}KxJ*Q)^|Dd`Ayd-uFSP5#p+3; z5-X)u5{CZWm+9alq0k#N3G1v_K2tV@VrUUpzeyYk3QB@3dv66>$k)5avooRkWQ>O} z^hrAC5BGN`_sQQXzIfd`-^<51Fz%BP$73q%-aVYzKV6>hGym$h|K|GAf8zY70BM#wz%+-Q3%soSA~F0yZr^5MvoYh2f;mi4lE)tT!;L zreVb#C{yvaxA{WE-3zVaDb8+$WE>$oYZr*frjW)1J0 zyz=q{@J2tLlTZ{U?@b3T>wwji!-Dk1O=_^L_@}=RkgzC?`O>!Xbi+tVVbvEFX#`@t zAED(O?*}K1w!SivFT_x*YpL62Ic59UkdHW~1sImS3oIRa6@97ej{yUWj|b{L#9?Q= ze!Zvl0f+=kCs3Zp)U#kB;%w}n5H@~)ifm~g_yt?YTqFwHh1Nwc%9edV3H*g!vwm+p97;>u|Mc7?OWFEQAh=F|K z5t0o#9pe0Hg4ta8?zXF|JH1FicKs7f2#fJImm*VboA;WVDzTR|Ur<6|`o{!J_`NJX zkKpY?L52Q-Q2*a+E1?N>x$LF(@Um%H z4kq0+2#l|yeLp~VqP2&XF+%mB89^Nx_7hMxf3b!=YT29>eq17{)v;=WhJSA}5{kQ@ z!Q*oU5BBO2o5w3V7&SM5MIBN8Ics`yzOjlUa6Q>grbFvb_X)k2K)0A|_q@+dyUXNv ztz$bHH88hpTE!{@|9cpy--&)(SUMT)ZSo4jUDk7}XDd+t-ECh(F7A6(9x_%j+S%+_ zLWt4l+w#V{G&Mp3#xIW^b_Ff#JHDjBYI-n77W{ry*JK%c&GL;{whQiTT8&sX49?tO z1D3Zv4?6?N>z;>UrUN>F(0TWVBD{qj!`k}m^cc3X>y1fpTt{Bn)8-`jEl?tCPqH0S zP43Ug9fC(X^DJ39^PC)riR~?NUXxf&^?(ZdYzaz(=5dw7Aln|wEE@87ugT>H$Q1p* z8H+B#vwdCqDe%?3u(nEBstc0_KkECJUu-KyU&*Q(K@W0_IeQtoEtj$i^q1fKBrQF2 z#^g&*lEN?YMjnm8x4^(W8&sG0GNI=dVI&J;Ayr>MKT$hkF5aNDrh2`vqc9@oB|Vs? zjzcw$17l&+#~G;&L-~*ET6xlUr_qAO+=IvxjCxw)$uj(ks3g&4byn$RGj?`#a*>tR z#$oyhO+j{?v|5#wmKganVIe_W*@ZBnT$zOk9~{2QQv~nN6hxGm?bt^TaD}*cybdX= zA!alWrrI5l$CU15yNVN`GpuHoZX#w=C4sSOv$`5x)9$KiU^IC>%}Cf?qOa z!nvx3q^+bDN9s!Nh^J)>GC+#8gI1lIem_G-hd|2aT86)hg+G;Q{6~Q#{E1f0kj|Q+ zh|u$~<*}tD|1?aK48NmH(5lStph&YuzV6Emin6GZ<+X~ayl&b)05F@-%9lai(UWt%#|r9Zxd%~LgQZMn{%COhetWj~3B z6&{9I<9nj~43izXm7nwqD@`^<1r!0U+EeBMML*uloik*L=zOtg$h`Q1EDnQ122)0Y zs-Ik{q@AS$Jo6qbwX0zGHGy<$l7gF*dE?I;0!owDoodmCNwp1T`@8Qzqqkc(43XnB49z4f8Z))4dybrpnyu_msY zmLJxwUR{r7GWq<&znwDz4*lDT&h_p{#{%};_=xzfemA1adH~}&2oimwVEA2I9L;wm zbfz>E#p5x!*d9IVB5$rDC({ZasmK7cnf{BV0mgPA8rD_=8OoL`++wRS0oJxpZZAcH zTy|AMu<`xsfHmRptHzksi!i2G?px_qa>2#~odWkM0!)q31EWXRA|it&DT5*{O-AtQ z*Mp1J4_(_cF(FAN%)aO7L6hkF~SbTiQ(F6Q*8^ z!PZrfqUl;Zy&iiJ^#xP9 zz|hpLj)&LH7kU-SoEx$2BWs#F;wxbWgoA>|D}o)NXY}~7`5R=Sk$vP&-5qk9uWi;D z4?o@Na37B5#3wLJjhqf0CFJGuAM2E}4~@ZkwMp&aJ4RJ!?5#wf*@5^AuI#M%)td_9 ztt3c*xuhQM&cP2LF5s3A#*ZqV`KqxQdp#eQ;~`6gDVq9rl6qED!*u?fMuqnviBYQa zElavz(-&!pBWi4mi}_dJpgnHSMk;>A>AZo8Z94v`p~0~WrQ4)QYW{hHz1FQAY3VCD zFVss_w>>4VD)L3Lg1Znb1ezw}xGWq`Qx%*A1HqcX@lqz15|j~YLFUu(6*iXEKl>Gn zTXPi*U0qZ4e%m@!Ik&2VyL}Y}q<@`pLx52w^C-?Lfc>b_`L~5sUOah9Vj}I7Mt5c-TG8 z7+!2gBsV8(KML>3xBT2TnbRR^pXHgTz^}-cYTtc*Npql@L5y1MInzdN(Y|X*bZL1( z!@qb&vdTy|d)E+I$G2;CCoMb0;F|0?F=aTX!WDasdpw8B@MKkBOaeY!d+W?kaEu8Dp^LNE^=zFHPEi`vNX~#&N)$p+3pz+t;Wp) z$kh9~?z%VUd7n1%Yl`YX(3GoR%X|>wNd)5ZP%{_^&$78rofb(DVq zmA5s0Adjc4y}Gnv;Ow9->mp?Q%%bW|%49CRLyv7vtTm<8cWEFxhc{0rr$ICKh)*{i z!&W8ttfQdho%9eBAm%irHMrvO2(pgLL zWt7Vbx0f^z)M)MZgDR${5)@SFnSV0d$eyxIup}%qvn548dB(|n_t1>n(wVkVRtGv< zEZJoUOQGzhPTske*9=8GeN}%v^aQnmie&ZD_GHCUv8wv0{5i}~(9*~JSaHR>xQ7nl z!ju11^P(dtxtXaQ+>&?5(z$I_7C9c_(;E?$NlG;+|B_J=Fjl&tQF|*q&uHk7fIHpt zd#CS0+(Yg>VJLAuhT4SH!c*Fj<8mgJH+q7BNqR(rjby;Q zGjT!+s{6&Z+xQmYS0wv6w%En-jms*$w!V+|ACQyDFkMxff0O;?ty$%fQHlH}BLAK8 zhzvnfYTwU}Y%t04O@w1i8;dmz?oT|IvkP&4^Pj2%wZ_iH#~O<1vrf_$gNh?3iNkkfWz1VohTBADJq%AK zLmvi+f$U$^Xw+23;8Wv$fqxm187J+NtijWTSKVTzvAY_xj2+q?Fd?&A4QDA1k%}Tp ziOtWMZ-QlvMZLkdF&aUX%i~-H`ZOp9_>?LXlq;h%uUkLKb2Z-`-W^nErm3lZP^mSO zI9{%kIXy0yDLsIGMh(W|*Hrqfwe zGkLV+6{?8ZR8k4KoI_d|g!`6LNP}tGYOHmjX5=btto2(A#=BOTz2Iq}WQ#7S`?61@PxCgU(O|krhxa1d4I##9;cdTO@^hr!$L3eodpP zJ1glGzo+FX`CP|?olpJi7dy3k$uEiaT2JEVS9p?NJw5RlnyP5CXS8X98fumz-*#Fo zjaRoHEV0p5kzz|{$cmZG39@Dk?`BrENB=G|Ojh(-Sirjy{HQIKy+0^aM@?Lqi zGz_Ea!!FrA4G(Ac@iJd372lk`)pHku`2R&%Unl!@6DT)CAlTEmNxJ%|X8HHLZG>f= z>?;--A1SO(^#J1O+br?*G48(7n7|0ckR_XXo8;TRcF$y-uoH$;f-G0IHnMM>H{q52 z8{A*XB2HbSt_yZf3G}>N$lJ(-zI)9BMd>8J<$c-tyVbY7{oFxMxlz}9Lqq3_U6bte z%NkrtEwFrEEvYQUfzy}A`ORML6a&@C{mHon z*J|}6U+#55$L;OG9EPIgPy2kgI36#IGy}|B&YmAK9*W5o_N^p6Yp;Skr{4I)_7*Ew z6Fo^6OiWsHg~fZ~Pokk2U6@o~?o3%^bI)aCAAk&$Qx_U`$I+T!#xr-%WQ`k@^ZH*9JqchxIxzpulkJas;Z`@vL z2Iqv7sdy`>3uT2AXB~_fPyCqN!$zWk)}>n&quf}wWxPB~tUhaDXCaX~OriSUUp$!3l1g!iVJX#)gI9-{n2YAziAM5+D zR=Te$5fPZMBeNTrL%F*eAhn_&u3#_>TYaSQdrg=)Bv<%**5EK>}8XD{er{n2d9fo!}+~jX=tb^0RW= zs}!!pnLkP;WOknk7Gnh{LeMPj*79z^@jnpjnX4@>4DE&G z^{}(=U~vZ7_TAzW8}*OMRW6ro7OB(0L@GK%{zWDm)#t|*Ar~8ac`6^FX>s3viYtb= zy$U(j>I~R9=o>n3_H-A!^VaV+7ZN-Vso_Lx6KX~sSNE@gfyTr#HM~rkrLY1=%d*e(9qdD>vWNiO0f@a z$)AdrJ=(pUsU1^@__)PCxiXowZFYY#pQ_ z+Zx8#j~%@qUFL2IWojILJ5Qrdtx13SBUd!|( zYa(F3f8eX#FCs|kp>Zg||2JVyNlkbQ$*_9;;3iE8AGH4nLKXvU4 z-9Fqtm?eb=oryC%h)g-Jq-%@~F2)>2CQ7k0Dq0!ECJlx;Nd(NEQe7%u=LuW#$nB3K z->SqN?c*ed+LG$DT>Z>W;49SDus=p24~=-O658Zggn>%jEk-t^)Th0~GNwz-v^;A= zk{@74a;Cz`vLg>C+oYnqGa=H`>+)TU6CI6er;}uhheOC$3N0!n^0Mx-MqFZ8+AJ?; zHk^9k+O9oL{a~&h*@oLfo`8#0zRXQpDNRJW)e%8iq1l(ZNV-h|?ci*CFlgj!4k9Y4 z67uhdzYl#=TjzIINrAyJp0y{hvK;DS!BUfl$p{vAd(G{z)o=PtJwp7c<7*X2k}pdu z5=|Ke{A}pcCF!e+r05Gz!G({)*AI3X-F zI8Ma!pV+&WjYnO{r1VT}3NPqoA{J8H4A&*3 z#Umfyv{sT!;RC`=-s()^fgFjU{ zPldo{*~a3=o%`Nyh?znlo8Tojl)55vD=!ndQM0>LaDBsxv5HB7G7Y@WHYnrQkz~W) z*9Ot$uGY|hn12!AE3AS*wOZ_x4URz|Nq4ug;bmOS)w$Kfbv(^az5{%&x8_=KR|ykE z8rvr0@I7jx=4m=@JWm-O?uB#P6G^ znACkWZUxgr8QcmmaE{*)&^?|Sp|*Y?&O>kVF|?tnD7Ks_rrN4_EY5R?dza=F88NQ# zS%Y~+tw9z`AkRuA^0H|gx@<4mVRgE^^^(t)@s)<^yIE@RU`EG6KCO_(VKgb2x;nT+ zTV_$_+j&QVxjm?cFzw1Gb&I;WvJSho_+uUAtguKOX_0EpLZ(&;h&^yNp@rK*)9SZe zLKfj?WZ7x^TGyP}%<}0@e+p%U1*W)C7_**mS>-{lN-5iA-BTvz>s7jG^@MU92?Lrc zV&85UZ0;Z_wQm-2@8-e-yWPWH4;^6pMDH+PsPLVVu!NaZ4^S;Mfpdi&a7u$*ktMnQ zboW))3i)E=joXHQJIZunaxT%%7zhzx!*bvyn{%72WZS;O1$WDcrn#2iQT`PR)pczq*mmtwC;|5z=TCNsxLhK}Y~3=>fowXMS89fX_S);X2pwalsd@X0+tK^m#? zO}F2<`SF(`%G$B^(;zkXdmQYN8rYNL4BMU|xSKallXDpiPXsa@*)fezTV&-;<1wAf zzD*Xz>nHh91S%8?e`oG795|+t4t59dIqURQno~G3j9C?IVRr*dqkb3*l?%+V9@|@E zJx3P%k7ZU5e(KlqD|~FSG$kc z^bC_E+alF-DAC(t_l$Q=brO<~1kbn@t9;!1qEQ6DtyCCZgdMrS$(s7bL|t*SfJLnK z1EMPG(LR|@`yj&pNeXY6y`(9EmIHE;Wae4iA=F|rMYDH7gm^iP=3U|@_4TYnRUNuQ zLG}7a$uK@EJULaCx$Te$ zZZLC6@d9K7RdN0@>j);POMQQ~xc-Of%$SflFFj^adF>s|gP0vOZ9kZtu~#b>MOLPmTT&}YuWnZ1s~ooDdr#0JF+?h9#7O&)Xk#w24ByC z5?2Oh76O7CJn4#IzO=bzDppu#+u7DN1-5U}TB!4jA#1Y~YzwqJ%3^^N7og0l)25yh z#;UW)=gteipthn$@voT-jowY`YAk+x(v-vml&NJN+ZCQ<6@@uIApKLtv64nP^iJ`e`N_rSbAdJf>nsw)9m@(=t*Y@iN*SksP-V>dRF)K zqow1+f|bVNy#9`Mr*>jom9wdJm=4eOABg22K5$yQdvbv1{=-*ViV!8QNk_6MrKRf} zWDb`(FRuWGf;)a^$uT5>wDYT5^K38s4C}Q0cAOTg7Hy7g?0U{fARtp0r00AKz>>q&8RhNw(SIEp>o&Xj-!z*$PY1;&&-!b zKjAF?IO}OFD;8Q*S!<)?TjojgXz=nn7@J?Gu~?}ty#zzNbVFnp7Yga{TPKCTk5R zdFzU}slxfQgu|sX8In_K4S(SzHK%Jf_TL&=KRg7nbB~mCqr5zpzkI0QtQ5f4co5j^y`dAY7ic{S#(mR~=r8QeHIU8@BeNcgs#Q`8HpiS;f+ zwx*i>p0>*~Rt)YnkM5Ex*6i<}2Fo)Lk@MbJtntyuq@DM`;aJhst#NX$I~O4o-+UvwH?s$;jLL)w))Mg_mxS)I%nL zL;9pC-)|Pt)@O`5F$NS)eKLQ-(-ipcTk{9Y>& zUv2~j)kTtB?B?5kFVBDAN%)g~d%rPvo(7&>dO`Y}k^i_=WYO#phVyj=M2j^bodNH` z(d9sJ3z5J_MB=Rhi|zSmTNpIQ1Jyt{J7nu!b^q#sDkF^F`Hi~e^e)9)`mHV3pV>#? z>>SiTv!e@vH}p2`(6Rn*?b$xuZ$0i<9}n^144EyX_M@8>^$!MtM}y6_#sYN^N=Hg^ zq&0%NZA(XJW9!Z?P`ak%33$Qr0rCk_z_rX25OK>N+yAJLS!Civ|m*DPB zaCZw%fZ)O1UGfim@AI8=&-dT^JhkerTC2OKr%P6`x`!sYw)49>{vGt_*0So1z}hz4 z->cw948yCqW$fqMet5L(Jvrr#|1E#kNAWv^5c_A|`npB%+08e#I4*%$83=%I+emab5xH&#U<)w1yp&BCM6rG%A(@)2? z9-MMA8Nn}8UxYn8b;c}T)gmpwt3@hkh&OM`qMu$%&k#*RSb^?LR}IUgThdVdLNcXB zBze?DrNwVN@ba6>|Eea@II?s}u|Y5>QN*@dl)Rz-c6oEkZfD$+)(6kpnp(fOBau3| zlOJW`oZkiJOx_CZ{3{5HCqD+B`1%D{>8r5Xr$hN`Z*CFs1Lqqlo5PRsHb!eNBxbbABt26N(ipFqzTC|8C%Q{A(ft;)bRQ z+HLEI_%0*cOiOBGNP|`%KJKIueAFs~(H3D!ZJ8R$*FRr7oAsSdhwGpWsn&tJ)#o|r z32dq^*a*?R^jyW^ZegE7-&SnjO3rnmW9aUS|(6=XV?N1+)!Ud7S zyLbNHv5EcRH3a)gEZUdh5epZm=W*7*UP!&))+=Ta_+Tx^>tv!n?-B34IwVM*bQ)V1 zxPrw#R*z>bT!^29D;x5iJ$mS3BM;%mmIC$J~wl$^m%u=H9FW+iZ$c-?2# zCHQb}^Rtp}dh8t*s9n!w_%7f;T)z@XSZ}{qDVdeO4(K!wO{}2b6>2 zZ(|GLzMVU?Z@lovK*6V6FQ5;dsO#&iySkmYihInXZz+EY8II{%Rnh0g;8&sa7&y>e z1WA31KNkoz6Xhnn`l7wTAsv}}!0ackw%h!DN5Vfys%g{>5%uQ6AKI9HT@p-K53&FH z%1U!2!PJvnk{C{l4y{a%U@VbIK&etyWe5%bOoY-~(Iv(!xt7fCyL>3y84{>k$@~gv z;ch@|jfF~Ime{il%WRuKiY}YN2K`g;0OnyHXt(B~^hr`bu$hXk#GvwXDEOP5$A~1) zy&gC}`n5l`!M&(HPj&*qXH5I&!Q66(zW6uicN*UyPkwxdJYlSb{fO_F0mjV=ZO$u7 zS*JusT`Vjv9Pvedb1zD9>ceMiF=3XITwS>zumII9_aSqbe(L%`92E1MD1GOs<^3_~ zf}y>om&&%%Uyja3MDS`EF@lw0Qh~{jxJ#)}YX`k!XowwE@}(F`KNzs9VWh3PX67OG zS?ra&5R9k+3$+(_Th{_4IpM4X)}2A#5cM`_&Jp+_5xMV!Vl?sl)Ns zxl|Y1O5F+m=3xJbVGh{#(9l}?MGVH?2ZlVgh5dS}vFoXKgrSm zZ!k4)|4Dy|WIRUdOd=?&OX4tLG5Lj9(v73g&9swQATE|19UKTF?h*bAq8$D^v>Lkg zBIB`$-APh?J^eOjAb%s3I*40**Voy~UXNBU384yJ?s6Ks?IT#s&KLx6r6wk^1gGy$ zI#FA&)(F}}ee`aqPkZv>B}nx4ofzAn=f3}S?1?&jdzU?-;O(u*yzZK^kxg9JJifh{ z`t=V-HPP6MWj8FIRuh>5yKe6|JODC#A3X$CF z+fe7#ptrc*pd_N-)JX>@Uf203sGP2n?bK9FI?2bBaJV$$b^x|BI=; z!^1NX2J5pMXX1d)7hqM$gk+E&yR;leuioRf1t&(^Te)~`+VKM#ls3=jjJt%c<6enB zpjV6ZiMmXVDy6!62mi{JQYzv4kwr=0WmGIHo=#)^sk*O|$Zk_vV4Vl!LTYzRQN6{z z2WGWYT;=N+!j|t?>bCJ@mJ4DTV|1p8cqnDV7liaiBIyJ+<1%Q~p?Ogn6Kk?0*5QxM zZ4PA>qiSBhWzotB_hXoG2o2Xf;0rAzJ<}m=>V{Db%(j;aiWa;-mT*=b$khITt3U5hMqsbm2 z5`t7B(HG6lZfE@~O?i`PB-q9rV(Tc#toyalT>8p3I7hPL*mO#B$IPYKO7G;hn8eBj z;J;=3%EDpd3Efto{H(9$i8(>KknAJSx4N}#I(B=txgH)Z}UQ}VzAOc|((JC;*({ZehUSM^EK?If$()%nQ5%*R< zI!Czm^Gr~qt~V^b6oHR_%G!d+HRC$sxT9dy#b zZ)^KMi&Ii+i(XdYH#E%0qYhH@!t7YG2<=hK9hD22a%Y%Fl{M5)5lXNzoLhqY-gPqU zho4qUWZiFZEq1S}#&Z5Zw?;>owr*o;NBi6TGWIQFg!OD{JV~J32-;F(lzRIHm>7k2 zOziGZ)nZPc80CJj!RT8x<^I6l&v%Hd5c0#NGbEWbZ&FZma-`g`))t1&LVaJR)iF-H zC_lQ~ndI)Cs$bK=S2ZvIE}Zh@!#q;A@w=8pVw^&yWpAQ! zIA_fh_RM%})9odTj|C(0c~@5une5M`B)x)*e8czGq$e&}uGLB7ren+dPi1Cz2F&U| z6s;Pj994Eyn53}D+W>L~Y+K!~`8lZ%Db5{IyagpwdvPzEt%L?%#i>K-&R$Z#*GV<@ zo3bP|xHTt7XAqpvrYpI)rg!LoMrA5Y0C|!@M2ElaB0Q0STq7CCEXt4%C9dZtdkX))~NqT zSZP!z#Aj{`T&yPS@ynO0U3wltxGRDE$Mz zB}_rbxSC+KxYzEIz%u38t#1yZ7%^SYbdRh7F5PUTQq-J?EhBFEiSCoEg&?Qmtf$T? zfh2_>-s2Q83t11Bg zr6>YTc3&3}Z$qc&;U}9QP5#Rat(qw&?NCJN2(?NGx^ldH;RAU-d4|+XXu*e;6d+d? zCnXf_fs%bxnQ(Y61W^!zD_l95QLdO$Ac_byFBJ9wud3n?`^Q-pK~qH%&Y z@gKodB>J78e|qt(^x3K-5GtY6fK<5<^x)W9@TEO6!q6HJbhQ{tfyn^~je*&hR44VX z;z>0$c|H>GyYw=pVR&8nAy?Q`|8y-VCDp{3uCeagh?N3qz7TN{?^TG=7!rv-9-)nC zhAVBA%9Drb9q6mzVLAuOgxGkd0%35OZkT9O;9Gmz8_7Rx8PnB5E4__?E;m3FbeZJ9 z)qn`O5UOCz43QZst9IjT7Bcm)FA6>rfOb%$P8Sm z5`_^51RX~L3qCkDzBJxO-**@>wGMqCMISU5$QwX#Cfbt15Eu`Ki}%G51dB?tKuR{i zpc;@e7lHzOm*5M76ahAjBm^A`==;Y9AnHv0G!^`@lyEd?7+(dHaSF-CYblhql6PpXalUGuABe7rbkzG)WGt zqK`w2YL!U-&qj&V#Q~SiJUtI9guvhX4=~_E+;A*iA_14k5YaV|AW2HrHyCY<8!pcW z1dIK=qx-9kk@6BW8)_j}e}i=dI`YwoM$bRaR2S=`3%SA)sDWR8&Me}s@TMOP*Sr>>9CwMB1U zBDb%TST&B4N(`phq{dQu$#8g&1yF?i3(%%X7J&ag`vJNuJLqT!`oFs9MfMcBA&bho z>fm+>qLe_e;E{4J7!oP>8z*Cck?V`DEk^SQ<))xdB3ce6TbFdeC1>5pEDv|!)hS%G z!zZ8*AH?gvD$TIISGK@afjLk9rcZ7dQ-ucHU zprF?bzEOQ4-2i2hA#(ZK7?3%&LLy--5}5Nrr$5FGpXZ|wx0?YvzBC+mx;R#9#FSHo z?(T>8Z{rQJ%{9=68GPs-185BY5{p~+8jD*#qt(3~dc|iz^KXcBn~Cc?cNe$v>y~}N zVe6s?+y1J=5-%d|1O>`lf0+kOnUIVz2I)5r!2shot`upwed(*X_W^WG&cIO>SEx=j$$IRB52-h&dRiE8Nxd7q`oe{k^i z{${vKda}883mScj6}gXwxT243AeRnR>S7A9j;OPf60&jB54N!~UEjn(wqM@VK(5Y9 zPN8`VICN$Mia$b1()jnl?V{r4fx;9U50s{)grSeX{+0?y{}s`q&cDLlb+L1|rD8sR2cEAuu5p&?qk0b0H8D!k}>| z^?)LM-;=Pl;v55^;c-ELT+}EIk0jO76L6)&l@9?K>An_%5JMTQB^)Y=A<2*{N&!!S zqm&oFiZAILmA}l?t+>5Ljlx_Dxdp+8#&kj12c0ecp0mhu;RDG=&a~v9!@%fRpp*j1 z0uYcunG!A+!Z-R*WyUU$N-6_V7LCafw-4Gxt))jF4)>chVd{VKo>VAva{Hm8p=R97 zK}El2O5h8$tzQEOh+O0Lavg@l4_9he9Yh$(E2sQl>Y8gV<8DZ=m_B8xq#?{HV|&cS6=95QX}jE|uJKE-{(u7gY0Y8o*V*ZsXr*JpoK<LLvn`Ofj3Msq^KPSbDK|iVOLE!|&Tj(-_K%KI&kMEhZ=- zvqET+&%#!VxAcH4+JdpA^9un2VJj#=w1qSm?Jt+=x;{e7eiW0Y@uz{`MWxDn$)i-W zq%>NB2TdG~ui)>~$59e>xMV_5d>kTH0tLx=(@ZePDPRjw;agJ_k&(#S<0BA|$oLXK zJP+_EDH+vIUUz!y1k9sT`5eaX?i`h=aJ@~Y5X5J} znEp7MQH&PEEeLnAzq(G)tl@`((;VcWx53p|DgqGH$T1DYVuAbt2t$yiVYE;Uk&QfQ z>LG|fC{YCeH^)!6?Sm#lp*wI?5~+lzyYPRv!YeY}frFBWzidYE8xGTf!&cD$Ky_YX z3XO<6;^NU+5B-jKe*{8@r~+s7Ul|E>;g#V2CH+-GNF_qL?JSyite8Sl{}a=A_kGaY z27kpgs1AYnwrJ`39>rpyYz9%aA zX%$EWhr1N$82ADGJuQ7Vg+~%;=|Kyz;SgX6dBIl`hYpJ~42cANgR2T9bnKhYe!H~! z5UDI(H(1VrvUrBx2(8mtzn8>X{*yEM^+>cFUwV1G2nuDQv~Rz;ru}>XbQ|~)+CPt@ zz6@BrNv96nJTDH@@1Tsi--HaFtsI|v{ISE?Bw7%fy7fNjlkmp9JGNf#YcB$-GFJQLRKFrFI)8?OXwuh1>%&qe8(k)9)%F2<~q>hnG%A%hOF48J?6 zKx0D{Fx|76Ip0qF%;Jhf zaA4923b~~$;$+ZE-rk(Li6@`O9jeJA?G9knd_!i7QZ=CkPM9`On{ysmXAt-ZVJ{rp z)m3gwwjZ0n9`C>hOxTADCI2eywhw>a5)trT@$EhhWWwf^Qh?qtbTIt-kgN`C<|0j# zG5+T0(d|buZm4Nu%))1M*L?g|pU!(-vlYz+L-6Bc+{*oxFs3r}XW7(O2K$h?x?=5| z+}5mF-H)DRfeY^W89na*2c&1cNfqHM9GwB2{U-DaKQKqwGIbF8Rma)VbY_D>OHx9v z<`a~%L32|VdERPvh_fQ%m;fW`ePh7t9E7uCjp`pOs!B*IDWigrka`S^F&QZuda}IA zcLmCf3T{LOEiRcfw*rdG9ejCJBHUh@>;B!LT5H5y3}Nf$BV6PwrZ*A$VY(5Xc#&z( zjzgRcKO{Z#=1DN058tnx3yEtMK_Yx)&e91iQl+nTwT5nUOQ|mJnJvTC^3j6!>YI`+ z(Ezf}m8Tm-dDTdCF6M{omMrG4+bExZFTK~9_hvlmEO9r8;;oU$TFjq3rdUdY?!-~g zP=eO;qS9QS`-kkaKw`Gw-;|my_)Z2DYFLd7Npcs4L za9*hEBzAwhpxeY#DYdin?4El<#Pf$zPgSrTq3tP<9v1ZS&c5C~l%ITB`@ja;BcUw+ zl`KEL%o02jnFoElc6D{nwj*o(tog{Aq)wvhlEs{_QI!*TUD_==!`KgA?fgvb<6nRb z=e6HnT9|!6cXc{ZE4Xl4mL9;mJI_c;Dn{Zh+Mv!90qW*l<&#UmhDzu`IOh2^*Lj_yH1i&g4x}1HHC_{oG)vfL8AQ1% z@qqOI7dI375STnz1mr&*^Co2`H{WtL#bNj)smIJ{zck2$JWz0wNa=&UISytB^}yfr z40aCT!?hJ_F3_Om2~%h?iGG75HJnLt0Emm_`83T=O5_roYaz_LM<`Mw0ph*&tu&-O z&7Yhea7+PJb5ps_PXJW~A(OE~3pt+GXxfq-*4-QS;!MAxq&bq%&n)(z@O8_3t2c5) z84y*Hxy~l=%7%ur02B*LE$k+R_yq3e(P}2!lhK~$rMNDDc>+j^17zIJ9U_rt1uhBj zWh`jd-J7r|gR9tFZat9Sd5!V~6-gw!--TEp%H%GRH<5ySI)ZQaLPx{mvJmU_c>JSi z+GqEU-PAu{-Fo;T#orF!2C@fxGVyIb_upgX<}mLw_seS$ouGd0KXY2@zR^Z^Yeh{Z zKJyY-?IJR`4_`UFy7lwOELjqqX+J(}`s0aWN~fAVRKS%)0Twf5xVK#PlWirel{@uX zEatt{7(V5X!2A0PWg2cMd@Qo9)lWS2z4McEt$O_SDlm6V7%|Vgq;IcGbxcrQ_4hBOBhFnbttpgfB1Pn-^&1vpnd$ zroZr^t()j=UWk5LfSv^rQP0pno2lmc0liiDB|;Cl$L-!Iu%O5J=G@zV{&m=9LS|~6 ziQ_YS24Az^5PwcB^6nU&&pm4rkI>m-zfqh!e+p4#hrcgwFxT{$s4OBQ14pxxL*Arl z!!M4ggZ=)+@$CoRw6m|FGHy9^oFnQT!nC2?#LbJ_o=V;V#4=xA4{zGM z3A;(M??-{AgH|bLzON7ZlSI>1gPqBA>jqQOL$2Bc#xl%j^?Mi_e!>{>#xx_mw82}B>!8);oPGU^LL<*xKb~`i)HR3iKaVgr zt1nc$C=Z!)dGQ&!a{cbu|dgM8POB2@z=L2zewqW8IbE)Mpj8FCj(q1 zg*Dc=Q}FIBb1edH!L~Sh+QczAbDy@8Fzo8XNdi_tHOI=Oa{g3M@~ud(43<_c1|yEG z^2&#y8Q=z0bzMQTNB)~rn}I_(^YF&TY|nLm#M@0kg>!K5TT3_6wNn%^xrBfzz1Jr3 z@O}U8_T}sCKyS8g=^wegGxkt(EX`%n-%}lrt^P#6i?;18ABMNyq+&ai&n|91w`?Sa zRb-csuf-ZNHIvkc@w-sh z%A~15YfR(1wD!5b>LA*fAF$!ecXHHFa8k9|2*0f0%SL`tixi*A7o|$izJ0%Da_@Wp z=EYd^3+aGmA?G|ok!ip2yD~s>P{7YX)?7?K{ZGUss5Z-L2bE~!1mYU5?ApM3iUB#+ zv@M8UNX4=&@jtz%u3NE)79XU*2PYmP6*4`e^&ZC~4;e1KNa!H*!hUU@8&PamdL6;Cb|dd;-nk-Z-1&i8 z1gIrYBV|m&RG7cQzc>1HOL%X80p#mJzExFwlRk%T3dD!;!>fX>Eid1i*u2)$9Z#@i zvsI|%!F4Fweq!>iXL$`ytti4w{4rjapfPLLps{amL0mZHH+-X&`Q_2tteav0XPMg&%ES*NO&B8NVuP_T3!NL?#{M-Us0>xLR5+m795cO>T5Ee z%0BnSTWF{Ai}e!;fJkC%!^sV2j`BH~odP9Nj8br`kP@*(kuYtQCagH1IGYmUeW4~kX~r1+ zi^G3-m!<#Wp2EZ@+{BL(#3w=+p0Xer_ECxWqypix3if#|fMzlHRfGKJEwDQ|!h;B} zp!%d}?T#ovlmE;7?pUPy+G)PopiaTxtz73MpC0#icdezgM#=*o^$r{Ue7m=+mGsL{ z;1;;R5;ras2k}XU?0z!_dNS;Dm3Zb}ub&HAmRIeD4AkQL8Id)?rfR(T@%v_mW1j(P zx|2WsbfjtylBLJibIsq(7r7k~P9Xo6POObpAp={xF*at54cfPW3^faXz0DF}&UwUL zR}TXX%ZN&M`5j+Nj5C8~$-$FTm3C>-niG+3$-#`X|D9t;(`eaQ39buvb@(wq;%*{0GEhtZ#Mo9~rc? z#UTii+Pd|t6Fo?ly4p42h26TJDeC3AtSMV8(|v3j<+?a^s5kKS%g)yN9gl6G29CT$ z7G2uT4s|l7h-a$3)>Epv)v|ZDZ4yumH1!jGE})OT?F3tt)ocYM@mG?hq>fRTsxRK~ zLzRL0CA7+`%R1r27dS`5r&U2)!*PK`&C~cz-YWm`Y}wwQb2cZ=8*+x9pXwJ=U3^=o zQ)PWyXH#!hW4a1|8l$K!uId~iLoG&@tP88l)+@yX#aM8<$M=c}Waz|Ng`?5YpPLK8?*ohk-b}%AH0Z11Z53V#ufEH`5d4R59 zLG|*L7?2MiD8l-g19$`!F%kyJMxyw@OqEYAp_@D`x6GW z8W%vIvKfZeV&uISFMnkx-DSrbF9LqQcBmmbT!?-h$Y6_XnY*M96KQ6iyJYV-=799_ z0VWp7$7GWp(h%=sEuk534}%Y89nT{RrE|Cjw+gwQRSN#~Qz5TTTCV-CeB#_~`TCU0 zy3`ujOnQ0U{YA|6e1R^RJtKwr=vHS*`&K^;4tl6qDGIn4INBcs=-!KCq_V*bNNn5@ z@o4t3aR3U(l#nEcVgtpJ#p|ul4}L9chKlE@uf6LIh2e-F2BbCshkO(Q#h9Y=T3{;t zzA$5Yao~3<3#=Qi#IY7%ZHmz8sWl_DM_Qfi^Fl*YaCEdS?ebzmn-rqVQHHMkm&vS# zu_}JA!0Ucon(gJ^ttPVF1}d# za(wu7T2h#ta*`s#`pAF0vdhXa?}?^SGp@mVLU-Z!5!tQyyhWZ@3V7GUq^EgFWqo1SwA&iAFC*y z+ftlD>l(9f@&x(VuRL7;lHliC$i>ZN*sT!RNx()etS}tkCie*>nz0#|dphm;4>?Z< z^D%FB)%A?@tM!Sbd-LKBZuZ^Ie-8x<&BA@gp6>{p;c!8qC-gSgfmhV`ppNjJ*GJo z9u}$)UAj-TH?H<&ghv>CjLFyTqR|sgMa7D{m04&M4VMDWEea9w%MZjn@*f69Y<<2G z=3p9|hMg-1AI4O8iq(q13B6P`xL@{f$n89ulTUGDdUiSOJPyV06MV{cpohtX8+*v& zzT5&aV*$f(x(_Y9}y8GQ|Qp7!cxGqT?WQVvM?d-&BN-NR?6rw z>}Fc@NCGMn2xNJfi(Rv$;`{I<$xQG}U|h1JF2}TzEqRPCtYBPB!wlKeC`fz=OfKv} zvn9CDfL3yV&abVc{sRjnK>=n%7}<9J4l;h`OS`9m2vg{rC+yc1I9||$dW_&lD2Epo z1HQx8iwTuFM_GJRLEj0`zSaY09KfUe5H)UeYmH5y>6^4_^RI){k8@}3&wGnp=*oy} zx!fjm>(8e(lYa|{WQCf8{__GVgHBbCSB>#1OhWSH+~1{=^J-2OdDTrrdlE*bW5_G+ z8;k?aFWuv*!sj9{Rozft^1shvsi%)A->8isKxg8Pf_d=0^y#zl)$fY)nv#O2x0&ND z4d05bzsR4Qp|6<5E&NlwSq z)#dLkAmAvY++C8{&PB+-t0|aNe*HD{W3Fz(YvQ#k6~HtDJC0FVxJes)xec1(tpnnf ztp7MTBD?hJEk7 zvKv!>;NxkC@6!&Ox6l^BuarkpsR8Rk5LQ#fdR;H}Lj?y}tdnB&e}W!;T$G5~uTk!{ zt&4$|?>%N9pC6y&ocT1sPfn*wfO9px<{*@)66w%N^+)5>e~ZKWV#5K}l)~d;EId`P z<6;X#k*1~PGqk(pj{VWWnzwbLJBj!A@7xuYui8}o{1v7xNJ#t_ufz0Rs~9&y&gbNv z->9LK=R!!$zIkkZ{{t#P56#6R4I~GC+U8VK%OZqBvGqq*GXv7?G;~?sV}jkz!udA()ix~dq`|n z6I9NWV6KoPES;5w4$MEEqK-NEJNof~5p(f&6M>-;WNn}XgvU%e!1h4u?KeXLr7OfX zkZKF{<6ZsR_Z&j@e{MG;eXZqp)8{4APgRgvWWQC78ph}z*_AY`zTK5EWV+oIHJrRP zt6?5@rAw75UpwT+XuBB_w8}f+g*1!oRb0ueZeDX|Qt@JeEdKesXbg2LlUb-Z9jdf) zZ)XMINj}Gwru#i+#a<)#Ni=+JwIjTF%s23S;__MC{O>Sd(Mwrt5@nhbtGE9vg4!f!%qk*Oj_9wd3!5?E(Rvj&t zt%c>0-l?+RHg7X5(tHoTQ9oFpNiTBr_T$fULX)N~4}%dq=k7BJn5bn2dhb=Xw0*I=Xg< zb=Wz!PkfMa!XKCF^frZzotf&?b8Myu2qd|f3@>{KKq}ZhK8%yxb6lzWNEcl?{y2Vl zJbbF&#lfQ^7(v(5!@k>gCABSkyuMj@pxSMEpPTxX+wN^nMCMd zcN@0T=jFc#U++Yi0fGlq{h-0u+*Blzn}n@k12&&&*)UYE(To2XG=2Dw!4cUoQ29T1 zjwg6P1lsZCEq5`BHLhz5%elLYpERd>+&Hu*5gML9o8vAe9@WqJq>A(CC)Ph9*cwA-?Gh z4EJ%!^)P!p+eBX*(<O1ruOfEKOCdViwqjli;VqFpcKoO*mIc>VsimtrqO5-h9>P<3IvbJ@3si$kem#WnL&Z zkyy3aSK^WQG5!cO$xI6DO}D~??NB*|_Lo($Nlm-2?@irHwp>LL3b7L|gxCoO-=jrV zaB3g>uC8;VV={bM%hbP{@WXEXOz$PXRJxSF;mUA6@#ex;>51dYK<3nyGo?RGvdJnR zXU`X9o)8$almm~v59UV!@`+4nM6)|kx+Qo|o83OoV zy4hep70!3Mb_V92AVaOr=Xep(`DRu>hP4YB|Gk;_u{3FzN1;OH}KcR?v#g zV7p|Y%bWr?u7n@la}4t@h+*FBgY+Ca?u6W9@SCPfP8L9R zbsPlj5jgK^o48%F|DclAZ3vf3#_X{VECyus;#D(%%D>)(*|15&Qcb7U*DH} zh4W&Z1>6GWJ%<1%9lU%s3JhTk2UcbGjUl&j&;pEyl!tW^XTki8M#`q|EA!nxP@S-c zgoo%mWE5{p6)xYayJKVh-D@-xgC85E{&bAIc%t7OTH$OZON%b6!X43;dd26`joRx7 zHy6rOwROodaGi-gi!X;#8*6zTZ4jqj(ObCRev`$~@2+4CG6+A$m6x1mH+sz zgteW@XW2=Zbd(&Gq)A8nK=bp7HHF9KP`IqWDV|WN=Hz*@;5-DDng8lQ6c%iv@XOA{ zuFq`uPI?*1+S#q&vvNJmSj0ofeGX5vC+JR*8A&0~OttR4Ib)+VIhR13)0%lV0<+J{ zVEm!Ix?sQ7WhqD6{9W#4WAEFB+3geM*U|*kp3g zVHtr2TLL~xhZWic_iC(BKFvr|i?TvH>r&|^4%53EtmWD1{35c3y0Vd!u(lLo{p0j^ zx=yb$U{y^c*DYTD?p4HIP`})yp?Fip_ne~f^e^%%N`56Gfe}X;dl-}cKGW6R?YlbM zY*_AL=l@A#>WrmJ14G3+w5%*)-?S9eXckyf^sT;0y9Fq7+Hz)w0J=1o!H6Hevu7!t>l*;-F1iwVH zlpAJItAA89jj+NFc;!dFU|c|?0RR`3d?|z=`DIOxW#4(XLMjWGY$UQSa&6&K=>nMS zuWV7RkR#u0q%?C!F+L56VRAghs5LcYM=_%x_&{k^I?zgs#&RM2(yW_y>H>)ZQ8&Q! zyLxw;8Y=wvtqAW2?i1AKg!GKa6DDpqUc>`0FW-J|pELG0uLh=MKf(>vR8%RwZ&_(y zuea`xjqVFsE1r7(1Z+5TpSG}R`{x)cN*gSM#P`*VseN$zLa3{MDnndOV#GCIKbvU3 z_sA9zYG^rRF1m^{z<~Vur)fL&3iq;SB4f_})zXzG-B*3iWlq4l*t_Yju9xNvc=vybMvVH#d3wfsei6v`;}D0(gVat>YKM>I7`*r3QAVWoINIJ&@Z z_|^%G$mwQTstwVqrgI9OwLgF8)rrt16sc3nR!F62#4}WpXUtxe zs!)EVlej7^AEk0nZ)*UhYJ8n$jz)Vc%eC3*wxT>YS+V1kuoOnwo@!G$t_nN&Mq5s^ zWtG1AqAoMp%W;iVMxCsfwf;0)tC1Z|+WI209al~twTirzl(#0v9}c=0cDi=UO^vm7 zhYl;z@#a+uD_p_3+8K6XQVmUa8G$>M0_~LR*QMzi#Yz+jn!pEGrz(p_hHm zqAs=7l#i?G;2!z=c9F`wAh}B@gI|_X7&IN93Fc0cj*g{S-uF;0oL~5L<}fqX5cvyG zg@lr_3oClfUaMdDjNl{q0;%Gjk@|?JFwpw49NCE6*s?s?i2T@a+=B*{?^Cj-q|ITC z8~B%*g#L@1QpG%~9B&W^z){&q=hGn$xeyCi7~93Ih zOA5&R7^Hs`Eziaw3DGN~al_x5Y9@(*(xG}VVOszr7}}XLMS$???$89Z^S}-hw>eWB z_8RSgfPE#QfW6%}B7$ds(v#-pX2=-IC(eJh*gkk~+aR#WsLcH=Q{bKMmy!>fp->|EaT-v14Icj+OnD!Yd=Q*`P#UpA zBmTFzPG2G6cNvyBx@;#XwkkGi->f)Z(z`{bdT zlx|`~X_Yjoojin<4Th9%fbg$xP_sWN@gwLDDZA?n6FjR8coVhXgw`O8rN08~Aj zV{?N9FTlhI$DJH+5`cFQ%#1a7%3yqZ>6d=Rs@R? z+M;J5(s#C*eCKfo38iIA?rLmVog zNo8~j>wFGu9D|JsYdJN=lVMfp}x=A_v~;pr$5>OA&wn==GpGt zTBNa>3abWz9}T3bCBO59e$->dp^j@}2?Pn|V7Qfxa>n?-*QhQl6lgj8!6+;E7KO{bHZ+)#$aWVLLMtEBxS)Dn z6~F8enpumXNfC%Tyap#ZmzNlT6?%&tIcH0ClI9;79Pm}Fg&Z14;oYXpTX;?L7bJR@ z;X)M{phbI$u*@OG)_ns-883L@8XTI*PBCVX%CAJz5JXR3AOrt&f#zg%2&Q6aKr~~H z94egoQ16%i{8gAWhRZD^z-tt)#Rve}lxdQ6o<>t25E+lvqs0Rh15ML{;*Ag_v*lRM0&d{G z2`|%LjbTL?w0n#-YmBxF2;VdW99V$YP3ZtaPO~(7g_qVLvl=9*li3GgaNYA21bHYV9>1f0SmX77J_bc5pz8HlZ-fVTz=-1(LD(3!AxQ^jmJw~h!sgX0hr61|)RN8=FvHi?i`SX%2@WX0{bMMk!lfqN z=;ZzrcmtJ*%!Bp?M(Wr{uo?%c*e#u9cDIGrmZe6pY9r+GO3qapZh537*p8)M#I{1! za8#i^XpiSdob1d}Z3&Ze0TY!{dbj)6l~I7_qixvvoQVu+NfOs5i*DO9@gFMDFhd>( zMl45^W!0{;OVst{K5(N`l#781vqb|iQbM!IIOKCMYI}Fe4Ej>m-1?La8fz!4={-ON zyW-T$E)Mpt#0J(gYv)A57#?3MSmahYi+)`eYjobs8^{Q1@KsCOr6oUwO7nMkL^ONHi|4)P5D7N#f>D>XDAI;<3EdLMN7G+_7ZaKu%s zZ%oI=l{Q zwoxt%Uv!Lw)}S6t8*V#5De{T@{13Y+gkXbnk4A zYa?j3agptI49Qn|ydV2Pp11MvUwUHU(~Un&0ho~TZyE0a68M^Y*;}gmogyB;*|(Bh zi^G%ouJ7RKohFi~j!UK|5WjSQJOVp4TRMxQ`1iM8(dtD`_LO-jIF&v-=N^8W-TkNI z$7dJE9Gg+8e%GQGyT?xV$5)pve|WcorR2N3`=)-q07dNlu0srkwsJh^6l$kuW=XU1N0#bAt>9M@@qr5ba`siha(D97xvVY;|vt_~?xd|nI2r?Sw zP6EA=F3dr=f#XZ1Atx@msLt?{pBs8Zgg5yXH}{e25S}E-wfv7=K6D=vaJ2GBwF3pYbV{{)lAEjCQ|@WE_!? zSMw>FeMK^5$-97s?LG+Qoqx<|Ad0O$2tCZ8X!(@R-ZO{tF~{#t<)ZbU`fEYtetQKcoxWyJz~Zhi}~uEGOGn(ep?cXK+Qn=4*MW>@X$YEni8#g{g#Z2+`e?rnkPpZ zJX#WhwER{O%ZRwkMSqWZdv2VDhBgG;DKy2zSZD-^JtLnioQ| zHRr93x0a7!u``3Mr>%Df$_=#dV@(^&vH0Xxb60K$;yzd`ey~NNZQ^KtqZOiUEn)g| zR&%yBQyW?XfAm^TTbFNDpTT13JKKJf@BbsaLv76kWc+A>p_9J1Pxg(nCD4o9c75Bs zwjEHqMo-m$-!%iLnt&Qrm#N}gH!?|0kgGI>*!nX`k7qzqaJ$q1L3-q+M)Ay=2H!0s zr<#y782KpgR17ux_$V1i>SWK662Xn0%BSuv1HUx`IsNVepgy~6bzE!}yW0*5b9M^L z&Ib#y)nATK`$~`U|xdR z)8kV($V@hrBx#TuBEqn%2L%W>7D;fFf^E++5%-u(vWk7Ed5Dg*_Yf&}p#Dg_W&4VZ zgD(&(@nPd7+^^k6B+rD27iT+hq0uE>fR@SH>XG$=<;8JggPIuG+@21YfzD_VoaDpm zO$%aoX9u%+aDv<3&Y%$Z)&3(swm}o{SfZ=FZ$H#0MeMPB*0F-xo8#$;thbKzs3HC>sKPa2zNZj}>$ zqX$T(1o_c>ovtgGNR>lR`L-t3VhQdOVpLDN^sCP#mBWtn^)sf5QRk%=Wp|~7lgcc9 zb=@Bw*Q?~x|H}9nhYT{YlAL7`Cyy94{hY@LP5+@KOqq{c(GgVb95#~xMW@s7ADN7u zql@4wsnsB^$@7C*j;Yl|2pBa!NmOt3i`)GN*{;Ffto%ody;=Bg3R_3Igm#F41bXb8 z{2iy!bbLwvk<;WMgVuT|k%Ub9YS4*-q)H#peRLV(pAa3HHda3o(|;O>?N?{Ev4oVk zOFYxU8m{3d(ZW9ciW5}Hoz({BMq_uDwp-G?Ce)7JgHt;4f)fhL!qwqfo7*^_oWgpy z%@T{p+PUSe6A1c4BQolOJkztI)%@{_{Htu1|GgN9ob>u9@)L`kl!kT7Ki+~5wy!!r zv3Zq$`d&i)v{e_GEtcZ8mCWmp$kKYMkmF z@a@C54(j>0@az5z5V*rVhVbdZx9y<+;!dn3ToC`qiin2T5EG#)f&#CNxMI&gH~Oc` zedT3k!SQGQWRQf{#edJWIsV+ZGD|4_T(Zl)uI=E9oiU-Ed{D$lONOL$NW{nsF`DP0 z;;|z#K2zS@(PR79yvg_9@!{}?$F3XVZ@)G8({81SgT=n>x|^3jA5RY3H+JD)cMTtI zt6!z>4iv6AP+M^RQKpnP!u!2nSDpNHU-K6Bv*nMJdGY5)R0_MLPt~)%0-;6`zM?7w zV(&1X5}-!KGgu)i1YzH${}wS#N19-w))*+JM?M(9`f}mD%@^|p@L0_*r-{HxLr(HT zZgG+ka)XijofU*U;G`gC1R?i0%QYtiry7HqDuekeRMlhIKfL=*SGG>7fO-4=GKj0i zf%aAS@YA#7ou=sUR_U!Y`+q>WGY+`u?L7KA0FHc3dJCKc_JB*>G6t3@|8VHqciqT? z=y0p+6^JK%S zi+PFmY=isrD75gwe~gT{GCT%1J^*g%PV2|%^V`+^IS`$J1Lhp`tb6%Do}2I!I3|VZ z^wq)tC_1nkk3K{`oJ2SZ20|{rvJTLD`OxNcq3VYXYwF5P^jShQQV_s4u5HEnwf;`! zq0Z_pQ7TL)1OesRD}XNdW{niHs}tv6Cp{#CYg_T^hZ!8+e>r?q&;krV^3TyV^a}o3 zUrkR4C_dBCEIeq`@WQ{=`UIC@(5`(~FW^{tg3ry^L(7;%=mcz*x*ckIWF>dz-s$U0j(z6pnE(=bi~<-jrGI%P|Y=+l}G_V zK_$y43-2FB8bP3Fw|rF-a%k0e3h{Uu45o_I}_2pH9!D0BVH zMHs({{9LPyzxO8cZ=%X43PzwpA!dRo5MmUvC0LHm=ABN`A#$6`idY2$ku&ij%ADGz z@+E4-d*#ZTo%oFUFGOg?A+zLBU^WpX;#Uz*NPyAs_z)=pETixtQUX{*|&fix*3i}t(7<$2N4VR43nq%6@fJl-E zm-w8Z0TX#7hF%cQx;ewfBItC9APMj_cyJjK!Q$XymV{m_45h%bA@bEAs0DK_VJe5$ zds%jMH=ogEd(~8WgU|K(7i3~GzqlR$v*05{WJ!c4{dW`3!B?bW`&IE6dcbrs_Q54l zqQMstDG72y!~<$V{Cf@=K1?VK{U9GUwBL^3ewmwza1W6o!Xt%`9*qeZQDMe$;>1*5 zt7ozHX+u7_(dkg_2_b%Ohc*Nt-nY)FiLd}j>?N=GogU9JA_F4C{Sy(gZ53VNJwtZZ z$61Cx4`1>vlqf{3E1uNfFM44KP^2KJb<#d8B;~-{Gwhz<8w-#MLAaL#Te$$EfbDlR z5$UaFls_mHh3#_~0dX5-@nC3Z!n_eEflaXAv zB#?_7C*QY0yMK?h%KhE%<7FPurS1txYJ5UEhtzp+bm`loQt8{aQt1nE9lx>ySI1X| z+l-ycP=?Dv$)Itz3(1}1u=)~pc^c5~W+O6$`aCoZqguV7Fq96X%C-qpGQ%H2b)~45 z0nC&K6|NH3L`;RD#5o>QE)at=Blf%g#bpyyArOz8@(r2O7OMSJlYWszv9_OcZ-PFI za}LXEcSAaZA=`?QPdY;7FsE~wGZ1FPH*8yi+w5eh-h&)7ti6H7;6IwM-!R=d7uHkH z2m$`iUk%MY3Fhy#+}UK8-3C~;hbH#_+d_y$pd3HDgfIHIBg8T`Q zFoYZI!>O>}>?~l=S=c@X45~csDoPy1kLJt9b?eU=cR{x_qGGRivhfYrkvc{4P00s` z74o?IkkIdyx6}U}4M!O2?>OLRBcKK&gdh0ePD7!FAX*UVIYaKjC7}#Q)@XVfjS5(j zA8+_21}b6Jw$kcu^mp(-po0{tIN2e7GC>}vM+Sa7k9EFR)J;X7*r{H6COO~hn2xN z(^LU%tHC+vr3b1!K#O4H&dG0=wy^gCj`Z{&h6DFUB??3hCSk7>(3XHIr5j$boe>S@ zZ0l|2+EfvDOrhb=$kEkP_mATye=lL+JuJsRf+ZK%7o9NVbsLwPK-Hud2@@H)3UNA&RB=8 z`3!tw9p*Ob9}K#d{#NOg>_RoVB5OJGN-V>S1x;FFtz#Fk$=`-^Xqo$qBA>GT?Gq84 z_SK#!)r>^clTTnm9S)RzMj%!uW91)U-qybMyq|r(uD!f|txCce&tFfA{;dXYYQeM~ zoBCmm>8M(wzsSfvT(bFp*(X=$r3(jP+|7+sB$-nZ!lf$LQeiTb%(mt~n!;3~>B#6$SLpxO^SK`aumdoRG%Yih|D?jZ%l7h4=@0ZSovr zvXKt+1xR}&3Z(s#9a14l#VHXAo1P+%h;yJJX?f%z%UOJgto70Bfob$UL`dj6aJP^> z>$DauGUq1F=M}#Cb^9BvT$hea*CF>vuSmTkxaOoAWkeRc^oY!f9z#-QmMCW@b6T{|c14CFBrR^8H*>3aj|W z_k9E3)Tr_!RdS2kPoU`3DNrv!IrP}7xq1bN--|roz$~PwgJWHcLGV!RtTm7}5I%8g zlYuj=c|cHRr6uip&R~14BAT+zL7TR2L#yh2GOKtBPAVK1wRz$b(+Bep-z zwR)Af*3u^=y1~&cT~VeZ5YgrBfLUt{=tL_#g+{D2Iq^nU zhR5lie51`~S%+6o4_dcxuhV=Kmu_ zeKiXw8yQ|@e&NQ!8`s``&_6czs~l0A9I)&EpSVzg$ssZAPbUjLU0e}Y>WAXbC039mmhw^p zd-fY^s)i#`u4xW=dtRgxLXlsQImD4c&NawHkz&p{I!HA>i=3P|!sL19A=LI$riIvw}j;C0>nx*fb=GTHY&CN!QVApa%3!n^@d zZRGdUo03CdwS5ZtgZ+9b*Yr=y=O@H}nUc$Dj>lhDB>Q4lOW(bZv#bM{-H-L?vh(P3 zE1B7U6%6bO{<G;fpsH#;$o~l$-#Db7HtdedG764_^DNK-=^-@Y6U| z@Otdi+hYwHk3IT|0$;X4M7A#9@ocKs2w02abyeAI`=9z7f zh}J8;71Tes2$ zs;66}3g6fXnWFAncdJvIWowImOgjM$!|LWgFL39}ziWj^M|LeCZ$3GMR^on5bNKX& zO>c*JgbxTsG$Tt#)sAh1Uhw(5(|TFE2`vfL&mjqXBOH{)-kLp|acS&6GX9~bFz9{T z^ue5Zc73&Am}E0+&;ig$cb#|VhIL@EZceVgTjY(s*%e-9^x7R9y}mwYm3^dPLqp%M zN78g-qY8IELUDcdeR_at`c88B(hv@b;o1JMjQ_eem4MID78#{N>3f#_XTZM3b`**~ z2~$a6zy7Kl`r}SlP_w8=UD;MZCNd`|!XVNxN7(axu6*6yr4CgM$yrGt>4_;eC`moq zhYvhCRDs;>Vw!pVJID0oy?Yka%P*2{o%Yq@mjy`uW#j;_Se|M^udXj5W zuEcX_Mx`FJ_Gr?N(WNUWIbe9K-xe?W(?{2}V6Winni4M8=>@K-?-s%Bs5x&8o_1Bl z488?sVV5r?jy;?IQ0;fT#FekNwk>*cWOyXJq(mz{`;os8P&tj|B1Omh#;qtI^ygk1 z`!)5cZsY5YY0@GH&hKf(mi>Pr@~IBm{1dhnRejS-uH>d2K`q*W8=*NA6M{XB&~2Cf zv=>!wXQ{d!rs~3LEikwoO`j`{Y+p-+of7`f`iwzgV@l_e>CY-~R81}Umw>+A62#`$ z_vGFHG=G&bEk`d`4kk8cOSdi}(`$`}%@&!1hNPUAK8@-nCr65@CUYfw*iS9}KfkTr z9m1#AFCw>bx%bU!PLok~j0G(bAW30~CMz14iYoIXAnEmr%CcY(p(h+9P*>_{{4tYv z*<4@k6izUBFl;Ito=xF2-Wstk=RY~qEn5@#pH^`YMQ{{ftlh=TCc&9Z-dPr6O3eG{ zV*8yafb3ZMh>uh1$bH_r9J6qmk~hrG*`8kQJb0x00)b@?uKg15>6cbod#rVVK7N16 zY!jNB20k+NTc6?E^Wzi9&^rGDT^bkVGneEuSve9F92fhdl92lYn&!t8fwvk*K9RS0sM(e0Ch}rZ z>4H;VF&mq!q^53R=u~`!jxMHRk0Y61B6|eMz}cT~8Lq+Xll#|#w&8ZxP_Ek$GeERs zw#{gQ6+hYD*RcjC)r>##P5^uG<&r2Vjp8LZXcq@{1M%QN>c0DNYicb-mc~NZC|`)cIjW2#yD+Ts>s%by zo0*o>IkYkn)B4l)5d;elTp?5rxV)e2hCz;~ICi?Zin(Vl!_Bo+H^*{?1ExclI9Gi< z#iCR!15G92;Eh|&*jS@qnK*TWkTipik2I2yk5yDIRZE7COZlLE6wV4q%!6B@4JK9D zeJLQ{xH;2AOw4r+S|<@*A#EsduhGfCiBq;mIi>L^9qLpr^O7WMnxWNYY)nQrXBf$& zxRg_}Z6!u!l7E@ave=DD$@(WRMkhn^<)T@ficab0{QIBqFBxhtp1i49%}7#iJXK71 z`dJ%}Y2nVfq@@}e9}@lox-co;D4Pq?j?`2xk8r4@^keYIn!?mPb7slXqh3P)663$o zvBg@^L;xXCEXblr-mbIGz*8o?3qSJxJ2p6`O2v*@TC3N+ z(dnX6lF@2PN91+A2_EQ27mu%dXl~pjSD6TrApw)6_amm5jj;g~3#c3@mNmUVvNFac zK3Q;NrvC!$8)Qc8MpmO)c2$+0uIstgdB{cE%E#XGg(K#z;|NPuVZrd0l1eSe`nk%bgd{nK;5;LC>T^8i|y8RGi8&So0vk;hlMQNHZkn0v9F;Qn* zv$u<2ZYM!-WeOJYN86lo=Z-%iE?q{&Orh`emPd7>q90+s1x}lAG^=Knj+<%ObOP=4 zdF64vTX|mXdKjb(zXjieH!&_)8yz%6Sb|DqTwdLT!2Ha<@j|f2!eE zUD8xoZ|#xH=p<{Wq{S($RJsbSq`d_krhlc|OBso`-Ti^=Eq-()o$rb@DuoXM35_1#?!(vLlT(s|qUpWRFy7 z)O0TMuy&V)y)545Vr?rAcriVPVtBjCM(M}D(MljfD=h})wh;``OZ0+{_2oa)7JrRp z_IBrXG-G%-=y`hhR%m#-_*SfrQx;1PCCcZYNR`P7sWHzvs7`6V`l@Vocl0LJ&~-IW z&P(Vk=thqpNu9k{X2OfcJ}H+>R8iL$v87**?`ez_85i@GeLRTdsRmPX!W35dp*-0j(%%E*Li@kt|53++}A1%s~!&34caxYlow z(TmC*#SK{+5cxU0vpSJYo1}%8e&K$c=A1_yjyA$Qssklcek{{LL042=4Xkdwnq!No z2V}%o68Cm$kn5Tz-U2Lqhgg@kp*h6LU|R-kxhALe9=y^FSBo9k@+QEhSyCGSZkOjJ zQrqCms&U{nibbUl?$WH!C1qfQtxDKTzwS636vj5g$*bmXSr8$0ZW!NQT|xxfUuD4a zRtTF1nI(T+UTta{S*(LmD_9#xPE22DsI^*jPl4HUi{H47a;p%`AKOut!7XbToEbjGt)bg)23FQTTBEl8+Jb)m+;f~ zbol5^7N3z`J*rHg>h0XWC$1EA`yD;e)&0q%8J{;(a+SUKXbxAZU2v0YZmj0otloI! zQmzw}JRmV%ccNK6C(r8Laie$c#>_cDYY&j*>&Q%9SjcSXy}VQ! zk+nu1qg~~MX7ztNHnab}y4QJ=^pmr1p{N!tEAFa|Ly}T0`+Db|j(A$g+p>dg1^9la zQTOlqE59GsedqOdLkPS)G5N(V=XfL+-ajC+)u5KrZCSr|DpKmn${Ug>Ov@oEPjr?!-i#4pwL_L!Yf2V`NQn0A(R4wbdC;+5YbIor@>t~UEqb=Msz_g*Z6 z>(mCDqfm|P$3v6(7A_xs+LGIv7=8UnOcwQkT^I}#Cl|DAbbICW9JJa7su{Qdn`Zao z!XbJ~$R?5v?s*woq6LR|>$WovXOc)#lS7kmO@o2*q%_Z!4Ur|1O{4O9N^(fE4Hy}9 zvh+Nd(wOoCwS|p!o{6vr}E-Q!xwhK~ZX;M^v3{uJ}7{@`soyHOJNh#C(}n&G<1jd%$U{;s% zlYG~C#JoLDbLU00nDTOCtdDc(E%t@yuktiUH;T7y;>Q*9w#1fKynGtg81V86y3%h4 zx+*p;c}C6wR?5lbs+`9~Tt>HyB3^-g>u$%@f|qt4BPa;kK&iV1hIxrXOG%Hcq<=G< zlPk8IN?ho)@x16alMV>Y>-N$yiZw)SRcvLEFvr?nVW zKGJqn<`puVQSK$pi06{Ve+Pohy<6I7_-H+l^sthesg<#JvgMSMX*d1ux@REla{rWD zoZt?daAf%s-Zu_y)aK9UU5Fm*vf{FtXsC5(pZes!%M!(3U`frqF!NO{xoo8^|F&!> zq$M9&!pTPV`OQ2_BLZ=As>0%~RF#xubA2?e5$u5~!c&y?1bb`0pv^d(Que zmHBK1+d!f15&~cq)QGFGi_#7y)XCc5b=i)fdeVco{zufHB z)7Pax99)t+Rlg5vt65p(oc+28>e%bs)XW#n&E4xD_jln+pB~Is?&0?f8+oFij6D`+ zI+~4Xg&A;pFLC~UQO`ZNBR+jporY>zU4q0*(Md47$4qpd7H7{S@p7qjM|&NCd%O?h z!X>?xts(A%Duk8zHwktaF%L$9e`*@eo_LGqBsR2*&-1pxMVqI?Aa1cm{^zY5S?ETD zme+&Hyh-|--Vvu9KYN%;RVxmN-+gdofSDuwd{B7Z-vj94^md{|#ZDns)P>X_n?$jyNf@zSVK+JW$`MRC| zfIe7EFSK2D%`T81uo$I23(k~WIhEj%cGc?<4=?nTg*%iiWIGR>E5#}QQjQ*(2QRtX z{GDHVoIS0s)A2e9Sx~No{kjWjNECQM&%ynQo%w#@TFMC+iXT|tlU+DRL;gbk* zxi9-8TuH6_JVuG1hxDCN=I1l~>h5}Oz8!RM|3g;hcdk_tu8&y!Cu;7(!R0~!TQ~h& zay?=#r&a!0!-|c=JH4W=MevT0y&}D@{IQJ_8V78px#ovyAV#;=-`Dq(&mapO(CtRa z;5pIl`2jXM?Z&=srx@k?)%6wk^!(@}ZR6sDaLa+?#^0B_`x<@#s9qn~Ta!-zdxQV` zq3-8i{%0nQkY{zmzU${5|L4{J>yQ6u-p|*H|MmAD$PfCTo1d4NpDOJ9z%TosS^MwL zd3fe)oA;g97qxFJtY$6yMFhE&jo2=0WeXnWyMa0E-=BT9-NZizlC_My&p< zB^>^d%|4oj@HUKZ|CVdBtSNyp`AWLf`zeaIGmT^%G#%;B>T@Gb9uqe0n&U6G_A+#f zhi2EG$Go2v|F@f;SAE6Z6$LwswYr~=mG>2Xc-%$%lMYqsEi8y-c;!o&iNMDb0N08H zYZ~0f%N7yMQA5BUFUp`#dBszN4x0NSu9^-|x?|{aRQLTlMePUlw~9^9sL?VZNo~zA z(qerQTUdB3yYOrx?H3|`32Lp&BsbA-BIex4V_3phl7}<=pNpT*r#$nYS=yh^#y}*3 zXM_cc2JxQB{MbkHgszF^)g7C#zLOk{VH~Gvvv_5{XV-X;LRZ}Nc|+G;sX-imV;5f8y)k+VbV}4dWooDlb*Y@;W&X)7et5LiNKm)n`$WHjbo6=pceP<%EM(0d z2Nnt{+hlRrAKDe&1T8;ZkH{UV={A^P#w8{AAvjmEjd-bg5 zg84Hk49EM-ByTGNhZ0m?K+8X!i(4L(c`2a$&(O?2 zqYTNY<38rG%>(mFy~%sKS!}=z2UbBTN+|}mI2Qq1Vg`96iQ9s-$Pf$D%Pnoq)7sV` zB3Z4dpeXm;av#^Q*+TCdna(J)v5_;sD$w*oUKdE7)EyRm;*CMIUnprnYmbsCi)@7q zPH$H;s;ihtBe1>C@O|Jix>N0R!?ahUcIY%Ixr(IEV?*_*^l)Sgogw=vng`Fdh}WW= zN4y^xtM)$Y(V~{y>ezocIa>ux{87nO0Sk@EYM8%^B`{jhv$tUpE&NfGQ4 z_opz!=tBW*JD&hhb<}kZE;z`fM)2w-)_h|2`;UaQY~WFv*Xu4DTBI^~{4# z>yrvnYwnj|O$nWBuOh_q7iKPD1te3iLIi_;1ib7p<=qknu|(fQc24-6&#jX-h>L=F zBP^y#(;5~owP13HaaH80NyuS3EN<@SoD=jv#^8utKv@6$wFz3FY1>+ZbbzFif>kFE zfxK?eZU~k1$z~>&GdvKwTVYKf3k3GP8yq{yDwp=qVfaAvT{Nq@_}s63FVI&Hh}0h( zJnB78oczyqfbVL2T3P&h&Q#{-wI7DOFHIb2F9Ol;eUAd6Q@S5bGH}QsQWLGRH}R2@ zqME7s-Q=L2$&6RzRw7;I&mI}U-sJLXI#gRxw5`f0n$&M8Ng`;MRhr+0$K7awN!@0_ zCle%J;@n}`_P`cz#BK_32Gqa^likhv1;Ci-#MS@z=`cBlp=$9ayqT~aY zcAa7hK@(Aewkct$piY%1up-lkAh+69;TN04nGFe>Y_WA5x=R1RCZrRVPikh~@7zO~ zsyw_udS!)c|D@&)N2O;9X!c9~?f(STUzY5|=(f+>kyOCOhTgtf=kki>?vWNtC?61^ zt_+hnW~?f40F)7+x-o^B?Po^e81tyLpw57zU|T}HznlCzulNwl1lPiXVwji3^4m2z zl7%)tOE9Ze93D})K{?@yO-xC*Lm}8PCCC=x$+RkpL?k+yV}t89`Z1~)&xuNtI2w{3 zjgZkJ>exLby>)*^qU>GJ1413tqQI?BK1y2wY~)PfCXoF*)<&jbc8R_Kc+iZ&-wEO^ zol!aScxHCycY2}f6bcx;aqAteJ*`ZXFf+t_a?~alEvh*f$Z3^&EZTsw(9`sCd$0s~D%qamkXCB3 zh!9~@ZmH-x&PznsrS-K>VTDRF!+b+-l4MFmJ^pCsP!P+oTW?2Ranb;Z5jz!a_T0z% z!Kp;bDKIxnx4&iAIpZ41ZtO@g-a3j7X*j@38%&swz4b!y??AdEy&c-Qz}eZqDUj=s z2YXQ{c`c3|yqw=ec_|xGf7d?Fhnc`BP6f7v>i04zCyZ9Irj-3@RPg5`tUiR4w5Bd$ zaoq5%i3{JI5zSOJt=TAxR8KwEZM;NXS2&EnNCx zm2oIpaH^FmduESF|3y1N56PpB^j_Lmg1oRZv80Aa&0R3z7kDOki)THdV#dhh{@yhL zMfJry%Cz^;F}QZ`-WT|1+Mm9@TEgEQ+Xgk-j7#(NWgm*G`eQEeG{H0T3z2PXM^yS$ zATa2ye8h((&J)gXZVuwmq*RJfcWpa<3N7*z%~G_6yewo?F1Z@7#3astwqk7M5KazS z23O2(qzoQ5w^RLiW(@zrFg;Bl0Uh=uewJhb#S$<=BJxWRJj0Vqg00}%w2JOGUUNsVZSh7FqOix5OJpg(*Z zMk~{HK;xPHE>bH&*mjH@yf|RRT`YB>wX%Ff09c!`b=Y$k%1hs_Dk1Z1B7KM(sZ1^qKc==7wbrnj zTh9LIU@?0|%Y7T32nAO9#raI(8%Z)7BqCMD1xM=0i7FeHi(XuiHMZ=-Ts0L5a{e}~ z#1{!z+DAp};bxDA3G3(H)(9rPq8_b17HlIW^Ec;WLeY6jdhsXiHm)~%k$YNSlY1I( z?B&*xJ;%%E%kJF74zEq0bkipRfEe8ET-U_TTGzqN|Hc!IDx2Kn1%+@wc_R|w{6Ug9 z(|m6zcj>x%HPX1RT~2Znh)!ZZyJtz^!lD>khnx$i@_S%n{&$a!3A9BNwkCDv5Fmut z1pQuth)X3~7pQDm$NPW{L$Tq60J_n}>3ueB6iLZ+EwwAc>V&)F7q_4?vOxHsw8ytW zltjFA+?3S@O;eH9qx-7BB=)>xaNeEdwk)I=(#1R{WxmrUHLu!40$21V+E`F`;;gL! zrMqat@Qr`0hD6t0gplu6n3l+swoyK5hb=A31vithu5vC{qvSP;d-O5)F^-%cLnntS z>QXs%WVi|GE$hcYwUUo7SUWXJQC-zY7obQ)^7@13**1x$v{1-}hsmScD1inMa1I#H zlyHm6oT(GIXiE}pnhk;-^1t{3khMYOUq{0_lB8moL80SbtCFDUmB{IyI5y&|n{xm9$?-i&!WB=%$0mWn2GAscEVK~d^OEPYKOF(Y zF=7CQ0RY;)zNCSb2m%pA0@?Dq`P#nP+P<#Y=}DTFE>jLcDS(Fx<)c7(CpinA{6fcm zul)RCs_LrTxfoe91X*1&ZDMMgSAEXnV=Qw=tdE(z+s7l?o}cDeEs+9wpuP?S<*7t- z6c5cqb;JWiQf3ocqhU*3Dp_Qz5ugr^xIHL=D7NfiAJnVa6hxM zkJqvofS%&=wtUG?F#)661gr*H@&)di1O^MM#6LUD$2sHs8(2A(Di>ZV>>x^Q1A@mu zV&rdGu6Gknqh_zJbk~DmHh&uwr%DN!TwtT-g{9Q;aJy$%coz$Dw*D71)y^z^zB{=x zfP9+cT=P2C3`cRa>`jvg9gDE_VUft*xKK(1a_W=|bEEwS*q%Bd7(`&4vx$rlnuuEw zuCfD%R*LCw(rOIV>7wKQl&}@ER*Xxg0JW`mz(3^maC4Kbg;gpbj-5rcu6QDlCcXyNum1hKzd=ERth_f51{Y>&n5qfm1uM zw$zi0l;@kN3XWB#voP{)1Q8+J(IF8jx63_a8ap8$F#-vfj1|x;3O5A9W5J9KmqS{} zDF~4(sl>*J1re$OEYn$8W>uAiXNb@ia0Zy}DF!x@5(JJL;#r33#PBG)FvIG(n^2&S z71_zH1UO}eKy_97shy&=jh;4Gr=m8JN-Xu6l{Cg#eotcv5hj?JLV+Sp*jbBS!0v&v z=AU7hl%`&`g16g=g;3|KjKmRea1lO9K9+1>E&y7GGX!T|K?#xgU!nyIcJxYQ@4F z3Rfde;E+bgAaJNMsa@wR^N=`!u+^KuOwF5E6=J3xRnC?6t0vPS?_sios*~&@n&;&v zQ|uu0D!#&#A(gNqwk1`Kix}<;D6_M`v`{GFnK?pr#U+$2XS`e~pmlTPM*`6-MUtrw5JWF8am6Mo}hEYc#d(0ZbU35H>udA^r3V6D!ZM3MM*lFZ5T<&qg z!RS#!XRtE=k_CMc5>88*x@BVp0~ap)(b_g#jWpYq!pqM>d6~+$AadN z_c!2r@GqDLF&}}sz;>tnr0WD?N(ZpW?IHYGF!(9Ovu?nQ0HGVWq1@DzsB-E*=K8(Z_RW3&XCpkyisW05uW13;xl; zXF!M)Q!*7>4ZbB3#j$;1qew$kNGPz1ZlK*mR8rp(z$t;WMFBDO-D5D?v3G;Oi%y+e zF@p$Q@NV)9OB9jX3qmjkPwO1IE5#~0UgYF*)2v(=o3m6XfCujguE+g z$z~`fcM63Do~dw>D8TI6mKs}vr6n__t2L4t?``ge9Dw4Wa23EfFtk@l2`6>OY|Mi7 z8lt5yCrF(#S`ZN@?~x{C`{v`2_pJo-T%DTuP)_hPt1U~jrk)k;E{jHOf&d5h04e~W zhCaZ$QZ-dR2y%}eg3jn5k|{M*9meHYmavrNv&%*_AQ_e1&l5)nZGoTzSAvv+J2)c9 zy%CR77oM7o=jJf)m)k0Z9``GlFLHl%0bZOTVT~7m!-9J>v)^{wYXdg(fC_l*Vp54x_ib5H2qfQ?$a{Maz1{#e+ zqNr^l)dqS1AxaGupjTB>FQT=8eJycQ=5N|>Ip;wjhvi`Vd}DiauLl&D77Y2q`&WMF zb!#1relV4r`Ap&d-hYJPtw7X61`GjHqLIO3(D7&wcn4vWUv9|=5()rVVShAF5rz;+ zgfs+k8pc30hm3=$yNpI`P!NhJ8IeI;s9k0R($ahfzb*XhwU-WVt$2O>L(ME!<+x<`+aCz|SoU?850h$7wu7o!?Id=!A)US9`x34ogx&I}`xwKy zmm*(#GEKyVGf&HjOMl)9Kgodas0_p?cFt9$3?xA{<_Ob($;cGM#2zCdQ-X)pw}*Nz zc-#ZRd%i&$9)qWSDioatyyBIrCJRT@56Q4oPZJ1ei0MVUfhb&n;K2qes#Hi(=Tj(M zB_vhLu)A%JsDw6(x5XP1%PKs*AH!icbS$BXty^Qu*tqbnyd%33-?%ZWW6D(aVDQ&( z%&_N_+6{WEF%^j=x6!pBAu)FQrAh<=2~oU3_~{q=5nea00_0coheT$ z@Js6TP^h57Mt}}b8O6s$L>s>3Oy5;Wm}E&$5L@^@fz?FQmT#JZ7KHK?4QLW8SWtuB zHu4cVNkG_ZfJn4sLLp*B%}w%3tYuDuA+Dw~tE)XG7s)$wfYMD%PbDmdw!-{` zI2MojYol~Y)>)Pdt7&dI)|{uvUfBNA;J+|u>niQ3sR2(^mM~hVh9V0WtU(H=#ZVdP zcskhi5lHCT1C)``k0IlEKbcE4j2JTun??i5f;gwOIn8Z^}fe@ClkH;Z<+{sJY zc7jNiZIedlv`tp`1vmt|2(SLhjUv1L*#OjHQo^v2Xs#)Z);4gIWnopcJ>tt%w3)DD)a8jSfe?5IM(?`Cz!~BzBrKN8qATP`P+mkLHIQr#jR?DgiZaOkekp{bD!g zBX%|fdzpmm@j@1!OaS=MVbIvT0tVn(W_!KEwML!kxsHfBD=bT_FzPG_U`y1Q1*)>8 ztc4uoKe3f=g#c_IU^-&WU^CcpCB{y^QzOk2w1>t-sQ{A&dRtLk`GKD}EXrd|8(E+QC0Ka8|DHXqZC56i? z!~+d)DZ<9x2t&r#yoSX865_@2T4=6F_ro?TUl3OrvvAj3O%$_6?~Wii7BYlWOW*?EuxNB)-Ikg zsfIW$s3;;+Nwt)%ugL_>7DwaJ$2U(Jq@;5DT4B*Le-)M>RrBuRMmT||APG?`C-%0QNqL zXhL%oF`DcYyeu)A)&ew0i!=)oiYc+hxR=!ISzwGC^LKCIF$9<*j%;+hWU z-Y1wnaC>})itQg-SyyW)_(e6HQ(2z)ImkRGl6HZMa1tq0>zA{y^Yx0hei2G-?G*U4 zzYmoz1Os84zPc#c5Q8cN^Km(g+<_>HBW;SjsHww}c=d^XIr*DBvrK-wM#aahm*yOo zw8^ZXvC|R06k_JZ_XVr7mFEpAVJ-&eD-7Tew(8(gP@U9h+m?W?JRPVbB2}fhDf7sQ zpx#MI=aWa2s{=fQ%;e>QuN#J1Wb$ge0B&Uiij7f|i?Gkq<5VI+4N%LgDXKuq z0jdgh)j_RLRTTTB85MUYL!##sAee=Us7gXbx>#yLJR&s8w8PRD^Z-jg*%HvXBJ8I} zhK&TV5CZ|Z@p?-U^{ailNFq);u@MaI9Qp&d#{7&c>ylRcm#E~B&q7tNF6AkW5k%U& zeAI4J#)iYKsU3E=8j}Mc?oXFx-OZbdm=Iw$#`xdNrul7X$~ z(DKgZf*DjdQ15u_`Uo~mji*uNRGipY0a$GU=L5_lNe#^lQ{Qs5xFr>u8_RARM;ooF=kB*7`2cx zhjI=jm};^x1O^Yw4M+dLoi!kPdx3h;zz2y5bVf62#&uJ@47uiKn z7-*!ztS~>=%u0S-(zccmR$4s^rK`z44h2642;6o`J|yW6vaHv=dI$)f85fc5pkIEn zzQ!oXb1a+|_B$s6bIf18^+F%RKBwTOi4Fg+&!&Ptk;ubqy4NUdFxUR$*dpQO%0jBr zcsG)_ln<3qD%WUw(iSaacdfARx!Bap(#J9aIv4O>FEzv&NF|l?eJr$QqcpJqDtJ7B zWI;|#0Dku)ehlZ+L8oXk<2LB)1t)WpAiSeoO8DfgcWp$9whsaa2G9BnQ3*CcIsGNjUZvTnaV1f)4#Y)4NOZ8I zLS7VCcAenK@~Bsz!6>5LC0K;JZwe!sZ5~Gn|u!PKxILIwZ{Z8UXrE{|^9tK!U#sYN<$2rZ2}O*?g8u!{7b@)(mF*WkSdY z*fh3U1dSyzB}sl*fblFM*xI9$i(&QAtrl)%yTvbDVxS0Hf*+U^~3~o^W|2hq7=8!86fnJU8~Sekca?Ny$zkeoaw- zF9@U_sZ1f&2QaBI-w3;4wlRPNKPYqdz*_7d6dCWG(7&Uhcs;eYL#$;#fu~>gw5SA= zFMGnz+TmjW`-~#N1vzJm$q83por6YIM$vvUlM~xwz^ar{0GIZo*-wd~X)3l2?HPXm z-S|$qntW&9=(Zw&nMgauvVzv@6k4yH(od(uZWPBQ_ZMHo#XPP@JUt=>MD|nl>8Vhv zs1>B6TOcDzWaokjC){87Dka_?laaVRNYH~zE3-38`looYBb7r<`rOgJ+GC;-tym|j z6A@~Lka9NAoJ73IkC4~{y+IBgwL0nu?lsYl?T#%&E5b(eE1ODS9k|zZXyJr)3V2Io z=tMR9jf1FRzp;N~`!yY78YN;uZVVh~`wbm~VoLX`%-zuarps`@Hk$jbaJn7@ti%0= zbqaXX{c@q|ezor)*)M>J>Hvr?t`PxUD$+$3d4|EoTpsIac>!@2zMfVnUn%HIvJALc zN2|a|MytTFb;8^LNO3%fm7juvLqCDOk&9E%H+FlBzNY<6*H`&jBf5$&>!{ULe%5o| zQ2M6JkiItBz8V|jXI;lE_L83~hg&C_z|YzjXFjEGR2S%rsz6_qQ~JW%G5T6Z3v9<9 zE2xa=l=Q7E1N!P{rEfA?>1*qRxdVMUQA%GfRNXITr3{Sri)o{}KwlM`O5Y^7W?#S- z=Qnf=#zsnCHI5oe-*g$$*GAiKYmc2@UB|L1%JZ8VHKZ>Ws_s|&j-TJCF3>mRa0II% zr7uiHqpx*TBE}spjW{~Lm1RI*9j)|DO;!W8IKKfP#qrqrS(tqEXV1Uin0xMpSI*qun0w>R4=$X2`IU=uh}+G^%(-(7zP`;5UN5|R=EVp1 zZn@-=OZLmZOSbL!uRs2`zu$f7j$dbkyg4-pvl7Bg=YOlGs{3}USYt6ms&n8vP zHTQ;pbx*#ts2Z)g=lpANO@Gbx{Q0L2yr z*w8!!x7UBOZXV&|kNIy+o2Se(a(n!@YvySQC$IVMY-paIbN;(^^9V1W^55IgJbiby z|9;&(!p&#>4>mNsp1|U-Ex6WtHetCU=$pdL z|ILP`8MrI`uWP0eKK{V}uBK6lc#Hqr?C$)Q@kXu@$+q18P0cJq!khiyZ)BF9yUhPx z%`8HjhW}R^nWgWx`hTdIMUcPG|Kmnx8Mtl!UkiFav}4o#QRe?CySJ7QQI4kjUgrPv zl&;awWxF3_{y$9XTK-WL_x;TO$0=Q-zrf+XoB97Tt!tUl?Y@)w|CZe`#fITu5OlZt z|30-BKk{@l{(ofqa#!@SKlkdq|DW08`QQ&QZ2{-H{e=(ri2vD^O$}$3zq{Q3e9II0 z@DCrZxi4zu4q>{(PW2?SHw+@%%F1o%6riiYhFO_Ab}L$cfdwni5Sz90AhawD@0+!g+> zYGx7GZt{O!(E`-=6Ja9@621jE_$*wnW(|LAr3)oC;Tf~ULF zKUp_W*z22_e|*wFVd&fa%>J5z!qJcVkKdI0iLKzjPy6-SW`XxJ{$sVxf|k$vwK|yP zynG|`Ph6j0GX^u(UG&dR+K!O6<7X!hlI0HhQ+3P9f&6^tPu`i|TpgJby6HZb`T3jc z_9O`VLgt^D2!QP9b$_^as1WH(nLl3JF2lc=`4f|NEx>=ouS{H20D9Fg-gE)-|9a-1 zs%sYJ{#xc2>zajGzMA=`>zakjzLojMCIKu^-RJw0T7*jX`oW|YneKkyt7EXp82obP zhm$4{LOkHRlUjtxZQt3pjM0fq$T`wFk}kP+hAK z;t}7hYwbX0w(442P;Z^ORzb&OzHO0Db{9yl_r1FQf}g#<8}}F3Zt(rMM&P;858|3O z;_xvcr9%gj{_vCz zE!;V*OzF_X-Nljm4hR^o_@h%g2%?VqV^cZ^qK^6FQ#!N}OFT8DgOK}(U!BrHP<`B= zn9_ma)Tt1ZN5<7F8lNT#oH!@1r0u`r04vZ`aWuTxR3gmCf?};c3`KI{^cp1 zG-~5k{VVmIEMVjg`?FIz8Qf3#SEqC`z~}sH^_}bzNIaEu6R~K)Q@78*K9P+=G|27n zZ%pgZ6_5M;BYF1^)cRPv<@WopWt%cKdg7jaCEMJxZErfmzq7faeRrAv<|ZNTih=vB%`H20+x&MoH+1A~_TSsw(1F|TzrTrC zh8=^ByUzb0do=HDY`7U=&=u=ArC0eMW;aCsiv>~vo4dvTDBG9sfjJUx_{F_=`=Wc< zzn#=WcA)+hfAQMf74;pfe%pUG=^pCO`gf9gNIcZP>fcT3u}{>$=HH8_hwMZ3oBmTt zcgPpipY!j>-A7jay8j@~QDEKs{;jwx#2l*6`%lEx1FL?+e=@EPSb58TI<5{#x!^yu zFRBikyy!oN2zCj!)xYCEpVWulyz9S^U6)@~z%Bm{YRgOhi}h|y7byvMPLrc8abe$_ zD5*Ka05`5{_3eG!5Pz(`y@z1ic&H`7*Mo0(N1dA>lMnrad-E$V6mcfq^LzfnE%^-- z$C!@l`CDoiZNcrlXTqXEH(ldzzcs(H+8Q8cm;3!~wOvegH~p=3K$^CF*f-{P@7eP= z|9sWZ(t+mBynFVAGn2A{THT3RLHm&vv@_qnaPG|OXU<=A%RBPRW_kw>xE)f7!r>FA z+})SwTW5MpCyqOZQXi!&(Q)o{)3wlXezAp)Pi)UGosq#l&$rF!*b$mnP8>&hh|wpG zEzG++Wb`bS*)Gi}p!qIop5-!Epu}Z%qa&7SqN6SIxGXa(gZIfYvpRNwW?QC@F~}Wy z64P+K+ofs_>ur;MD4^fH(mcoYcA>=ecFL462ns=Kay zpGEsk(L36&FW=|TeslDW_8ZFgd9*j8ceLLKn6dST0JClVvEBLQa|_rL-tH^jo|&6- zmkL_uWRKTk54y!3ic5zUm!EMDU>3trcUQgxW#Z<3Y@VgtFx~0J<;CL%m*-tpykYXo z1e$qZaI4IN0_M3#hRzd%IZDLf<>&~o9~5xrWx!s6VP5-pT)u05@xXz_^~GhbxQNLN zs5Yix?X5E6z<@}AVZb`PexQtW3 zuGk1wnqj)xr_tHr>>veY6$c}hwvEFBU^1X7vJ&C1S>%*?y_EAm{P0g=ms zup_GriZgeM;Ni%Lr6so@)yG!R0}E~`-msH9_vE{=`1;B-3#+S(^9ycH7S!pU7Tlbi z8L<2a%R`nQmL4Y;F#1th-wy7Pv*+E>t$EgTm&^ZKZr8Sa%S_8`4r}kIYv8r-w&Ahp?#JVl+l?b8jW^*e3GcZFq<(ghXJ+>BT&Lw8M8zq0EtpO^ z-Uyab?rpj~0+_u3PG7$A;K<0i1$PS`i|#H=u(<4Y;`NZb6|YN&+*RPpr9BJ2%@6i~01_W4D3H(nQ;?h!sk z-tyUYEj~N$QQ1*oR<{i1BoOytv@oVT4h-CWJcjOO83XDr$wG_nuvE&#i*leNmUJtq zUUpXq%@dY$M+C`>%j+`~s#2JBP6}M@A(?Q+JuM?;-wSxO+yOk=?jRl=_Y@xD?%>gP z+XavnoX73TqTq?6?ha`@)^v~I(Q=RDAs!YU9rq+2tL}CgaC~*q9g^os;hZ*~ZP($m z+{)n13m|C$mh_FWV(|J?oN57kP{>xJA3iW@ekfSLm5KBd@0V?%510O zE)yahTUwlxLzji(VYkKQJHx2uGZ)>LGTFwA>u)1dZ^fgn4BK(r@#u2@0@me%b5mRE zz{5dkB6(jw>W&B~)MD#`F0y&w<-(cNXY0iFE9k;kL1h~+BFH!oXlYuG@2_HDxeO>H zhvzvcMR5E8??>HHJWjct0{yHT%FC>t%-OcvjYr2_fk)R}iAT>lS;e#=r+o?vPN82F zXs8?kJo?QV)+JMQLk z1?X{EVZB@dQk^TDE_*?nORtYZpK6UPd3W?CLM3`@yL+Rzj=K+t36wkp97mLV4Y*uz z*I~6_7O>$Fz!Xe_^`~Z5=UeVFY^0>m9L6rX7q+Vaz8+7Jyf>g1Z3gyw6lMsx;xQCZ z1Nkv`)SbXX%oZ#Zj0P^$bXieXE`BLx@Qe(S7s&qkw!0ONj=K$yuDczNp1VV~Xa)uW zH~{-W+2|RF=}m@DfnBgpTF3vlzbUUW}#u#cOgG+b8V! zNvm9^q87;wYvLVPIlu~J{rpjP5)Uz9Wev4lIJC#tf;YQDS6VQ9Tc)n~)HdphH!Hg0 z-Rttb6%)sBE57s96+?&9eOhd*VC=BsgE2kx-VOP_F%!t9y?_O)h7jlZtju$0Vd2Qa zYS{eyR7!8jZfF{@*Jos_D>RSz`iY(SHZ_gh=dvrrE}9R6zmUm%g_*?8PoTqz(BX?P zT0|qA5+*9_CWCT|j4iAujuoYv9Tx>5Q_yT;<>xa&r`b-dILCL|PkeuU8@1FMvIUw_ ztUNEBmd-vucjnwVs;gZ=UFD@y0PFU^{OSUA*@5|E3vN4fHmpK)Uz(T0xC4a~$6Icj z7TRvB7P{_IE%aQbg}&P&1$gRirxcE#J$J@kB88J@FK7W;&|Q-Me^5&U1QY-O00;o0 z6kb!g&H+koEdT&$EdT%p0001VZ*VSnZUJa5m(o~lcvdkuMM5ATARuBfW@I2BARsbi zH88wB2FrkB7-9Fp?5d0rS*}}wBf?`PFjAZ%rps%TF!RjHizSf`9V#}A&Du%C0#+jF z(J%RP+Yl^TPzc&04u*ENRm*oHQ~kQ7i_!U7Y@OnmMj_KD=+ab$trNzk zJS5uOSTv(&?S{J7^KKxFL1%*-QK}r>6zvj+G5a}>R65v~H}bWX$<9OwrH0{#IrQe9 z(47P^Kcg)%T*B~pmallWFcKISNw{-pRnFk!oT96Yz%1alyph~J-4QAnzD;BEIX|gt66l(y|7;2jPry9U~ zenP>_<+M=GjvAV9aU6u+FvNWnZV=b#>0pp@5O^}tY87vdHM5&jcLktzA#!p5W4B(? z2>Dlw)DUkxU$lX!YgyT5{Bh@oHV7|lB2*qTTo?dpW#L??dN>8^w3u=E0?3?S;^9a* zJ_xrIXbE=oh%gUY!mh9;dAirNtcrsfJMc#Fi_k?A zYvFfvihzwHc$JB5n&r`}!D}igKr~Hqu4K?1*bmZcZI0TiD5hrJ%V!Chj+y9VkE7SfKd$jiUQq&f zNAT-ME>mdw>6w+Z4~-n4t=8+TUm~a=NKUDCkZzhR%Akn~WM(s3dq)9H!nnYVYbkN3 z{mB3|chsILpQ-z@1fX zej}8Jy>W-e6gGJh$<#$LFHSmpZ_00Y+kLz_0E|?9k+e`J9CGXklReB|*z;M1b{<5? zYv?-(H*2nhUyx+-bL(SKnv@N#YY1|Vl@(l2&=Bn6xT&g&a)uyK&EgiW5pc!AhSWC? zDbk1bGeK+Qs;gT!hG&vLU!OT;;}i>-Ka9mwLYc}~{CQcuM0mZQ&@rk{KF#S+TjMRc z%vj}(tMJ#5JY`WskoiNY)@Yj(|qB$l93#(aBBB-I9?^+oIEu?ApcZP@>TxM(`L+xN+W+^I$AxcbqGab|@e{kog)V%SaAY$em zh)C+edJ2k^U2n~nWU=8|N~W^BpKw&aPC-n*x$|)z?+Zl$zZk5ThAG0uEye=d_#$RoZTK}0@f)Xkj0m>fkqUX zeT(JNruW3AN4wsG4DLneoJy}fPBdi^clI+v{+Ma3olprY~jMV3}&3A9(vml?6B=>%6|vJXshjN9uIzh)0^})mc`#QxTl0rn@Fy@ zfas=;AoFhUeOa?c$SdM;EN@qo=aaRc?osrem%6FFvVAzfs^!g!|L7NgK2(op+YfH1?SuuhPca^Rq}@oSCW#lG z!HJ~Z1_7Mf8mz`C<6N-VdSto0o1qKWpgvO(b0_t#>yFq8k6xJ@y`N&3hzVJBsS`@B~x z`0mdBulJA7Uo6>F&Bm5*a_>F_2D1iR8k+e;KGD+&2Uc%eH3NrruZ0^Ta4W+~R%UHU z&CyskdiAZ|hUpEZ7DB0l#PZ0F9wj%utr-cS4ei_Y5Xw-mBLL8m-gk(D^Do)30`0^7OEWB9EN_T)7_9ik5?094IyyR3U8acelKwjNin` z_`%g&S#J}G*j(T+{{4`DI@NDcLt-sjrYJ4|5m%P$ZlG$UhlCPgU zV^J5!c9RH>ZE9+D{gS@-wxr-n!>FeX4e(ecc;zcZ z|2;GcRxROX9pD$>2U2U!ENYXb6HX>M4HR-4XzkXQiI92Paa zWW&Gn&JekxU)LeNZ+#V3t5<-qX#z^G2XEbClZe!GWe&F(#!b{^Z&c0qE|j-XPA@rc zEEXOs!pR#=e4Kr-`FFt+6~#0m;*+E?bqQ$cEx1nO*VwtH*nRkMiUNp|K9s#(kSKx2 zBb)tO9_Z4?fjJzOH`si>gKMv!Z}@J@0xkppF$bKN-`$6}ij1(D1D z8OqEl{K>q$Ba;7pOfFSFFmfU z`dteTo3E~+0o_yzq%eu4>)&?k$}y*81{4^?oHhK@h!Ps8yX*4r86I!G4QxoIqnRBbu>5um6p>e1`XNtWlzPTh5kSfG{SxM?j3)tcV z)e24*$1z0P2`Mj_U!i)R2;EcKH|-O(ol$L_SZ{Jlw z+@FoN-%QI<&Q&&gluwVspj5MvuDL?rNjS;z;ZIyfyo%`FS$XvxGX0hMJ6j2H5ox0Z z;};&!#P&ZqhVUQ!JI;SX`;?F0$>CK`B~42z&omg+HnG;45=DCs5I`}*<|-s;N%(0x zR!q;Q(1I3yO2k$meC%BZjtv&(Z6Vi#w`s#T#qCgoxaH=GjHyjd`-lR@@L0yPLkk4= z2@##dLCp(=q*)0WO3JBykdoFzunz)XncrHM-JkS8x%g$D%Ex8e6LZBOP1+=IBX?$e zEa2Eg1EnYUb7p6LY>^^}<|^z-=9C0%mZJoUPSFdFm=V?*1BOFE*Qlb{BdzBpSpEL_ zkhu3enjHG!oiAw6#mK&RSi}o@VC>oB#?8v|u2oWq^x~Jz&C8QbO)`rMG1o6U+ixfe z;vHjQ;}`&msQi%EJzzYVu33Z%1kJbFx(O7x!&b~=@Ur+JJZ5imf@kx~-K8oUK~T{j zd$(RM?GBuNBh1U_oZPgfm2-s1#J_A!vCB7b;CLht3{GY5JERMM)xA$0o=an z@{!$M4+5C`vEe&Km2#(?8cGz;v1lMB1s`vrQd7;^u= zXWH7ZOVxr$7+*QTj)!+*MtVQ-k0iUnK(5rr_K0{2`RnT1dq$5aU2xW0A?NL?;jauhGP;5C-Z~s#ClZ>; zB|@*3dg+?aXNwyf<21Q)J2wtgOkYqobW&Gi{_D(rGEuh7kU?ccPh?^{L{Ni>wx4cF1J!B(Ji0+F%` zO;S$YaW%5%`=ajgor$-+VrvFu<)&5hGsS;WvcL3;0+zRQPmUXr)G9<3Hk+7oYV&qD zC)gMTEj1UYa^9#;(aB*!0X^)1-ozl%rRN!nNC1~ZJPF3*Nx*)gEV9QVwSs~e{M1$J zTt<;r8S6}1#{GYP%;ni7DPK&g15!;W^Zs%a0Of)0Q;7$#Ee zDkxEoO?|$jf<9lPbZ@Ixs9QS|Tj6ZGXZDEHNFj8ar zQsy6fSKF$N;#!-b#dk1R@#m&i*1}c}7#YgHnIRV>9F*5RsmqZysK&KjSx;iUdVLwd z?BITb&><#~d}FpZrDDo3+M5kR`6B`2v8be95wO2uXFcYWT3Yv21y3kXyenw(K&3Rq1#8OouIctkF=Bcz(*^ll8rz08{LnVfH67_BLJo)yP#6Aif(0A~i zBj~yI#Lts7In)wK2D0tXsxpa&;;4Hh7{Q4ENDYp#fjpk4WDFDP6J`n!b#@os1#pR3)_f0TDD``~(;QD02OK4&IJV9?*nwqz z2IQj^rh}e(C*6|tE!(jwxOO6{+twLj#4X~CDktASn(^c!y?u=k#{<&}zU(Ar?hBzT ze+E9yPz+!V!ue%RANx!>fEB_exCB4L6M^>2Ux4)4KKS-P?9c{bGp7h%2n-Og>)wlo z^S}oSfI^inppVPBySU)5R z!t@91Trd-jp3yWDSmLL2D2`HLV50lU8?^t{qE0yOx(}h=1hs>k(UJa3<&oPyMK|Yw zFagq9)rdvlw~9DHdEC|C<>I;t+|AUYr3%+%WGdPHb*g#Dh7QZi zLD!)z4-#*up60+roNB$5`n5p4SjmqB<0@BLNlqY?Nb5s&4Y)S*PLO9;t{$4=QuVye z38a)bW!Cipb^8R13|etbRs;^7!U59UD#^RMtX&VL8S3j=0lpsyrzlU6ULxT4v?W;~Z|GFA{w z+{pEjH&>~rX`S=Yvvmr^1tj2cHLgIi(pGviI99MQ?!$|1!| zLs7WpUHl;(VJ+2_GySfbOzj0d&wW&W{y}heu)tJqQ_g71q8adT%B^o+gWYYtdmtnV zf&9n^vA0Xaw8zl_zKA0cf0=P(xf4(&c7)K6Q#ly|?sEJq@F*NP?zt{WADGXlEr40Z zs~)<545K^uaYl1Cb@BgPw-ZF9MtI~5cMnl^<7h!5!bBWY1sWN%zjiwUQ1arg$F;*# z@F;qR?0xZ^WuT3OXG8E!38@Ala8ar#{n<7Qs@qcz|5#ka4oDXj2&jiK9B0^wQfY^K zEYy8M7$LW06!ldOE-1%D^SnPH@ofjJjWKkU%sovzE!`!3;cEFZ^#1+xZ#}`&?ap{2 zF3Igf8-+z`1wBCI91|ebVT{Al%An@DT(=m<_FWinf{-2P`ge9Z*NB2(SVHiF@rbn4# zE-#TVsz}FqBP7ljwIaZQWHVBuIe%wxxv^QeE*0Y`?H~HwtxUyCXZITRjP&+cP@v2# z`%(_e*Agz{`-!j~{c4*srezGbvDIya+ZBt6)Ubj)6}3+ZV{?Ep563}OylK#UDJ2(D zU2+y(?tt(KF;)64=Pi$TS@IHnQ6Wtgpkh(#@WvMVyPNV>Ln(J~x&6TjLS19&!}B-# zRSeIltoy#Xy-Cvd&aR~~sl`fBF#wWEGnnlb#~T#3>|IogOVT2w7OkYgY=s**-Un|# zv@+rWQ(qR!U*vz;_Vy+$rSV??hR_|%_S|lG@AOK70^sR`0T$#p#(U6A*P-JGP#5)I z`uz8gbQWg)(iQz^V?MYQlm?TjKWP?t>E$}#w3ajpdx z7Z14kDpn=elY~=gdFv6zUT4SZszc7O?6iZh-T&;7FbNGM3Cn%O9!``+mcXuQm1ypYhVVK(gu`$>1$p16I8O+%vsvl$QvU2@1-)&;T9Jj#i*4eS5O zkZG_i7Qis9o%M(;`%_a1f3NC7$qPQthOu0JMnJyq5)lYdNZA(tdc>BfihHti&}XosAvn^YGK*17F;df4jE z|A@-HyO*d4KnRLVAXC#A?w`lLs7b4hvTJYvpeh-a->@@z6ROAoh|9fk>>eCp>xty_ z$3hPSp^^q}+On#L8i`{!O@*TPzA|d!lcd8!aDEeyu(Sn`59q&}8)>RrQspZEwnv#i zDheqz>ttkhl&vd3YZdM7$4^xoBeb~E1o>O5YDbJld~7r07dtuxd{_Ykv92Tz)~D$j zCUgQ0Nn`M6>NXW`OLO32*!YiFglUyUf@2Ca+)jBZdzQnVqy)1!3MDfAgB)uF*&b8T ztN%TCspw0Lf)b9+CM;L+5+KCnP38b2vX4pqS7E};d7}bxiKPSi7mWrdgiJ|ckpguFlbYvRm-yby9m%uibp)VK~G(5I8e?z~m+#+{^l;(IYnAtO*L zFFmt4NyhCh%+142vTFW!54q<+=lHt%hLPLi#y;;i%e~UDPU_0x0eN_6Aw1*1c)sun zL&Dq->!?YtJI_rXbKv#X!3|qQpEnbKmv;-uy}Fx z?w{13t(+}SKt@36joL)Y{Cl-mCH-R*7E@ zW6O;C!T35!vEM|K(p4w;t%ATe<$Kg-KOa;E{Y?_8USv1jDj$u zjg^EKv0gVwybtJe)p_#E{;&v@aSu#^Q&^CM>+fx4>YIX*gb^ygc2kKfYu#4ydO>N8 zd)gJ5q?MT^B!crNhN9oAAt%PWE3j>+(v-btr3cg6f<*Ihicqg8>4GIHfA&{}=6zA$J<9FbBrKiGk<1F<=C?sCT z6s=z()?#Tnzo!JY0F{2F&A@^_ykva_K`zIyJXIBJfi!RMXLn!JnuC;<=K1%+J#G!5iude<*Cu)q3>^C*Yl z0mTnQYjq0Hed0ks+e$8qdTsP}AjVe29#{eGEaem?!WD{v3^tE+3u(}UORr~ylE z7t`WBWaFHTqf&Mxy%#-aEQL6zB=e;yG-zt?TDOPrDY+aVFRBdcQ6hNGtXBZ|rDNDi z*HIyG4Q%_1K$u!%v9OqSVH4GFtwcsujVP%wsx#!_$qxK?^sUXFM806%_oxYA)7L8OHIrSvBC`o1*;r11UK@xsVfO=<}=b8pL)a zLC$zxi&j6%Ngrlr%5zz>syVUV18=oIBvBsR^WXDKl!!7Z+(yHF+aD^mDK*uXfwG@e zeTl~fuB}N+=PBzESvn=6eb?R>4ex+@ct|W>g%b2!G&cIPrtn}O03V9=N^f8b3nzK& zh4{|cSUB>Dmrl~tgDzf#5}9i!UWf5_PXW8Wf;njUDpoi1? zXxIBQk21XdF%bN(2@@q`UxtK5Q~co~Vb@pCdUarz=7#0>(WAsw!z!!5-|6ckvA^fA zVKUbNn*640RO2dlo(8X8E6mPLHI;SJ`yAkC1CvOaGrgd-qpKxSv`|qxJmY)OCfyE6 zcC{4&R?DIbs5BXSsBpXE7dt3v%?h zlIZvB!a3wi`DNf#&!%}oES@6$-o~E-r-;B>G1TGK@5VogxJKm$J{X6F%@x6u!=XlK z62vR-zS=rLF%q0*B5>VH`+F^pzox^S{5PlG>I9Oy`;!87ln!oj5*0|Hf{-F)%ayBh z;ET~5d-d-_x*))4Hlf{NgAWPH;5?8&4h?PpJ-#MHQSmrD&N7DqpD$6JM)Ns_P*TVG z$qMnx{{BhXk0gU^DlCQ;5JY?3$0wPy4iD3Evc6AxSCY?A8JGfE8NNw^lBIJf>I9O% zzsuFy_MGFHvn;p*aCpcni+Xrvl5=~E{*B~>Ho-32|BeF(ee0J8E1u9_8o^w=JI_A8 zQ2F9%?mXY3&rIDV<~g$eTIR%ri1^s$YHjRHc8`JPHr|!tX%XH`?0Oer?VAK7yq;Nx zwMG9uuj9}I=D4_gD}gxd*&xw@MXnY6(ou*A$HbSYb%$F-Au!7cs?FI?=1?9`HF3To zbQ&O3yoa3V4=u_@h;$h>e~cT4Cw8;9w}K;iwz1L~n=9#FAZdQ_rh4K7%#mJB7Qj?k zZD@WE!Ua`*#rq)X&Z(-qy|sK<|1>})mNXF_Qe@g>3^4vl55N4>_u+Q3w}5vE1}==t z|L5jTgj5n;^}*v)Z$r|i^K-|(B5349+s}Z^?--ubBwdFLrA-18T|bxyVds_FdPZEA z`i^amcFh0+s|{ZTF>bblH}``N5=A!hKcpz>g^2t-qm(U($c=s9;YJN3tT)tec$*N9 z@Ip}JB_bNllAy$3<~D?@*YqfSuL^<8rPGGaXboJc-mS+8@<~L7l5QfEeFS_^Fi3rJ z#QV|1v2a*Nv1`hk;JH}{?Ozm|i2^Nq;K5qAY5eRw%uHj7C6^{((jZuMs zTNEA7mMwMSNg8&yU?g4u!^;Bt6Li;V!yWJ%^D*drr4bf5H!1uaBPYTMMctbIw2CUO ziLA{*grqw1#hJ`7rNPvh_|(kkdLANOSA4sYXh^eVutGY>Z&o6oE;)c1Z172t6}NUN zLXMo94{04uYWT7T*7ok=fW#@aaZ@^7rtQCF^yA_|En%mxqLOs!roB%H5#SM=@BQ+V zn&F+WVu^J6cqa?|52w%JPPOK$Efk1;Ijy+Gi}oa9NBaw^K&UTdiktw)?mW(;{}3AK^bswMWl8N-C;V2eGM zxrcwlBQB3@^yKicSkK~_+pts5NdxDo5;?YidnD8C z%E4fveps~b3384Bh{wYVEj4dw>r&3ot{bq>#%P%AD6_gjQbwU<(z48atUD;S6%lCk z#S5Yl{#I_nvZddf)1e{H-JzE{Ht2=1^+gD~C40s@ch7pgcKgb-&^gV%d^yRGiXfvv ziUEKvh;pQ!FCC@|V0Z}Qk_17bF3*d+b$%c?YV;Bg&%r+lhc*nI4$!V#{HnV>g{2T@ zuVL!A@j5L;?lWfmz`#YMTIT|Yre{f0DC-?JTz~L44g8A9k@=L(Scu6wev!Z^|K{LR zsFo%7mMzuZw((Ap5 zR3EbB_TvcBtqXNCO?I5I;~B9^E_Xl;DQ(~(G_L>1tF!-V-(aO}V|HMX_p{6~@Nsv{ zlR>OI&KG0Uqe`BowlmKJ^NVDkt<0<;D|_)^Xl~jIspnt&cxKL1L)g#yQvszQk`Xu$ zZsAqzdUuw9o6bUgd7B>P3%c!oMB1y6wyc$yzg2Jf*G*>s%rmDemkQcLa=UvSv1%hj zTE_dR>#g*d3!67Vc!vPBf;nUwtzw|yH-UzJFR93(-{S9i_I&%M>}QzRZRRMwmN&e- z&lm6k|9L&@teVnz8#|#*`Y3g~?aoGE%?@er=tVWl>Qv#wW&ji1NJ*nrnz zYTA%oXI%<jQKJlMeIYv? z4m)8!QY|K-l!#o()BeO^w)mo+a-mDFvq?#-Fs@jq26YxhyFlrGA?iYZ;^{x|iJyFM(F{V$jrhq1P#K_Pg2?tae-Cmw5r_Ns zX+KrU4|d8=XSk*;Z(<-S**u*t2J!B(5#EwAD-{#a|thT;(3*? zmcv@TW9IQf`&8k}1zAm*vyC3J&om05It)vUScl3X!o?~D+m<3YYp|Cd{cc}2Z7 zzw%WI82HVx4_CZuOnaxE^{H;-2`gJTWyk5HYu+@%!{(>ehS)H0=?BTWH$Lpu4Otq->T^_n+SNGy85Mc*wYL&Vd^pUv3t1 z@BFgH+QsPSIk$g57bn%0#!DS}C!s#QuH#Q9(jf8W3lr}cvk zwgeb#03JcT$;dGL12117!%ERf9DX{1AN=(K4a@=z3^o~=Z8kDwJt?7OPyjre##m}* zHlL|D1FC~Go~{yj1$0Ox&_P^K2Njo;Ch3)h_B(nr8w#|(|0()@#fEoz++DXOSG-y) zBIszdY z@}r~GIja?uy=PuBzZtf2-Qn9Wj9y5j9-H{~38%fEn~NWl_p#SYjuswxaO&g>&0x2A z%{^1NHO}nw+hGvUbnIMq@qs>n35&$Nnc2sWO+MGMVzU6(PyHLMP1$>bi{^blVWa9i zeb=M~Yi)(o*BY0s&<^)}yZ%{NY`mUKeviG0$J6dh2PW^0)tu60!FlSb{~X!SPb#7H zdhh1{UeB~fgthu)@P5<~xqrcW`(I#4Oaq1pFG`4Hq~zrGIc7IIh_t-_t^MqVgD7uq z##fOwB90y#7k=5cW0$G-wAje`xqrULwl3p*Vzy_d`F$2fquc&|rR+|B{%qmAcG2q4 z@=K@YybGM6b|fr2q&UGrmHp+uRB5BNEvxUgD4qX2rMEdI$fZamHwlA{@d;5Q9s}9}$Y=MWFiB)H#8{_Y<=Bw3;yn3GWo-A1S`q_zA+sY{$!cN~> zB0h2R+26aK?v9<&ZZ;Q+QKwn%wM7@d4h9Od`yP-35mi zX&nZIQWgsX13NIJKmf>P_}>U(r6dFQ8sz4nYfA1~dYcVE++ zQu{EVRS~}ERvjyY>cZ3%A91<=%zs9PSv7+2V24=cSO?RTiV<1pn@+&N2X>%w9TRj5 z3cB;q%SD8qBdfuB&`U{l1JKJckO7dO3|#{;08*-<>qF1&2z}{mk@W%det + Ruledeck: default + Max violations: + Violations found: 3 ++-------------+----------+-------------------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-------------+----------+-------------------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| PDRC-153 | Warning | Gated clock check | 1 | +| PLHOLDVIO-2 | Warning | Non-Optimal connections which could lead to hold violations | 1 | ++-------------+----------+-------------------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + +PDRC-153#1 Warning +Gated clock check +Net gen_clkF_LED_PIPE_refresh_a1/CLK is a gated clock net sourced by a combinational pin gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O, cell gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PLHOLDVIO-2#1 Warning +Non-Optimal connections which could lead to hold violations +A LUT gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2 is driving clock pin of 16 cells. This could lead to large hold time violations. Involved cells are: +LED_PIPE_Leds_a0_reg[0], LED_PIPE_Leds_a0_reg[10], +LED_PIPE_Leds_a0_reg[11], LED_PIPE_Leds_a0_reg[12], +LED_PIPE_Leds_a0_reg[13], LED_PIPE_Leds_a0_reg[14], +LED_PIPE_Leds_a0_reg[15], LED_PIPE_Leds_a0_reg[1], LED_PIPE_Leds_a0_reg[2], +LED_PIPE_Leds_a0_reg[3], LED_PIPE_Leds_a0_reg[4], LED_PIPE_Leds_a0_reg[5], +LED_PIPE_Leds_a0_reg[6], LED_PIPE_Leds_a0_reg[7], LED_PIPE_Leds_a0_reg[8] + (the first 15 of 16 listed) +Related violations: + + diff --git a/out/edge_artix-7/led_counter/Output/route/reports/post_route_power.rpt b/out/edge_artix-7/led_counter/Output/route/reports/post_route_power.rpt new file mode 100644 index 0000000..8a0ff2d --- /dev/null +++ b/out/edge_artix-7/led_counter/Output/route/reports/post_route_power.rpt @@ -0,0 +1,146 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 02:37:09 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_power -file ./../out/edge_artix-7/led_counter/Output/route/reports/post_route_power.rpt +| Design : top +| Device : xc7a35tftg256-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +---------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.096 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.024 | +| Device Static (W) | 0.072 | +| Effective TJA (C/W) | 4.9 | +| Max Ambient (C) | 84.5 | +| Junction Temperature (C) | 25.5 | +| Confidence Level | Medium | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | <0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 124 | --- | --- | +| I/O | 0.023 | 18 | 170 | 10.59 | +| Static Power | 0.072 | | | | +| Total | 0.096 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.011 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.013 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.007 | 0.006 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.9 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.024 | ++------+-----------+ + + diff --git a/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt b/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt new file mode 100644 index 0000000..293573c --- /dev/null +++ b/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt @@ -0,0 +1,119 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 02:37:08 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./../out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt +| Design : top +| Device : 7a35t-ftg256 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Report + +Startpoint Endpoint Slack(ns) +---------------------------------------------------------------------------- +LED_PIPE_count1_a1_reg[2]/C gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + 0.419 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[1]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[2]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[3]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[4]/R 5.276 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[5]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[6]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[7]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[8]/R 5.408 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/R 5.426 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[10]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[11]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[12]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[9]/R 5.567 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[25]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[26]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[27]/R 5.612 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[28]/R 5.612 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[14]/D 5.711 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[17]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[18]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[19]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[20]/R 5.719 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[29]/R 5.759 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[30]/R 5.759 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[31]/R 5.759 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[15]/D 5.795 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[13]/D 5.815 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[10]/D 5.864 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[12]/D 5.872 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[21]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[22]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[23]/R 5.912 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[24]/R 5.912 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[11]/D 5.948 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[9]/D 5.968 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[13]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[14]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[15]/R 6.051 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[16]/R 6.051 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[6]/D 6.093 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[8]/D 6.101 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[7]/D 6.177 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[5]/D 6.197 +LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[4]/D 6.612 +reset LED_PIPE_Leds_a0_reg[10]/R 7.328 +reset LED_PIPE_Leds_a0_reg[11]/R 7.328 +reset LED_PIPE_Leds_a0_reg[12]/R 7.328 +reset LED_PIPE_Leds_a0_reg[9]/R 7.328 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[30]/D 7.332 +reset LED_PIPE_Leds_a0_reg[13]/R 7.406 +reset LED_PIPE_Leds_a0_reg[14]/R 7.406 +reset LED_PIPE_Leds_a0_reg[15]/R 7.406 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[31]/D 7.424 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[29]/D 7.445 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[26]/D 7.447 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[28]/D 7.466 +reset LED_PIPE_Leds_a0_reg[1]/R 7.538 +reset LED_PIPE_Leds_a0_reg[2]/R 7.538 +reset LED_PIPE_Leds_a0_reg[3]/R 7.538 +reset LED_PIPE_Leds_a0_reg[4]/R 7.538 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[27]/D 7.539 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[25]/D 7.560 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[22]/D 7.561 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[24]/D 7.580 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[23]/D 7.653 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[21]/D 7.674 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[18]/D 7.676 +reset LED_PIPE_Leds_a0_reg[5]/R 7.685 +reset LED_PIPE_Leds_a0_reg[6]/R 7.685 +reset LED_PIPE_Leds_a0_reg[7]/R 7.685 +reset LED_PIPE_Leds_a0_reg[8]/R 7.685 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[20]/D 7.695 +LED_PIPE_Leds_a0_reg[3]/C led_reg[3]/D 7.702 +LED_PIPE_Leds_a0_reg[14]/C led_reg[14]/D 7.717 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[19]/D 7.768 +reset LED_PIPE_Leds_a0_reg[0]/S 7.780 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[17]/D 7.789 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[14]/D 7.791 +LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/D 7.804 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[16]/D 7.810 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[15]/D 7.883 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[13]/D 7.904 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[10]/D 7.906 +LED_PIPE_Leds_a0_reg[2]/C led_reg[2]/D 7.910 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[12]/D 7.925 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[11]/D 7.998 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[9]/D 8.019 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[6]/D 8.020 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[8]/D 8.039 +reset LED_PIPE_rst1_a1_reg/D 8.040 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[7]/D 8.112 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[5]/D 8.133 +LED_PIPE_Leds_a0_reg[2]/C LED_PIPE_Leds_a0_reg[3]/D 8.299 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[4]/D 8.304 +LED_PIPE_Leds_a0_reg[13]/C led_reg[13]/D 8.307 +LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[3]/D 8.364 +LED_PIPE_Leds_a0_reg[1]/C LED_PIPE_Leds_a0_reg[2]/D 8.471 +LED_PIPE_Leds_a0_reg[7]/C led_reg[7]/D 8.478 +LED_PIPE_Leds_a0_reg[5]/C led_reg[5]/D 8.481 + + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt b/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing_summary.rpt similarity index 98% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt rename to out/edge_artix-7/led_counter/Output/route/reports/post_route_timing_summary.rpt index 1924ad5..5899947 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt +++ b/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:02:58 2021 +| Date : Sat Oct 30 02:37:07 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt +| Command : report_timing_summary -file ./../out/edge_artix-7/led_counter/Output/route/reports/post_route_timing_summary.rpt | Design : top | Device : 7a35t-ftg256 | Speed File : -1 PRODUCTION 1.23 2018-06-13 ---------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------------- Timing Summary Report diff --git a/out/edge_artix-7/led_counter/Output/route/reports/post_route_util.rpt b/out/edge_artix-7/led_counter/Output/route/reports/post_route_util.rpt new file mode 100644 index 0000000..29c9dbe --- /dev/null +++ b/out/edge_artix-7/led_counter/Output/route/reports/post_route_util.rpt @@ -0,0 +1,207 @@ +Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 +| Date : Sat Oct 30 02:37:09 2021 +| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS +| Command : report_utilization -file ./../out/edge_artix-7/led_counter/Output/route/reports/post_route_util.rpt +| Design : top +| Device : 7a35tftg256-1 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 19 | 0 | 20800 | 0.09 | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register as Flip Flop | 65 | 0 | 41600 | 0.16 | +| Register as Latch | 1 | 0 | 41600 | <0.01 | +| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F8 Muxes | 0 | 0 | 8150 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 1 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 64 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------------------------+------+-------+-----------+-------+ +| Slice | 28 | 0 | 8150 | 0.34 | +| SLICEL | 21 | 0 | | | +| SLICEM | 7 | 0 | | | +| LUT as Logic | 19 | 0 | 20800 | 0.09 | +| using O5 output only | 0 | | | | +| using O6 output only | 18 | | | | +| using O5 and O6 | 1 | | | | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 66 | 0 | 41600 | 0.16 | +| Register driven from within the Slice | 49 | | | | +| Register driven from outside the Slice | 17 | | | | +| LUT in front of the register is unused | 12 | | | | +| LUT in front of the register is used | 5 | | | | +| Unique Control Sets | 4 | | 8150 | 0.05 | ++--------------------------------------------+------+-------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 50 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | +| RAMB18 | 0 | 0 | 100 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 90 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 18 | 18 | 170 | 10.59 | +| IOB Master Pads | 9 | | | | +| IOB Slave Pads | 7 | | | | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 5 | 0.00 | +| PHASER_REF | 0 | 0 | 5 | 0.00 | +| OUT_FIFO | 0 | 0 | 20 | 0.00 | +| IN_FIFO | 0 | 0 | 20 | 0.00 | +| IDELAYCTRL | 0 | 0 | 5 | 0.00 | +| IBUFDS | 0 | 0 | 163 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | +| ILOGIC | 0 | 0 | 170 | 0.00 | +| OLOGIC | 0 | 0 | 170 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 20 | 0.00 | +| MMCME2_ADV | 0 | 0 | 5 | 0.00 | +| PLLE2_ADV | 0 | 0 | 5 | 0.00 | +| BUFMRCE | 0 | 0 | 10 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 20 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 64 | Flop & Latch | +| OBUF | 16 | IO | +| CARRY4 | 12 | CarryLogic | +| LUT6 | 8 | LUT | +| LUT4 | 6 | LUT | +| LUT5 | 3 | LUT | +| LUT1 | 2 | LUT | +| IBUF | 2 | IO | +| LUT2 | 1 | LUT | +| LDCE | 1 | Flop & Latch | +| FDSE | 1 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp b/out/edge_artix-7/led_counter/Output/syn/post_synth.dcp similarity index 76% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp rename to out/edge_artix-7/led_counter/Output/syn/post_synth.dcp index 23c46c737b0d327ae521406e4c6a2c1d6e8d8202..d6050f149efde04a1773df744f6a9922f5b216af 100644 GIT binary patch delta 32215 zcmY&<18^o$w{2`|V%zy*Pi$vm+qS;gwryu(Pi)(^CU)}X{_oYj_jOg*?%w0{zcxV(h@^VQUkUq;DU{ zj4_mVQfA??PIwFg$yvlG?3hT5{Uy7Dunx!~q0qp7e_B|R(`+fJd(PhBZcStMsCK6* zcW9tu!;_ZQP9>D631}b6TFFj~4mh!wOZpRS(u6Jj8^~s*ix@rXwmTkU7J3BExxH7g znDA()uu{@lBoKjb{%E;xRSzOAr3}%AIEz5f48~aF?}U69)>HV@$p3*|4KQGo%h+`gMjS)+y85&TTb5SVYvU>sP#6t2zP+bGv1zK-kiNA$7$TG zC!6hKa@EiGkv>mIlco{7RLw&P6BI+J`F~hFf2nTRe4$kgeS>+RS{qOD*^c$4^B9 z(@jwlBm(|Qd(w@Y{@cBQ~?GknV?qh8FtiUBXmQ$8D& zBP%7fnq;{{_nKDq@X=qBP?=S$i=X-VDOGBShS)=RmIBQ+=)7RR>9Ui}MBcN!zo36` zQpJU#qF(CRFRtBA0Td$thTW^casrgQISGKkTYhHET-p;|`!&Jc!~%iRCIaZ_ZhrhdRkrKA}??Gqw%ddkps$S}@ARG=1F|%C67j1~l z(Sj3w{y(M<7z}?S!!W?@K6?67$swWd(K~`b5_X~#Y-_kIYQM0k26fG_hKMd>3OAUL z86-IgC$=RI+Rooo5`3uO_0_4<63q?wiyxn4?dA7Y>qWC2^^((LEhUVROLAjcIQFVJ>Taf`2e+~)bi(Y7v{|Q zuS!Uz!nl3I$rOyHxvoHOB?fapashV)LkZg|sj(%)aiyD$WVIBgMB9v0Xm&0R?brvE zt9SfkC_KCE5B(&MbLbTerGz&^bd@ycge@SQFyyesRYz?b{@8Q#h$vTYzXv9>>Z?F~ zNf>V&2=Ne}URndD)K^Bmla^2rn&L5;^}o zw{Fv6UR4)XVj1$6PV3$aFyEreRBfg_dS0ZMV$Ci*`dQM{vo+exW6m?}@rvGJ2>>iQ z?-QL2_fsZRuZmgp%R5u_T^CJcKfbb6dhnS1qpw{-c$V|awuF(c6b=$^DPvjO(Ye{k z1Fmfl!ev1D)8+H@4zlVfY7>2B$6S4>(Y!+uU>|uc>xwO>Qy`QRO~qkSyBSd;a3oWf zo1{KomSd;yW%MfYEEvPkQke+Y^8_C66S7D!INhvQ!B(Xz)AbPFhRMO;M(yxAeuK=VFH%Qc-wN)Na8pf~eC-l)u+Ue-Z9& z@ZF2x&lWlVZnJ$nEpn_%I{0tbA{c0^3I|s>#ghdj%@ejO6P%{G|Ii zQjogfdh{5x?C_NQb8?lGa0v{LWJ92GIz*|HJMSKyCbntSS+seC^<<`WxMEs^n__)_ zcY+4=ZYFLjvA|V65`FrpI4O^lA%GRZWjz3I!L5uWgt|1tbxF4T_gTfk2rawzUhZNgr{^b-rH5*8&=hUSM|wxYP04-BUQ9|0)T+d_?^U z&{)bO3yJ|Z8~2~GD?&rBQYF7ntm$Q(b?J50-F`O5y_T8u)JBMa=K6-`DT!1P`xlT&fd%lDxZeO+8#5;NN%PX0g; zkszFcv!MEpqP8TA*X#Fwzys z5|`Zmw(Hn42jWBLzb!3$yh*Z+lb_(f& zaNOMcF+Sta+YK!GA$pC)R)9nGqD>Y)V=!wdB50!1x3UzHTizEXXkqivCy5^+;H&W) z4Sqi?AUEAgglN(67Zps>^BP-GMOn@V3o(@y5tpKJwxYv7ry7S3uvlS zu!7KAJsfx_m2ROtb$KuVjKmZ@0%_W2zWx~t6J!9gHwah)aR-#-Kt2)|catbfUMG)N zDS0~wh*5tvE`$LflyGOn=oylE5R@)Is}^h7;?X>kg3t`+#Y5&~(`H~u`nC=+VsOUQ zq3oTM!6tX-!?_QMa0sLvEZe{QUk`lX3fA039W94a?#>6{CAf=6fiJ#7ttnQj zwk@A+slYY!QVf3CG3;{UX*gC2e0!L!i$BGriSu{&Rl;gHgXrdnSHj}3paQ-{L}wMo z!Mo@t3*V-y)-MIDv&e@FlS=;blNDCO_%!5y@azR+Km3TRgjjy**V?CVt~YtFANWH+ zU)9uA_>7qyI$!!7`S6t8iQ!_Y-5znM>0ODxHvn@P>3@f}O_O#Ryt*-K+0UC6o^*4nCh6Gdb_K+S zauIJen97$2Pv30L*k5~&5#t(y9%@kQ-FS%-yirT){uE@2uFt#uO zJk~tyI(<|XMcylyQAG3B5&V7?j0TPZlCDxTqa^!%bm_frAu0T72h83@YB zy(oOH<3~3W@92E_m$hGU^xA9xac?c{QVfsIBftdaxqrscUz4 z8x!66z27Vrj$Xg|XRlA^h%yl;tYC`fWj#z2Situ#nQ$7kQZr`;$s<1;MBu*4$@d6uA?B238>wIHiHD;JcCzPJ;9?nS0MdTGcoe^F{IND32 z=1dqiA0R9Gl2GPw6}Sb*kXQ*kL!zofmRi}45VJ^#XmE5vru*HfM)ghha(6+yVy)&x zL9tPe?1mE|YF|&#U|9jaaq@kJQFpI;(ugy|iP`uVF)@$Le~Dp3U4i*yjlSxEn}4Rh z$M$X$gQk-Kn#isor0d+d$uXvhS-W)5?S|%MC~ks`i6WPRm~gkD5zo3XV8rD}cn93F zwq6T`%w0Y3vLFy7Qa3ovKUp+ILHV|bBaCAHKB#s>8kiNQc$KcWJ{%|jMbA*^o$7wb zg~KHKtV7zfs|VH&FNUdh~ zXC2>tQBU^kZp)DcY(l>128H)S{?!c&@5lVx9s0{7;ryXx?-=NJI%vx} zA#7UhZd;b;Evh`FP%}om?1m&>)&Kq6uk_4}%^`mdt7t@U@i5l0Iz+QpVf%g_|y*SwSucl{Heh4ov3Q0v;-XT`poJO7PO z=l-pc?1&3j;~Lr@RvS7)yIAo(C#P+~6!&XV;(TpaJykC*0jp+$glsQE?{nTI@0aP& z!E^Yreu)U_h2E^}*pX&I?;DSqaPF!_r5h|%sMTiJByzB0k$2L8yR~p2WpoeEbfg>5 zKvs0*N<>5l_mVIO2;3RYj|}OK4|~zYO`vLl>{SHljzwK=z(!QE>8N7gBmZzFK3^se zbVyAYN@so|VqE)Z?kp?U*0__XicEE$xTwl^aNjm7t1Vq}Jnr7|3oVH}KtlV)n+Z+E zekPFh$y&#(cJaOA^vjliM#*IT{hgR4bh05(ysxB2Fwx%c%E~jlC%a=rgVu*#HH<65 zLN4kM(=W5^5NWxsbUwLv5vjk;2qnSXpHm;NK`qIF;@6O7GY=XKPZ)ClHhwD*H2?w& zpHYEqYANJT*QjkU1u7$!wjjVjfckoo%te1mqscYHq2y!gV%^!v->w{Jb`}66e5?>5H;yf7I+%xzgJf+52~+MAK{p>!tjAa7;Dg>8B@A^ zjdt76(i*6$x#CSoi^@5Ry9r-l_&o@gVeU@?u;G0NYjTj#EXY=-V-UUZKj(%^vWqn@ zOWD%fGnF{y+68mi8=fHW$1xy`PFmPRe|%2Pw%6}wiQXc7nWZH^DYsr>;CqC_z~l;Q z*0N3CvZa}VU?2DCXjM0PJ{5T^V{2O^MyX;=XcvnhicpZ~kv7x(HGIi{{Y(j73H(&V zGmxObZ9R-pX#*UT7J zz@Xe)K@yvd2KX z;FPw=fr}_PQNtHZ9w~eY5aAAuEU*&lO!zVK^$MJ69x`lc_jxf&bf?F;m5+FR4jm#g zJbs7tLBdDImDRy&bGW`Cgn+anIpNgM4&2O~(-*smF~nJ3Rl=WrCX{h}%uZ+o70Ht{ zzRO?dZoYH7oXmn*-h<{|5u-3tab-x)F2BAq#VulZ-#uV)h?*GM z2z0@mKkM^>M}YM5qy3zMqyChtBQO^OOCPJ~mCqVMj|Xkbc+9d{mu1I}{l|tSu3kbJ zV+Aojc%cYOrJzT??VwbdM)Ihqkh}cMA(x?4mFB4e78y9T3e^+a=BeKhQEnXMlaotU zn^IXJnj2mpqS;6MRt2hM&W}5EK@%Lkw`WO-g{V!ysgnU)JF1yy*D0p>751b>PuPte zywcrrA2l8n2EUX>gLL7=u?Z}bs*#0^^;PeETRj%}FE;b9tUWG3>?eQg&XFSZK=u2x z4P?cwC+JJ*E*n?O&*5y*$*!m6adI*B-liuWI|D(|d&FlYDxQdk=C$aOnH};Zh1dsj z!-_vZLMvwt&mAD$aMWA(zb{_2Z(v7c4yd^ML@ui%-#x!Idx^y(2UdmKkuM7K5+5*~ zv!Fa&8X3jQ&f(pS)`uC10VhK-?PNx~CsDWKS#s^8p6XO2*JF>lMO8KO=;_wpVXC#z zINgEt1r32iHR2JLKM2JB{V>h6IPlMb+%ted>9|&UTB*$rW89dThF-A&Qqk_8JPP z6&7mqWJ*u}I{%74r-hKhFQqc`C1oVMDvIo+Gg}8XD(V&loW;RjqIdF&g)b)I*n9 zJq?i%lh0b;=Js#Pxe0B`)toHbOjUUOIbHfG-f!1g%dWlwNv`uP+)3V5t-|G7=h83B zJcuRBIh=Vi6JJfNp#eqxh&O6r<8VY7nWD#KYxW$lSt)@C{EH>sb3|GvQ7YlDs|{~L z6hHZ|Nq75ge_xc$^q}}Ee;pdnv$5QAS^#-ezU)ca>x9Vozgr{@S@UNb-M2tPp-q~T z7~s>J!qt<7yp59eV`ST0UkR{8tUgTUQX&jfX!V6I@P@(Fhj_(^mLLYiaqN)ws2q@p z&p1u@%>ic|LXgOT)p|y4dUKF%9|Aq0z$g1$fv2I3--Voa_a$(bE|v!9CHhH{pa}cJ zeaO?)eo|y(#eraJ!;B7QAqn4MZh9-NtqPaOPM)PrO#-;rMjbu4LmFrUywRAJ2K)Z1 z>ZOvz|MpDuPj(60Q*r?w&;D(QFyjeMO3Q}U#5?4vju!TkA z<)l$S-yWDK$4qeSCRQ8Qe^aBU#Z<%56hpO~h`;-gBn5USD;bDIC6b1?9J4{j|0*0a z{E{*diJ9YsEj2q|31BWuTUubu8BsTnQ24`~u}y1KHrf-62GRsfrgnxaYO_7fD0FwZ zVbBdIT{)T4J`*G6ek2~bP*ZQniP|^|9J8#WVqc1MX1@`?ccnstdDtouR#Ys7l%q~u z)V|Un4yvv(383am7UMt`3}ae=o#y&rG$#$d2T#|dS!SkRpt+|f{fB#11Ud!(aDpT=kESczfu}; zfc75iV_9%b|AuPKiWHa!8 zFcQbB=FE+*=8FP-CLK1lFc)Sw(@CicUk-OYbAbmX1%EnTbdJXB6;eT)3+vxI2pS(X zyvO2ePdYS&F9s>g9BfW%Y6k9#?_Zj{c6_tveM~;KWd2~$#?Ni0uNt-A{dHLJ7T6Jn zm&f&>mEGQ0q<^asL5*JP64xGzu^#uRp_e)5eZXo9c*S?J>IdhIc31 z*=*RAmd5gh=hT zF)zHD$79^J%H@tLiu53NkoCbFYyayNUf4~0P|mpb#=YhBAT?l(3^4P`ia+2JrXIe{ zn}79l9p`YrdenNOczOF=wNok&+Je!3*NxT$%V~rt7piXgqPy=L0~-h(DC0qedCA=u z6C<9r2)$%hvsP)9!~|^s084`|#DiFO5HN$3E!L!%A}+n1RNV z;2+p2jGR%t7eB^@(s(yoJ?ObAii2LKkE*Fbf=q5O20I3k1-zv0W?5JHn;-372jkxU zk5T1a;)1ip8>#X=9h&D9sLmJU_5eDs5 z)Tl?1Gy9#ZnO{Y~9QW`+-Gt#tO?G~`7_F0Zedb5*YKDwcP;hvlqv@V5M=y9s4*$p# zRJT6a$b~)z3e4aBnE_U-&r&Lb|J#Q%9mCY`h$j-TR`50H&nfm{eLR&uz&{aT;{gMb z-j)1AmWTvZ)fH2}v!iYQp=DF8|p z8e0z@dwlyv570X*H!&XjWBCiCgrsC4f=NfGA3HgYtJ81O?=)C?FLcOzw+NcA zuX%4fVwYqglELVDQpc|7-7@r|_C(l5*7-F0>}NoWjULJLj8EN*s0%1%*zRwYl$q@5 ziEW8{Z=~R+^El2fU%~ec1~ww-pZOxU1<=n`kc=FBbS8}VQmT(9}6q#E)zAXTY`p?WPcp7|~wDsU+ zrR{Se|0u48y5Qzf3x3}et9j){`2msboMVtzJ=tKMZ#33kD9>MEjzcgva5MK0ML5Vz zu_!Fe>Z1wqLoSA1fm`yg_0ChqS#EhI7s^#468c@uh;u-n$pVoR9pNj6FSR%`a7i%G zMfu+^D+uc=h7xu3k zP#YE$nyH|8K($Uf%UfwWHwt5sLGe{EI%+7JdrUOaoNz*F_h>L9w`cqE zr-GefLD<#;f7!A8OiqXO^-HcRLxG|N-51gNR%Gw*-sXr)MT_3mf|$($0o!OzrM8!4 zx*rGDdq1Y*C{#i=RcrOiQ;~j9oevDnkq4VpXX4cn@v`s&mHjEu7cQrB1vtEY7-0=@ z=oTqmfn1Ob0KH0t6`2&_hHTz-q?&oNye$k-**3LmtjJ~zQPZwMTN^-j07r(^%Nr{= zvC6PpL;3`39!`Bi*#ZwAtm9g(N~aspCAQpX~OO@TI*8_Or61ugjA^&FK?tdM92{Y%!YvHOd3Y* zoh1m{x>#LGf^|?ZbMS=3Ci>?+!hwOQjRi+U>+;I|vC3fPV4bgrk}(vI<4>iP(x5Yb z7+sYa;EB#6VJ0=;e>wx@7!_qLBJe8SO8;_zJlW1XuGP-_LJT>1RgtC=g2iO5JA%8F1nk4(kIX4fix`t}8l zIYt7h#vWfC49PkSDkJd1fg)qh2v*raba>8*5+$tYca3TVQ3n3N#J-oMy2NI~_Rm`0 zWn^x~n7&XPoS|5ca857#ntf3cC|4XB1%;UC!QBBHxoG(G6xf#Y<_aqsU|dkU)bM?~ zRSLXzW_TY94!3f}aoq53TXeJn5e`mdUv|sW(5{*7m3@%5iA;@F>;UXqDwDzj?GNUe zoP{MWo!Z0F^xkW9l6o3x;Fm%9lz4509c&{a=LBWDAnQX@D&PdG+!giqYu-rn1S zcyW>39L62XgBD^%2(lfsfd!d}?&H+F2e54uyQ}VC*JkDp{=7Y9dj=!VJn}>w-`Jc) z_}Fm+zQ5(NM5JE0E&^?KP&gF&sdSLz#^2X2He{0I`>Ax1^9~A!uD9vss9}*c(yI!! zDffh~Sk(WBq()!Japq2_-vYc=3!6*2kvt%dBK4%aXbN${6f^;qKu-T)2?lrQ*g2)& zxYJr5$C;nXL$*5A3Nd>pr|E$T+8$2a&l2s4f})&`rfu+q|Fm~ymVqk+2dO$C4`^n7 zz$)yIObYEPnBu(^B{sx^-O(x#8ZZ4$y46#<2m_aiJ3u8VN zt4Io`Mte!vmAb8Pz}zMi2~(#^z05z+D(SFkIxPYK(p?(*6u(Ui!? zF(==yTwdi$!w}xRMn)}XMbH@oIAVqty~TMhmKHC}wJJ9TW~^m7H8CGlIVC$g_Cw2{H6RO)a#>LtfpL>a!B?`}J# zX?rcX3axC_RAYm?dU?VIC35YLESrh>79p4YR_a>N0)+ zDFcBrsm}zEzOWy!{oj$YC6ZR2e@P)7yVDpas0-AB4k|)+qFCT zerL!mw`*F!-j9{>w+{nBqU;EGJ7jvlAgs++dKS{$28XpR>fu3uk0mtyM$x(#85vcv zWUTM0!rzOuoYv9m?T?`9uOK=eNr`?NXd?Tz>y0~&gFonRS5iGT(SRlqb=v!N^r&SN zeu6b$Hym~M(bF$<4b!|=(Y>cHBB$>w!pzT~f-*%w4J|&lqQ9+7-NGULjn21IM10$5 zA?Cf&DUG*^-p4m*oB<4cM(!G`rBlnwkOfG6BlP^E1Zs8ci(alC2vNN+L{t0> zx$j>JvIKHJMG9!^!^Z=`VCaP8tiz{K zqV;P)AOBe{^shrHHgp>SiC}W{Y4r8!)BE7kRi6ySzTifC;!Lv#SW?L{7^zOpm)_Q*9VO{6wkKjBXJDt~5YBDwVYTnbAXK`ktRtE;KzN<%~nEWB*S5 zqGZQ{;Z4j|eG)QVnfFYEd2v1|Gjwfo$r`g@^(j?Y-oh4bFthZ@UD(n6vL@N1zd$pr z*FN|GD=d5X?AkM@KVY{0oSuwb8a~hfIDfxVXJoDNDNJ#&J}(5@8Qx=RQEO-X#Re8^ zqrNz@dxs!TRk> z{q5ilQea1Gs$~{hOxm@bQF|W|4+L^<;)trH=T9Z=mgVBK!D4OUh^nW9@WFmfum;_< zQFt{ajOx4%<&k@BNB!LTyMkhOaE%moI7l`xG$P3;o zI)+Ip>529+ne=CC%)X7)Lf;VVAA++qi8gL%A`VPF$g-?yjPF5${dC!Sn2bHD?+ z$4t^6emSN#3h6p#q2Z+6AGr|KkuHIC?2Py{YHoWjZ9kCql|1%-`DF-TE*UW+gf~bY zQdbER4J#`clY_F0XU@bW0AKD6tHD0`5`+ArT?%>`U_}MvtoBDF0dE_LZV&g8qHiq9 zNnb7Gbf4H~GC*y#Og};zc|q~{g`HHk@;nKn#kho)MQlrX*4Ag^YL0(6Ievs^3W9OL z@g=Guz2PZ8`c%5t$gW6m~)CtW?1W4JjjpDBY<>a6_c>Nd?nfLQP2Fs zO`pat4$uM`7xVCIU~7Hgckr@{pKF|uv7Ghw&z_&eKP_TM=nZQedDSzE`rt2Db(}QD|m9^>^zXMLWAQ53T2JS zmt6$hv!UQc=0^-`N?7I%I&!jR{$1(WQA5}=x;W)}V#>Upx%dO$ohZR*{@yvSv#Uspp>q7a0rc>=Cw5&_Zl)NAIB5%=6gh?{ z)sC&02EhW3Li)maoS5ySNk9rg_(0);A83ujueVLmVLYBQJ1^EePXvapbu+8aJ!Y6t zTu3QQE8Uy{BgF(sDZDN_BpVEo^II-hH9s;7W=u4N8FfCD))F5rrE-hQMWsC1Qbau# zjZ*kIQaTGpmrxov%#JRFg{@;+H7sJ*w~R+Fm{DcPl+?wz((Yh3r83#^lu)fo7Kqo; zwPb}}u%TPSf|>|nRTB{(t9T(B92})(Pg;z&2!&1pTj&)nf-7dr#)igXmEj@J50h* z=4KrxmbPw8S{%D*I!uC7sFj2l5gjOt`@HK>7oJOrv8Q5G;`0{HFv<_D^AnqahU9n`1EAiVJZ8GEgS14-#J%I~$dt ztvm=;V_RP5v=5?Myc7g0phq--yJlMc4nBr8!d= zjWLGvH^iq(5Fi+qQ&k5ES8UQ|sQ?E42#t+85mM{{f?N68E|;2TSqBYQEP9tH!G=v8 zd^qyt;>m@kV?`$XF@ohZqw77Ew=W1Z5oeNHm3Ar#qSZX1D`|RgRGL&;#jB~L*=F}S z*+l&0pT_fL1GHQm$#ZmHE5k9`ZG+H-I)RQu01E*^I1tqJ_lA6t))CX=+F};DtR+2R80cwl!>fEpK)HST=iKmRVm5@<`8(r zaMYgNIe~rCL_`Sc~9f@qV%PIr6;@FUI^c zEz*^Q>4XC-a( z7$9RdbH^J!Jr}QIupu;3)cxXz#k0CMKQ6uQw(yk~)w+te5E2Elbgoiq8FToGb@b{7 zqb`4&_&W@?6nzkkI2x8*zVv^{y|#xyUXw+Vd~x1^`T$$BCE%6{>u2-N!>Mr}t_0@_ zV?gI3p%|c1|4G~bzt%E1hEv*rBrOg?AbPbF!WuhKmXwwdTtYkRJ4@$-7(W20flOY}tUUE6h9Os%jCt z+U5C$Re)Dl)YJ9l9h4W8n^*TMaI=ZirpL5nyNyaKzX1QS^v?J+Tm*eyLz5N;&x#(! zUdedEHcTCbvx@oGk1+KmR2$iP-5B)n&LyshSACTeh0yK;T5pLq2Ij(Ita%oS<^-%{ zw!N0VPRT3`w$ltvT^!{MHaT!a`?gccZrA085gh>W+f#h~z!fDaxy{4ncCls*jN5z-5kQw<}6 z)K19MF;sQlKt0c`aXmbPs@cT=B& z4L`>SP)S zt}_vRGRS(OuR}8g;cC_H1=`Pp^Pmd~e|cP(LI;&vj!A+LF->F@iM^JjIBsmzGWACy zlbqv#x^L=>jGuKOok?xngg}Yk$v@93=qa|eUeqgv@|`?B?;}ITvx`^D@l%o^Xh$eQ zNb{1DF=)#uC}PHOq#PBw>1c3TK?O)n$>g^_CL1mF5Q*yQG%Nnj+(P;6@6>V6gogd9 zBA9*;1$gVUd9N)wu!M)v)uIYK-(0{Auok^HplKPjW!L9(`J5GnHUq<#edu%^9h>+# zwD+J!8a{1fwQK(Jk6fv>P27s&`x%b69yrAHt-gTI<5M6i}rzN-9(fNg_Wt+bX9GLkIKhvTZeiH2G_y+&qg{JX^2NU!^eBy=2 z7$O=12#B8ee|5M1-wsI+BNO8*?SF*g2oHb2P_vJ<{ry-y~TfE^c&rWv6jgR^CcBVgD;2B{5Tpv0^?a@@rcNsDBw8=9`NR2JDZ z->I__@AzR;`SuOBTB;S}T|y+`@GNCbCjeC`VPjWdkN^njim|AqC?Q1fKL?LM?8O7Y zxPah4s8*nNK`0L}KEJ0F6=AR(2p`}QOz;U}^sznXgaI%SXyhXCsKJ9Ui4>B!B(@N! zuz=`zE+KJPKybWUU^MEXWiSi`4%Mg%%o*`0gb*VM1d2%_@ekB_sd$<|gg}7;x;3Dk zm<9AFc_12xiNq&FV2AA}(mPn7m(6JGyCcNodOU##oRIIon1KK9baKs0Val*c z`!-|oc+Y;8qEVkgmfK*y^K{$MOZQ1GfC7&hFF=92)PHgzb}e9%H5NABbD4!V!E>6W zGyXN*m@*!gpb;m6|bM#IYFY-7g7W~3$K${Y1&1*GP zsUQB8vfENf6RH}p3_qW0_tRM-pfQB5kvVKK&4Wg9GWyR#}N1^|9#>x@!b^W;G{|>W*qBQzM;azzys?T^U+c zM{6(`4Lf9>M=nvn8o!}`z)@*E_9rw@wV$+A;jb0Bwb6b9p5bP?`<}ag&UITrQ`9%k z3-#%O6z`trTBW*Uc&jNW%_3p zZvW)Ts4tof8)AwPU7EGB&6#n{xPA+O(^5&i71phBY(cz}5%nYlZP&V02#&-acU(Vq zrpO9u**~aNGwR}OAU0fM0Ne?(#%TeD89_*#Cq@ee*@_Nag&8W52sRuIRj4qF;$E{F z9R@+jY!P#AG<{oT@;~H;$p0YYBdWH|p3@SzV&pzk+epAQ#tK(z4vvV13Xew=IM8bt zwhY0I;D>+{hJaNnuiz_BkqSHkFqGvHLJk7p>YBZffFlGUG;#e%#k1^Ts*T}sw+JE0 z=puWf_5Eryd%;D29pi-kF=Dy@Yd*cH%>T(u>Vq56s&<%H^5`q7XT_oEkpKBFQ@`;) zv+b|=88QTpBvgPQ&kdLNm--%bqis5)_;82n$^>5~lNsX{J zkFzCHL}d!uF*PS)JBTQqNf^^hlkB07@KP{cItNHndm{FGo zP$fC5O_?5jYuM&2qbktGXU-op*hh(A&q2e`Y38lC?F((wn#H_CTo)8!`4Hp&z-TDf zm#^Sxma9@v<8O2Ho%8nu-=JrJWKwb{|Di6dNaya|VQSgIfQ1wgz(1kyD8tJ4h+ebG z@|X8Dq68YXG6I5h2oUM^Bl47&Mn$)qdI=0t{mb2MON072ryIM@Z+IZI-SC%IQXLv& z>5-vQ9W@{$C}+Xdr`a!QG20`lPtpn#WJUWIlvaZE7K|G`poWK&R4P+?q`79%rzz$x z>re@nkM&jRest$n8LfQ&I(0cyakI5I!l=VhHvys?jb*Cm0!K;tYWZW~U=J!zP--N~ ze^M`#3};nz1D?M&LDk;W4{S?9S8n^8Zf0M0=|6OB+;(l;cWvZ4=rqhnR_f{nq;5$T zTbh)Pqjo2Ii#@_dY0tQMyFltql$W8zR6gIn;zVipISRLx#m(D2-aeB>X-A-6pfb>( zQ31gs8SOhWPc1AyUo+%P#LK9ZY}HA}(imD&oUIB-0xza?FQtUuu{rAHmOCb_C%OxG zEIvTj)?v8pTcG<~esR3U9x=dH(rE5wBl~QB-!EcWM`%{Ef0IHq{cEpWN2iO{P4E5u zek`b@GD8uv+s#Gvx?HqlXYPd;S-2@y5etNrmefZjb(WFkC9)N%o)lXBkRZ#L2xX8j zx^Lja>o9^InKMxhxlwu|yLxJq-{7BYgsuO@(5g0|pj9y@z+tW#?C-kE6h5o<*O+xB zdEVy)^Vq!_cUanGP5}QZszdJ=MgWWULM+Ty49nZirdarM&|+*^lB_#sGl6GSEH>ab z9*=a+f4j+h=o2^xZ_z+9^!LXa44?4fJGws||B&%bK@XH>`uW6fJTwV_bGjGi3y7iwX%BKlL6ux|0gf1Lml{9NhSn>Km>{2&(+|r~UWXpCbu}i8P zDllj(TlON%1@Kpp^$~eHGy>bbDl+%-av#Ogcbsf!D+4!2*hdbQwfVmK+ZAf^E$m-k zDR>!;T{XETs|#Q5rR_P{&}Zj7ofF#X_7V@GMkau%SJtX(8Iq z&d8daV4?#!Peu%jE(fsQiAkOxpPk}g8{F5OWQRhYw2%qEpmf1rW_Yq347^9b#Zvzw zdG_*knKj*mdO}$bsar6td#{X%V3WK?bvP*SLUGPHP?l7qn#KnvOkZfbF2x9*ja2@4VX|%)AG`bQot98hnwrLm_tQ;?9Z@lD+@eJW= z9oL9B;n_#H`cZm=MnZ2BH30}@HazvYDu^vs^KRoGU)~Vf>6i&*4zzUzEj$&w75AK2 z+c{)5cnW(h9=8J7yQ1b^?_Z8}E+fuzBCCwJkN$ydOf`=Ca$Q_PV(J}GUMPetz-Z|l zbmDIJs2vnZ>T%&_e|*l~{x8JNzlgbi5!e49H2*=Qnmc5Yw-WJLyZA)!7PMSFAr;@) zb}S+eLvz;;vq#r9Awvr69P6 zaJ{~g<1Sz;97x*PZ@CnHa_Ai}HpqD)-01)3e?{15a*O||3%}Z@)QX1efASOEjg9)! zt?bez(1SZ3)bYaT|I{Kv>Td(a{6BW`$NQ?S*~?W_&B2U95C~cscBhL!yv?fI63y`$ z4=6~K=16=!vN`d_x!DhNAWvv?Z)Etot+}A1#SeN6Soqoli|kRzUkCMIEX3<4P;CL} z8ts?Q@>_^_nzx7$rUv>29q^jkK_OfHzEm2G=}C2#@uj*p4f<64LSi5pJ0hrAix3*s z72g^Wl1J;>*M!N(9PgB0gvhvH|GoX~mGGU-!Fl&JnDECh6P>|t+a9gx{QP@$^=!2H zZyCLE?)lJgL>{IuI^HbX#E~AhA(A-jwp$}#-zv`_lS|o}Rc%IV7()AqgUFv6_r*8f zKof`&NvbFLAA7jQcBcSyo+qx5jJ2z?N^G;KDd)o{Zgd*BeRc=Eb(mRsNNGCvepHI4 zuNIKk!9A1w*#1SrpiuUie`$oOn~dwx!*%>5LxT*p?XuQTq*_HW>;zIO)Q%0X!MrPV z@D-EyPEwoSsDo182^3Pos_WXL}#k#>R{~tz)?lUPkD6Z5@ciUkZw- zA)gGU57qE?9Kbn-gT<2y#!G~)$>ALJI4RvD9WAp1POWk6rq|(jVfm4QlF96PD&dd( z$b0dd13Tkei!jZ;m%iAAuIu`=y2~sz^~AUZ(VOX?n5wO2!L76`^yLGJeV(={NH(8l zywcD~+Lh~MYwfu-#zpE6uNL$5pJltF4DC?ndTHq@z_shByXU9Lta>F^Qr^7FD{?cx zV>k1KSr5v_zWgV=ttFNL)S3O+Pdr=OlrF^O?d3a-)me0`Gl#REJhta72w_un3l#%< z`ys)d=IK^5)`&%dHDqvPqCQ{Vgoagez`1Kh1}r8WXSjSb=tn4%DaIFIJbV94>p&Mw z6>u^Gasl&*aL;5sCm8~`k})whMU0`EqcbdHHb6Qjo2j7mGX^a$U3yi6p4Gf zCZW3JI0d19=MyH~?{@b^&r?ODo%f$th5I8$LnKv>KyIW1HAZ?b5=-1$1ffn&z(J=o z&=};l;1SY%i0UahJm94&TBR)(8j%TnM!rh(c>YIw%&=Moe=0XrMc<2LKFDjH*928A z^DDeWU!QjjU6OPLL_;U_Z;l}4uj||3VNPWdD9gAN(<(7cT*r6ZCtpqz0TZvxDh1v( z$Es@V5Nr0qR<>(j6(nV*cQ+KXi;&A>?;u-+*l)FK-b+|(Pqx#h4sU*ah-VMxP>x4;-P;+xc7gXc1Ib%*- zZOAbM&*t|6znC4Y|J6kaPccqFF>SD<`+oX;35IX6d;|(= zpSL3}(;%Rimx1iGzpW@PbJKsejWzMKy(uX96ddhz-prrF)gQ|BRC%2;BSq7uYdyY;T71HVr3BDx3MH1(|naJ}Tar~OSLB&_&1Sxlf27e$K* zc206A;M(eDQbEW8$8ToUjxBwXq5}$_?6|kBd4+gP?Xs40ZtA0BQ1hm8#@54vRhGK< zC#3?uWXjJ0wp~(*r;}iCoKg(|;V6Xq1)ERB2gCM&X3|0oW+%h@%lY0~s(S}cL2BK7 z6rY!joH;?K?S=^$EycISjGP~HW;f6s-g1-SZJbvt2Z6#Tet?b=2TKEU%+-onkaEM; zTPZ_4b zumLHcMXsSm4%D{{u+we3St8#6c6sD)k9pnL%aw+TZ&(U%+s@z25dW-5^u| zFK^aIKi`s=$_;EUs+_HXg$=ydn}^Ev^PIkcg@u3(>0d_!3;gVlKf*`(+u!FmYtU0a zv6)>LMH19|2A5Ej(`n=wlHiO+TjJ_!JE`6PP);iuCLJ(AD$_}mGt z(5^lX{F|`!Q=;G=;qveI`%S{yw@ba9O5aci~ka-JcFPAQTAh!ZKoxm#^l747TSdLR9p`)8#KgO)yyZ&m^N z&F#(G9lF>ZT#si98?QAVFB?Pd?RRaZ5B$x^9ny zJqrN<{oF@eE=PMV;NM+fp~}6SF71>#DE8XAI$rd^Ld4qnACW5a-OYC! zAU_m0b6GbCUW?S*J@52R$)NzV%e=9ci;fTdkBNr;bxmu&r%&aG1GEvd+KQ2D><$Tl zZ|AI(y*}If`X&3d%4Xnhj(f{3hJbEm>`r^5{b!8jE%wwvOV9qZOXo80%^mlJPFoXq ztocfh%csf*_cP__%WWMyx)go;%lw=bcg6A8edYI$yZgDhlYx*z+Oy|5_KJ2NE!&EY ztFcn*hZmXAt1#d$bd*>ROZ0H3eP&fovY`Laty}lO zez0~|YO|Cq4_r&$6akMzchs{C&weE*>dTH_yv)Vd+>}t--!n^3RCW@UTYZ3)01LUN zXIz?H?r*zR{J@>=Va|Zr$c?G{+wnt1JHlFTP{+!>!yy&qx)Ord8)ij&5!WQ<3#@AB z+TdR6LelrRvjw|aV#3M|uZLsWbB+CH(-rCpr>CouGpmK_g#}uDH-XJ(?OXI{3>~0_ z_D|EhT!h2Mb?HSz$s+K)astTny+pFUTUw!?_8lVO2rN|A0xa1+_d|CJ%lvv?&)*-Q z?)vT)D&7hIbMyR9aZes+^J5eR2uSGX0r|f-<847hDclw`tliZZIYfoNeU2YYhK&Eb zGI6josJ+c?6g{#A=$IuKSD2pe-FA{-h5#-RD83DhNV*e8EH&C)7>?vjU)`}ad9L6t zbXYb8D@EeGD7mvMK32pTEGpUW5pYfWA4Nm%EOPS}ydO%v_?r(xl(YQ(z)gcs2w>zz zwf0X?UdA67xN5Q%^Tag|yZxVjxEo#%54&cx^O!3+nH+E7jy@KaE6>J{N$3g@^#FjB zgwqcw_B8%^A38E8#H;78vlrj$Lo6ghdMv5!Q0c$%%~DYA&gIy+R*Sw~GBw}Tr)%0K>ZbaNC%|@FAFi%q(f${tZ?G8s3p&WtJ^BlT7 znt@TgA_Cb-cNieg4h&N_u#;keM+BBvn?_JKm*uJrgC@=xb;S2iY#+8le8PCz5AsYF zc4S%IH;n`jo0cD(`;QAF*8BK>fMW21mlZp)u>@2KQK7H1$_K*dvayc~u~1oMLG{$r zjZooyPx+F8K})5+^bRijGl-}xZfl_A4oREUt znOR}4UDr6=iRaL-KkzP~S%r&-9sYhh6ks-EE>arR##oT6X8oIp^#Z6Jrl$@Xp=~hg zwC}_~{>D#eW;4w0_t*h#G#P-oUCi8*Lr=32y^LDkAD%%gjPgultO%T*SJ7e4b)N&h z)7_6S|tbhI>lavsB~&Aa`A0=4yJ|Ad>)!1zROCGa}TWaG6cM@-6wfIap~ncCr&7 z*Ji}!dLZ}MlPSm%Dz8PfNIM^)76LB6pbaL&J>GHyd(b13B9ueuFNw)1_+L*YxGbyB z(uh4$JoQM_Dh2>UQ))6R6?X+A3M@yNu-|7L)7$BdYOA@Nwap(h)wiWs8{A?kcQwx2 zTBS0cPH-!?)WOYr_m-M80w+q|%ejVWHSWx9Wvc{-BzcBghe;ylFzDme$PeAYu$>TA zl8FMwc@QeAe`BuKYoX9nnewq&QKNM7w2Ez64t|rJAW8$W>$bk~6yo7xrK>QpCd?H# z(ZOx{+ebhk76k+fp_c;5V<8E0s=SpBu9X)!)~soJwjArnGB*i>CuVFr;)*&HBbb6Z zNuWy%N0aB0+npkQ2f4JKCjP?rDHHOM*@$~NW$jbaaat%;?&ZZNLmOn>AYCF=kOD~T z@QlFlFVFzf6-#26<~NIl%6P4A^!gDal>tfhtM0v$msGNLNd&iSHQ!WFpFR9~sZ7I; z*AOihKc|wkXddJ??(fu;jAuN2a~R(#zXFS@yUvnc$-^+2=Cwf>^-lU+Y$ZZ!og&JZ zH35W`kY_j!G@{P3C|Sj>co_BC#BQMjJP=f3gQLKP&GS4<3Dmlh_9k8foeyWR0}UR# z>P3k!^1JwI5(AyK?k3Y7V390{p35w)E6(+NJ0tl^qZm@=Rp0=Ou$oebK${W-Lp08c zu}&L`+FUp>Gxx^lBq^cK{wYNkBK2vtAIktcpd3#F@wy+1-84s2gv>BC(K)l$Hc7}J z+!H`>%W)$d&d83AU<2{MkD?qE;wy3DNlD9#JQ$tQFSTqe zvIHwPMp1t zG?PeoZL2T+L>9^S*9p0l>>_iRIvoy=XO4^8qNbiukxyg_v**V2linJ#jQN8~k)U7D z*ztiGH@18!_cd!26A`Js$Gd|iq|Xp>^jpFhP2sA^ZB!^P2JEw_L>NRMmClcW*Id9q z+}^F;aE&8%XW%WD5u{XyBbz7Njr?@k&wg&($XQ;ZDUUv_eI03+Q|2qk9K@m)@AT!q zp?l)Vr+(s&!w%MFr+YrHz6KIrm5FxDPaS7MLY1N|K^o~Raiz?%-||@fSQ-Zej1}@8 zH4}OF^2ZIg^3GxMQc|-mF9_Y{?Rr2%D-rQ+C;5UZHDML4p{di_S&?WFT@@;_rQL90ni=b$@a>78O46#T7m@0P-ZQukts$+_?%2-hMx?w@*XKmcQ zyDF56dfcQ5FBOrbVE_ie#r#mf^d%Eo!*VH<_rQm^W|Ro-K!EIX(|S`}6Q!L7-|GGv zMg@tO2DfOyqk7!0sx=3T039-!HedSw9O0wE3G|6V9grMR}AFMGCaTACKXJ zKk43#X)#YNAe;^nRL2fo`V=_PBqWezr2A3&hrMY#^aU&f)Mr=TS4uPmhYeZBc+7(5MbnRdo57!|r=8QetDf)@Jx;ceiEI4s=NU!Q$0`(Y^?% zqV_-T>WH;QjwyD3j!QRqklNsVyvW|NrcZb@&Ad=ipR^JbGflf>bx^Jry4SAp^BiPQ#5xTbBx)KC+s& z;kGqC3<|l9J7X%Dar7}}k}<24L(|I;jx@%3SuwM4V>!=Mb+aavv^uVG%4H0fwJX7K z6zd(iJ3-sQmw0G}H~qq!@*6lG_#p<-?d#+PcClp%i8f)1vbV&7y9vV1PiG6i191Cp zIAv;p2qp7r2flOFoH45A(5#AOWap5g#leO3<_*7f-+QWi@fKu=4WIHF!yT9*0Fp&mxwEcmxsX z$L)RUSyK9zE}DUyF=6o#G-79U0z@t+6w^AIarFBm2`)|3@g{@ZPQI+?WxJlqcm`8V z{B19sQaOR(3q840Hi#%ek~2lF*nt`baSaShQP(v+c<>(1!I;V`Sn9g``Ba&QJ)#m2 zpnslei9EQZjX444pFq{1mg5rlCMg&%K8T_q@rQ0;;j73H^~|b32O0-L(uFNuDZ5eb zP(PP1Q`2iSWt0{<#5o4VI2zk_IMdZ&Z<32qEB#>-Jo-;ul~X(iP`%)|K1o!=fqRgZ zI%PQf3lhG5GVxpuEpu`{8^36`V=FHJmMn>z5xbvj%{hhwG~WeP`WqqdU&w5UUiH3M z+>&;*YcUC)#`V6PqGIbzugc_>??QV%S z3(YPVh$_h<0ltCT8B4p`h@A){+Rt0^bUyl&mJTf#B3HZtzK+gPAhBBBmoa_{50F+e ztQAeYzmq|;8hD`A4|vsoRX)pP(N8;S=7G`9Ivc)y-BDjULDO`4BLATTZ?O&pxpw)rtz^c=>-YHo^@5P(!rXs9gx9Et? zRId5N`<$-@Ixe1z6N4eoeN=V4yzj3=)s)+OB-$})c(pcFwfeCKARtz~cgIt(ZPMHJ zFwZ{9KlKGv`3{L$j`6Y%t)UJJl>q6>+3Z+23a-3v3<-|Q==4W(HLplhNQf6sU50pD zjfaC4W2VdsD@WxVAO35=m9wh0v2ZTFa5`jS7{LeoN%|8PH4BcTAR5~djmC3IcHVCJ z@-zI@Meg4*v1$~5;KhI&h+XZ0-PsJnwPGrk$FU(KSL9bq8E1Iu4eG_l!c5Glve%FN}fsLqc5rsl4j% zE_b<8gQr$!c!V{77ogpHq9a&@`TRn+Ew;R03dsb5@%RvctX$BCrLjK}C1a%Lqx=su z%%^A{2G++-RY$Thvb5~q_{`}LU}RrXa&bv-JtI_)3S3C2Z9SdXF8&k*msZ*NgNL@G zAJJgPzK7#n+Leh4xF6+d*O<(rh?Ff#5LEPVf~4w$nUCwW1`rr!fB!0(sEKn60uPG& z+o~W!yWrdjpbe+1(qYoMb<9kpZl6a(+`5wVMX`gsgk@pxGfJ=_Wsv@><~odNY~Zea z7h@>(gdZ6dAv<4tC;Tp5A5#Q#A}trme>9WBv`MU8txobsrmXQWCCp0V+qQ1^+}aqD zD74(qU}(UM7n!_;7i1Up-6y~GogW)m9X?%!74pu z;%uD5So~F?jQPoYKKa*E=dFeqqu-6w_$3wN;&9jO=_>f^LSabuL1V4sF=`+ql5Dfo zWGmrVZjnyEJwVaEj_w?ubFa*UA2BbToV=_=t9i!`MFx2xsnMsbZ9`X6Js(CBHWXR- zR%A580r3nXp_5KhBAW)JD~@~Gnqas|9a)u`tF4~GeiM81OPZXzDGCuKb%`Rn{Nl)A z+nvR8UxJie-5B4YRo6Elj=m@wIUwhgu?L_()x}ICaL9qOstJ6CAKE_{=S$dKUv7B5=b38*?5Cl7owNubPuPCYPZh4dXlc2tvc{e(~^O zTA`f0l0i7>!NQo9J^Iz6SAs6o9!bir=4z=(gk={DRooP}W?s(@-@MF<2F6-SIl_Q% zKI)%m(HGZJ-VlDOY-NH%_F^H37 zjhP}$DDed4&uT0uRh{OrD=S0A^x2H%Pz!ej!bT32+U>6g&6^HqQK)$~yh2M%3w(l| z)iKYCGLAfT2K76t5Yd53Ucn&=@Lo4VV3e1g$!_IybEZ48G-q}B_D(06!aZ(16W)tP zd+4L$79}5HhMYw8*PsFjDOR%C)~J+^y7c>+r{3dbhRi$Nu94#_)oJ_aL=^Nk-*sg! z7Mb-cNm`7uQro>2wRtI{umC1DzH1VULyw(14ZEFqgu#aG?A{saUP82cRe^T~Fg0Mr zZuoRxQjALJp3VloE~=Vbq|rg*B%YJ_wN)|#(DXgVcCbJWB4l?(3p8+$&1j1J~s(T?t-AXy$SlR_+3-~8gGX*_~}yzynhw%E=%h8kzHWd9JtPvqy;l#;bds6YgeVYSMgEGU$xL`;ez&x(R`8;uT zt1ll*X9*h8PT%n3H0;wbBIJGPXwjr;;b-DyLRY`F8IOrMazMb{N$^3b|G>`>Jbd1he#KI_RR!2?GO=|P4f@Nm znQ(b%0ZkqfB*$0nH#meQASiO{z^0)$g4IS^9(9nVf0;~zH?ZdHoIo+6=;(HkWlP>e zEjT*wufKEAh5O`@2y#O6KoG>(CMj{jB_Zq=#m5n61j&wxXdRp@P#n*Sh;$kc?LoCk zenELJ^G)1C#xQ4Q$U+RxVW}?Bc9k?Z;C^eJ@we(BQz#z!$Jfw?I%C~NX zg2aiYZsf!DFX$?ajzXsly;o>KYce9Jy*%xq3W?0a3>XoG=^5`FD9JCY%m=CzA=apy z-p3B|c}1AGAey&nqVwqa2Jy`s zy$_2R6T6~L*x3{PE-T!>5XJ84&O`INED!z0n?BmFY%IiRbVF#vmX9ZOQF((~`w{VITF(|%zd5OUFbY(r%nb+y!Brv-BkQj)!DvLZ$R=k3|r8}wz?lO9?avF zXRPA;$7}Y9-A-(>-FIkj`3jQ@j?Loh=DN`HOfCv4cdfQ+<0QSKGFy(6Xx7?|5vFHC z?o8iFnGQ~j1H5qhq;>kpr0+jTORM8ESPL>y{6Ui@VyAYs#3W{Knml->VK-2tZ7>Kp z_@#&lz_ar$f`MAq^jEsoX#CMcZ*OF4qbkV+BB({Q6TWoW_D`_}94`wu2Di$WS?n^- zcRBW9@#!e!E?9w<_j;5Y?uQfkDkSROX4+L^q=JyqOi|J5aV4Zs`$J7-Rt7IXzE}5& z^NIxzhZEB%02<8CgpU2F%EwDl7RBDU%Q&Bl+vcOD5SX{C7G|NVt=>7BMh}pJ=F17n z!yz;DP&QTmvL!MUO~Eo;<>#kMZn#{oxrd5F$4Ym>ExOzVs;fULtx7G;Sb9~YFM91r z0B*>3U<vL1(%*Rg_@dMsR0lFf@IF(<(xb991tiy{**e&)MgC=-ya9u9Yy5 zz+q2<0O-1c-#wn3X&;6X8hY6j{dppU6tKSJcO%3L^H$QdE#GFSPFz@MfG}*g`BtYx zz=4$YK{k~CE!28~72b$HECF>uh6l}Uo^>xuK-Fkkqp%x7eKD1o?3^JyB;tyeNafqj zA+*?CW?t&Zhu**r3Cb=7Dwi$a8uFtCM}#P*Jpj$!;6NG<9<$YS7(!&^MB#Oz&(^%> zhXiS91V7qiBzkiuM3_AKJvVw8=en;46jqa1>7B~7{$qg@dV=N8N_ z+rm)oIRax1be;8yh-t+BFIX<*Cf`qgA@t08n3ZE%xUN+WXcf$0=fB#SAK(%F^!1Wn zNd#cxh9u7c03_8(x}9sgbk_?Ct9_%zxcM~!!wkH=OX=fBe?0Oi*YqV%-h61zb~ALU z&eofAZSon2c}duSipxXaw^H)LI!B3WkH^ znXt@%^2|W|t{hkPJ2~xRd9k>e#;|I4K>e5Kkjjz=`%blUfPm)?}3tf znGlY2aUA~;Rcm5x&k4@o>*@w_9?(4$(hoPDEN+DG!heGsGV$EP7K?k9#KhhaXoH7G z6*8wZ#RV${?{ZN}M^n_8p3%~|?5v~qSA$_!VU?@B>2oVSw~3Tpn2L`ka@`XP#X&~K zie^m|Jttt!!Eb55;oL??CpEFf4WuS?MG-{8ijYncF7|4ZGDJQt{KksjbP+z23bW#3{UUMF{vBCJJ!pYt6`9DyQp>xqrciYAXMmzK6O53c_}tJaaCee zFhHJ`d3B8J-XU;2R#aHj$Ta~Ro(gEvpA95V2S_vVs#zt9LPAUo5{9#(T8h#as9Kbj zB~h8O*+FT^eq@Vgg=|i^aAP)RnT#cd3xeMH>^;^AB=D6&v!rvX;QaHcD){a@JzXA7 z*9a;c+_pF!2XezV#Fq(n;BiW(SAOAu}HKEoDbd3EzKs^C_O* zGy;Pqk=Lvte#(IoimrlQ3{jjtqh-lpmWYH`ZyfaiW$6^gW%5VEo2b;b%7p+S>N>NM zb-f9c&7q5F9$ePzi|DdLcHoU4gFn87Dss5^k_Uw&q*aapj?M&xt=7m2?ntgk67LC)&P3XF^8C9Tv$>tR zGPo370tY3}kj-O;?-E(g_j6%h6*bWoil#bHE>+sJnEn9xtrd4``6^bCnQ_&O+JDoQ z_*A~l;rgW4yQ8m?pGtyi17ndM?-gT$fo1os(r@MEH9PdZy4-FhvG!suS=LugY2}sB zS3`_HAe8n{UvT|cQZPN=f+TOKG_Yw2RxCdSi$9d5B3E2FM0gsy-9cvVHI40gw#&Q- zG|I!|=T{nFZ6eysdR16Ki*LD9&iuioCEQNmsfHJgJimxfOCm2gS~B%$D1Ks*7rpqs z+>Y5M**>{}AsjEs&_Y#F>k22%V#Cf#N|r?W0nA9lC!q02zxlf#rCeJIHpS_um#R) zqZjLNL60zH#N=tlcjYRw*10A(@TV4GF_ImpqccZ;AH+kt9Ej)guk+ z{5vm}wGG%S$z*v@P~9QuoUdj>pqo2+E!mOi zFm^Jht<=!wrs9dPts);}8XY&r=GDV90rhKmX$VBp`54Z&%efsPI;6Vz{oq>?rAY>7 z)VV4*TkAs;Rs?lRV>R~-YIEv?Aq!#Fz>bQKS;{xAz;TH(>_O)PiVvd~&(>kd8$SVr z_|*Gq8uM=qbq2HvY~pu8$no{wE{Dx6OIZe4r%-3d+@&{1DK2`c#;xN2hJO$(2&6eXis*#+e zP<~nJL(ElS4pL+28xpxHs~yyqx-V+xiNd4u*Y1`0YpR@nb$$L;Nv+Zh;t~eH;hgh% zkf&U4OR1-@JkZQH%ZqBA+0o=|6@u6>fyD{8cQCsEqb{0KiY54IQq_}XzNK*+e-9y) zBvxHL54NdT= zOwdI}$guG3?&681_(`uD_E$=vbs}fdKO}EqwSXMcM37;rBn&O6`mc}7z7kpSUkbtZ zIX~K7fCu2gn8^+L54$0T~~bIZzh895z_=k`3$lA zFKsLdd6v!JB=ZFqeuDWiFY>>hT)()osXcD`LyFenP8dnTU{}QRWPLp(gPk7uiQH;! z_rP_PVjQL@27@5)$s%C{U>V2`VLErqI0q~TuMJPZE(qDV#3bz%bY!cO6aI<9oLENd zFq&8}-awxTgxXC#p=)a+7Kky0@qedMTOt`>D0D;!9(3{w;tfDBPtClyy-dLV;~-Sk zGHWuPMNR(=hqRP;=)`ewEe@JfX&_^J-T%e9QMS@QMUaSc%J8ubV7&!?kj?mY_fNhk z4@j}bwcd*<#8k*^58LK%j$nnZ-i$&)oH8p9acn?nL|Hd|#RwMPmBBA8zBu2~x@RWg zABRcVyow>iV3+LsW zp9PF4;AVw8(w!O)<~yk?Svf+^hSme-aM{RgA*{zQyZ$in6?Si|gPGYk9=)8E(BpvE z_`0%6gy06p0A%)IdgR|w0)``jaPH{s{^&1rOuW0#a086L09z^Dr-~Z)OOQU~;o6Ce zpe?fut$J)7Wen`u;qVAWUe@2QFc(I`5~vNJHGx*|pDF7Z5$ubk;4j2tir$&4-MQ|O z8xbFF`MY_d9&o0w`aA10wb0zW8qDUQKSo@3U>eR{^}R1ejSaw|wyOpcyeLm!WMEWr zUE#&<7v9@bfc+~@mu9qwju*F*aWpg9%}Faa;tKd>d!HDB=MAkeM(ea2=bEZ7Yr#>1 zXLpR>&ixE1U2%%gnKpS-2qs&;SWt+Gz))2#;%d!w%sdp1-}7H)%g2)%!WyCpQg7TE zbX_+65m95{7X6tYRlW1@I-+z|A*a(3i+n&3hvS@C1~jN)QvQbjr8J##vCg9F&l{Gp zqquw^CEBs@mO8RDTRns4ZK)4@yItqXou5EcyF8Qyp~ALSSt78@P$l7uF_OZ1 z=A2I&k=1j1>zplep~yL@+&DtSHMo|iLJX|oJ#I#63?d39YPt}IknWa*8raiBnN-ea z=V8*yGJD~2M;4&) zig4P5*S!o3*Ggi)NTX+LvR$!yJOBeW+sq<#5!1G2V8FKk^Fo+3-*?zGEleAlq$oTG zCP}9S>65sl0EJ)<&BvOPQ?M0L<-P_O%up`_2HnSW_BR&`R+5YnIc||(QEz_*7AADh zIVPR%zsoqHzu)RZpJcfDIAICyl(!lqfVej24|Ae0dUpP{fPf7IvLwF?jsGVFG7P;T znj8!n6ztQ;p#Y-*h50|-wv(qQ!Dv+edHdfjoj=ng{~aHGMV~FX|5t-&1!+()^e_ME z*sXO27NGp;7X5krqjK=i`1A((@Z(5UqyoeIm-)Y?)&KSS*QuJ|f0#do*8iLNzr}q2 z1F}eNr2@lZ|0m{uRb4)th4Ui)Pf^r=oPMzV53`f=e=#S+QG?;JL2>`jw41T%f1mij zg&Y3ENsz2d4TcH)|5aoC?z=VUt`%rNNfrzo9Rvgf8bkuwKsC9tmdy_o1cVG61O)2es+p++gS+j|=tOiKoy~%;;C<3gSPItRi(CY+# zLg|d3_pc!&8~6{_=j~@mXqtT(Otc9k-L`&*M!_i8RtQPD#9IjHF4Jd$9@wDj8V9Q5 zq}Rqj#raI7Pr;Ryh#qQS8KO5ILh5Mka&&trI+o5Y!n(qfHpXq(7?YH6 zZ}@!Y3Ev{?Ih;$5s3pp=pP?@>mx<|QG6Yvw!WIEEiNwWpI+Q*9DcDKj1bbO#7QgCf z3e@%1fa+fcpVlt!cOM@^*^_wxi<9`jIN4!!sM+F!fUN!d{u3u>dj|${GYi{P7bSfC z)ItC4ba0V49B5R%05oXuP|y(2fHfg#2H}7XUSTN6)E+eG%S#q5;#OX8AOONG$ zxnhgWj`QiPMvvJ^%GTphr%0;WlvA2NJSjK9reWD6V%e73Uw73>1sUY%A2y6km9vWVIH!7Z7MAq#$4(*u3G;c5hg~(WZmZ|&j+V2bDKTYEzItC33>lMb12&8G?TnG`{w?B=>Y6X(Uxo=}mYvcG4i z)z*9vIr%sn)nrk{m@J$Z#;~|{#Hx=y z5t!H#%bxR2yyC`DYhJ3aO1_i9xLu$7!TOU*OxtQoT_MAUoW^^_O0URj>KE}(+lW85 z)Na%Iuf9=Jz;ORcYi8w`M{9Nix&qvi!4wUGP{~2b#%Q^iz*6H-sfb)<*zYK3=eE-U z+}Hl5ZhXwWh*kLl$HA6nQ58?m1EWH zID0H`WUOpM13w#nOl)@jMdv=%N$=&R!AV=+99s|x3}Q<WWxf)z6^78}t+6ev1IICz<7&Da zU{XS2X-dm>V64$FdnG^kBjr%&K0sI5Lr>x^CL*}QHTkY|umLa8Ll z5ss9ZNXkj@E9`&^BOAlPUp4?O!A_XNAsRc41{>!Lu3FqjkzNVB4Y60oo575l9za_* zu(Knv9NmBXk_!#n>mNQk(&z*OTfUgsm(gNo(KjZ0ncz>Z(fH_@u9}Ps!bsr4(56h# zSYV6`I6W1r%veO+yqFj|5-7?4D67LA-ii=VSk4x!)@`Q8a(nCv!U|LeQQn}*Dy zexbnn)$zJ>`Ktvwb>AZak;Qq#{SQelz&TVNX5Fq^P=iW&$J!|yB63fWsOZ!}yc*V= zNWu+ujMGxZ1<_7kup)BJ;*4I_)!oY=O6@{$j`=|Hcig)>CHP+MFJ@X-$6pnT?v2E` z`02*KI&B^84e%{KB;g9%?WShnFdyUBnd!$fE{xVFgBQF{k~wXpl3n{glSQkQf%V>s z&Q}_$_(hQsr9lXZN=nAaJLnJVYUgF{-?fPZu-wS;K{A08en&$^$AkO%}wFgp{&fd(4r~3 zAymtfD2FxV`h}P;g?*^%xQ$ zg_R#xKRLegIyx4s*nQm_ACW~!WNgjL4i|QZ71<9Cg>k;qG-EGY1nBPJcN{|{Q@d8L z*P>%My-+?b8+}#pekb(_THWuaNGWHas0zcNtehFV%?P@my@eI`DV8Zo0=Op+PbS-E zG-ZRE{YiWWAU&cvUW@dRsuz)McSlMg*|3~3J1wmsxGscS&y? zdvDaRZK8U;FgHoI>dGKdPuP#e;nkc$9DuYB?aht zxv58p7X*l)wQg}IGRUXA`I3!5{L7X!-6~LCtqZ|fzQ%E8- z1t*L2JlW2Szd=264nkgZWS4rj|6EdH(koA9d5&dx6*h!R5*3Yu=q{P7A1eLL!Ur`k z)D{$qyHVoU*t7ryZG0HUl#XdA5d`h0@w*5q11jMMD>Z)_EUOT%TdJ1qrCeQ}pb|GG zRY!k8P1$6KaI8C~A7BX6dyW%)A4m&KpZ+L6w)wj`93MzCL`hohO!3y^I3k5>mvkld zL>CAD69bvaUwVZs7aa}*echNYN63fR-sI!m#xZnQy1Ru6VrEf2a-G(*_Fy%wi|HpZ zY2cTpwjmckX_C8Y$vnb{a5Cl$)lpu;aFTj>?-M;{B`Z!N72qL)IiSDSmJv3H)FvB~ zJMac4GL6YF195P|XYPS(hdPE&jc|oi7KJe+k={QGx6IZ@wqOebZVSU?3*&yu^_MOY z8hv;+%e}unGD>_xO=9#Rsiie25tYkiEs>CN#r)Fjdk$jvwaEW?GHo5aPhDr+L92mWMse;bk~; z^JWF~W(885OfINCInwm#6B4LEK5XK4cRQ{d%F|79Ptz@>5ujUnNl|RDNM7#G;23O7-nm)r zIikW`XQL#=;@Jc$MUPzX-&JIkhq)q?+t)h{2 z4fvcS2?7Y7$u%iL5YLmdgyeIRk-PKm1XntdHaH4Rb&$OEZ=}3P32jK_^lu`i+_~l* z>RU2OS@l%WSDyk5+Xkx7w|XOQAzgfSU5^=GLvx*%1l4jssLdNd4X@=ea8eg`;P>90 z9`dL^;m*Pt&X>O7fohxj-eoD~$olRSxMTb=Ap!OecfoD85X-M{_S5INXtJ9oTS;xk zs&H;L+@wD~*`I^T`W~yUC^8qQ8a>z=K!z$1N0%N3MIJ-}mOrul4L5!q$JqdjMdBu2 z2+W@=?^iLcZHy?Zy98KShXoHad#3E_If{xFwmD(!p$D;i!D=qnH!VMRjh1_V&^x}_C=bdk-e;rF#jl?fg!Q=X4H%e{h+ z&=gqKel}X;`IIi-cK?-R`{dfIq`_v^)dvi@RWh^2-jAIXUxQ&wXgM6LIf^Fq+ZVZ`4o2#Tdf^Gb>_=$q11q)Oq^b<;*lb)AGFzMQm_Kj&vN@wZ)KOj=jIVY5HVil2Tq-JytmKX3^_w6^kby zN~-^uRV~$qYm26%iKgIK)oyt2cmp1|PNA0gDZ$EA^w?z?Y$L1eQ|^`UL(P+)Vr=td z%=?t|@C-Npei5tHTUo@BPA{q!^Yt9aDEnSGe3Z2^`xLM5)#77quSyCHtosMpjQ8GO zU6*f9Pz*eoYl5BhOl9jwmmW+v)eMgPDsOri9P=!1qB;88IMoLzJpKGzY7bQJT2&|L z&;~YlUeR@|0GoANTt3LW2A+*ie~fGEuZWu~Z=5Vu(XvyccMsMR@N2- zuMD?pV3xDq={k~{By_pZIDDu$FRv~!*WS!N!f0uJyEO&GMm%}Q?QD5^aZ=pou1|4e zOpH7P$@rUP{8jTjjlZ@^*#x%1sjTe~&G^SUth+q*-&I&|?~DAn-H;1a9zBRfPoo_+OiWA{a)xyUlZr)8`IJFO6dXR5HY*!VWAVcp4>)jSV9K+Zt z55LD4sK)4tD$Vkuf?S?Is9d!4?^BhD8cIkX*cw7$j#odqow0q5WCGO-i)jjy+g!W5 zRZuurTHl?qhryb6rqU-_!rRY}H0WU|+|=DV25F>=du~m>q;3sQK8ead$>?4HikDjF zuUNG&WrVQ}v7U0B)nh0;>3ZBTNiJ2{%vYnrH=?v>qdj`c+xTA(ER5ziLOZfO{Uh>q z$dVd<4GVV#KH;z^i-68u*asw;;z|UjzGNMP7G4#M#uAOACY?2P)(-*faV~j5SO*3l z92{i{I`d>CzQrg%<7%nY-maTZfj$Q9SB`WGkLKbv5$y)@B;`G|xT!uu^AQ%dwnEOX z#+E%bo%7u}n&pxco_57J&r%f%j;^P>i;1^+-jAKPmcFNS3!p24D$#o$%^MPp)D}XM z`zyC9sekYV9+pm5wG@`VQ^f|3o?itGj(!7DFTu#hB=oz^nc>kl0*9ohj|l3-Y6qDk z9<|4KRw8L<T*wRYe-{g{=M zVmh}2d%I_6(10L+UG8HfR2Cj-t-{3@dvPZA&D}e1ai&9N>%;?}!pYqOIe)AwPp%@x z!#Yih`}ro{kf%$@bQ=6=cgA<$h3JMQU!Itj2G^}4iKbhFIA-Yf>!3(169NTycZ^MX zk&uvYTdll$OY8c583|N_H!SGM=glyXk+oTwzKra!Z@}ax&j*23L z>_){zO$BMB;W+HS!V1b{8J^h1z8SXrTK}`v?W+9G{S_aIVYH@dua-n7C&!j8?<2J? zeml1t7pDu}ZCgqsqImwXy?u}$t!Y=Bk0lOJeuv9B>nnNbl&NCy=59KH@~_=!X7L|^ zYoAy&AHY=@29qP_w}Geg?ase(2KyIsxPF#S!N&$H$M$GChM3ZpG+wGag#K)1ak~Z2 zw128dmNWOP3fd$v3k#+++zwKKKBNY6ST@YT(@D%xa{F^*)!07O;nK*Gy@6ZV#DRjewFG#k zP>k&c9KC`?0r4dRI4hQN2miDmoatsANWjf`PvLl?Ri8S@x}AJ~oK$07%!_xMB%YrE zVz$}G=y{4W4SJ|Tm~XMGs>l){Uz8v$%ap0{AE>8Xb9dvr6h}d!fL`kF8_FeTh=i^& zXJE_^)?<6$Ik%O4_2jIMa{mlcR&j2(x;JzLsW zASz@Y_S6rby?+15`Ly3ub+0A*>&;ucfM+NCUC3bEnOk%Q?|73pfP{GXr4KO0D7R^` ztixXfczQvr4j(a_V`w7yh9F2<17}z$R+Fvz9rc9vxPS@h#WZzq?3niN=6zEu?-Ng3 zBa*n=2Rk9~fn7$1SlF3q4+?p0Y56x!EwUqXGmFpr6ufbEJZ&Qn2M;P zv;Ed~rN#!^k~pwaYFBu1hO_ba-Bs_n{A@y&(iPAxnqv_~s1%Jp15~#@8_LUpv|Xut z^<8Q%Jx{LHRq`?(_oLzo;|}_|=Uqh4Bgg9f2)DDv%>=BCvemaJ#eW&CGi6f-bd&Z= z7EeY2RD0>In7;xCBss`Lh!^VmNDA!7T|7nZReW`eT)|Wof2C>isJ$J-v6#loEg}|^ zp-4+mvx)3Z4Z2f1zz{gn&d{aZ&hQ7KodMbFvE;2p?1Kn05K1r!iW@pYu9Se1HkVK* zy7O&#b6z)nSV8ZH&ib=Q%CW{$v3Lf7YXwJ{HM4fxiJEDr{df)}HJF?Jf)=Apec!Fx z-;6e$Xzre6ZR6ab81j{o`p9nmN%p@}g4s<_QSyYzn6cYG0lstl8*Yz;r53)3iY^GX zEBe=iL8fS-kIvg1_mRYR?mo5#2H#1g%vk%5+054%?+MzWvP;WxfQdkYtabS1+hho7 zTFFM|ZBn;sW56&%zH~CYiMCT*kjF-HvUEn5u=WOo$+n`}q*GTQgI?Tt@Jdqg))jgp zCt_4y?Ma*gpyT8&{`Ez?Ne0QcTPg|u3ADb`$wDmA+Yk2dEPu65B(+a0X;lp-e`!ps z9qqd=2DP(LvTE(;&rICZ9&e^8o(wb654Z(K9^Jxm5?bS-cZm3^jg&qX!VQ>%a5irl zH^mHuI?R|QvtnR~K7juXGgTi}|GQg2$E9WtALxPzjMl9b*GS3Vs+2%I;l-iFBM0Jk zy)+w_UHZkivm5+-G#Y!{*@i@_tNs}}&Im$|MHex@QEvN^1l%WybnJ?f+Ez+~4aU z<<`ann_bMYHYgxUbeR)awSlPY!Xs2AoL0{|1&K_{+$6nO#O8rQBR5kybcI;gm$C~- z9l0>{&G+hOtec_WD)eAw;e1u89$Q%kk0Gz3T~U{l7xD0~sJhjuP3Sk>! z7ryRg@&l`G)RqS<=La`GN_ym(d6!boa8Ba9X03XrJ`|s}kqXv0R08bO@E)I$2qbfx z(1B_Ua_kI*sn%HH{t!a9uVz0>B_9g2Ai}S*{Cd`p6y^D z;x~M#Dm?{?MnwL0V<0N|dLe=bNPdR32Uz2|)fhxosU0p7%LE?;{1<&?Z#mJkby4i0 z&!~>7fcy0asB8O6%3pa#$u{rQOU<#WcI;69gm8ZnORB&}C(=Jffn5_ly`2*fI-MYv ztF4UR1w5-gMTw-j2>W)$+;wD`e>PMCd}5vf;-R3C+GTeSqxLs6!hzgN_}#Pkr{ofdV5hRuD32>a&(PdLy_dqPJE*;6Zs-2#v<%w=e`px22Zc06(Jr zjs+T3oRQ=vbPA?8o~q%zji=AuB;5R(aj4c&@rq;ItxLmQg);KC9X-jy#>#v^F(p#L z`4y%}xuL4X8API$ zCOnJhRtIP1CQU(63|^W#VT>dLe&8Bw)ko|li1YyeePk$9+x+g12}SHh9OjgyLnYP@ z*@5(7sIKD23mr8V{haSJ6@v}X<;lb+Xl8n(>-|kd+8{9A z95RuqnMqTa-7i!eEdD86vpweoE|ZQFNmaBd40Bc)`HV^qCPZ-c*-kt*8FG-zH6t!j zvt-ONnyk5G;EYH!-(2{lyul)cbDA-Wd~sjqGhnar&+|2%{3mm=mVzdbPWhKQWGW+` z!d3Tca2CVF(-E~JT^n9~8rG%N$|-qSpflrLK;Xouwp`hx;AG9L>#)E2RMfKs9XG>N z7|S2j7gW}dX=F_bz|ssiN@es;XN=nmG9#p%_`;YJ&F?MCGJ0ko`hLW9npxNI3jLk* ztGHY!hCRU}PK*rD+sFW7`vo!n{`R9P>?V2QH4@p_p&voag$yo$Y#=Vc9w?iJ_hO8{ zKx0A^$;@R3i+CnqQIkLa`ey`~f-FSF3n3`PK za9-(3%`=jb*FbYBWZByxnKRaP@ivuJ%?MZy_41lZ^tK0VDPmqie`uBnCTR<3%s0F0 z-ekJDSjwdn=^x3SWOHF8A=>&Fd!9dex;>3?@`qZ}Lk${i25<-jXw%D&RHw+cv@H2h zHCEr#dfP{vRHg)S zq8OnY@E_(mRsT;N009D`it#^2^Ou=%rh%Q5%v!h*54Pb{_xg^o>#LrN>`|mklgeFLf62vYL@=c#Gyok%X+HW66Pe4B*}^W<4MTxFr)=A@Uk2JmwNjhhC?AJmK97b=}Sv^$;wUFeEQ_ILjb<0%e%mM&C3BnTkQsBCs;s}0LJ3=!o*)-IfV?5x6Lf5C&MUMtWnJAraG z;}WP4EoPieOuo939_vG|_A9F78=~K{Jt*9ged8GW?$3zW@l}YHv7Y?^O;YK12SZHGoo#;tdr!8W8Qb9shCwPijNb1}rH5%S2LU`r|*X!?V zb7cI1rF=D>s`5Db1txfc)f$@u3u!ljkgX>eHS>$tS<&-L#gTncsS6b0h*uR{pGj4O z3RUTs=4rrpnnAe%k?~X2M%*J~G;_H>9`a_^Foe|IDyj+JIab1Vf#?|nKPZepUh=JD z{H?7k;?ae1&5n8(qJoG%c;>gQj`GK2QO9=nlg(w`><5@Gt`a55}bYYcfOtJSLN?IuON+d47W}@^GW1I!m+WUT*yyTarO~+Qj(kIR5;2y~y zWToSS_0lJO-+pCJ2K06#4?22&qu*6>Do2cT-Ah8^f8X80Jb`|qqxzjb59UW^ZwhM> zTX(2Tg0a;W=Pq`p9I7?$`0tqtu1xWM+ZmQH-v~x1R>|K(s6&J6&Vt0uTVS^h;-Kb5 zp0_AK(3jcPt`oMTOha7M8$)Z3U@r4KruHtZwK=ddN~6bm>hMU8*GrK% zMOmjRN~rZzh_KeDU(=V-TXl`JYt-arpEg#hXF<2?boWI>@ivUHBTDJrRK-x4q7ks1 zw!}juc=<-N7Q={*V0fQ%-{`Q|rh4TDV+QhxxKWc)33z}B&KlU%X5zWbZk*a7_dJ3p zqKP2%ZdtA3Apo&Im&^#CGt}rjMUJbQ(@q?STv%z${vWbARey84xJSy z3k@D?DejrRr?krTFwhI%XQL4Ht#%_Kz`qj)VHe~xsPUu{9l77Q1l!}Al262%FhU@S?@0g4+W%=lf#y)x9Y+BH zk!1k^f%*R%P@Jrc9n2SWY#ellTm}O`+#NuXBO$)mnDJAOG>{9LeJdg#wMPaK*CH1N zE23Y?N6{&uABg%XdkfGi;PXhMHA%0wO3zWw$?@4`hn^ML?mjCI5jOdfD`cIprdzO# zaLp;KDy*?7P(6^xWac=zGBRAUGn#(VNq(DrnQZZLox0rk5ZY~)BfmAADjP#2)a7%1 zukkMr=7q|TJhIovE*sCy_-p*R-}?e~pljFp)?c3)lY>i*hzW#1$wWqio#)_l)jRy! z=_i1bbhSN>5F=f?2KEE6yU+!ig3qPQa@UQcX8jA82gSb`eB8{{mMr$wt`p@qB+tr~ zt6R_4)F?DbRKBqyE00K6H@G|>zt``f2FXk{@B+8j@A1L#V(JaESsXib&gE*=0;?4Z zvb5p^7i`r5i7!W_2!GrCqBPXowdFM!l|yK9^oA6 zvs?5cwOHv3Ve25hRWTo+Ix8@0w3SZcc6#r@{7o}Xo!^%lsll|BvV=I4&CLo^1=?|l zffmXmxjs&KQMgt|m4SV%SfjC53>Ixd}z__$}=QG`=a=_E0ge^YQNqy0&jRS`( zmPWY$7e%e`3FYmT1+w7XXPWC&I$4~g3CWrh}xLNL5q(GXhiK>HL zA0;Jj!O0D*dM`sLdb;QUlB_dyg+w<=j}qp3NFXG+!e|`H(wI}zy20E;d%4ed?iKZM zm$c*N^*kyF1ri?ApZr|a5!_UK;+ zrdu3`1tP;kT!1ixDvr0kveV(g_Afz|;XaJtCVSPl?$$plcxHNCMny-w1TKUyqMC^Y z9|5ybzVt;a+Y)YNT1^TywN)1@)khKa5e}owhi+`U_0{|jx>y??$2)V`_cZm1Je$SFPg8|C z4-0kg{93F~P*0dvxd~rMudgTnlU!Xc4Rc2`p;5^_SC;I^#95}+HgDx%Y@;g8RR!!q zokic~ljAGjjI0Ejl1XbZEpC#pTUGgNI3%9_;Gk4-P;*J8van8-VMTj1hRW}I;D zTT{a_h_Y_g1}zBYhk~16qiZIpT3ypG4U6BaVU3!kuVD?CtUiawXbW6hMRaxObtwx> ztPv&1`js7D0@hLq&YNi6+PAyxh6`MpSxzyzd26oq#9X;%lX3u1oLj7X=>cA9EzFWE z*9wae!Mo5LQ{5eWNU@_{E3}s-(w5sBIiY8*WF;{Ox?C&MriDR9Dl&1$^&S*=LOWpP`$*k5q+tJs;`HQ5TA?aJoG%!S{G>byS zP(%_lY!Rw?xT$&}o9Y942@%>YDuHzO$y;vzAfW6Q7Ii!<)Q@dK zjOtVnZ*EwC{JnRUEa^w%JM$yim;7+w?VX6m{y@@cn776-)brL8_<^^ubJ6K9RhXsj zedR>H%RDK}cbR77!DeVGfjfN}@67Qr*@LOzcYBh?+f)&|q%D-&L=n4GMwpi&kuJ^Q zTDTW?%iRk~L+9=oV&GDN;}VfVL6jNNDM%KRAswDFvB!)h4b5dlIaLlaam0uPXZ+;X zi2na9P9x0!*Am{b-zrNPIAX?>4u43l_p6uUzo+FOo!a$(wfxs2=Ek!&ag=KKCMu_= zdvj)}v2>LA=5Z&t?$EJ4js;lt`KS&vzc~7@U3zjegdRAF2$1aOy{E(>q~}clg;k6# zV;6*b`V5=<3`8NUTlqy_#QS0I-}xH_(QlE0-*rg@srOTZyk&;}qOgeTz8kE5Y+bD% zc_iL^4G3I0-S!mhA?4ez!|kkde$vI7Sl>*`b+-`tX21qp9?gozdIRmWpt;X18k7F4 zNP_q5JmKIOhUBW-C>^3knRY``7(t#CM2)}Wc>D9P!}U=JhISc!2AAe61+Hz^=4Kns zU^xuQSw}y7`M#m?5x?V&vQMO&`Zte(MF_%0z!@w*OG<)$gNqPzT7S&u*0L;G)L!UPu zE$CZh^GUmSW*I8)1pkavi6g~achu~x#m_b(ZRnO=;*_a?$%!}%Mj>Ndy5-#*+pq!U zABCn<(|c{wD&sqLk+<|~I2QIyB$dXdpItJ?JckV)n1zB=PW+i)qKA-_d}0$EEU#!H zob@lcW{6BXTO;e^hl25K!4p%;jn(5HSRzvvcTi?_rgw%Te3r%LC9cB!>X2N^$K>tpBzc}FCYtj_*e)4HJ&eGWtizV#8jQj7 zFf9k@MgDW9RJSJtTEDBa3%UDpi!!j1(*w3;MRlxW9?;6zllzSN=a9m^KAX6C6dcAd zK+>DyRh+jcfiv)#2F>{m)w_o?Fb3Svo=U2U1@sv}^`7Aj%yoz9T2Ywp90iv)3=n*v z(E4#zklM-ar%vhM4f8p!Not=daP7@2{3{tor3)Oml$~Y_gydu zOwF~WlB#AA9!%XH>%wt|Ny)LHlB#79j%DmA?814keaiD#V{04**ES5OeMWUxyv1P@ zo}vNJqznUwyeV!it{YN`J4V5||EWGO22U2-{80a{OJeLn>q2$ODlxR78vf^fGj;nP z#J>f)W8>T5kHLlerwmyJSG~e~phyBkL?w#kQP@%6Oz2R#M=O}Z;^$ehrG*By?jV>^ z0njLQqd3W5|5h2`n<@OU_v2OIO~?V9KwD{ENM_Xdp5`LTK)%@o;mu#zFcZWQ5v%vjL-RkJWuZbmo@P)UBL* z1evbR5HEGq{on90TOi4R8JpMJTWvbn8{#1wNu5O_=hD|YYVvRRDFu*Z;XhX6DIbiL zj}aZTjB%rqs7&eDeXu_!=cbHzte8-fN*IcWeYDUMKoQS`1LGCgUj95ZYN2O=gqr8{ zNPVWe7Crq#p#q9n$r%`5!HPY?^$&)#Uthtv5&7cy`yZD&Ep&hd6w!u0FdmXMZE4a( z`54AQO9UsXC^1?Hl+E<8RdK!qn#$ZEV4jxNXa($lN@xt24VzTj@=(?*{4cOSEH+-Js=F z(&fANF3GB30I6vV;)09&%^@hs#Gq36Krq=7!URe;t(~7Sqq<<>mo^fh1c|n44z9x<8lA21j`R=>!J(iNmqGNIa)lD zhl)E6kp|hP)txwoIiEpe=F%mt(D|Ip7B<)4(QyXzHnu@xB?gvcMIsN{93r#owJj*K z9iD;FKpgQRUbU!V$YziNpDlS4VV$ki(dk5rM6Z&4J&MYTXQj*W>Aa;b?naMiX7sdp z^qsUCvsS%N8==bAFC4RTH&sg zPt)T1)xa*etgTbdY45nmIOd>9UD^_);))kBtJ`v6ch;Ui7NIgWeks4>k78Sc5YQ-t5E%NOhSs1!%!|8?Wx(e?Qe!bV=ECuTm>Oq1>y zwH(_TM>~Qx?~2#0OR)6~;N}9+rDoKixiD=ANMjD)YWld{e}|wt{2jY+rwK)kXvO8d zhDM|^f^prg$5-ek&5D$C;R40F;N$D+gW(#!yV?F{q+ske70B|V{R_t!?C>q+Rpbw% z2nyXgr0v22^ zvh(#616peY#b@H5R^{_L-7v(6Ru_kLa@M=2H5B=v=Z=5m9&Q&rR6m}2+7dKWAC`J@ z*0-nSKlY&ePx#T^d;d+;)#K{jDlIBVH!UhsJjjOkEji`Vk$A8bxQshUdAJbBx`gkg zeMKZvz(Uh%dhbBOp7id*si&F^MW>+4H;g zc%yKUk?WG*MBh1YjlXw?N2}wizY|~dTdAAlBfLK5p0f1{l8@)rSW1~ zzkjo1{7C<+;yXDT`=shyp=+WKS<2}tB9|px+_G=}be>Kkr5&r8GlB&@YsftI?JxPIF)bjhQ1~Pk)cH+6>!p zPA~9ImpNPa2z*4FN~~Qn>E=)`dVjXa_xciGAlE{PdlBMTjbYs*e<+Y#}d^2%l8kAYwR!w*OkdAdEu z7GjV^gL%DmJh~wQkM~bFCLQLcv^&2uPh5=!p)!B`9*o%V(Wh66Rh-$>*WVPH>Sn0r z%*}iZnOKrv!g~^fV@oRBRP%)=aI_M^rIm#+7LA73t^V<|&Gs5~*8-#DboaV}Cm5KOCy}Zs%&-@Cy1wtZeUWBKlh+{S&fpP;J_!InFS~xH#eIXfC<@t1I zo-6t}2-gxhSH%>H_Y!{R_JG^i18W|op$3!)WmB)eFfo+UB8Zc&*E-!V(#(p^v`+lE zwVyWurnQ$>1(J?>^!kj=5x<631YFe*|6j(K01+e#F-9?V2&oNiXi??XjKZc{r!wSAZ5x3JwL=$$4kr?JIT0 zH1QBIHab$zsV^Gm@4+n!gSiK~kk_x)uKWm`AORJuceF|a%n7WW-zRyjK&~1Qp(;Nz zt2vfwQJHjm2J(#sBoG&vRF`tLMV5anDAptT59E*lp%u$UV|DPY-N`0%i-R}`myy@Z zI66s?r)Xd`PRc527V)e_Gj;tqELK{F@Q9e6nY3)!d|;Q3$_;jCe8i$YGfeFI{p#0Y zS_A%*lM~lzgl7_P_MZH_Pi|h%D;LpBttwlgPlKaYOPT}y=b3h30?!q+4i=+29yjHiO&IuX$&fvhI@I8=i#S8k7?3hkW+ z;!*f1;6fKTsMc_d69kKEBdJR6q*R5b7hXqKg@zYiS65-(`BI(z2Hsv9sw_1gh|IDN z{cFFd&sKzLgL#WI;}uwYozJ!{RVp+wgl7T!26ClW)fBp<&jbp*;?Ra9v6FDR;&q*8 z%?jbXU(O$m5y7KbMayOQsY&ECR20FaxhY9(G?o+;fur2gj!N8&-?dvnH>u3Y6gJ++ z=d2A7h^lI}%de+yAia+TYq_UFLe(n~7+ynIy!2YVRu&w%!$N6mPy}vr&tUsl3!#8| zR2`$%thzid@5927rm#hCTD?2R-+UapJG3#TZ@Ty`Iy+u5Yt;^+dm~)$y-D^%I}CyK z4?d8kyVr3&CtUA++j96ZV)M)4efWWmD9=c>(d>B($IKhkE!HKMR$e&(=(QN;96SQV z#LOb-#!hTg%RNk&Ode(*eA4LO`(>8+Yn^G+v~s#U;*1ha4db`NiXYQIz#zWxd>>QO zYSc}Be$oEC6Z~Ill0a@mqItd zPYP?e-$GN25VuWK5sy&6Pl&7pn{#1{gs$GwRvzt2*-x2~ zgc@~uQ5E+6XnzxJ9Y)l;vf)e_WfnP?TENUmkwLg`7av>7(>H@iI(bO-X{TCcf(dGblo+=#OnY`+HQ>ci=+aFqkAc?8o;0tP`pixvWR8wn0 zAlPJmq8)WNhSWjX>OdN+D2aM1&1=w{L2&Z6$agS>&?qJt_*_sT_wcr6$+Q$x+g@x% za*7S~#GeQD@(7_Gz6j^jb3=vYwxOFqUId_dAOto|sHwr>+;N>@^4-xdmRlh0b){fQ zj01|GS;FF=g=fK~NsEGsNrfSiQ7MLzgyuyRfvrsW`1UDMABD}{($moqEyr-5Z&f`GHdEFFQ*<8qZYp$?TD#D6C6|(bs z$oa6BGUgt6Bth9o{vk~1Na3M$DUQg5ssh?nH<|)#K!zgE1m^s?YliYX-vyhla^sLS ztnm&(m*{rK^Cj}WlCdKRi6=x91*(I&Y_(1>*vuE|x*FR++~~AttKBgqTMIf@dTvgT zwl}cuN9LfP3ZEW@RWRrUd%u0-tW{aiBUkpr>JKcpbW|duafK*kSed9G-O1Wo88|(S zUb}iwUO|sF;RqAyo~PR zc541_{}?POY8{znZ%`SEez)$Rw+v5+lw^RQ82%dzhPvV2cGTON@G9kx&ub&azUJp>@++qX48Qkv1dG!#{FK&^&gCXjex^2e!3 z_-ILzRQElmPsFS)3_?r6Z(c0P=Y%9;I#^6@2=HMs|P0Al$c=H{MNKzAK zU}SqMS)g1EMR_YJ#3Kc?qHXavcmZ3ri3!>>f)s@aMf*jx6i`V}+T3T#*fF6sZRjc% zD1wIAu-~adde!uUmtu5`N0r?t3z-5Ar<;bqH-_b=!Urdzi5j=p^sb0vdJ+d)OYT7N z!RqejpD_d{Y4=Y7AtIyU`ASul*RRU7=mf#jg-p0e6KxeqERoNAeM(Z?%m6y#f;yMm zygJW5wfAaa9maP#Uf6%Gr!4=E>yobCdlcGnE?6&qSO~S^8t$TTQUQAwbQJ~o;64`& z1Hn^nd{=ZzKG?N5ktO_pfOB(k{tGz%6*krrQ4&9R${qb%5z`*^zk|L+M$|=F*szjk zwBz{oy~>h19zbmGqkGvl52&&Q%HJ)f|N1p`!N5f128U4LI;8eqEUY6V)WLQ9_cMy> z0*mAR#TtT&@88g7Xhty#5N?Vf#dnY##*M@#a5RH0imTr!l^#EcElYOLKdben)#&{H zwe^-kb#=?uFz&9wgF|q42<{# z$DpGJ71*O0BNOl}PfRQeGBojzNo2-i zV_g)vQf^Ar+Qzw(`Pn=O)s9oh<5A?{jk8mP7pg0OK41aOx3` zfms))pYC$Cd+njD%+!O^sGLO?_K>8E+WL~^@d2@RJo$+0`~fn9Vm5Y65>jK zKWJOcOj@TQE!^i;*hn?j@zmsq%9Bcz{-{p8m{flcC__d=2_{;Bffhm?#Qgi`;)Pdd zoXPaSa3fEBJ#;#%AgS=S4#G{%2{DOMwKUCMlBKMyO4uiG6>`~Q#q{!5P_lzC+l7Yr z#e(+*m-j{U$H$ToSg7RKp;M(}=3qupt2CL@l6$C|fYZ-)uzmv3EA|j96=H8+s%2;C z=R1^Zg346C)cVd8FEm@MY8SXC`|((QXQ9}>A<7=#D7>uSlp_Ze8h-J6#J29HB zOvJ$Kl632(gMTbGFiTwQo@glE5{X&_zOJkY6Eyu)(!mY~`cYF+MD0C$zr3ehsFJV< zI#jn7My;Gw{sXW7yaBm(4j`VDBn7wR4fbv|~% z1}G{Hyvhc=N)EyrjlTACrGClI0EmWZ{u`vrFRnLFEp;TLrYch7J`#&3 z+@3M0+vE~oEr!$!VrPLn13?bBOllH-_ru=j$yc~?{ApL^IMe<%%np&mw@-eITq7pe zh5fKv>1T?Ck9?&b1AQ zNz?QSIq!a>nO6a@HL-Z-hqnHrXUWfP-&CC+&U%ZU%|Ev}e+9IyBvb5xGbwUYP7TIHn=sUX=NCjn!W3un^51NNnU;mJ|w4bzeQ>M_P3+@ z3I({qHyj<$t&&c@ryxGKR>B|o6QP=+HtJo`B)dME?eyx$UfYbz+{3cJGn1#G zCquFn#kFW&oC zb%&_H(~Bg*f>!Z({`zLXvG+9J?8lBMu+3|C8}i^=ehrGl&AoiARy_##uk|d?m7)dT zZ6l8>R1a+b2|2m^SccdCJGJ2W3+{j3zyD#3R80>9d&D1NC3KSiIU8^{F&;>_wp*6M z3hBPrARN|Lv4mgrBVAG)q|$OEg|pBuEvpC@K(mVoZ4A}HzwJJcFw_|PQE@;xQ2LDn zKExxNM^Ny5m8J!0p;hx*N3hrCD3m(?ry)0fwob28gOrNzs*Y(L9v5WN)xLS`2Ed_6 zRjkK7Jdn*FCw^@;R&P(m>G3OlL7I_^-uBRqopDj#saCJfjG|6tpMLn`YzWbC1k`u> zlQcS+-=tNJn4q(Nh&!NTmY?6|?SQ1KkV3Et=vlCcKj4oy{PWW!)#q7hcG<8tb}V5C z!75%li;EHUkw?^B!u%N4Nqp$b4+55I_oASV(>+jM&uLY)6=<=Z8Um{Fq&6OcqYX^VY? zecNQjP{%!-^ch>P2+UZMTFXE0XtH#c&SpTiq_WAX$PW^mbUbgR?s3D9;oDtldTH3r zUUU!Hz#D4gTLqT2)T4l+aC7BZ3#f6@e_q2aSK_u*_6Sp^k5XE5^LPlfpqyY3P4OU- zi%uOIbP#@!?N!`w&x+r_2s9ZwbEJFi^rXYsJDlq4jV;^GO?SH?<=voYfIm@uOZbH@ z-50PVN|ygU%1ew;TTpG_ZMqVv)ALvBFX)Y2Q!0hPqzD`xBuJA(%hqq$PxY>*huMi9 zzP3Kk{ttrv{#Rr|qKEJBZGckG?|k(#D(7D|V<+z#2G{qXvU$7LN{SgKD<$vLHal1C}I^ss2!AZVBROkwWObfypW3Mg^*P1_eSGfp%&R~onb-4`ouG%>j#qMIN+cXBR}B(T zkeV7^VWe$PC&{$X^5l>DrbMc1w(B`P zB}nuhpH`wHROXL=Z8TQC8VbXF$ejy8sRTW#OeG{xY`h9KMj9m+L-wm3dZCzj5oML4 zCDLukH?-{#O;UPf2trM~Mp7ayJyQx@dzJoSyR^tmy6GF_lp#)e?E}qsyIsY8RjRE& zpg_ehjN@KNwS?PWTAb8nh##FL z#Ydh3{3dQ+_Zw4T;RNJ|ANA8IG)QKtOT_T6y}j^Skrj1V8uh|XlH|4yIA#pqzc9VH z*IH@s+F;jlkB<^PZp5C5;g*`*KYLB-n=W|aYP#l8tJR)3G~ zOp9_96GHQ0xngeJ>*}wIdq6XWo22|EAGdozD+cac*_IDIf3I#S;*Z1&$d8d8u=aG~ zaz2%^um%5iG{09(jFV+hb6(4R(&ECCYj-a2y@^SLB|d_+-}#ue4?He&GZ3UJc$gmj zBI6o>j4{!ZQbQ1(^)W_NnVA1p2fL4>SBEJ#SvdjaZ~}$ue0 zUoUt#Y;_D7dvO_xl7V24$EG1xNVJ0P85etXUjUR|^6dvP8yyud{!{@M;Qo%TP5NV2 ze7OJ0`g&4HBs{DaMAW3sV3Dv97^UK}CEVDA_K1+xoZR;Gj>}{H=CVx0R9R#hEM^B? zrlC@lRmrv2Ww7T6x}hINsYqchw8b#hr5ZmD*%um@G?oGSTn z>J*?3I~KknNNpj0`(dQ16iolO_2gexn`O9{{*C|r{A3s?J;Ds!Rczi>1ox`|?yJ^c zGADeaJNs{|QQz+A>~wuPeY)Nvd&gEZs;AP0THhLbnL5302hFp+-?P8PM_20;yg0h! z1KzKLn;LyHhdam3Fx~iBN722(d_m%1?SyOH`sTH8dQO|`AtQYyWr4j7$B|B3AJ0`L4%h6`hqVI z$n3*J1)MJIRJj}8I(xfiwjkd&kM2dp3g@|w>zRUNv^L)1RDB63|tEv5Bqb8&puT95BupF8{7gX z_Y2iPCveNix4LtE`nU>$sqS9K*-5EdcJuC6$bP$cI%+J}2wB*$fJSEM@Xcq)6!WU2 zJgw3P&W}zDbQkQpd~dBrZ)Cm)$2>n(-ZiSn9anp8RV}}#U!OhAHJywW3q2M*&IrBi zK4DvZdi^?gOJ~~(F4#bP&sPek|2{tFQsw~2*y?qk_OcgyzaryY5usf*W_Ld^I#2G@ z?|HCw_}+SHY=nTe`!;N!xt*~g?Mh*~-Vil5REZ9M`UHRZ1=@97w;n|wzB}g9j*b3E z$mj4;NjJL^Jx-0xCh%}U--KI7nJ{;W`n;4!3D{*CP`THF*T|nz>#i)Q@*3kk7jG>>N zAI=!V#U@Hy+?FX@`mT#Oe*1GC)mi1u%4t?A{OH^FoID|6x?&~uXwZ$QzpGFR=7{0r z#Me6;&Dee$Gt`|K0lV|!Bknn?y)TYbs)4}fiEQ{zbPMfriC9g|xk#t}V_FYS+|uQP zxi8L6XQ9-RhT@Vyc0Zy9Ps*ltk|Nf0JqeXvkOK$f{(~mNJ*DERDAEe$s(q|NA5Y|1 z@zVD4;E%lUv%!R*s=A4ybVUyHb{QGivNX{`UTr2XO7teE0rhf4i|p@~3DQB(U`POa zJ|m{&Ak?@1h7VFJ3M+Q~9sUW$D_J~(*uF|Fdw-&I{b;5`R1~`ajt6l&T1?l8rXDj@ z!7g~3XF3btGBz=S!7`{Sc=A=xlo+wezG)b_xWSH+$nroOuSh7`iH<^j(u5>ur@Bn8 zITard_ih8Wk*xM*t3B!(n_SEt*8oT{zU5n=abj{?tTUG@Ag@M{sE3&K_sK@z>l^z`l=B_nE~}Iwi-P4M$~m-8>@1cujIDx-X>etpYn&it4)<$u8H2#Kw4b&5ElUb$!O*L z#cflAex<}QT7sY+b?}zHs21S`l0`^N3JI)^<2JJz(`r@dE7OBlbzffo*%TVg;f(Qq z=X#zcNx?kuZ8+rFPgo2fz9H??$Q4zob>BF+%u1y-7=o6_$qczQk~H<|d*~2egwfU#W?Eo`J8~0eh*f&3~?+ z^5pfdNevE`CG%y76XkFW+QQ<0q3`MJ5nRp?=GIJynNr!I&T&#akQ4kQEkH+(4u?8X zg{1a7N>7AKmu<3`mJVKC|7Cll_t(atidOwCu^g&S;n*yQZ;fqI7Zg)|Ji9+l4AV|g zryqzhkV{NfZStf7h6c1!eaaFd*Q7xs%q^cqplGz7b~78j^j->bXh}U_m*XbLP7zNG zoH#gad!L#k+V0;b-=x{Vmr%flqcLR=+L1-80a~)w2MH>_6nmS~hv`rVp3k)S2wvlk8!} z4<`2LGaI>_c1Acbj1_zHi1DS)3Y9)b-6A~LI~s{}c`fg7gp@~F_PkY3RU6<@-*an@${QQc5$-3rQR;|Yu#^d;LI{V!H8nTSk+p) z+}52`s4r?J7!Nuy9pX23XaY}hx1Yav#|1=Kv^lf6N9Ci;w}1bf=o^#*(HgOA_>`OJ zG8p;GlXDt(1WWhJZ7(*J?WCon@)yG$A$(FL?l5UG0#`sjuZ)?|G(!Kk@~J}L%~_vq z&bW5Odqe9{rQ`L0h9H<3AEKF&2#C=`=~)-s|BY^WFAmv>rEn~bgjh3`ZyzNyG26~& z=Lb~q$efq?`RNGrEYr%s5nBU&%(BB}9Btv_klZd@C;zKLzBh5q08hL{hEG4JE0cn? zFWg!pH~|=o3fid)k;!a0)~uI%%jVdjWM!c!6i2MjqPNtnwBLIYS*oEDK^DW`u`2<*Do5 zzCZOQ<}tSz8Rng~sI>MuZH+*gh{3Cv?B7>CM+5yRQi;Fy_Dx8Xn!vrLi{&j)PUmqI zr!5psvxkoW9u+qVIF{bM-u?N6Gu7I!sQIP+RNmSg6$A$lOWzz^?+4neMnOnXg>7AO zv|h(m!(dz<8I~97PDPmJA(UVTWy7-JSu&n+XoOfxU$gAuUBbG5^t<0L(l}`Ij|_JT zk^nq9UH|?p#8p1bz>AGQrf1L&Y);7;(kx@4nPv>Z#tr87=GVH~A z?cq{loyQtFUMm9YF`5x~^r*Pn?=!CQ#5z~EuVp|uLlEgQJAq>JnomES z&CzmiC#Fs&RKysbDl^lqT1zusdl%MK^ii|fkoTPgoSen_6m}(+gOu*oqr{RZ{JX9& zob%MsawEf4YP9AV@t1gSuw*!cdM5{%3uwhW(b7WZ+{nZi;V&-=tDoRC4fLBzc35bsUEnO(+X;V|Bw#=DslT=!G0BmVApS-BbzVImJRZIb+ zXDKfbY`Gref7@=O!*DvN)%)c$M6SblC8H9h1FHX6KW*yy5d_A(P@pO;E@2MIv~5B6 z(K0p}tP#$)>JTM7(-dE-azM;hw?le|HCZs3@AaW*%@fF=w2N2$xpf&~Qtnczyfns} z#d*U# znOe8spB{ccRr8T&;$;6dgr(lg!h0$F$vk6`IAdEAg|ozYilN&Ph~FRwOELyvh#w8V z6Yi1(<5FNpQ$;Ds1a)Sew^Fv6-ZAkOF8*RHq-=F4>V1EwV+yWD=gxaafmkG(K1at= z(!TgkB4LedtI_xO6DC0exIHef?VLTXbq7)`+s&NT2y7^Zag4#Nef1abXot3}hi5r{Gov ziTACnnyr2d@VTlfg652DED~t0bU~Q1)mrrdrv$ApdK~PEgFz339dtG# zXjpZTdv*z)$q{dco%QSf0oN^j>`66IW~scTv`K^74j;Zu7e%%M#E*zrmg1hZsLdAW zxaT=bvD~8?&&8}+zb)kO+`Fy1{lTO2!Q^aK>Pp3Y+#Bv%|7FeqUv4okT51u=W9i8( z;3q6fByY!F)z?@=s7#2L`*+r?(#@^NK8_i=&2z;TLif93-T^^oOX&N1iCu~QG6y5x zm(T4m2R@GnLKXYUfZttB;3r~&6{nE?)=}2Q2A#1J!uB*tM*^#I?gzN`{nfxB9|sCZXoe7O0_%35iiFZesFV!$cp=nM9NVq2Qo+2xa^uk z3)m40^`gZFY|Jt8@sr%~Mr@NA{mk7M-ojg8W(ueB-QIrIS@&LfQf)2Xye&~eH8&YD z{LUG6A>A3RC~{j@l+1A<>(K}7t%?^rQJawhaR{HbZ3vUnjy;K@YDJm*`VtJ4p@wjb z)6tA@cHo75#s$&XP-KxhU4E+!COM&hD(|No*|zZj7V6tkHpsT^#J-M8(kdHy@6~!? zGNBP#^@v%di@V5ZB8dAgKlB`)1Xi9?*b-&q<8zBOI~{GbQXKOaMt5%M@E9a{)X%{< zDv2WZD7uNVmfua&9WV0$ddj@y%iSii7dA&HX$cEFR4Bp14W9T!$2K*qf>o02!~}kI zKWCZ&AP~HB*U7AzH!O;uz`;r?gxiyJ61IXdl^h03@2(IgpZ8E1vFw7Bz-nbK=aTc+ zIwI`@_54{wQJ%n}gFO8>sm82_1ze5R^ADNmu1Bq})hJMg;OGP1*jtUIJx-dJCGf=d#;LXpf*x`W| zj+&kkT)5Mto94xo;z3ocuCmO!WP>-z!AqMX?aFe^jqCzXA9NA<@W9_8!3Aaf=j($7 zX3z52#LcE`nY7OIlHeE#v6R#LBVv$o`p4iD8%}UE z2VS6C9J3+Nx1NMe`4*xva$V^$r06>ZEE1Ije38s9H!BP@ih^kz2c2NRx4q6IxdY`NSvHzp{Yd7iK3GK+ShbtdQOIL+j=Gk3e6|Y@{8aoXPIO2my(-*xZ({DN z4xYjHH~$Ddig35r2NwcJS55r;UH?b`42gn>n8nhX|2rq@JI-;%j?cDRZS-q3>yQnD zAWKHql;NS}bIT*`W?;2Z_}n9OoMHziF+t91s<1kH`r=Q_U(Hk&-}OV!i)Wx-tU}M1 zqhr8Zn(oBDNi;8myO$m^TXPvFjygD1s+f=k11rSwMDJO{)8d$WjCuM%U%f&oPzN>tRhVLUk>8xsjw#%Gb;!LG)(Rk)#S`Mx2I zmG(lm+#Taa5xB;V?t^MWwI7;^$f=p4G2>c+Wv4mhLhz{&6Rn=lhm&BzT+!fTPC1GQ z7!-Iu3bnkuXk)3XxqGd4=;gjf+|e55m5lP`{Rd4*tsa&@3gcUU;#-GOm?PtilQu_e zXqwno8*?MX@164;^V3XxZkrOHjae*n8yuM8S_zo+6h5^x;lPh0L!h_Ocdi~Ej55zB9It~e^Pcq=>F>MIQ zG`DEmB3a{sxdh_xtz*B|X~<|Suvl2Og&0wwkc~+-*M57;Kj=Igr(gkjG=E(u+oB08_*P^(G>!psn5(OJe&rF zmjW|SYYO&2a&(suQKJO*Diuux)zDqP_ z#n>-Y+eDX2&dXF32`1S*YGtj6xc_!{Li`XGJmi!B1~JJsC}4+LiWv@i;RdZzT5YB@ zKtuAGh*@;L!jui*a(L`7f)?|4$DtnmLVaSXFu@wZ{F%D5uH1@dW4pnu7!@i42B9aD z0mDmxAJhm&cutg6E16q9ek8YsfBvX!3h+ntqyNZ0S<)Zuh#?*ABu)`zQj%e9Z_L0C z`UD?2UoZ50B@)JrtdtdcRvTZNP1!^OQ7`o8fHxh%C9p2 zUMK$kS4%RO50Ox!WD~GJ8);N}e2~05e6Z^D5?J2Gr)tV+)&3?zwu@1&HwY^Y9h-!vYVS>@?ate!R zqX&u@3aqnLn#}YqRV6C7-82gIs66**8*D}rQ&P$F31U>zek7*owL)8XkB(_YzeTqz z;{P!9{q(UW@&Bu>A)5*jZ&g5gR7;ivnA=Wt;^jG?nOWh6E7uS1F6>PT&+G(Az+IcH zqQ7x5*UKC{i_=9|DB}~Twec{Y3_b?Gf*p^ZaQI}9eu5g`L z{ISB#CvB@^fF_;4sId}anyv6a`*Tboem;o@&T~W|lGA=JwfRn(NbN{~O7KT75NVL! zdgl5SJ19FNCAG0xv&?zUse=x}Z}{RYO7`@c1yHNT;%3(((`%EsI`>2*yc@4m&p%r% z+n+Pq?JOjAOldE&B+F3Uth>CHzu0J7a}`I?rQ1uZ*cDo3?FOygRG1v*(fp+0JHgAo&ixjNJ}o}2 z^h|t&cW%4_Yx6Y+1s7qKHB^K>-Gi0%LPGirqY5XD4VB2-H}CjzQ;IVd8Elsl&dXy$5HYF2B2ifsAQWsM3B6Up>~0 z;J3yd%p4PZcd(dCP;-#O5Ja%zLa^7Eny$j=3v9O8*wK*H95zzcmX*_wKx8Xk6(_gs z!LF2WseBLY%XGGUv5cnMnpF+Fb6DYA%KC$n--I5VtC%uB`6`ut#jBu=Uy zZ7o^fBFP(zHCdro(hs>W2#-+7eW~8R5+ScL`|+%dI_*#HX%8P<<%dA=G}R+qx$#Q? zKCWr=rS+CjY#tsZkaUj>*Hc8`ZQMzLm_Kt#LtmCZ_y|crmHG%#S|UA*l;MO&2o~g7 ze?uiTNl3NgR5%qeex|nY7X7Jrba+Lxn%wisH_t=r2@Xo#JbwaCs@zQX^E2@o`$>LX zRcICsZ4l8t$F2flar5+7j8$9cY+vso85a1oPa#;`UXRAY0GFT@jKwlz_-_VT9`r?b zc#(*xia9aQfSC-bbWaeqMA+kzG2YuijU@*at#E}iKFZun;yvL)veNfQSQF8MxSO1{6&Ue z(&S&fmYFU702AiD`Cy;3mMwv+z$ggq*wWj-m(uX!@{axftqT>;Fq<$5HBkAE(lfz> zB5%b(ZQw%}18&=&FYe=s9%Rjy`o4R#hVV+^8C`k@%siK3KC-X;KnQHB4C4d8&$=X0 zS|{N+>!pu>;v^{Qa`O_lm1n0~?z^gukB-nS+K}qQ0d~XF+^H1$gQi-iK~{2%;3p24iHxou&islm*Do>~~z#>FZpQ-Jo=+E73w`)VVvOwDx2hDJoI1cjDiE%%F z%FS{2rI+hFCXc8}HqP7XZM-0-;rn&GGLw3&8`K%u{96(V=;SvQeA#Sr+)xes0?Nxn z;>eglKnDS#NC3afYC<}WDHui#Ui3yJwdmv|FjskVH>MO00zPdfwgqGAD6SI5cZ?3^?UmkUlR05_ zacwPn`hIVvxvxv6s74yj47=b~k1jz@&|x>9QN!p995~@*tUU7 zNBj|DWU^{Uw#w+wH(_Dde8S%+#L_PnD`2ygKQ=DHXr3Jz-w^qklEw5;w%y3qUUhM% zUV&pKZ8W=?DC`B3o<<=dN}C;SuGg=Cw>7}95gC;SneKO5*VZl_Smt6DL(_bjhM@ij zpe5fNtDvWmxE_gr%>34;A$T5NZAm%_xBqDb#xqOtELyj74&8Qc22MO}$g_L$8UrC9 zL`ZAi)|0l|Bo(-)ayTc!z{q>0?m`Vo?wU(fmQZnXDjkEDmruy=N@VUI|H+xFmOlP< z9(KS>0ldUmvUth~z!Xj6X*P znrhXScEe_s>$$Pl*)Z|nN#J;o8HA0#oA%tSF8oq0D^698JKpW9u~9MK*YQzH#O^J* zrx;Areh|Ez%mrU@k;_#iphA2Hobb(^Ogiye@=*Qu_~L5DDR0L~cQmBPu0NfG$sJya z@}#>fz5QMd@XDc+hMV~u1A`>yD|1Gj8~ED~={{FaNTy801~ras5@5PEDE(l}+)k!X z1opB>N^Oee4G36Ti0L4-BM|!5#6Oby@NbcG-xDmrFLG=^v~O}wf%J0$c9|f~%aFF2 zG}3fBo;RTlG#&m3xsoT5Jl6gQWIN=ak^35-3pVF#yK1ah=xK-fV>Sk3uP_C=Ir!&3 zCunbpH;7h|O-Ynym^tnr|K?=4q4fQ&XtucTmGKoO1x)OB!hz-`iStEaW3Yx-{_5};F zBeURz6lE`ws^hk%qqS4L%c~^|8&X=!E&{RgPmD(zZsYZp-cKCD7&lkt)7rVM8+49P z_kK7%)Nno^Y+bD}enA$ueR~qO-u49pSIgLRA*^bnX(2n*>%Fjd0Pfs~u?MCT-wNef zPG_YIpJ?nEDm!E|mRuTwiD=ImKN>Z>Dg+J~X57y?qLhNt=zqX9qcwfTN%P8D35nbr z9w1Db{X3p)T+3jg8k+Pe{K7nkE&@lS&c4D{d(BIRw{aDH-)fN_i!1o|s-|p%(r>xa zi_y^nA(ILWrP4dXG61jMLfW4f{8X>h|I=h9c${ehCpl-jh_=Q`1W}$Wq14byJofv5 z3n>Tj_6d*v^8ZxmO=Z&M^Me)z(b~D9?bb#kuG%~t4)7B}4VBHns z^f>X@5GaOVTs!Mxv!qWqDoVP1QBJ)XVFOO(!0Jaj#~Ih^=9vfi?-l6H%kFRE;Owj7 zclYK;2f`y{GUO6L4CM>ryceOT$=80wqi&h_Cu`dnEyO{ibtJO@8!?6J!!kEYLBQbI zBi(4Mp8xp=|AVQ`?Q+qn1pVlgI8XPPTGF+#=GKEr>~noZyd>LsTQwmp5})?#>AJAhrv7O&jYeTTDT=$lt*_+jd=r4?kZ~-)ePY_hDD0y$JS=^UQt}&OZRxc{(UHo9)Vyq9ZSCZ92pcVNhWp|$aK>VW)y%6K zHo6Db1%SzoBCgxSEaFdNzTRq5o(@u8?M8$r2>sHmXU7G}`zK)EoQyW}quI$r3%oM) zkx_}qdpsMtgkC30skOy?JX>0?F-q|RzPCWc1r&mUh0YOtwV5)C?cbGyP<5o$=TN=|S+AW67& zYbv*!yS%iz*sK6y`ghMGr8RAL2OSDE`Nc5r-d#9`uhpSe#oz2Ud3P-3{HT`k@#*|G zZeJ@vgNL;C)!AfT?_>&3!QYYmY`^iPqSKA-1^a!D_ya~x`)M26YPc=LQddG}T*bYI z9l)Vdt;7lfqx&(m97w#jGHTuaa@;p{6T0PA5V5E?B6;m_quNDWdJDA*y$BN4BXdsl z;XwL@ze<*aOi4a{VMdoA%}yL78oyb<@yzCJpV+l{6&fSKh6NX!{Th`z2}?|C(MORG zcp3P-IIi_ARVm_YT|#Joe6gYB_)u?z8n8!tRSW-<=sU^RgG!qCpZugcbQBTTa89`7 zk-;$!UTsP51b)8=C|O)az}9fA(84&SPM;$?;UJl7UU{ujK)`m@ zye%S&s#ejM%sv=FenYWh-<5|EgEIOFwXCIT>V{61SA)K-F=b6CXlgxG{wpVoKZ1}x zNG|9$zerHnY6ZW1qC$KW5Y`ydPY(CD#C+aML+;i$ zjC;aXtR9T_yl8@+S^PA1FGNNRI{* z^=LzUeuvwq7{N(}d}}mnOS6BS^(r~9Y#6FBPTDV+{eEd+mav>8OrXf+&$?Y4Us z*ZjyimuQYa<`6zjPTnvV2S7XCc-N7@z)4lpgGeGWSzzG$1YsQr;K?ce#^zhuXm>*5zX9GX_P;v+_hhuBi@H&V+^^c zfgb%?_auXc%O(F3{E+JkJs7q&(Iqr4tP?fGZag#GM(!KGUw$x#0pKT;b!g`^hxrMt zQ+7@wpJJ8i0i{TphrlQxZLaS8g_`bgL4)%U3U9&3TC&h9ZPj@DiI*+k{SyWvhz|dd6v|$jXi2jp3RO{(3rlo*@&! zEX(j%m!8^37(i*y=}uWhq@)T;`W-)Ts+inamx(sD|A~nVE?Abb?6UZ|M-hvA%+mTH z?e`{qtmrrx)F+e0$RPGrmKmY?tN}Qj!}|7e4!2&`L?SQvRGPQy#?dNGoL7S3P`S{5$(m{D6%x7nXPBQcHPM5OabAz=wA zX9~a2in$Jl4fS`BH)_Ru;^y0D5JNhY+`R+)u$Y5R z%0Y>2ONw#|VSwl+$-u zZW6f`HXr^H|V{+UmwFs6p23{uU0qEeY4u>8-2fkk!FZzYAxmQyz z&N>#y1F!QCB7QMOo|995(pO})HyQVEOg!WfXZ9|qqwFj3R@0Ffs~5ez^9uD?j=pww zC≥LIVc`YDgxv#h6I=?!!;Ugd3OY+TlOXA(IG%`Fpv2B6U>JLJt014f|JaqfGdE z?uNc-1%N@vcbJy2J)8A{&Jaw(b(94;+4}PEwf(TMg=~IOs^Ngw&Q626Rk&Xs$6)nEI3)3%c;Lf~ZprRWZk0+>ua;3Z{S6z9jf96gPl`Z;HK z#&5v8H%2ijxv*Bx+{$XD5MKrUoNpg1=B^l3K~V--hD{1#j-D;DVli!f$K-av$`I?REg=sr37n@3th5;>}hH1p2hmNGmwP2zX*P=4_J{8w~-gVJ>u`80@N zs`Rq+efTeCmXcI*t%Qg((VgKA+tgu&61nTsqPI5(B-t>>JbwS-Sr#5>n3F&R%=VC3gZU@l!$`S{+U2c1y99VqbSV3JZ zBCesa-TD8MyxiPR1Azt(A&%BOM+-p%4*%bsrjudlAm~Z{Uk!~vXp#TA-u(-cmFXaG zASBR|E$JW#ZMca4U9xWpGImsw1&6?b{7?7l|EnSXACQ{=iuzC#|DQqsFD2Xm1bs`s zq=Wdx^^ep4)iwE#lO*TA^Z8IY`Jc!i>3*e$AOuc$|2^$yV)nnM^;G|_5W#;iA^HC! zOYlFV{>kK{jOu??QT-G3(Xbor-QV=1uIisY&>uBb{~bjBA#w0e)W68%HQ-?I_Nf07 zJ^0UBB?`%J^bk0l|9JghV(Ndqw%)-1jSc#5=zk$6%P>F?@&-^t{4=ZX + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.002 | 3 | --- | --- | +| Slice Logic | <0.001 | 102 | --- | --- | +| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | +| CARRY4 | <0.001 | 12 | 8150 | 0.15 | +| Register | <0.001 | 66 | 41600 | 0.16 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 127 | --- | --- | +| I/O | 0.027 | 18 | 170 | 10.59 | +| Static Power | 0.072 | | | | +| Total | 0.101 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.012 | 0.002 | 0.010 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.014 | 0.001 | 0.013 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.009 | 0.008 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | Low | Design is synthesized | Accuracy of the tool is not optimal until design is fully placed and routed | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.9 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ +| clk | clk | 10.0 | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ +| top | 0.029 | ++------+-----------+ + + diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt similarity index 99% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt rename to out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt index 3b0e456..aa5e36e 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt +++ b/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:02:18 2021 +| Date : Sat Oct 30 02:36:26 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt +| Command : report_timing_summary -file ./../out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt | Design : top | Device : 7a35t-ftg256 | Speed File : -1 PRODUCTION 1.23 2018-06-13 -------------------------------------------------------------------------------------------------------------------------------------- +-------------------------------------------------------------------------------------------------------------------------------- Timing Summary Report diff --git a/examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc b/out/zedboard/led_counter/Dependencies/clock_constraints.xdc similarity index 100% rename from examples/out/led_counter/xc7z020clg484-1/clock_constraints.xdc rename to out/zedboard/led_counter/Dependencies/clock_constraints.xdc diff --git a/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv b/out/zedboard/led_counter/Dependencies/includes/proj_default/clk_gate.sv similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv rename to out/zedboard/led_counter/Dependencies/includes/proj_default/clk_gate.sv diff --git a/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh b/out/zedboard/led_counter/Dependencies/includes/proj_default/sp_default.vh similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh rename to out/zedboard/led_counter/Dependencies/includes/proj_default/sp_default.vh diff --git a/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v b/out/zedboard/led_counter/Dependencies/includes/proj_verilog/clk_gate.v similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v rename to out/zedboard/led_counter/Dependencies/includes/proj_verilog/clk_gate.v diff --git a/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh b/out/zedboard/led_counter/Dependencies/includes/proj_verilog/sp_verilog.vh similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh rename to out/zedboard/led_counter/Dependencies/includes/proj_verilog/sp_verilog.vh diff --git a/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv b/out/zedboard/led_counter/Dependencies/includes/pseudo_rand.tlv similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv rename to out/zedboard/led_counter/Dependencies/includes/pseudo_rand.tlv diff --git a/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh b/out/zedboard/led_counter/Dependencies/includes/rw_lib.vh similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh rename to out/zedboard/led_counter/Dependencies/includes/rw_lib.vh diff --git a/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt b/out/zedboard/led_counter/Dependencies/includes/sandhost/README.txt similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt rename to out/zedboard/led_counter/Dependencies/includes/sandhost/README.txt diff --git a/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v b/out/zedboard/led_counter/Dependencies/includes/sandhost/sqrt32.v similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v rename to out/zedboard/led_counter/Dependencies/includes/sandhost/sqrt32.v diff --git a/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv b/out/zedboard/led_counter/Dependencies/includes/sandhost/tb.sv similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv rename to out/zedboard/led_counter/Dependencies/includes/sandhost/tb.sv diff --git a/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh b/out/zedboard/led_counter/Dependencies/includes/sandpiper.vh similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh rename to out/zedboard/led_counter/Dependencies/includes/sandpiper.vh diff --git a/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh b/out/zedboard/led_counter/Dependencies/includes/sandpiper_gen.vh similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh rename to out/zedboard/led_counter/Dependencies/includes/sandpiper_gen.vh diff --git a/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv b/out/zedboard/led_counter/Dependencies/includes/simple_bypass_fifo.sv similarity index 100% rename from out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv rename to out/zedboard/led_counter/Dependencies/includes/simple_bypass_fifo.sv diff --git a/out/led_counter/xc7a35tftg256-1/led_counter.v b/out/zedboard/led_counter/Dependencies/led_counter.v similarity index 100% rename from out/led_counter/xc7a35tftg256-1/led_counter.v rename to out/zedboard/led_counter/Dependencies/led_counter.v diff --git a/out/led_counter/xc7a35tftg256-1/led_counter_gen.v b/out/zedboard/led_counter/Dependencies/led_counter_gen.v similarity index 100% rename from out/led_counter/xc7a35tftg256-1/led_counter_gen.v rename to out/zedboard/led_counter/Dependencies/led_counter_gen.v diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc b/out/zedboard/led_counter/Output/fpga_impl.xdc similarity index 99% rename from examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc rename to out/zedboard/led_counter/Output/fpga_impl.xdc index ed3308d..6a1c2a8 100644 --- a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc +++ b/out/zedboard/led_counter/Output/fpga_impl.xdc @@ -1,7 +1,7 @@ #################################################################################### # Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' -# Command Used: write_xdc -no_fixed_only -force ./out/led_counter/xc7z020clg484-1/led_counter/fpga_impl.xdc +# Command Used: write_xdc -no_fixed_only -force ./../out/zedboard/led_counter/Output/fpga_impl.xdc #################################################################################### diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v b/out/zedboard/led_counter/Output/fpga_impl_netlist.v similarity index 99% rename from examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v rename to out/zedboard/led_counter/Output/fpga_impl_netlist.v index cba1711..bc59344 100644 --- a/examples/out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v +++ b/out/zedboard/led_counter/Output/fpga_impl_netlist.v @@ -1,9 +1,9 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -// Date : Sat Oct 30 00:40:37 2021 +// Date : Sat Oct 30 02:51:02 2021 // Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -// Command : write_verilog -force ./out/led_counter/xc7z020clg484-1/led_counter/fpga_impl_netlist.v +// Command : write_verilog -force ./../out/zedboard/led_counter/Output/fpga_impl_netlist.v // Design : top // Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an // IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/place/post_place.dcp b/out/zedboard/led_counter/Output/place/post_place.dcp similarity index 81% rename from examples/out/led_counter/xc7z020clg484-1/led_counter/place/post_place.dcp rename to out/zedboard/led_counter/Output/place/post_place.dcp index d9314bd5ca1ac1099ace6828b00bbe5c2485509f..6e4a6179d0f9415f6de0d81875ed9b0ca227926b 100644 GIT binary patch delta 48673 zcmY(qQ*@wBur(ap&cxQlHYT<;v2E*_*tRFh#I|kQwr&6KITzo*&PA{8uHCh}Yt>Dy zs_M=_l#DTy0t5v5KdFha1A~XHO>~m3eI^r5 zaCNG_p<|On`&!dMi$mdqKr5?LQVsYh`=zRIH^Ye={O`r`odpW?Y@UM8y!*P?iv5B})6 zH##11Y_9p1eV=OjVojX@yQ@_Vq?a5E1P|U{?iC{l{523yxPR5Lb$2E5J(Mv1q%?E% zL-)EkxQ3~>vKcr^GdiwHptd9!Bs1Pf}y(t)`l)>6?Ht;w3Uz4E6r_joh@J-!(MKwMW%PKsW_M z-OB`aeV{nwFB_%4QEu>`#|5$$m2ddsG(dBL z)+5BOlY=(ay<>{;i*MY4)TqRp!;B{B)DS)X&*No4&&2KfDe|Xy0rvm(;8Z?Jp8_5T z$moCLe>~`7@4#ScV&P-az6{bE*bcO#Z|H(Wu0v_T)M&ym zM7Ce;LBJ4hj2^yMot>SToRyyXS+{w6eaQhXck}z`35i9Y?KTswt>3i`907cOHHl#K z3TdRmD?=3T&`SHaUu}@gP;Dt~JRAf25jJ&i=yZ9Tvt{<$@&P78k`4yL&w?bUFbLrs zhpZptWv>P|bbw!1|66b)H*-MUluC*b1SP1p5KG1 z1+`nMsL^-i+Un$M;cvmW(a<3>W4L&K({uXD=HxUxvcc&Z{h(*n$=sBcB^S4a2r zvc==AxY6PMeSI|D>Mapr#})by+Kj64q%Y_CE#2y&XnCF2)WG&;K?gf>hj%1doog5M zX5lk)EFe!<#2R2LY9FV4JCT@&3zzUCg*~0B-y>SCMpNU3W(wMSbl)VAZ z7y81m@dP_ZsR;o;uVu*dBDmHHFJOd zb_CZ7Myy&k3q}CFv1k;*WJjW(3%3-Ht0&$U5X}b@r&*RGKG7g4TQwnPic`Q;3&ztD z{2aywnz3joMb}^n<*|DbBU;9cN~Xv8cnGg8(dfKq)U)J)QzfSTJo(#X*jcwcm)8yn zq`$|UrVbp-@pwHu+1X+~&-C1PV){*XH2`DXUfFWnbN(sIP++@}uzQH1Kw*MDAF;~? z2%+B}nxtY1%!Q!JNfTbu$ie3AelnFKPw>&wjJTSGAeLGAEE4tb%{}5}vOY{Ld+oj^ zN)#wl<;vnE7Kn_WSCS0)H7?5$AE0BAQOvMoWQj>fZ-XvC|Dj}Yov|vUw2eMi$2dQZ zCF2-=L8@rD9hdc~3CZvPndDksW|k5O6eOw*rB0KVln&S*x}^NvMu*r+p;F0vsggom z$zIBe-%BNjDKkEUQun0H}Cv1R=j|+(;1Q1jhz%JgZQ>;>vus+Z-sFmGos5xh48aGvN>B&=k5I$EZ#U9BT}B z^wB*ptl2VOW_~qWVE~tt%QOB0v5iAnfARM&(|G~qDh_&^Qufp3v~^OE zn<;NulzTzB9yEoTd8@YT4DC$crE(Jf2$#NUmKw>Dys)1{jY%8OPP-}$p$cFN;k%4U zG0Tig_+MRW%<*LzQIECD<9!&{xxA+P-Cl(go}7x&APmG&68~hyXFuT?SREHjmI`er zD=cosUQ1KKzuU)gMgncSXeVgx=}&3H{4?A4=G zlC#%w>*keqE3P+A<}={5i7QrUtFGJ*Qzvle0qB!#blAWT+A_ROK^=D#i%g(sl*UVX z|8A=d{mLe5J}=RtRs1i77*4iPlt|ow#Eqy9W3uFg8{mt^D;v?sRZAOPe-aA&n-)ztE~nCiMjpp` z0~u10D$qa&eqFKIQ*F6IELQzksx)oq2Mw&vdw zCy;$N&mRt+Cn&JRHqwYQn%+u^s*8}UCKKS%pkxyXgmRWcr^fLGWeFQjbx?N`JW^4^ zTDc5NT^|lc^0haH=ifayrE4kn!lly~iQL0RNiD#~V=;o7+&`<+u^(3VOyU#EOD>mB zwQ>{^WtOvs4KHDbwXeIhZT&)j+>?$J?6k!qYZ@fcD=Bs1+P&ymMRA9Zu!VGiS}k9}1;eBQaoE&B zE^=Ux4nQfvkC~AbV+37qRBz^C#YL~&F* zNg{vu+ljpvxxh>kX~br~Nhh7B-qCJ`)Mo`U>y}}J3WW#x%Kiw?V*W+zi|JG~QNGFW zR4;d-mbr3crJ+#sb%uR5y)U8cy<8`PW!&*_fKXr}&9J|^MBQ;Wk)kfjN`Q}`r2?dk zsvzVRj}1M~KOvy;fth$lVmKA!ED%PuiW^m;ZEKDV!2|mSl83t#<~WY>R*b4Rag)T&mr9tYS{?5+$Q`>VUP?~WG z(tKM1j#dvLBJ+JAjG6y}7xMN=k6_~A5n6`c^gjk^9N1L+at}(GIuk#@3e7GEKHAjHrniU0St(tJv_avfMI)Jo!I^^_b_p}z<>HN$F>Jzz6ZJg0$)F!yf5@Ci~aX~H@ zS;gr)O00bm`&yX&+2fgVlb1AhYtm^tLYh$_FASPNj+qvC(Q=^~3xi_3h!OkV_Bkev|k6+KcG48mSYa z1Rw8=+RhKz^fcrjW55>+##uPdT=?J4XzI0=jhh|P`C@W}h;e!_LF{-Ugj`=WW1n=t z<<0M|7q1x#LE+%`k(T2AW-RiE*M4>K5r|P)7=yLrJ9$yuNN^U^!~%J<_3{(GBPsnDx;vZquXJ*-k-*%6M0ge060tc8sxy>H!vMmew&65*~khS%Fioz zIX4GhWEBJHR|ZCLh|B@%SP)f0K9c&Iw=%RqwAw->(TUz6**edT*D~F$+)wXm@i;`R zy_>B8-dPSlY47z2|265t7OWy&Ygo+PjP?gZ#_Et+#MpMv^?l6z%Dq@4FT166=ABc` z_g9B}YGLVk5tRI-8?DQCiY%XG&jiy-}SPkEh^$K8N9>h+Xvv&iC1x z+3h+XFWYEGbsS2KMUi{!YFf=U^1EgE!;AM4eRZ*3=JH@O+V^tegyV*a z<|r!8?K#~;e=6ON+{~N)pG)5;eCVOtmnz19e@>5RLx~NEXOgiMl-=Q59Za}x;|=LJ{J!SAKbCFet{MUw)T+u9#E#}G1Ip^yv1#7;7cl6D^u}{DAUm2^vOy7X`H)J&{ zXyV=oQcaUE@LhdJ2s8-nUSbj?=WmL!U-&VE$W2JhUr1!b0zY?sFoW@Q?ysIjTDs4m~9(k34o9A09c+ZP^8(*7fo5F1ZN_+y!fsE&lN%?W%_@GF&vke>FH7+$R ztbXwdh5^H%O9qO>L7AkuKP>#qV~~IM8gTRHMv>F`*G&5<}CfhW%9kJuQ`$K>b1m-$CXqFOIgB#7 zZB1C9VJ}B?`}wNl|SA~!~8WgMq%I8LW3sS**9uF zO0BBuY#FQnKKJTRES#4^+xud71Y7HfTZ;vMdV&%1<>vf?;7l2?C&?qYp^~XIhWQ}b zv9KA|`vb+K=3BFVwu5;$gM;A#ID?~BRu|wB)Zb$t)jn}5V;%j>oSl=JsX?jc%%_!< z{n{sbBh-eC8dLW|>`-ngPHZp!GqoCKBnxv}6Gy5$L}mCG&mqoWPup1*KM9$T=?R}& z+j~6#rvF&)h+nwHkJC@cR7v&i-6LJ?V%EKF&T$@+la z`2d#svk^C9{4~LLN4&2)?mo`M8k60&gN>CR;|Esb%?XOMzSPOU54P=NJCxTQ_$M}G zmpqcXxw~XmPcL}4+W~~wF?~IARkz!EB6lH!J;_)z^ohifU+VksSri`7^Mdzy%%jI!97@^hyw+}>A9q@9V4lnk}s^}N90kS<@?NT*YMuCW7%UxQ7S^vDl0}Y*9t@9c9tN`j&e1tt10th?EkHMNwfUKZA?Fv%7YW zOyM*|t5yJfyXth@LUVp6NC^qfmfrWZouWR_-o6xeQDZ)Tnp2O#vvePI2vS;q`7td< z6KgAq@mm=Lf7ch#_FJl9 z#5xKVh4)rUBkg8~uS>!=vBjwdsoS~S1~EZvdG7#$`)o$r`R@5KlwfVuN_Jh8Ia{6! zP9e(JnO|%d{Sjz;QDqX0X{&j@M&zEr80b`T79PRDq6C8516|{^?!mmw8|p=3Ub`_r z=$&)f?lRt{d28BChnvFrZGxJyrY*zoZ<_EYst3agV zU`gO6G&Yw|(17=}h3xEy5%=fqyU*Oj8@&{KBL(Qjyogwx&+Y@Rj&K_vMj%A>%vVrl z)LU=#{cmT%gjvR^y-*?1DNRzjw7dg53^Y8JS~G8596}D7KZ;ujUi`vAQC%;co8#&5 zAkdV#7v#BIzwDF#a$0+KY0#U>{n<&>Uz7kM^Qj{90rx7TRu%aH!58I#>jF-@(qXsy z04+7Oa=~yzWT-8#5OrB)MA>j+f+|ZkdP^88tV&{mMX=VDozCaw=w9(f!K{v=LiZ4d zg%Ms?#J`%vSYqh@tEvG&4CBllBwudvoS5PvaMj zn3BZ9(eGxBZVH9a0blxlUatnT_;rFn1;p>RBff?X5@^7w}NHKKuD*2=--~ATV zw}`5Y&ML3E@t%(>Z`mxkq@#oL%VAIZ|3XHV)m>h2uG!wPSjx}kY!nefVYhcK+2QEX zMu14P%^1Fxv~#QEd8nvY3qk$DdbNtsf4`mzQ@j??{h&ks9^h3pL(K#4Vo4RB+)g46 zQqysuL6y+7<*$U9N5Pa_02S_W0-D$@Y|)#mm7-J?vWYm|8`gdZOln`Gi94=#3B+UH z`e~H+z_~ln=Sio604d7mmTW}# zK@)>9i(;V$E#m~y`=&<(ArV=eTu(<$gc~1~S=A94K}C;h@nSkLED_^g!|A7EVS3de z?UCb9Im$T;%A}ejxqESXhy$iM{E$u%qz4H0srz8$c}oOy_ATIsT3t!rgc=d(N(5#0 z#8%4AE`meRj~kedF^~7mw#-Tj#frnEf}1>%G*@XA%*uSks>!6XO`Sei`29gQd;IB< z9#@%U{zzAOf?b;Q{gfDVar8dal{8W{Z+7BDFQO}2YoZd<_o7Ra`FctcK8hTtg#m8R_Mlz40&}+IBSV~*IiCm~>lO|vjs!~x&SWp2;NO9Ia{haP9Jf^jWNwK#C_&Us36t%d9 zdq6IA0;8uLyTkZyH)#kfiF+dv_Z=?1$cYDfOJ1z~El1i1`gh3Rl%qV~D!0o(v zYPwje5SOvGrMDcB?ecczhmq~naPw>dYHK)H+q;}yT3n6E5}Hx1mKvR_+K=iFzww!! zyWE_X*qW4+9G-?%_Gah2NoY#_;M(A`@o;roRob`D1!?CD@bf^eTHzjZ%1{47RJkDk)-#K%bLiYDX!}R<4gG&hb#r16b)Y?ob_7^}U7rv_$35J( zlDc)G+#hQ)h*T&gE(!IsBCO2lhM%fu=XeW!c{}mLa~5U?olig7iuML3t->ZL8|@}Aj}meXq@i>z9yZ$Ay`zgTE}&nQzu9bJUJ_%zrU>OhPK3umI4A*_T&j4 z@TwFNf~5ssF6P}X;#zn^|8)x4Nk22PzJmT=vxKCi=EGn?KvHP`{|cm&iQ%EPjQ#ow zCL#BziPNW~)4r^cSw@Xef-rWBs5Fpr0NSSbla7gWypHfzwir}6ae2;`I)S44Lo!Yb zej;D&TVz%Z5XZhO%FO>ng*<|GSu~yQTnHqkSpP9N3NcBV=Yibq+;z?8!5E)EE%8uvu`+`Ge>OIs;eL1%4u4`=jp@a;L0?8SiRHzJ6|{!tyX%ggNMw-Jsa` z&8uCjMQxwt&XE%uuod_hvx67&t>tgv9NrQ%w0fNiSS)h;mmEcw5H+vsT3sgotz`Yq z4~Xpk!_pdKVQ@_@y_ob|+X7doFV`Sq7#Z$MCdVryxfy-nYH1x$}Z^qJ8`a}`* ztzn;vzt5#wER8t-pj-VeA8DLAZ^!&t^a(Mx4WUMJhrDM=@5TdiO=gBT^mz)FQ!DVc zKL$DGp&@YJhTx3!;TWLK+neLgqk>iP{i^tmP`+2`%BMU-$;oMfNBdWcF23d5p)sob zs3lzPHB}u3>Uh~QuyiKB%-Uic>*{Kq{JSFM@$=uj>FDynhP%tOtoFbHLa{aL9z`Ss zA#1p;;$A3r&n1@hKgZiFAIcJAE&@&qN!L$ZHWLq?PZH2JlN-Q2JQ6!(Eo6~>w+~X$ z7A0f7I|yt?H~FHU-M5=($m z`+;k@pw_LHO{cma=~M5jwfn;R7JFpq^N?XF7cjDJlUVK1|JwU>was+`=DmO)|u=@!+v8*9txdp_j6%e*sFDz{VP zWRJ!77y#_8y`&55OCdPyS?|Uo6@v5Nv6R4W|2tdBVvaP+c8X=Nx*X%d%(wZVz!g3Of~z zlArYJHp!Pqm4KL*5jgTC9sv3}Ubo&ZIR?!HDwE8d-=sfMZ7`$x1F&tlf2 zcUJcJ0ngx8=(u0A?O5+;<@UCrmR&LkUP9ro%6f;}?uI8~0pkkuS;ZkOtf|-EW zI^cC~cS_t8edMO8eM|_7mxmmxpz2;AfgyXFD<^6vd8*_kzjgjxblQ z_S9^XAO7EPZ@Qh5b;~tEOC=&b{DBG{LM|}Hu-+M8Wk=0YYc0%T9BMMc_LwSv-tjVT z^*_GYhD24KitKyn>TcQ#D0G6t?jIa!Jb*+`Of@!+;?^|_X^Lufs<87`a^6a7Q8Qu$g!vT-Pz`7%@eO6U0YHsu* z8S;nBw{H}dPKoeb?13z@Vyr8i9K4a8e-!awmWPPzyx(g5s03xUt4T_mYxSRc!N7(v zCv@b^OOx|RH$jQzjl(HSMO6=9sPn$`NQLMnXaFr6!s3dc0fegOP0q%>nErW9YVEBL z2u_Qx`0_I`J)%dMJi;)C*j#Ea#F(j)8cVCJK=!0b>!q!Waeu8Llp{{-grJx6{%;G* zg0(2(KbI+&tku>I`-lQG0ak5@2fzU#0`uVy)hy#k36u=pI#JDzI;gq`yg7Zelljdu z9ZL*B6CChM7KOT1%Z9|S3?Z03dkis-ErJ_w)n9MF!6u>cMGq%oo(Q{+Hxi`%GjaK-NXca`r>Ca_%}%+f3YQt{d&dZt)gZ(~T!-)M3nj-|iZN3C~S6 zZeumz1};Y~uHxa7uZ<)jA3!A94XxsDN#cF0MM$B~(j3k$$js!~nBUc@ZkD|EgA)`- z>#km0cj&@&KP0ottQ!{V%>_ljh2EBMhdk=(<4)ji+fVmkDDF#tP=_%;;YNhsY{zU_ zzM>Zc|8vNb)ka0%kDBuyJ;b@E+lxFT>OS|o`=5{-p0o%(KWe zVOO^c-av{%rKsBKFO*@>!;WJ-W6s%}GkC{^P4Y&Y^>rO|BBA1l>`O>y3%OW&Gl(-<`J?{^rYcbQ)h{+Q4UpqJo{lm9Z@BFq6?=4DFt$FDfP$=hnCDegg$^j#|UK{o?%;MQ!D%-lWX%CyXh66l^WlHVRIvy#Sg zCO_(A?q?|yPziP8IxMKc>*!9@scQp^U%m$e%5bt_nPWbzji_IC=V{&B@R7J0hUm;_ z6uAy!uhCP%lq9aUgt=;>vCw`(9L++0mEjC*Qqg{L`(yE50sco`1OK3$`7AO#=NEm7 zyk!HMXY%t8oW}mF!?kJE32FQn4rQ*{Kp&bQf~qu+%MB!Jv_(me$P4Y zT+bWMooxcRaG%5XXCI#uSTXpW+`Qa?N|T?*RoknL<<-DOvh(oq^axgU=T(ZaM3sOK zX(rJKqA5H)yq0>bdF&)nuAtlgM;^gB@2|WW^$$T8N~uV+Ucq^tl@OgtMPr0zqB~PhLje$miLww9T5k1}0qKMdN^3kbMwW^r7Y)_5MI58fN!AxS|37R!kCiIVT2NT()svW#16M$r~WBCpHWh!7Ey zOW7ZKaWiq5$If}s&56tv3muZkly zE7k-zS^slf)pYF`_=)&iEw)x&Tw)yom#Ywy=EN&s{b#X3W;jwiE5;VCy@d+x6*Rf0+UUlw+Ro`GXT``Q@Q^1Ibdf zwGIb3w>w%nwkUeYcFpSFx_x#VCd+!+=_yPTe@KW?0{LkJdL{r1!K_Laa#>DFxW`kc z$){Q9V`|fzH;>(fy5M;S2Js}sEl{`#wyb3lCn#=xiraeO$@J6^_zp=1aO}C5X!Z!> z;L*`L5AbIi@ZhD_25>c|IlidLQ4CL(==d=g-wx%UGSsC(<%)_=LRzDg2AQt?)KGS& zcW8tIE?1_cwusPZkhiqRCNJT&9NrQ5R* zslx^21*)>dg*v>lG2C)&X$uqAhy~?dHAXkJh;xL6kw?)2bwc`>Yh!7sGs203cuQ$K z97I+~LVYkZrb9)a{T4Kba3za4nidSQ(HN{$V#s$jd}0Qi;$hTAR>tA?TB|9-k~MCJPjyUlj!6i4DBx~ z=Mwm(#IOs%xXWwok9agPZ9)<$5qL0D?)-oZJ94|6UPP~%QFT}pIHUD!z;{h1QLS=K zHdL)LHf5S7(Aie42{f(}A;5RXJlhE>M_49k5f;-*m+Xng8ty`OK&NXDY1z-rgmd$W zEI+7y9d3E8$_wY(C~J%2$_iRbmZq@YCG_WB&Pn}2xbI}_g&_DGWBC%*GjX^@w7<9~&=y`!=Ic{$g0hAxOS~VMt!R-KbKwZNVnQ zl*Xbx99r-&&R1H|FWrZG?lY!uxXMQ(9R9CSPNiQR7xtw?QI|FGow7VtKBN&QFs83x z-}C9x11H~8^DR|_@FLFoKvzV7;^g@o=CvfQdCVU6RgGkM+&q|1pYo7Q#P9crvnw^+ zGv5avI}&)1iDYOU30as;$vkXm$|q)sA|X~Tl}6@4=1Q#3uaUqzDH54Gd?#1QFQE~D z>ZQ9I`{15YG_xFOIoScyg^**4OkRST{DpH=1ZS1>!s8VC;KeIF{&@5o?M_3a=zv$m zE{+lYRg9#0G&ShMmqd`}AX3Dyc=MKHpE0YEEUYCly5(2+jAB#|{%%6B45j}lIa4~s zQIM|F3Poa%30j&gm@|)#Q|SL9!2dulZ3ptHvS5i)1}v}>;VlPY|4&I&*JmVC=_HFZiXHS( z6$gGNA+ltW6!1-}rOPm88|9c3iHvjjtL6dV;r=X*>%XJtssAQF_|Km3xM$c`M3Qc* zgV^9Gm9TC3*>7p@Ec2-0Z*0e{bCZwA7{Q<}L9Bq*>u)W>!9s#RgUvaw&+@O+A`Eb^ zS#kR44VC)XY2#f#547$$xE1KK66@Wgy%mH0W9K!t4RpD#hp)fK8V52pwRH>?T#( zWpB{kDM^&~o(yq5i^m^oG3i_%6atPNj)QlZb*2Xuni&V@u4lNIbqGB6rU4I5ddMG; z48Mv`o3^#Oei}ggaLo!2Ki&MnLDGVD_g;E?&Op-htv~&<#C<1>Kf@o2#MA8Fz}+&u zzjIm?Sm>UK#G`&nyytj>8yi@hfrRzle)`ur87ZF-`q}GgXEY^n34yfSWd=BObjUS_ z0#=-EQSn=1#sS?)sopUUG;C2OcidrpFX z|8ZVA-lPrpE^8nEO^!UEC7_NC9Ojo<7%Qso+Hi@}D-4YKkCGqBPpUb^@g}ecoI=BW z_rZf9i!;}+%QoEaqxm`kyp0o(%x~T3RxnDNJ=XVI?%eoJ6YT;vu)kwqd|DZO6BV6X zzfr@FoPY>X@76>MhG*cP*^$#XOWyyWXQ#~BqOk3}QR9j}~(3@M4;jx*5;rEnY#^67e1pK|fR9r+{340?2?KMhT;K8L-A8@0}_=tuM zb8;mHb8?&U#gqSb(_;dDQC7cz^+OL;`Zs1;yiZ%8)bHWGB<{gjRq1VA+4A&QDf)D{ zWaXi`uxvh7>GjlJ#(dhqg%VLbbSCuH^5OnO^Uc#m;FFK1#E&prQ!qBBDp$gMWxAmM zV)#V$eSPls$=D_111H-F0LBfn!2Vll35rYg#wxkpy#Hm}Jyzn zGY-Euf_P&vH2kmP*#2s)z**~|2J6>)O#p|~4+*mmsz4&5_e5>4w-4pf@g>@j$;&&< z?P2A{!0_bG@#WNj$x9h0Y72!h>I>%V@Fudy;6d3SDi@0ICjv83D7|M!?VV0f2w z%|KNr(@jX`O&~oQDADWy9tv-Cbq^|IZYNwi0HFsyxlISIYdN&6&NJ& zX(GkH)x{5Gj)~#A)=JP|8N3Jj^U4}v^%fhMfRnq8snrU}^xaYz-{nJ5b#l23B?4&d zs!=%000pt|++2!38oeOiI6F+NJ%2%|kr0Fk|H1lzA0P_b*hFY=Z zDI{j@VSt#U9A61f)AQ6vG+j}oX4Zs5q_rn0tnFfDy{lYA-0{Fru$-#o5$UcSP!CcIj3+p>1a$D*&< zU!CGJKVBc%EGH9s#PTJ0oa!P?edmGvaCBA7w1bLvT)vs2HW-evF{u?dk5uTlgu za%yc?aqusv7Cc>MW$+oiZ(XCJa6$8bwA5bZjf$4}3bj~ejt?B=ib{pezkkpbYX?`^ z`eqc)ZdNEXW2sNoV6}}trZ0(5sb33GNO#6Nr4-KY3nOa=>wyD2=Ib>H%~)mUkAE9$ zSWGb6S|8+9+t7-B&a~H2BArw%w?_vv;`7^JdZC{1H-WeHJ*+N?JVy!)KMjqwE{SmZ z3ruTka&K!>o1ep11Si8+2G{*#(1fQWTN3fqz^)V-{hj(VI=QZKrm;|>GT6$bb2%yl z-kRU6SSY! z*}rRrR(0q+L#btJ$yK=zJS|_p1v-(LpQiiq{J>Lw%CEhK%l)S28b0{d-?UqC1rI4$ zcJomvze>dSy&w*uY(Y#Ztn=`tUjQkrT*93gdbHW6A;!7B8h6LyeaQXx=&sk zV=n`=KRkZM*f^awpmzM;rde|w>kdLb=M{}J*SX+-Lx?q(23@$Y@!beS*8afv{nd^w zO$(7*jxDB-cO}2W=i6S4mkM-s%?7p?bOJ)#3tN*6@cJe8_cNPP*bkGJ5Byk!v&{|t zN!Bn7XR(d_?escx%}xDRa7mBD>xS;2;*V4*ov5C|%wNxE40^J@zJMKZ3et&J;iR08GPM z2-xT40rpVOEaj)=8pKKu#HCfpRQM%C+{}W$##)wZt;8-F9ms?3Qnw;?pmVDc2}6j#IO=fBKoSg;oVN2xqN94k$bD_~cD&I4eBBGy|gr-1>n&>sbI z%RZhN)w1`C)>AOH8TL&_>wjO}EV{rmuG5P6%U|^teTvyHjWyVGpAS#9TkV?Vziafw z1oYeS=$CxyT(_Y%n|}>oy|S@J@jpPl#A7*pS-OvX;~CZXW_R;)+1BfPdVUJ2e0_eV zYET{oT?uk8YBJBf3+nl_28;rESu!{lyvIM1Zf|oZM_^xwAdLGzQlE_%DE78p@f;Ec zu83ugd%`m|ELz#{EC0)^*)#D09*}7dUa2 zITX-xmbn%D;4E`3;Ni4#D3IpVKGDnkc%KaZa?UIcjkk$+p-X$)l8rVw>rs6$gCh(X z^~M?e{>@nQ;muUIoye8wu8s<7pBSz#2wWDHw}Zgux?VBJ%r8zuMLPuYGWK%fyf2-! z|HMb_iri?I7q!5y)bf6K83iu7QhWqnvAr{Bu9|$bnJ$j2$(K&Mk&E|Yil}aG;so%0 zvp~DfAo{bE{0>a|PCvSHDbDXd4GEfwvfNH|h^!s|P|Y1w*5}ImQaO29V6XgY*WBT5 zfX@o-24iR$4xVz%TPE@LdF8%fv68C#r+~}v`<7Y!E%2!?S0{kYqfj?Se(t<`uk-Rq zUapWU&_c6k$TE?;@eTfetK8!7^8_M^r5Xf)5BOJ6W>A^$YUx_#+V!@x2@JWvor3xh zjTZ}lBGf+F=}3Z))K}-%=X7rO*V(yX2lABut&`u$p)GdQ^~YdzZ^+Q{SHyNF(e2mX zxq+kog9c|1oCdx&h2Z=>e6`@V|wUr`7bUdq$UF&-j_9Q-d z?l1}Q{`;iN^9s}FQ}#ImqpkHy4Yr|flkP>Oi_Qd?jCzI>aLQq{{QP}HSJ-WID(Ur;%)M1cOPwAc8 z{h9B>PIflpqC}aI2|pq9U&wLpf+G4Z3$^F0R_%NtM>tvLk46q>A|TZjr}BjWuKi!$ z(1>(I-0WWn1z~@EKxlX1ws(;Uxs#vz$_+{VdFGUCoJ8n7cb#~yZTao%Q6Z2nM;pp# zmC}3`HpCBFUAsiteU3G05OTi#rVtg1$_qzg3h4^$hNp1C70PVM4te08iSih&-f|18 zg-rr#<_4!{R(=^zpI)Um+)>2>Z4>!7(;=H#RfPGpbvUHi$S3$~Z@VViYh38YgM{Vz zsgK6CVvYlPcMLPUZ=HDE6vYX}mtpy21p_uuPd_)|&LpLXMfQyu(lw$^1Z+Cg&bOX4 zo`Mt;kVJ2Hg*fP@$9x($Udb1EncB56Hsci0obizA2-PIm&Nj`Ny?1tjGhiacO>`z6 zF;$7C%R1^$rm8(19&fF(HESczDu%)SP0<~ioi$&Vzm0_v4N)B?@7b1`v<~sZqwVF3 zS7#&mcd(9sGUhxgRLL$QN^rm(jk&Gyw?xo)zCE^vxs?uU85d#aUP#)gbc~poC74Zg zb7d+I%S3ybw=ShI^BF0?+PmBzYB2G>=uJTWB9$*O=D?R}0O)YK z6$#-4$oT)q)i*%b(X|W5wyid{ZQDj;+n(4?qsC1dqp@wLv5iKJtv`L=@4I*1f30)Y z+553(ubHzk<*M91>!0tYjQqFpHb!}xqyiUMMwR=I^Q3Ph8Mx69y8-60orCXRM|fZ` zG&KyeL+WM&UgR0tey(nETbqC<({jRcgE^LAKnw>t#AMlwzC+`AN@IR$eL!GUH=OUKc9z57G%XGtY-;CvitpBgtytFOp5$Y5m7lL)V9SR4 zZb4^u#(oA~{fl6lMjfb6@vkS=zwO8#Tn?7%D=N<0{#q*5 zCEeEJ?V~)S^wL$77UALR-2FSYbHG2k(v$V+ZG1igOJ;@1*~xs0LdcviGDdpOqTX~S zq}(6^aj>iicJ>|DGy#V41Tmrz>e^5+Dh;L0PHQSw9K8!eTJ4!v{%M07ML1Qe1*4q1nwk#9 znu`<;S?)3ea!-VDe(^(i`Kd8k;L)%rC{TU%&|VIL2ef{b<`vyK=@whHq!YY{Zx_r| zPIDXuY^nFQcmR%iDgSF4CcVrxbu!Ivwbu(?OP?&dmz}=k>+af`GaCOi1`kz*d(iLU zy5wc0bSHAw8it&TlDL_lL76l}lML+~VAo}*OO1v(l+vk(1JKref|z{5uv5;7{X^>L ztUk?iUFq}_78CcaGW}fbIbXaFf9PReWFsxp@G~B=bpcnfw-K(HigigjsMhEg1$Coj zui*_t;t&M`?=%M$ZY430VoE(i`(d^XG3iiuzjO+A@xZ{YX^JKay1<}*xw=N=mL~9I z#`0<5_UC%B%F5pbL?C?jyrKqU+l^$=w$tJ|u~hGvAHjU`J6%o73!KvWq4kjWuD`I- zcX;ej%nq=S`NzthaqQkyBg9NaY_b^CO$HCB8$0LWQ0PGCT zRM3}9lY*z1^lmN>u2Xd=0_L8ave(_bubV1E0;&Uf~tgc}DFMzdi2Vxhd6e z@cTxpeOR$bUS@oQ1VYvkaJnzr7x1-ROC*V2{Io)=BB{S)6Z+^aSfV2wIom4=k$SFejpP z9U|j!++!v5oTbC9LbJeDn&G>07{&ps_ICH>dq_q%-#wKa#mo2c_rdfjQ^-e#22rp0 zh|OA}`yW?%*!z6Px!s;f@cp<9H=Ek)z(_+!oBj}z6Bq8e$2j^bM+y51Vkh-3cr}^A-(B}OP%UzWMrb3Lv&lLdJ zZYx&al(5Ak1?Y~af8gY>%d&9iS-8fftpKn+Ntb_O_cFX9tWqIprR^irb8XOm8PmFt z-40RhVof8Q+RS?KdEnT^Vo2JV9Q${i{}}B#NlF&!59G1z=rr1#L!)@}DCU@jQf&)| z<((&+)01g$8y$qVcaZ;kdjS%*M`J|{0GYLhn6Fw#dgEe4 z$$IE6*!C={0gB=%`%SMe2O)xu1%@98PgYyO!}4? z!(+j;z^%I1Ppxu}Z)JK_K^^(|N{MOkOIppc)bxv{4Q!6BY8dK!4u*!)FY(CA4Dygf zbQ?OhrDZC1STZsN?K=FV&AOvH<=iEuI2Banc@^q*G{=TwpkHXI=Z&?k5U^+)cBIMh zQu;N_q1%G5AaVCs-?~;qecDA7Yn*ErU6u#^fJI2;z={ikmFDw}ZlkFL59{KoMfmsD zP1>kBaT)@|1}!R0P3xWY305~V8ba*E!Zu<$jZt;8GC>lW;uH1;ZcN1@Y-eLpfgcI+ zWn^hZEXvfqN?-2??$`c2wv}{6KLMoHuj)P!T~opO&tm6B%1dY0clOX7J(Z%A3FIJj zfbLK70D95xnP7ThTU?0L$|aTe z0Q2m7cnf!Zz3r9!C@mT2g}vIIX}2*;3-o{b6;h8eX@)lZvUd{N(Yaypg@+&>D<~9% z#s8VYaBYkwXNu*I2`-}{E~6>#eO(N8O$>HjOxn+wwAz@oniy!U!7*)#M6>oFGrnP# zyP6oy+L&;y!Sauf_F$j;F2g2!hptJYBL?oDWhjJ25m7w+oH|6`vsQyIbVRa{0LAwQ z8;5|wPjn`NV_e-VNVPGdbS4322(>YNbh|KPT%#;;H8Hz%yAWesBPP3Q{xS;DjinZ|I8a0}>6V$8D4aV@k4xj2yGQFpH!!FTD#xQ19Lv~hmWHjx}xwk2rMzdHBU$;6@Nf8DPt!p4eN|; z?N2V(Pxu!P*U#3w#BTzqlP#hhd~~GlB3~^op?Q}@xG&*(pT!0^W;SLDT{+80-oEVry%QKy?CsRa!PfK((*{Eo+VX z$rr=8$&pCd5DK-e0AHO{2~hBca3F3xFFchDu?g&DO8>)=lGLnaUloZ)m(q+97db1V zuDow9k9jQ${;?AZ$B_Y&>+{VvnFNTD%=qX;s!q}r0jhd0d%f8#igpeZ*6Eiyxjm)*@`Sl8hA+}kUG#4CIOM|m$|FsLMFJN6o{k;>Wq;iW4 zF~YdDL5~`H-@HX5^nl_4%luDKdXgi$Azrg}CG}|KfsQ?j2bnK6tW8q{!bgrDtJoNR0?)*fDu`zNf;BPXLET` z;teu}ApR@=G&56e_a;JyOKUl{6%j=30WfdKK6JEy=v$dV|ns zsn>wtsoh~RWx-I{KTXvi{%%o|)>hQJlWXsm<{VH-4UArFj; zSBqYt5jfe;@!*!}M9aVhE{@4a*Cq#_B7^=mSsEVllviv8Q0PuD8tsiS*KQPTM z!f$V#T?qH!-JO~DO%) z%T&&56j&X9sjkOHdh1s;E}+(w&2!@HH=7>&Dr6rh2RAaGymJ9F9Dw%7M{^K8@NLO* z{seQ{X~F3pT!oa0DN!&eo4}Y-&C!qzfM}fE4%}|1D$fJ2Vi(FRqcTrp%D8quLb{Aw z;Q5_B&lk9#9CL~dJ&rLb?Qw3A-QL%MOQF|0m9M)GGS?{%Xf8laXJ(>$oY|B# zXc`dpz-H7VZ7hzNEC<-NAt9CL!aUvH=Zy>W=zIUj;|D)eBeRMi_)HIesrgj=Q&N_OfLdxmG9=47!x0BjhKP+?Kpuo~M-_@Q zdLao_guTW=rclgFmgv(i*8$rE<1UEM#IE89gfg6JMuLXWhoylj^EkzN#xY(Dke-pi zy$c`ZnL~J+r)7cpiDf=5_#G^@$F~VCTpUmmVk*Q){B%kx zuRJ7qFJd)pLA9FM(n@RxzM&9ZRU#ZrqjZna=mza+nBQtM)x7Y2?+t!WTdY#sQJ-mR zO!1e85ZD~SIStKx1Qb!F#?u`xocS%!irz>}hC5$FwTih5zJy_XyH5@= z1D}WLbdP&Xu5lA`iwd|t<;+S+U^_sW0b!o!+~A9yb1rnK&LtIv+wO={@!1cFX@L6m75RW40q=K&l4vNbW?D4m z_OLQEAPYq?&{y;L6Ng_vt(<8qK&cW;M7{%xx3wmAJac8~W418OoDOZ@G#c3IIZ)#C z4X38GRHtf?*~g2}lctV(^|VLB8T65CC8d_(hJ{xlcGIDz4?QeAvLq!`j8dT@*=U7( z*HIPz)T|4KT_|P#yN9w0dqCjl70i9#L~uI3%cMRYChi)@1R}~Gwt-lfeEDW{HctFR zXBASj9fjguYWa&8m!F?wS-Sxzcr8@|4w4kmAc*flP8c2*0U@zFi#U?FSCi7k)C0i8|g^2JZ>!=F`k z`&k)AO!7S8ZrQ~^7Av-`88Pz-!7n#^E{g*kx#Y=@KM<_kRrzOQ8=bHVN+r_eZ~xd2 zKSGa=6kY!kmo1M<*QHq_`Q`W1#dIT%jRwIUWlzwVg#L`p*uK~?nB+YYT$+)Kbf8eh zrpSL&^f#?0hZ%!T#(_B;C(Ly|pPZ~F9y6zEvv4}{t|5aK;Px$(dW~c}{FUoh=Kc?OA7(-ZUOKCYT-{9$*sM@|KhCp!qE zjAFey72D>(XSwgMTHgrJ$ubjqq6QQu#p*EdY|-y_Ejjqi7E%!xG;dDPYU;N82_VCv zL;yZyedC!=fD?Z?Ga(MlD=fhc2exlM za?LgT9@I>>yAn5;klhj&NxkPjJkXe*?|#OXRa*n3nAJ9~ofeJ*0r~STKlZJ?a|e1RSX-Wq37jsy*Uo)7pgl;LCby2Go%;t)oV(7s3D$hOSuDi${M_Q2 zJp=#T^QT4IR5sCV#i^_*_xcfiN&R(ATapG>jkSL0qLikkoEKC+a0f}C#*{Ffj4ky; zq+A@h3-wj=egZ31Gi+$?j0mS;E-^7b#>wwY566R>Mz@ukN1hj=5zyMb?+E#ed;-On z8VI+2=}dF}5J;~nXb)ccFdVyi&w_L;(p~EwI#-f`M?RM}J0}ml!&ktWKEgnLn}G>Z zVAtypDLJNZN)<HQRMuHM;VV7@W`l$0Sm+4tyI zeGqX8_KCRmNQ%##qVGch;>3pQx5j>)WmH+g`_dlOkT)Hq{pgs}VclAAJM_B_Hr?E! zj=07)wol&tXZs>XUvitLmDEtj$?+GYSMXcOj$*&2MY}(>cks6uD)9;*-FJ)tt$kR{ zqTNf|6AOgi-ac64Ax&ByOK=`cs2kSnM!F7)8*-kRZsBJg{Lu%58}{ql1Y2Zzx#qXe z8%FCr&>lF@ST`Y>CnF~!? z#ew=ng3T#aT}bMY5_MjWwTXP`NRE~EZ}ZwwRQTyL;f~5>_QK9HDp6Jcf11h+RX;XB zV8>a;*Kp4sMu)hXy)@p+)H)K!8eTf%T^zB~^~yF_bUFaPpx{hoErs|`4C)TJl^X&h z0}XOuC~0L)F&YlJANkO}?e!j0y-i&_KAUCJJl__aP1(4q`?w0KG4Y;eD+j;fShHtd zu;^75NG@_d``x>VuN+!3N`{`SLi5>a^MpDNs=@nH)V%RQvzSO8LoaVx1igSkvsh`z zfW-(rh5@;-SJ4E+F=i6TOt|PQq6uGigbq%JA<>-!NxIf39J*#w_8w@?_R+S<5}KA1 z(nsa{R?RR?oJE}PZ1fXvZ#je?B{bWCQ9ajIbQ0r#9`Ee6}K5MXr*lvfvn+5#9miZVzLK7AUF0rf<1TE1|h-dCH zL)CKee>|a{9jI*Ybh^upj?pzP66Xf1xdT`^@&r^NiD54|3A)qGFcb9Wic7XWfxe}JbD3nkSzzC` zP(MfobnSx8nE1FW3)&(IplzME1f=3qW8HpQ_)8fG4&&fa5ct$%eUS+AzEm{vTFPtn zYO#Wt#g-hX5f!n`-lC&U(@1fj_Q&!WKwg(5QYNqJJOqam>X^;m*5zaqGKGTZ>FH zTCLl&#+Ku}P+qEdkTEN)b|lOr~c-Yx{>&anj*K&0Su zi{~fi(R(Yk5?g>bAJ;8V#*0QQ{}E@tXpGt{`mh>Bi`u~>IvhV!l1o4?^FgqYH`GUu z@{;l-e8@v`2i=l0bJ7w$l^Oy8;9}-9y-8zwTN0t!iq!M;7RleeEq`=vcbDEww&ZK? zYNhwzaONY}L7$mcA|)t-&%*~IlPRycj6$S#l?SF{`$tr{vOX`9!~T>B`rEOhj8au8G-1I#L)J`u%pZ9e%a?)I+wI6~B6 zjWuK3AMtsPsRV4vWACB0JE{L+<+>Pg_bm6`1zWdp-a1_NoHc8O037tnpXnI*Ix2o$ zYTOQ*wM`v#Pt~wm%oX{oX}%L6z1Z@$tG||1y|oZLK=9s~aNL@-J{>x3XVehal@ia+ z3+967nsAmoWj;CSzrr_P+?eVN>CVTW9Df-O%rzVG?=A8d(R?Rk;A6c*=eR|0eZqFx zVzqrKlz%O(dL!5K1P%gNtyBd|%K>U=fgu8Guv9O|MwFK^u8RzJ&uDK;(uXvct>ke9 zQp48F(5(htotI8c$8GHi8pGB)@gbYzFC&49tgMuV_%`_;2S*KrT9_$xb1aC!_jG*& z7o0+hv#SWj3IY(J1%4qps5`_oRPTSd7i{tm`T2fN9dNzzFL@le;Mjl3`LT26H=%7m z)-a>I;B#HLoVGW`HcX3LIP7&#)s`>(%MdwuVl&S<>)wPFaWa{9#q_phIhKok5%Uj73&W?fpkw1n@dAZ{P$ERQL}6FaQbAQ?{`Gfr}D6;QSX3q=O5t z{|(J}jX-Z;JQF&r<-ZJH!HMm{o60y3w1{`Rb*sHUd;TkQSI_gAE5PuhRtQee2_BeS z)bZ*kYVtz21V;kn>-%))@m(quowf>2x0VjHK4O-8&^@%WU3nbKy_N>NP2*LLNaqv@k2*HiJxPQ41yTg~&ZT?ADS9hod z)X+b{2NJ;@I+Rg%!|ndbQ5x69_J68{(PgWW^AWZEpS-`rVdtc9_chjFeMF<2!1HAO z4Z`7HS%aY#4J$^gS^)fqEmI#Tew6>BCPOXC{>}Gh-sMx-O{U%H=JzuO{ksRodh8pf zSWlYFq3yAKf>cn ztFnZVE5C4v1f!#VY`CelK_q)?Vf=+0^c!b@G(zP2Hh8Dgq)7Y)y(ozi)2sUaq=q&-dF`Va zyZ>f<+YI){XrXvB+cjVBv|WGyrJM5Gkm?FM6BDw=XtC3*gu@*O?DsBv7~TimeM6ki z^trU*@I%$*?d{i`;FX59g^ot96`S&cj`p~_W2gE>4oM$7kNUK8hx2(>au^A~`_7x8 za}+22$%Zy`g~gkYov3=0T}u;DiJ7uL$A-Vn7nt|oVzNy8mglwHgBimArao);~eog=6PMX+J!r$fH~Ld-6xWabPD&bQK0l zlm3F$WcjvHUKc~;#$6zJ8}r8R0m&^Nq}&no)_vAzg@z;WwnPE@CbsIu^Ab>i z^ggvvc=0nSXrsKK5T`MU6po;CDJsR&?J?_ zDVWu1%@8}!a*GbV`Q3To{a-Bkrz>p4*CcTJv6U>Sujr zlZccD#`(4kDGF_scAAtcJ0n$=t7lrPEn2#Y6t%AInB zc^~9x%abg#-&<hCvkC`)F3xCc*=2x9EVLY+$1EOrl}!6uP5+o2ng?m*vL%{_ zP!$cvd3f)d2t`KJfQm~MO?z)m?<++pm`XF%M9V7u_v_5Ke*S=1+-xxC&sTvqp0#NbKWJ6Q`hQbOlrI4$jqm0vD3Q84hRTk7*=X zVFzA!8uIMORuXdgLcJ5MQLhJ~zQzGLw&a?z7C}tR=pmKBNUGA369U=WQD!D@Z;5*Zy*0svs(m1ykUdw_LN}iehKY4zlA3(zTc=w92b>U}V@E_#n zTn&Q<^qC3aiL=LaD$l|P9ielDEI$6N|NJ_V;MzYbB20|@>1=ImNfRAaQN2%7KZ&of zk@4hCGBdDp`6L;~ky{-xkRPZnXRYRcbFYvcqp29X4Vd7W+Nc^%@4w zRH3k{Ou~~|`sa1b)FZugd{ul=twt9kdpRgXBkrNz3hD#m(ekjeB&-$>Ttu7M!L*u$|+m=?^nP*5_ zul>1RdRuV8U;ibC%>JVz>VuKf;I}k_;+SMRf;8<%5K;~gP#HxR z%S`n3xp&N9bY1A=+Tx;}65pAjomcevFm)o#etxa}MD0alw&@R?=TEJBY$8s7Z>uXk zewm!*ZT{+e6Wtb1G~~#RdVn+yCE#Xgp0# z=CkcwGNsUlHaCmYSNv+))N9BSi1+l);6K0M3~6XL`gX2=g)69;zzalDBG_E;zdr$> zaC<;xTG^Y*luET?Y$xK2ir=q=;*B$6ER?yDm9J>RG+!@&9X)P8-0b{Tc{vjB?DXCI z1|@AXQ%m@z(Z26@iyIqP<6tn=i)gF#T5HybAs@nFBCYNxMwx@SuF$R;w?Au5MCS1d zV~D|AkpzqZn}b0$=WFqGy_dlLo`5UxedI1JlJOYCGpv(_7R_5#>-6Jcrx72 zyOA*+LtC?gJMSmzVb_JX62GqYA%+ix$qG{Gx2Gsr9QkpZ^aNdVv8Rtx)T$ow{Ryk4 zURG`g5_#`}!j)b!+9&s(fn~o$4P%&1_>1rt7Wjg_*Q0^01D*a!ixy8@X^Si1?RdJ@ z#`;0o){0N6n*tpto|&j{h392O2MnnHDf95i+j6XLKTm)8T;28d#H;aiQcbUt?K94E zNi!>RX(0<^J8qwMBE(Eq>0rmqLt>@S1-t81h3XxB<=-@5c}WZey=sOhA=_ng3WsSCiCH+8u#B0y?)ev`+nKt79f-FmsNvWg z$VL*m5LU&U-r-<@5KmCf(6B(A9^sO8N0Y)4_&!zi^wyDb}r>T z2&HTAVUWQH?&cjbB%!{{Zs~f<(s~ICmI4#3^y`&v%DIQ-Od&lKL9H6DSYU}kFUoPp zQ(&TsJn0evFV^Gy@pqG>IGeG1Nho+`Wz2V`sLjYU$|*lE^e#BSf+JbsbGxC{l!p); z79!}f?94L>fu{-2Z4$LFU2Hm`&$g5ZbFREl#O!KZgV?Mb6v+k!(;8T`;E0sB@W{rc zT`(&NQ09nA!BQlx6pp)SmGV=RIi|njahFfww`k-f&##*smHtR{xx6~G zXrJFx@+7SybZ6W>bs(8Ny3vbm#(S;#5r=gd$o!Yu3%`Pa2Qym_$#cv8lMpo*ACDWP z`Hxb=g{2JI7RCwoe5xIe|5&_70YnHMs2ZXP=Hjk@fe@lFxWGX->E|JBxQAO$fVTJ} zIxQC{q_{nsH-=D>XLgTPdV#s3yw>;7;{!sN?um?yCKw;qs_^xd;3@Jt{Z9YDayW{_ ztm_RaHd7l|LM*7bW&te^VocQXNYCdq^K)5ooxWXA>=_Bf2{U{XZ50D`l(%-@mv&mk$P>DA=ak#ik(6-xPOjJhUPuxLLOS} z54_kf*p2=b>9?^y6u^;`co-fpJIHzH4h9Mi`ZkFjPyzL|+n%40^@wD-!r&4+IJchxFy_LowJqhr4Alm)-~?ExQvQTCGhUX!R!q zNRtl0b161OwVvW7^AU+_Khs8}iG#fZ`*U;e*Kb5neD*T(efp9F#`n@YTx0Z}5I@6E zmw0AtAow+)kZ3NinoMw{S{2!f*Uf0aE79EfIPXH)gNve!?87xES;LAw47bcwqn5uJ zb6lbd2z+noiR>W`YkFp?@89+gQFYEJ_hoYjLW#}MfAqI?&w+hhiQNvT^yj?{m6LAY zJpd~`7(B*F+80-}z+c?GM3xur5nq|#)ZLL~vZ;(2tvs?pa_*DzSic&3M|#2*SRint z+!f)FZCf*u_=gGIEEEuX-w+!>-6K_d5{P7((kAOe6o%p4jbg9Y=dd*5 z$lU~e^A`&B+M$>Z!{iQIeuR}>qDmR}&kPMiu6XF|u$y6V>NMv#0_qmLFYOWTZA`VO4HVCmR}-VgPk+A47+_&_>(!*tO_A``FjtK00FWBW5_&fzeD^3 zgRdpsTm6&CSf};-?NP4C3zh%RCtc4ca9HPD+!%b)b9ZsXLgg^Fh=lQrb%bSrUKGjh zWCiaF7D+sGahjScOP|}PA7*EZN;x!N)pS{QU*SeO(9EiVw|rxnWSEh;Xo=yrjw?jL zuCjtt6lyQLUvgHr<7oSmm}u}3F>m1yNHsMM*hEBtiVicxdWr@PX{!iI0?ro3q*l(#R_VG#`Kx?*&a!@_1;fxXQZL z-lSWZE?iY=f>=AF0*4QvS^B4kphrtfV)3f*D~zxe(aoku9nq-gZ_~?pTFz6oWsFxG z|If7D@LZBa?+IRS5TeI0hv`8%8tvnoYf$#Kw|O;r=_#M)t@9#x#Bl5Wmc}pIwvrN0 zkz1#AYk;W?i~*lCM+wv|x<~4lYFkOMrwHU+C1kEhBRBPhU;x}t$fh4?}68tJv6A)FVOHNmgH7_tB>J$bCDW+!s$L`Z{?30jC6wk5t zBDoq}-gj$FBqnRbGP^WsyG*zz4=Na5Va-_Uze%VH%32~;9N6c}m`LUXJwkyD$u223 z0IqnTQMH=_*6>DWnJDj?QO*=j>Ag#Ki;g)&HgL1y3bU&@Knmpb?YAfnImkrV{-~i` zLu!Z>GSoPuJL97|oK!UH)l1`+y6;i!Rt2afp{NYkl9s0KQCxF~#95PGL!MwHwVF>% zyxE1cGvC>eT)X`RxK2zw*@Y0`j#dB`a2eHKiWBC@ZS5B>;G`P15kQ@rAX;QYO|2C_ zeTK-p8b{Ho-Agi!pQ~i|aN}&-OE3lID%qyr8K>+>j7JDZHcIrZyd}Kc!BJ%=rS8Pn zWxzYN#Mfxa{-C0^L=H_v@E7pSMn^m*Q%6YoafD`;ti@Q)lN`hslaSqlV%h^5&YyPl zvr!I^OFsb2Dg5hjE+$kq$q}AfVtj>U$BSuYD!B;f3|HC&d2&;wd9CaRjf1Jh*pDPc zOxrPVrMhN$%U^Tlc%&&E;SwArLgy-3J=jwTRy0tPzAc$M&Q{=)I#}!6I~wK^VKQH4 z!IkMc{IQ0rq1MU?c$Dy#76ayUO#F0b7bInL6+guD-I8kb&c!1tq&vD44an1da`Lwv zT3aT!C%d7D9YBwfu;}6#W1Z{FdRch8!KQEfWq2T5okt^R9j6AB9YqNntH#OR$a18{ zho~j2-k=_?FaZA$t5$%gdzMg|&OH^Y*2J#X=1OBg;!xPiJc^w73799!j>3M_R7#I)oAg}uF4sA~UtdHEf4e$8#mbcMyeE#rfClU>Tk zogi|69!CAyZBPkdaGjz%JSeHdN6*Q;Gd2odv^&n19J}Q8Z`5JlVr~L z%+D)@9rX_D%q`S;`0TiKO*6mGhep8xLqJ_FiMQRL$W@=%Ge!Lq!k=+1Q7#Ejk8GgI-o zSJoQb)LP`zx^yT*?s;gM7|$7XuH@)b-vvkR@6a?go+2)`nQSU}NyZvmg(@`YG{HG!#!^>4yN_{|Py(kGI0>ZKoNb-+szetOhs1h_ zX(K_u->g7uKu<4|l@f@66kMpA_qWIJyIR&rL=xKkMvxqc3S;^y&b^AqoJek3r(H2s zpSL~9{Xj=k{-%{Pr>-v?b_P=9=EqX3PO+5jJt+uT*ea13L91Iy|Cw{Mv@ag;%pi zqpgWL!`ksGwTpsv*9EeiMYGe8-u9)$fCm2V@a{fdG@rgG4 zDk!7Yh!0ric-AlVihaz;EcFIjFCW9?4C$5er+fjVQ;pMB*+yE{uKJAIib(Z_6NdGw z)|9jMjW72!?&}4>s(MpV_Tux2!9bOdYuoWc<%u`_QuUHsuD#}Zt6SxG)RRynb z8LAEH{!9FSI>aY_c`O^fXf%I~a4=RslOcAnQp|rEZR=&+dTZnd|@y)*@w$1IMC`$WVZwC#8D^>um55%=v zamGV)=b>^2dk-27t!lf*&(vJ-DQ)%HBnx?-HP_$f3o8am+W)~WYW!UAs{FTCZqLtK zVNp5$&G4^BIfM%mdUY%|LF8f@2lqmpbwu-Z8s_pJK9%W?#AW_1oxk$V6YWhKY)n%B ziU9rw@|MT;Zy80`{Ls zr>^&xt)vLp^scGoW!(P!2y`9Azd4hX|0tWII*K(ySUl~sCw~pI6g=6IidMIipC3g? z>y^@4;I|5P>jOk#Rivm}|C1g1+ssmDjrpoQ^Ot-b<@L2q< z9l*hA(?WP1&9CR5iuqd>rI~Sue~sUzKOjQrm+=e|tq3W_z}M^F{!>Vzz!<)`5NfBt z-28+|nYarnEmx6M3evBWUZblQ5{WUAoZJntqz=fsr=`(lNx@lgT=R3IN>dSRhHu6U z>|DQS;?aAYgKzggz~LCQ?~T9%A?Oja3qP7Yf)4FS6^J{%QNGjJEF& z2f3DOO~{zPt9z04DSP&B>L%*5qqS1?ZA^O;$+Z5~pj4%C(>wl%KZ~geI zh;j~p5b@00etEK3@%=Lx>!%mZfQgxx7!AWJtqLdxMH*|!11rcHH2dav@A4)6E_J4R zUM;_&&Ib8Yl|CGg>fmb6r6!I@{n~J+CY}elizW_OsEbWfj5Rx$PW2# zmq7~Sgp`~X%$_E7z}P(HLMI>Ifx`K;x8zLQR#@DC3su6WZQVY)vO7a&`{`m3-WP9e zy!3(9#|HcTz1))ip}~P|G$?HmrUr^JeWIq{!(c!|A}6Sjkrl0mJ+!7fl{JITpRteO zLgg;Z0OeX|y9u55i!1u|w;RE?|L-V#9SC8#m9k)yv~ZI&(2p-zlSvx*5jh~8Ss;^C z03o##NCF8%?g1Z?!1eqG%i{}LY~g}mfCO7O9tsR-Qu9IQxsSVrhr9V<&T@mt;-NQT z4gNMVQn(-&!JFxGaQrJa`rGli_qm&?X z%X#Q_-QPqGl8#|4ZbRx?d$s+xD<&N^9JtDPv*wBSfk?*pf@T*05d1zP_PQyG1&DrU z(^JV+pFE`tacoxK<_K5#b5&C(*QY(WO?T~>8pwsc%&mT?>_Nmaw5_iKx>bSVnA(^U zKSy5cpVPpl;xuWDYLOi@J5)755yeK?=&PrE6j=vS$fd$g&2HnY#xQgwD@mfK@_lE| zBej`p=Om6UF<)o3`oRaX;eI=>Bgk0uCr+sw$ zd^CWkup%-b*!298RhlyR_$LuIXuuCG;W!z>KlhQ+Cz|vWUl!?BissKAD>_2#(_m{D znjda5X|n90q6ON~I7;km!|j*1K8G$FE_(2Fm)jMx zAMZCHQsa|PdyYMvU$m&kH)-XFt;@6N%!tTdE&<9LI8o3d1T-^*tA`K+QxctTU%&t|wj%K&L#SMApBO?0 zEmiFh(+4BMxAG-pTRN3ntRn0y?APSLX^hi;7hVK9$qD7WjEqc#gdht?nP?=%o>@P6{fi=>+I8+PREX8sF)P)G%p-PwCp*pMBsoD8jA_!pZ85 z=ckg5CJ0vc73P$_+9Q0)fRZgo*1QmBq#t-i4I}j(39dEu!bo~Zl=$S32>GHEjF{A* zd1;1BPwqp;6jW`wmq71`4;1#zRA65gV_HS_GIbUuq8B2vg;#qIQ$K!2z`g*6@VG&F zHa#x=kh#fyjFq}M*_?x1%^kK+44k8$nV`}x!DF*PvhQpj?8TjXnPMHR_E0d#cQP?Y zB74bwtI0-T^!h#6@W3(f>~~g)oMrM!;V3WQe_2)(1tgyri%uTx80o2z?oHD-=ZRR3 zH0`v*y_Pv=jA$slj7V0o|%duQd8(OL}3di@mF*0J;C*AmF zVy3qO?FLZ)udQzYuB2QQ5~cJ&nKjTZ)ndl8K+LB+^Gb;l+d+PHxc$dJB*2f> z6`Lo4)suQ8(6^rvxc-2SViw`50f04;`PSKxq_-M?T{QQ2lw&QG)Ju3_w{)>yn$m~S zU&CcC0tkEvDF2}GSEuat>ee6mUAcesYXX`3Dw^*3J?T@(U;Ar&IPqX@r3+A@A#c#3 z!t^rb%<>w0v3`W|6~bS4FPD85*aHkO)WpCsMG^n7-lGZwTNQuPXcB(G#R#ME|CuOO zP=gQDj!c0GxyHt$#jx$T0?;R-g`#{!O2G}4LcO_Bs+yqm8-6cRR@R9I9+FDR{tSA; zkHv^uue@faOGFFg`Dp)&oaB7^@)nO2qy8wCg43tReY5+*IYNBu90Lu9$Km&+!GK5i zI4X1d9*`eDq03)1{0(=uy%MpKS%kZ&uP!BLRz3xhaB!ZS6z$%LKaM=MITseT?{RfG zyr0zcxgR`HAf8Rlr-MnxbzfqjLC=>M9=uUzqy&QfY8>ojjk=vq&UriMSGrP0z03QM zx=t#FKK5lECmET8Sb&t0F7iEiYs7q3(NOiKW18a6V@eg$wsvewQ`o(Dhn9y}ShVdq z9=nNTV=hhtMd~!@$$~nr8NwJX-)Bjc5FV{(o2o!8$q96V99FZg1Y_0Q{jxkT}|+$mX#A)feEdUFFb?+9{lN%G%0qD;NP z{BaK)MF4%e_6Q?_FnrJK3;EEXcF(8;_aqTcbOL9hC`YyS;zSUx$6-#tf&-L>A(T8( z5-NZH(G~;+HUi~MT5|)0M{)*Yas${uIC7x|cd#ME-`;SAszJcA!HO_M5*%iV45)zd z3P$MzsDHBf3qY)(aXjTffANa*lz>?IY3#~EifmPlpu+`Vl_AB`2Pl2y`Y(7R$WFY! zMSeuU!Ct8~s)a&4>-y-0CN%$LDG?iZdx|+VNy*F8GX|y_2sx4SdCz$g1oJUydwwa` zHs*@UTr+-`dg6U1oydu=5()z)OtWyrc;{nSU5^ZR({gr2K<2`a6%c-1wZ`KJjy|o_ z93a}su>g;1BIv>KCC)o?h@`~DdLt4l%|Snpq9LN0pDf5&t=SdB6)@|s-P2cxhxUNl z9D*6xU7PWET@ zYhF8H5;XR;Uc+MA`WO-AsrI*kV_p9smpb+_P-Q!-?MwfqLaqmC1M;v_SxDE6x)RN- zS!3M;7s~h~m5LQ^%-*A&v&Pf3 z!@pSXE=J#@OK5}OzU%WxB@Z^L?$4%qu`ubEVZ)yc)bf~3I8BW>oDhpQ5nJ_-Nz3=K z5W8UaH{~`VdYp;nWeTO!YYc?aD^|hWfjh74xxEvxsXZ^jT&6(yjOD!(xb_h2JI{^) zrahpfU~WzK_pYLm`611|3Gc2vc+L`!&UetU27pw3wAReL*7qAzPsu#0b>twYu2WI7 zo=jty+G2b>eBkZKy;CItjeZ-qOZ$!nCI(EW2Bh6lMgF3czD0{;BTFkxySJ$%+1w)J zJ;c7!_Ax|LEt>`vS@%zJkd0_(2SW6c=`US`zK|2!09e2h?gaX;m@t(r7B7C>O<}%) zcOI8`B+I$F@V4ZtlDL@-<2wT}>f+4ijbv-QjD*FfA2X^hK?@X-?LQVM6t{4GGIFXM z?gDmrvB>Y6(P)NVtRv(26*@nF`EE2(K&P6uqv&V zlD+IK0rxe*B&}52#HZjo4uKhMTKIrXjQ557Ho?x=#Fjml2Upfaoa@mXNfbF&Pf(R? zBeZ4`!9`s*l8K@`GTufqk={^sJ+!cxIRuz=&y(Mjji1Zd3c#6!G!SXjde0)=b=Et7l?F5s8hXW7|^`6rA@jAh0v z&PglMMc*U=LJda<@zPu`_>7j#0tFaJ8>|>2H>uXNB94Y5dSI%r7I|=8c(_X00TsOd zMnd#+1gbN49_5tmUSJd;n`p_3+|;kcw>*Z!}pA?`C*Q zG`k#O!6i9$TEW}}MBpq?UEu6I&|)jKTkx5Jr=VL24K2*g{SSz>;)qu!{I$oD1Z<0Z}a6R=h6W0%+!-fh#vR7lGjd z=(DiyIBq$jLaP2XSm_gnVO|g(K+%?t)YL#(kk`+g6#K8_7=$rJ%EK0YKIEW%}ho({D{xxOB4ntgfoPo*U-G~oCI0*jkq^4n_+^}w2r{gayTgyA$np!vIoO!WW-YdCU`@k&$>Yf)(MXS z+?g>+wB29~rRsnR;cr_cNCMVht`rl%Eu^K6u*A~nL}K+3r@T}_Vobtv(RaTcd%uSpPG#0vtd0R5X|VyJ-t`3-;cnlswpLSia#{#W~ETi^N|G{ z-Su8%#rL~1QlL-HIk*9>j`E2f^lD?-qN;>@93dV6j1QnO&r3TSm;!#MJzZ=qfAW1VDLT4#-^;>GZP z(6b}E;Om>C`QSr(8gBvZ-t1k(||nrf9x5SVV-= zn8`^jBV4y(%fl(8?V!5JC&T~bal*lXD18w*otkc=(useAQvq2>z6zTu7YhOThDIz} z$xRr3KOtYQ5?eCr=_(+zxMJq?ne8Y2bLPgWjvL85&B$kX{Gm*v8b9=>aZXffQMx9z zX8_98QkO-u#*gCb_R4gZCogVv3)+h5AP;8VZpVfNYNLe&XWJg+A~|t69wLCEy^%4! zuORz+P@E_Y(8WVYe!qX{8N==IV6wB-S>EDZEA6b3pi|32C!{zykQmX(N~WaR@@CXp zU$;7AUxZ$8G;C!AMW{JqDjS#0_@|v;>+eW5(Ba_5^RiK8J z;NmaITmv#AJMY7=r=vu%{Uw4k(S*|0duo~&D+0@p z=#WSX*+R;>bsE0FXoak+>v z+3-7FCJ(qp!}1Tg7G10ja!-*uU5Yv9n?3WxiQ@57@9)^u#^YrZnPNAn(^b^1V2Sl`$@F@5PQcW48|qMwT+(osl= z1<;-PaavY2q3Vp-YUEQ0D7nxf8-F6WA5e1M@y(C|M}-GtuX;s4x5>rTm}V0x&#PB3 z?s_9b3>y_Km;=5t)4s>0M+a!v<+t2zai0aJT~Nmzc5ReCGtxvroQxxSYhE|=dr-Kr z;4?>-3uhmGu2_jLBm&D2Y)E$x4`j-(aJ=Ji(Lhjn|>Lz343^JAq}ug?^LyqZeEgH z>0^PeJ8X&%Kk}rBaPma5DXlBSQ(wX46!V}bVn+fv&w@J1;@YWi_|PUor;g9J-+}P$ zG`LZ|6Y0w<=CpX>D7>Uohs;4Ox1b1M_X6Df5Mr~b=JJy+?651-5>nRUt69C1x6eEq zDU-kT*_`Mu|9mZUy>(ndotc^;A*h$0TKWn!IL;i>S9*ye>p;NV;M{cUu-w}`p;yJ%t zNiXg2f-9hV)f2-c0Pj%BJn&>faOSDMS$#@IArPEl-GsF>qE)axhR{1{0m~1aEsr_1 zMtKWTh~L8e7NEs9KU38FftMV&`@IdKZZOVr2*s{zZ_RU8E7pJ8uqBm$@o~%J;J^%P zZn$Uv-S87;dy3b17O6tP@Cg|7GMsm?6JWlBj)NFBR#VtRgvv51Qm}QeZjbc@^ zc#$?PYgMX<<5;)|gNsrdM!q0<9w5VNsh)o=HZwqNdSAp4gwBTW>)diT?w~)Tb|Yh* z>#7@YaO3p(Hwpdj^2tvQBKjphx(FZ!GH0q~D2ic4Q-o#4DH>w0p$C+VeLsY&Brzvc z?W3janIdp9bDCex5+wQ=3Tt{MwtlP+GIxinXUA3thl`|Bes_P2sC={q1Il0)n`irY z_AtEnq!yR*A@$2-q}Yop9n;)QN8pCt28UvdRoo#a7+j?&Ilv!W%l)Q|CZz1H>VV-n zoD{=jhtLzfR{xEk4i@;)v5?$%S9zQ~F)^SKYCzq5GyF!Mq?mc1VKJ>mJo-Q;da93h zvgXulm&sIAFt&%2o#-jPx}(p574Ea~RF*{xJtImBDZ$t9YMcZz43``ea1v})ct^VswEd%TxRAM=@uv8$0X$-AG zR#CPl#lnt*TvkgK$XjW&f%-X;``be);1A6DHdX15I(UAewzht7?QSqMRer&_jcY7x z({+LqA;kR0usZm7Q1}c_^Lk*vGaw0NyOOD#rrQsPQ;InlQ6JUqB=6z34N+-tmKzZNYA}_W!Jnc6BzHBWsk57F!C@exto0iKS~Z=t*;6=2jf2Ey+gbt! zs6%aDnY1EZq6?yK%Z)_ZT<`9G}?4+)wRx02{^+WGxUPS}5n<0QAW_8Z+ z#n%bS9xiVN+{d-%;5?1W+&#)c27_1+IQ?ThJO8EHD-Qd+WjIGUY`pEA3~gfFcnvfTbw-?3HWzt|-e=lERrRrIvJ8kbIydH( zS+O{eHReyM3B)aYzq7tgHcI`}E?gMJzTTLHvC-N(Roc_1SO}L8z3;4HbyN&~9Qo-5 zAn3X+GL^_2xm5%U%BK;->o#ShxmL6BnN1Q_v4R02=R3#}P_llyvMcSL$4ci+!$seO zD^V*Dh_~%Zs1C+l#bzS%BpVS{w67fyZ|f}6s>{PQX+-iJ+)QEcHcO|SqGVaRX^T#v zkEjvN7D%0J;A%!V28vYJqDev4CMCFC=Mk2c!7>ru$zxc~9}UchSBGs%P@SSW5mO>Q zffoa<-j?->7pWi2x(Dc4LRMJ5Q-JooRlbtsIM^GG9OF=P`yrX(bjq|b!}TfLmfoOQ ztd2t!aOwY7x zQz7^7 zw~+ZIrl(cyp{t4PUn={plV(f+_Yb?**h{1 z`hw|b$oOQtERN=2hr~^uX%SoP)MdbB+$oh8&EXGgE9Uh*qk#HVy?!J52~i5}PsJg5 z**~IS>2*XMB@IHnk_sc9A=^8ah%Mwmnno4esc=+8w$;)7!k(8sD6iMe?mn#aeG3FeEPWWC@IJ!g zCXJh37hm4vN0P^X%pA?4HBaFlnD0?6Cw)u=f|%)NSA_nwF*9RUl$x-K@j#`Q4UH>bc%{P>Nj! zd@EGnLi2E0q`u^{RKqDqNC~n74&v_j5eo9x!;p1yc68-D2fm{+Suj6oXe^;(T6DEf z=3FNTNmg&HaL1F;AQ2iV!uCLW2kRQ6vwoP2h`o!cn6_;~fbuXzjB#Rk2hHni%<_Q> zdsEB=VVoZ!d+{C4;^D2ob%dsWe>1aTckXbmQRGJdqZ|bdn{PxSH(m)3WVUV5i)%?Q zllgG+wZ?{36I1iJxafBKJF*OhUPvPxozSmUOY7+!GQHBC(C7zA#)xKki!z&Kwsb)5 zcUVVRerp`2tjMrYnBG*zYhx_ zoQ$#Tf02?I!Zn|#cn|7jr|Ud)YqB4;b`K(eo17Hv%83^oMw-`XDHRR|Ckbn) zPcz1*9QB71e#eNQvzxC127+)*jv?+}I@2A7E;mi2iOdRGCZTO))aEzVRYg}NN3nuorNEZz zkf)MMo*JF}F0tTbWv4Dci4{d3>M%6-V7%j$Y>*EbFS=&P88pB8#(Pcd#*!~>^S7=Z z3vB#4poL;^K11U{pt!ZN^DV0H_E-Xs0cSHnHY0hQ%kZ)ZICS2)?sPB=s9yEHftbta zyp9`$`gQq?dJ`>meUVUDN?>g>jGUmpTh(@EU6uBD_o}LCXfFb&VV-n#(3Z;6vIH}< z15t_S_P=q~g=<4*i?mo(M`!?ra#mW>2cfQ>xy*hO?cII%fW}hYE0^u0<)(!-=)xGo z8x{&Oo)WVH>RBwD6>S;_W@XPnOPXH@Q2*Fw?GFjWuX);cE)gg#OXJu-tm0f-Atc09 zFrh~dPmZ_!DT__RSgAWi^XAT4kc`E|nL-TwoIaj(-8ACr-u9jH7ZPzvzgyn{b;Po9 zOF|8dX%*A8Usoki5U`u@tI&g9N?N^8nzC(oHHJS8>r><=QDB0P$an6}1k#J2D05siK$we&vp zL+R54$nbz80DwnYHwno*TQ!_?*WR?M(LDY3#k5fk)XQz>4{LY?4=27SXt(}6{7!`>sPRJMCCqW$HcA|rL&RzOct7F& zqfO1q0fPUMf;{~FFNc=Ks87l?11<}}LASjvkTkD6T&g_&o!_b{mtXbFck*e72Lc&k zZ^X7MPw#M2ijk9>c%}xuMg`v)0=ZQ0xPWW|dDcb{QhI#6)-e56=WcRVDz;uT9itkujt=@m1s}#dWwrrb=NvFzDp$z zB!-33-?Et-x&im;-u;0!Dr+Owf41@P-NB95;dlExd>9`jB$EF54JnrP-qdwC+Z5IM zmL9+Qyz7K-a3gVFR?9n+T}BdJ8z9$a0T209zzR?ak-;|{87n@;j4!Wu)@x;KK5p3= zn8%f((s(7he>!Bf7;rC(6(Dr%lz++(jI$-E_V%fkOL^(o!Fmukkv&T9I|DKBg5e}# z)OV3{7$d(B6rv}HE^>C*adH!3`aM>2u#qcrtOJ>EGI~JAw4NblwNEZEZ$Sd?cN@hP z;SOdX|E3sQEg zZY#yGYPA>jSTw063H+Ikgensin3X<>CE%fs{aUE7=pQw_;esBkt2(L_3D&rQX8y4{ z^!8%gSqCow85PvI{e%&W5Dk?(EEEUj-gC$W8W+CyhrW)%x+R@7RB68K0aIbT2%N4$ z6C)Hql1jv5%2bRn%@%}H%R8RH)*VhqlciL`Fm+u$R$+|N7>(+u?_3w_)*P~uvV zRKPx$g-ovO9QO%r*H|HyTSa=`jrx3WT8kv#X3Vw}{edzy{0O+=TIcLMJ81i@J<=la z^E~wHiYvtI3K+y^M>-(^`jFnm|8$AqJ z1CU4*yNQDMZ_TBb?jH2zM+DYSX}(0Wr$Um2dgY{wFIDmz@K!J>+)?cd^j{sGED2YG z&MZ}UqnwP7;D6!nyh$ArE7}^?uJ;Uw*+j8<%j|bV+APV0cZRAff%_UO!ehT4nX}G4 zW$xsK6?rO2?5fCfSiGw;#Pe?u9Ry#vFP{w_WyQ=jx5wV1d@T25(V3c{pRw9urB$mq zJF*HZl}KQxe;;2(A;s#+`}|ORk}KxGSH{8n10@VA-yk=Hf(TE-8NbhOyMjlEM#ijP zj)1(6_W-LfW^Ye(1D z(5wDwKjH?cwvItg7trpPTyNtL{I!=biVr^u(|*HS1*U*~YjFVoG0k8w#<6x!o4;h~ z6Bv51R8t_&vfkzatDOnn#}~%JQXIPWM0&LoNy3vK*uJjF2#j|0AV;N88=vTI@a52g z52?6>POQTWV1CT#f3}r_QJ!ZGJZ;SA^QzN<-U^{Y#(-AE`z|g1G*vUep}C(6A?KTo z!<&s(oBvCVZiA=t7nB~uw>K{z;L5`rVv1@ezRihxnJLMJuFKm?6x`8;Im~D2p3hCn z);m*Euud^h2#CJH{Y((eJ;I%*e24t{F~Rw`kQW(~2hE2qsd|Tsd3ZTZGSE@NzC$hi z^FxVU&rf_``av83dfjpf_mXC)@@Mo(ID#_0@{e~x;C9@p@Iq`~$Y=I0RX;d_+SuMV zZMo9mP>x=(gDJ&UF{iU=mYvtTt>^w{bG~)EMeYQ35nzAHDb1?MHw1;infR&28LzRY z?qShWZa3x)?hiK37ugg1uSZ+sY3u|>UDG$if_ud36J*ZJlfFR-6L7?t~HZev*+z&C2I)~Jcz((w^vvi zk=<({<1|nc8AEsi2LXXJCaj>kSY=0_z$)q}L)H-meafP8bD;2VF7W8(O4HWM$$~q_SjoOF(*-k1 zQ=$DCvQV^*m)Rr0`Xi)HN3oN-YYBJ7Ph`A3Q!ejT#NUN}50wQXC`y%hZ;pLyR&oXm z;ng6h7TmCwar=RD)N;tj+)gzWz8@gm@kr65oR#Q8QY%Z@hVT3@s*cl^1rnLIWy=iu zR6sV8J*|(;PCMxExlIUGQL6!!S&ggZcB>}bL#41eh4nQ#u{eTzMEx)`5q{>-)QTmT>85Ue|crZYx5 zd4*7y4M`ZYaE!E*d?mG8f>xHtC1dZc6Cn6Hs*0B%;+Z_B#~ePKQTwO{zDIDmB+A0GdEDN~?SdVENUtx!nBCa|}M-R&5b-ke-D<|&g3k_Z>fzw{=8kKGjp7S%L*1d7a&F;uksmNu5N6Wj>ggH4`S4|do%O)BgYb?9@hCmHa%gpU9_ zk1qE8VvX!FMuKH~h=S0orz*w^z6sJ+YajT7OWzW=eCp%}Tm@?h47$th`Y3%@nc}IMUh5w2T6EXI z1;WE78c+7VkzFpzH&T7HN6g7?iPHvWq7)6LO|MKdBv2~lFtw9wJqVs?@xmW2NjUIJHW2GyOcd4xlZ&ZYW1tB+3} zHH>xpz2sDH*{Hse!iDj*uss;m$g)1bSQKkEtj|Un{#Ixbpr%@I7Ny^Jm@DyAd+Mj2 z*5Y+*V{|~>4P+#bk@!aCz_fQ996OeKHV(yZeW6H5jDN|0e2~uzlG{2zv@mjlUTdjF zCQ!^7k}oiZUAk3XhZV1Rr~@O;C{2T}B}>*jPrbs_f~`6Mw>T(L0=sXZT8wo_#}C7s_}$KWP!_yfbRQdBv-e6sYm z6l}$W+wBZagEQqG`Bz%QAa$c_Q50_wR>~SHc|wt}*n}dj8L_0Q`0r;5hp;a7=Ysm- zN=6}b3-FN#VJh_?X*%6i>~3ZV<~Kxh?hC`NUt&2`yIou#_v5Z0mb3{JYm8W5khH#Q zX;TM|P)lKv!f6DAO~^||$#u~h>nxJeXUcQ75#ec|VRbhkB9&h7*aon!Ec1MQm(8X^ zLZE$U(`6yzn{Y5zD91d;px^=K6rWd z8@Qd31Q$E79ry-@D`b9hA7P_E8zmKfh@;W5?{`(humT79$cFK1P+j-e*z}*YJ1c1DV(;R`i(O#IV*~%G8>^#NxjJU$4)RfeQGL)KaA&RId2wpq z_(GCcYt(Zv`a8iTi*u_S6rAs+_TTiELV$}*f3Km!d}ce!sN90yEQqy~eP|+e6q=6V z9izkqdt1D6P?;@ZZ$uGqP>3Eq*>dLDtfi$wZYZ?es@E&rEq4$u$vz3tr>~lqH)d6b zq&a1)2Q}Tt=bt4Tf{-*9-={E^$cBp)FI1$Ow{ual5CCW7eK_SvgME$Y#&LNW(?ESP z0e(r@PUgc-Q1b5y=%~k|-uD>J+i~-a^76l!HQ#Id85^G5D zjn7_&v}o*_I^hq}SGvP)R9cOeZ;*p~Hb( z#g4&P<;i7JFDt!;%&d^eS_Jsff~B1!|pr1rfNRDUDc4#_w&OZ%I*tIMKnHp7Gw2W3WF0fgu>md^OxSp z&sCPu#SOZ4&kKykxxWLjx{NLf2T>R{2eULLfRb9FpQP#|bdCqTwf zD+?b4Pwd&6ZX;n>CP^D)6(Jx2OJP%d#btYSTOW>L$AwPu!RzMn2Ly6l0CE6bds?WD zsnoaB+6=nm%sv)lyb74_?E3f&I~HZdjNxsQIR?$4uZIuaDubdTT|k&_v1=bw0 z&qkwilWP&`2MaUCje$VBbm~r}k@fBmN{-$2^{|s4vsZC~LUd_(E7*FswYgU8Jc3FU zF36P!J7K=KV?7@~GRSc~OiT=$XZ^H>h3Hqap-e~nef=f<3V*l1p@zU@lv_Iq;Upwv zzJ-RwF;Hr5ac<=gm$wj8M_OR-Uq+;6?iR`(h7y2pAfbP$<^jXSs%E4h;~rz}(kHIo zSqK6ru*YUu?At33F%=XLV0x-6b)Nw(xY5g6#}0R;g@4Vflzsaw65tfHQ!9V8;QrE} z)NrDqR2e2mI9X8RvSv`S$sb@+Rw;K2D&L2a$l$2o3vg3*g!|i$k|g!&XQSu;{a~qC z5Qed=RqwSJ{}@=6vSO4Ye$ws1U|=ANrJ_Fw0Z;7c=L!z@;XlWPLK%x5Ay|&{-2^%y znuSMtra?sWJTNY%S*v`T?}3*h~$PW*y3V4Ha}0K(^fScqw*GdCvB<6$;3)b=`5Y*QCNkV^B4D>q6iE zi4%X!wvfmX?B?ZZx}#V)7@%b8^M_e1`q>NBw&^J_=`;I9x5GUuQ`=c<>zSr7eu44( z+a3c=Giy;t8sv~b6TMmIWYWsQFY&d}1nvrCGtDAGoHI@N2u1axI5^`k(*)>trK2hf zM0jWD3L7AT7-y;UVl%od|0u^~V(pioR~3KExkv_cgI$ zUrpm@K>aF&bXF#>9Sgi>oZH4NT<7l!PzW{8Q#v^IBWxAE6$y4{ zlvidR6hMl_F9sqrT}5Q;DcpKnu>+~eR#wK2wj2cF|}-WKF|V>#8e&&+g8(JAUUIgXa{Hz(ST zY$ZrgBZ6?ynP#jg`63%NbJk{gerWPqR?w${B2uuueQmqKYtv%5>vhob^=8}+Y06-7 zC9Ml)-y`GF9RvM%U$*@_z2Y=!&UF1X2n29~dv~=*Qm{<%k9P3BTdg6%d$#8-XABvi z^tVCW+W^_no3@!^1h0n?5>{N=PU;5mGvl?tYVf}RR{}b_#bdaSJ+MuVmkMvld|2Tj z`bh<%a2Wx}`Bg|}r!eBU1Fk0p^+X^X44yyiD*7u-D%0TQ3#OM@?Qdc*38Uo;%N>C$ zry{qtd93bIUF?4<&OgH`=y%eg`+ThxULiyU#DJlDC07y>V0ROV?a_E~5_X>M4N!sl z81E>_7;Hi8KPsf#Myv^9L@E6dJLU)v8?WcqPu*AG_R@z)GY-)Fo+^(Ah1g)}9%8<-wmfDRD|7_Z#+~||F0=uxkShzx-;Icxd-SWgpiDI1Z_Zu7_=nU z;3Sn-qX#<|BU|9m%x$bOLRIef1os+^=;D0p1TaP=A#R%)>?5h6YIp+yd#^AnUWYdN zQ#crWwn5sN$m~Djk!-hsnOVw0RpPOEo(&zkUj0*bU6(*v@MEj@z$tkck-h(~>1ekzWxWZL zF5o~s2{TJIqfqs6h2e{3xsiXJjSzzOx9UG)VF(f)^AVuQ2={;#fIVVsb6u5YVHD+bzQm{Mn`}=klmdbtT0+$hBs#eJlT$Cu1}p=olXW6VA{TPuWP>))h)kC|G$_mgd9UaDMa7o#f zvscks$umHq!Asm2z9`(2pyFDm6{TR}X-oYLIG{?@aS528gpH;p)C~RHE6Mv?CRnIBt&Rx2>>b>BNrZ6B;ea~v;R&aMs-w|T_gS%SoI{tRh*5RTF!nD2a=)r5x+HK=jzydR4i%DgWg@KN&SBe^xwBy@ z%yo(Jgjl1JFZfCnMycjXEJp_5{Om6bz7Mvfc!-v0$-S{JoDN~wLnBb~>zODW9jh%P zjKqK=zokgohSWN7?2DJ(R#Sv0ww`u8zG}7I7-r@9SIe3lzuDHS;VI#80ZDIdj-Pim zl@pi@_9X948i_49_*@ z`TD``?(swN<}-h`5|P8L+wg2JY^$~K44C4y7+}!Fa0*vkf75Pslq*^Yj>+&s_tA!( zY|F4MpQ2E=bBbu+J*W>eZ?Kwn1g2ROg9*IsjOrxzK*5(S6sdhk2)Get7%BUou9}1n^xxqOgC)!epxS4C!GGUlS!2kg$6qH zQjO7Qbar<&q%=c5)CQ1j5JyR8ZJ_sN69_r7#!T(t$i|05J>osK*wePpWJXC?@j$>C zMt^z9GR^EI*SH9^Bo|b~nA$lyDQ;uKN23HL*W-OSW`MJOxyp@ClpNATX+Xk&RTatM za97*1vB|rB8~^avgV%Oamn1xuo&nm_sZuFwysLFITqs?{1|%|Dv(!+#*?jt6cRv{3 zpwcyfi2SlHtdH#|i|V5v?EEPsx|PhEzQP?do*?GxDgk& zu$$PbPWH)ldZ~zhUBb%uX*Dw}hbt|oYFh5WRY3>zGkFwkCd_VBF3kWfuJ^z#13GhcgVTV*{zHtu`KB+CnI8WE&hYJ@)#*Z%0RTP-$k^8c4f5aRGq4vF z1jN)(HqtLG#k8v4H01inIG{sZ$>1^EnQPe=X)#|HkBSwvQL zJ^~g5B#rKWXT~M^cV=fZnnd$%R!T(P<_#ec-r^Gf@1>)cB>--~Fkm?A5X+xC$ADPU||Ig(9!(8`c z00Hs*TA=<(^B?6i@M0SLUwg#U{(raruVdmX4(6*#^#25W{eA|G5Covi>EA&iNH{b)Nycq>cb2Kwb(A91R2n1PTNcLPycaygf1%6a>Tq90UaNU#pR!9le{iRaAn4Z8{@b zPepP%FsGbu()n zEC~{kCGuAK4efj5eU3Ih@=BY=?h=V$kS5Ty06Nj`LU{QrzuOA|JYF-@>dipC61YUm zNCG!TW}&Pb=`<{bX)PyrsLtcni>&IZb)4kLw^gItGb@SOtJMyda-D}*3@wxT=4isw zUGHE3lP$l|bl%*flm6-2r^-afVm4#vkuHOV=Gc@;%G~{YDw(v8kQ+UDPs8uRO|DG_ z3wu`VsnXy<$7T|?kdibxw=8CG?@tlUY8N)m@jJz~FLO;~_ig5YCA;r)h~fddG`;#b(4nc3VL!81>13Hd?+CoR__)=>!Co*~6(upvYTfS7RNf zj$HFGUC4kz#zmR{CaL@H@IRpEY)RtP_OPB~FE1mS(pS7be_r&iasT%O>T^%!y5WL= zO#Vy%nLsC7J9=Xy6YFGWC8e3J0Z?d#0)f^x+yVg$@smq>fnPwdXlyK2YdTTLWgH4p z><@$Q!jf3O`CIW@ea?vM`gzP z5}Y&2&7l?r612JuR+40KaYFe?PR}(85?UQYl0lO?hy3ha+oI+(Z-x4kk`o%0S{W=3 zDtLqTY>GFmmC^|?{MdoLzFH7aJtDo9x`ZvP{5vn|wZV~5h<|BEqWJn@6>b&f-7cq< z`jI7;`4H3&^F2;%s}J~VbNP3xC0mYnx!b|V?47Y$8~6B$IASX&?ab9VnOo~a|Crxa zPk&sXarX3Sh?{To%UN(^J4R!}62EKX4w?7}Nke3ir+f%lW-VSJq0l3A_iEXEywc0k z%1@2QFTK{W?c9E4kbz{k$@QjKITCYqiZkbVHS|1MnxRX6$^vjoN|#=B5}$rBn7K@_ zEmCi^+&MMY>enX{8sWnxkIXO$?n0h1qVszb={v4mA-}i4ZeFD7COw(HX@i!_o)McUm6qA2{PMVabv@PP zoA2s!e;gL6@z=&jmYJj6Ysb;Mh=g#js(v}4Y!v~@>aMbg9d3`BA#z>M!D(#gN|c{p z>q`puTqPP}(d&Z{-wHQjuPCMs5xDLBWMDpYh*QfRiKs>0C$#cHOzl4x(92o-m#BxV z9{LY&VdIv!gMyRTPKWoGqd2qK?$$RuVI;CMkP1WKoTGUe`~0WpC@F-q#}oJ)j>N`tu?=2fx>S;Uv8H zHL;wQC}nm~oNO_F7xtMCsxJ>oGd%s>U9Wg4lrY=X9}V)d!*t9C(WuOK-S-HpY92$wFGB;U4ap#ehmVT!48?7Mt*H#RG*~yO`dRj8 zi}R?_W`^IR17D{d(7ZhtPRr8XM;lHKj3(8nQy}OEY4mgfXn3VG!n%?A!x##-b+hgH z6_Az-$c>fg>^RN`X_MKVOw33Iatdj)pJh5xlx7QzHls!D`K`51d|obvP2OeRO!)u` zHM3bQM}FdRg37u`{G0rjU94xwbr6%IWGb0Sw7Y^R%AMX{aLTPym^kv7wPBv=m3OKG zSVmc!lolOQax2F03L-{XF22LGky+!d1}S6RK}3~3FQ`-Efv}WTY3QC7cUGA;So3Ne zbw%+_nYQ25h9sP)>=A_bJB-Sl25CSU=H*G`2nS6PdFT*gL92f=HtNK-HF0g+?Ulk8 zzuor?`+`?Z#zjqh30Jm6l)_jtD3f#;!8={zO6;yHddCULM3%p61<5F*HTz8PeGVM@ z^iVC3m{O+Jo_kKr9~0rIkP0AwT>2y7Bei_gW=Q;D^VqH(+nER}e;rZVSS|tDawZ)8 z?PB9O7=p*KX7Du=lQapxpsfZZa()_7^JTWns@Nz0P^ri12YPHXz`hmCyU-8uBFt-j zJ&)7s$tTEi)?N&obH9@b;nVq(PBs1rHawr^)&4qBRX4EU2)q<+D7dXlLn^mPkX zvahKGyHE+A3S&Rhz8%z&qTCB$?jf@~oW8XbMO4;8Dm3J&EKdscrR_h|nU>_+btRb`b_v8Q*qb$yBt>qXO zt-58GUt8uM0*5z_Qr#rF9xe@v~ z-FD|2X&~W`=Cu5fd2xY7d4h+nX$thCrTtYO=1a9byTe$rT;&;X zwqrJUZab7)9ZJ7tHB|MJCv|4Cd*WM&Deiv44A#_HTdehu+F1;gU+tts7$B}}K0r?* zZb3O9En9F{FDb`>s#alSBMT;TgLAFjlr3QGJ*(?Lr8E^>sT=?*C*B7pscV|Ev)ULH ztxN-d|9-`BRNgSA&7AloTQ)|PNaqDZ5|VWYuQo!C5ThFex)8R&5i9F?-SG4B&sb0h z{T_gpX@MCK)expS=O@0K8V48$hEfK#19qRN&>&AzH;jvQQw1tl;pIp<)?niRx#tTcv3#PF=Ke3}jm0;1($PY7W@;so${oz4nhH6okQY!w^ zp~{eJGeLSw7JmB-VvgY}D@&CRC$3UUGe*Zptf#V!>8S+}*hGR{-v-X_JU~!kYw0gC zY=~o6DQ^`GXT!UvZ|hxKW;IU=%t+}tA3m8ID@Fok)iDrJw7zdLNP=mhucrNMXO&#c zrMk#Uv8vfUE@4t5h7++a5x%vW>Xn(s<1g5>sv$ovF;u&w4Z2%2yVaWNwVoc(^}TTq zeU_e57jObND4Cr~Hfstro{UyCnQ8Np=R76`<=tp_RsX{NT4h#gUZC&8$jrspv37<~ zg|7@Tp(2Th8|4y^U9B)6TX2;~)%^X4OMheU43y92FaOr3As(xQ{z>Zv-OEi(s> ze1)r^N-@E=Y)!D_SnG7*9a2_U?4+$4Lpk$Xc|ZghdZse(+zKC9UNehDRRtMf3)d|! zJJH78a%CJOQ;M(W>PN)$W))R8;Am25GQ_W43RAvN@j@^oUL+nQ$e$68(GQiXD}?X+ z7BvI1+4qhmL8Ds2tx}RzCBM?n{K#iqQ1dz^v8Y{1LKPZsC#%51W-u^`&@BR&`xKjq|t zeC!!}@F$Z!_zwo1jM$X9#k`#U|gF#N*kl-LjChIt*nW2Q+05#oj zjSTTW843ZN*$fB_6aA8PaZ+um$V~-KM)+}Zs@$P#D!FMRKYN-eei zee=w9@leuLj)Z%`a+M&?KU*#-U_bvZe5s+2$A71e=(}ty}8!Yrs6r< zJ>^7}&b!kNy@NEry}TgvCd~``GOQ##2`aaDX1GgyQOV(CoZAhi+}d(l#9{1UiVBje~94GK17G>SFVu{Er9`qcsu`iw*2>*+M%@0Uhxr;)Kec4EZ ze!L%jr6ews<*+c<8mFTJbH8yjMyM0(ye-Nt)UR*lrM{~QDgBX?#b8m#gbDdp9asv& zq{~NRk`TX;iA&DSXk|*2qh3h-&Lc=w>T>YvlH&*ML#@c{a(5~k?#XXusI!5kJk*WF zb5FMFYt{gSu(GX`rvPnC6V{3=@S}*;$9w&48*G-PkR&n7E^NPv0|Q@pB9#4?LNbKV zbw{7!mtvq@jq%J5!7%W_y*1a;q*Bi^d#h$mTV6qYxm3gTHsHR&@Yqm~Q>HfTRe9dn zK+hM8L_m`B?I(ml1Vcj_gn8^uz2>{ z7|+l0osOcemD_JGH4Cj-Isz3uy4zt@%vq!vk|}xMycXWQyh+Y1m?m}-&UpOKc*@;6 zI;6V^55@1=bT1K?%wqDJbG2XeXyL>Z@PA~e^TEQD-Nu_E-`rO%>MqHFmju=ngQVMb zRwffEktm6V{sbTsM9Rf=&c<&Mnkf8G)H?H!Ve&7G^3Tfeg_KDq>(}q_Hm0Cu{4tgU zthF{a_A#J#V%tV|nFn_DuMVv3wi5RD)*$nRgSzi9sO6EQ;$Mj9ASOD=H2LToI(Vym zdY#dfO~at{!?NiHmnFlrphSYTFP(SO@BTQq$Y=$AfdMuXK~P(e22BV3 z1N>dXtpXIOk5_Q-J<+oQ{D^MDJWFEWMS^D&9-WtZ&$TI)f48f`KT99KN^Yy8hhq2?;LHZOZ z+ZP|SgVY3cN;21@34<@5-%5qvkng!ub^aE6HryV3X&>#!1czE6QN#%lTl~gexxAkK!OeH#4T^cmSb8-tww>_?J3ZrCzQa zqTaQZus_K@t$>O&{qiR;LDygOjh$|4R*|sfG+jNpGSB;hU9TV6RugdNWt?h25unp)w-(_dZHqMox=n2Dp zz>)R$BDf-ashiOSj8hA~GMSPZ#iHhkhfwSY6GKd$rb@47)?pH+5 zPd!@=i*FXt8YLex_cUgdzSWxO9h&u*fL@Vy+6(t_oaz%2?kXOzY-bn)_JDB<{pl2j}A;Sdq29 z6%eH<{$MYZmC{&=k5Z-{w$#6~e;%xQ=-*vmO;`Rvt80V*rEAt;6Lx(agsNm{>S<#? z)ra?;|Cey$1d-!>k&TOzKXg!|Plha^>)#}&k|Jkt5_Zdc{Kf4Cd>+d}K+wXQZ2}ka zfUNd0EA}Cae{15$=Gc4a@cLj(*IM}cIE}>47A~{~L3GvMKti>I5Oz>Eq><4{rl#>ElAMJbO zQs{tLA0(=t9a%DYaZ}GH04poWC`P*UT)>R6nt)BcqOdScI{R9{&L&ZSE}<@7|Ng!H zoxA?s^-Rx+oA(XQI{MjQhS)|BS1wc4n@0X6$f!sv6 zeWAxEqm;6*Lkp|YoMEtiSl8O|atzHuywUzkj22Pj#+RRM#4XXEny*|Fx%?%uc#3M) zXU$*;F}R{-Q^~B7m{M^0BGO6T5f(GA2F_&UM8C^P{)Q{VqVK%gT99fOH78cW)TB(N zi3Td@6MU20j09c5wu(cWe>I9NwT;0rGmI&f4O0`C#MCI-Hc>@8`aOKzOtm% zD5q5VX_e;RTUjRgYSlv|KusycexG>ViX^$G4Wn4i{kuKUNQJ0kBLXJ&;*v0V))=D{{oaPe%birb36A{wxqn#n zN=dtQZWw}*7Vqnx`Y#iSjYEdEX3`-CL#_p!`n4xvP4uuCpxK; z@O_YqQ6f-zBqW8J04d2oMT5os5kg0kB)l?<9-^y+CGC`t7J$JD`uR&^*gWvvIT!sR z&k8!cF9n_Wi@4-4B^!aj0S5!n*6*BL!@%C$fi|yn;zZQpimA?%%s|9zM@mu{g#K%4 z3p9+lUcVG$gBDUVJX3T@PvyJ954?d~$M7G=`=?ATXoV<|$1!8MwMZ1p5F0g&FkHXH zV1e=}GvX042dTFXT>dsKMSeNd#QyWr6j4fWH?fwf)@SLBv7rCzliHQJ44z4l{YCpM zajP-ERZx!O){n7@j*l$4qJZbLe{Uou2~crm_=y?Gx!5Jtk{nrxz@LOtIl?e6@BDBw zLR%H_YK&Y=b*#$^_EO5?9AL9<-D?SL-~Cvsls#`3bH&65f1SpWW%B-tsziS&O%Uk& z!K9D7>mLm5?jwq`;C|h5$(aQ~n2nRh_8CBmpi1ryi=7%b)8BS`SYDw;l+PF54)Cbv zuocsmF^MxJ58e+cM1CIioA(h3@Nq8ghqZjr zJk>wTDiq}Kl^P96p-hYI{$QAtc5IDzeRv}y(|$|@CAU~1?FOwAPxKi5?GZ0a{gL41 z)P%R|{0{c5|1NSA+3ds)Sn~Da0;pT!j`>&Li*DN<-IPAA&*>wi-UjbnqB{hVKs9Bi zmegKF!xj<|vVM4Rqud_&^h9E7e5e7Ik2Swb7zJpBUk!UKc(=pB|F$i^Q(Z7 zg3Do9(dHYe>~F+TWHt14J)Hd$m&@K^s~SdgXdw~H#r&hI&W#+U4lcvN3PA7BW&c^| z#p)`Ijz=9qmb__DdU%j8DliF>2zIfHs6R!{4;HD8oVW>`s9fExR!^02Yqpl8=Y9-@ zQ>!}U&r}l{c0wHWsk~wRA(a6bkJ~#B)-^WB-76udRgYOhweK8-Qq~ef5{a`%+1X+F zH^vvNr{#tQx6JAp`AO@9L?B*`ZK95Ur(p~Djjas!^wOtHbG8riablCZi^7ysydkjW zi&@bz)re$BRmzIvd2XTcV8+UdgR@dt60LCsn;&iEDiq+6FM6KiThza8>hLCN77os{7+i}^??kk9ZEQroGc1lH+WRr) zx8NP}POv>81BNjBNJzzu;VJf2I;r;uFr4mG&k{NEWcoHY3S)wI}iWz?ajEdek2{6dJ zS#WFp(rCuTmF8o8=&^FUkzcfbhvfH3UfzQt;E`e5nuF;$p0wtqk#Lx?uQYmrxQ?I^$D~C% z+*vu@{V?+}Y)N=qYj{u@ZuVl{s5QsU@&unca8#y1{|4r$;z@z}BmHkOLS^8&ZP2;0 z<8wZFT|q+)2@t=Um`JIHZ4g>&U>>E5?NKe=g+7qDrkDTL9UGZe5vcIjC~qvb8#K-* zDwaH~G@3wCrQ0>9_+9u5G>z7wEYPcN>@u+}Q}C&I;>OLV6`H-Gz_u&{{qv#Ru2cV_ z`hwPm?(y7c`MMtgYn^)g?~4#SP5Z;!8#yJb5w~!zEU*z(#t^!}YqK;++05K2`yOA= z{LR_6wJ5cqSqtU~?y0cqg+py~>^v8i(xCYVmL6?O>rZv=c6Vs>v9H{{v_Dl04-%QL zlm*>hr!%C_HG2DL9$l})5LlEW)TzaTJaH&ZH8s!-Db$#K(-kYn<$0)g*(+TN6|3hY>{x7I!Ze!?R zEh}Kr#}C*3gx-qDK(k`W-m)0D|2u@02Y08ea@YetT?WS6+gjr&Zjul>I8{IlkM-Vq zB5Z8}Yfbw&4-N(8Es6l>szjMl61Gb!Ie$oxkJj%vT=qUy2EkLKECx+mi@wn9gOr3G_-BkhS9Y-M@(2g#hG0e5#nXk^x{Pbtis}GM_}&-8fp7NX=z?VUEAQH z(tb$I$&*S&TT!ghy-tIODCBhI94i8%1gpuNXct|_a+I&jTm`(MXvSiez)E_KyEy4Y zrsEW+;}JrLxPW1rM!j}}#xSPL+kQ&(nI*JS#7eYPoS}i_S82ZGwT#CbIGtM+-6Hkx z_=JX^H`hyMq7vI0?bbET$P8xWVO=>xY5GG_^QRe)ousHE{Tj?R{AJJfV~l%Q|7^KHe34(29Rl8VfF2nOksa&B>XNZN zXzqDjeA0&7pinx5s-F`^GI=jP5xgF;ZggrJqe;FJVuglrl}v|D@0{(s?xVBZ7dBfzQ`G^mO1?U&(!$qc{1xQa+&?QuUpw+pWR-z3+@KV&a94!fY{%p`aTZMh1F<@_T~+J z`*^G}8&_J<_2<$oZ?H(Gy41-+Rdjne=Bqq47|czDS*!r3whM4i<`$+X9;%-ueEr>N zte@8BlI^)kHZE{bP9Ulmb&ehcxp2>$lE77mh`WXqi*ja45#+1S#6!g%yDH7p9Bp4N zLX|H@&GLE840T}fMy|8iFFnct&+3h= zIjLtzamOpmvNvUw6vOBXf}?0#RdIxOkg0qwIBrfk2BL^fo|a|jh3~d{_KmRqaqIea zY*$xCWYk?WI!Sli6gFMY423`@XaGwHo6~syQDjL0pyk@}*6Sae4nWKK%+gHlvjv7_ z3)8FSiw2?QDYq6%$2Jxs8%r0I@N|9P2OOHc^c90}F?{_)=LZkMec_9!CCN9&l4W&m zIxEdXI<$FC6hA`EcW`x72VW0H?bd&CJ+@j--5FPs>gwT6C3mcQZCXk#XpO$UI3#CR z8M-F`NAZV9x4J?LDTCZ6a!gLPYx4-!m@bVwx-#L7n%+9`n}gF6f)#m!5ZlGahGc)F zDNvqs-z(m&&D(NRTxixT!mzR|sGt*lD8{x%o?)FximgadpJ(J#q@uNU8r@+6ZTcc-x&dJc&g=uaqm!@K#l2B+luumRS1Iq(!}qpRL^5yyulDb~Jt zE_^0N?xYUkr5&8@ok40XsP`!HvGR>WD6Qxc5u<0Zvy}5OhOQc`A`gU_6J)YMDfdL6 z3n}46w>@ z?^MPs-`Yid9x_pdx||V6Lqdqf83Iqy(7pxlX#9rKrNxv@V$n@Ti%cO(MoQ2%o}BNN z5U4w&7ONDVtLDCkUoHeF&a3caWHP5f&x$}~_=O-b2n0gw;h)-xaJ)I%wV-ZTVAa|Q zb~e>yf(`5(J&@;lTtYcaOl`#G_XfcW9Gxc}RD&Ep9>Ek#tk<%BKS8jug~IM7`V&!c z0kIJmZ7Ygs5+kO@o?I*JD{?jRsG-Z-Jd<_uzJBFBXKSYz4<4T|Y_zxFx_1b06E%0k zxZ6?Vuq)_u(j_;O0j4bGAw4+(kFJ(qZQe7kU5yN<1kQ^%Z6MP0fme2^k)SZaUEQ8T ze$CvvMi6-7`N#xh#!3qWTT&o7gS~QUkzK*+i$$90B)WfuVauqAf4mFp8CTV~fB zhCO8uRCn_*S@6u@+)2|HU}`||FSw;p8_i%{2q~m*4=mYAvnx*Yi4vx6=R8iPV~sgf z_F66dx{-I=BK6hE=7C6rE=#v5YcuZG7`D&??i*kY4w%7M4(O88jqg-Y6B#L>VkZnt z_cwRpT9ufaiotu*pkE$6x>1OVeOm@*Kc}}}uveo(2p-wt#7xmj%76rOT&JQOMB%>J8&jBHh9=~gRH`pM2cH162Om}T#a*gD zJ){f!zX8Y29AwKQ<-lIO-oAAf8r>_O9d;q$9BCaoy$jo*^m?hx} zvTX?_wJ<*Z_{sY1&L(PcAq(5z03EF7v-}{+-l@;?=_x+{2LfTSRZybd@!?nsLuUl_ zFQ;~SBKn@aK`Qj!-|w#%45FHQ-|fcOpLc^w?*}%;B6@otO>e#~zA5iiZM#LiA4Kx| zFmjE=(}x8HF#$SEuzr1lygmpF;6(@@t&w&}xb&o0P`!O%UPlk^PeJ}npH+EG^7)? zKM}~4Jbo)JhvMqyK>jKGfsUmkV-13JCMdZH#|sxD7=U;zIK% zC**5KL!2%q$$Mji3?LDF3y2|Zt<8~nb%dK}$|PB^4-;@UX?q_~oe8ubnx~D>kn!of z&ZiiX>spi7v6ut6l|1+J-&GhYz7c>B;wFT#;c(Ruhnh_6=+nA$wB_9fY z6e*V(5>dwbi*+6&hXEI-WYJI$wQmmIi=D$iJR^Pj{Ezd{=E#GcsZP z+k9Ec$^U@XpTK+Lc>j7u>g&u3?h*6AielZ;cveOmp}YVgzaFM}7=5mW2Lt{sefz81C83s~Qj8O6nWaM+_9fX-1IrNkbI{UsE3{(w z%k+yemG-dsHp-x)y^D1Qi$03njbGIA!8X!2sKu}lUg!}gX7snr*o-;j@Pc_|{=qcOF_pD8 zKm;%AMcNV8?bqLlcy4koCo{-!Frzu@g&7B;0aRxFIw*-dgrVdc2KV1C&AF_YbQ=pl zM-=(%+Dr(ckvJRGsj`xw!OV>yt`ataygFhTlEO_|(~2rF;(-n4SMfWW<6|Ry4LG?N zw6lCgL&)8BF^68!Vt$3VKGS>{-|Y@&8(KqEX_9Ke!6@lSG+k&#eGf$Zu?28lvixSF zg2HEu>U4S};%A)elW&WoZZ!D;rUeT>KJDVF`grt274R;%&wAGLY#6zMHN-oSlP#O= z7t|VllP2w^8v(_QNn)ZJaORyWD^y4x?JAUHFBlzrk@PQ%htZ7kX|^nm9KW2EsZ{Bt z4`|GNvZQ}ePssRZ$FTVIkGx*W`uL&A@e3y>e|6p8%#sbJMqI;DpW+xyEX#FSPDPHK) z3U7iN$zBLgobzEjaWPgJRf~(k35fYoK|*hNnBltb#Sy#Yixg5UB9G1#3)609CK%#= z?>$KR03+R0K1}D7b?$F=hDFahkRulRD5<_v-+xl*gzKJ))|&fZS1zmNGbUxHP%7h8 z%bI7oP6EXzCHs97eak0;eh$XOAwJ~Oj6){?O+oVh? ze(ieU{6@I6Jyy-QT7q$59;ph8K-Ew&uu7RCCNccLYPw51G3IW4XNc)|L zT%=RWLRtl9FY4XT0nem4BHLxUH45gFk@dSAyrOac3q^`mcGfbxLWcu!iCSzq6tI1B zv>6KFPC7K@eHcMtiQ2KNL!M>u{05}Bg@$qU&q=@2qm~aiN^23L?Cwc(_W}I_)JQu| zeAnDR5ghQ5K+%W6>${o#V$tDfUulNHI6JTJ82C$^ciFB?(Bdj}`zhp}3c;PGGz!6Wk^~>py^zLzu2kWhnV7xA&f9%N+(>;c>r@Cc^6+A1!n z|4azuy;+i;7Y1klYRGF{rf2tzbm8<&Z}ala_Wa@cvXqL2@)c(Ks42}o*uRwW%!mr~ z_t#0k)1fl0@4D_>0)@`m#m@b@5#F1|g&pw-e4(Ar49|{RuXP+SifcodS%BC1N$m4a zR9NW@<6T57`ZG-I{n{z!8pU<0L%ZNQ1JU|DhnJ$^>scz4TF1by_jz@zRqP#DMBdkv zMkruErYCt|%KK#`TW{2m(C4a)--z@RYJe~tc1xrtYWgY%i}=2rGFajr6OBap`HtX= z8A=Rkz?Nt<%noOUGMCRA50H=OKSGN7^Gq9VkMadR03J4#vn;TCm7hXt8VDmem6t-C zz%AY!+{k?F+-p&>q9>i{-^e7bzNE5EkcBhH&H8WU!+c)lA zoE|<-&MNh=B?knM$xyoGDTd9LK#?m4`8lSXngCwSNXJH z6Sj_nFsb@(xzOEO0+aN=HsJK=Soqh`x92KBCV%oASQ7HevMk&hK1D{J<`Gi0pJYaw| zcdO4Nle9C^3=?_SmOXcizb2&LHm@Y~8qaD`>X@SDUR~fA(~e99#RF$XnUptl*Mis! zH@$-B<|(!<2}&+Eh3Ni{vXbO}Bpb|?CwHsg1lNg0m_6cUznbDc#Zpq$EF!vHqS4742FNQ zkPd>%I8NVEr{>8uGc&x#4 zFgy&heDJ{lxU=K2NDh-1)v?PntDU|8{)1h~^b!^?2rCeb-USQmFg9kt2zutR0cnc& zFBlU8WVuO{eoLjdzV~IXKlkMU$3->FQfKwKi@reCwOvW*A{NF{paR=PuYY@AU+$`! zp&HAX%YQo}ffypG6QxfjjkVB8PYY!$z#c-a9;F{t?#+4BqbHDc>rln$k#fb!I;5C%A}3F479H zq!!!=s1{B~kdh1?M*mo4i`N&N>iqs4I_G4j8wr%v2L2qBun;xCN{nz#3<}RZz^Fk} zuw=&OVgU3NI;Xw>^dfLF-=3KtD$^=JFFyg8ME*W)&DDYk$h$yiw~ zIUryjaps{YA^<(`pqxu9laC`ZP!HBxqO{J@ovuI@>3oqqFpwoR*m+1W6+;1_LK<*gHIA0q8xw05{=e+9%c^g zulc;BVUNFX1vAEP6vl8DTJRE%Ftr zN9EHa{g;AP;UqaKuap`f62!H16D-Ps#_5ZkMr@oS8|SQ=SclFUy*On|ELf_wD2TbV zRejomb5;y#(y}Qv@ev%@BGyC}jNh+O5b@o7A;wg`1>CA zNr-un;$V(X>b#-$a4jfkrB93p(4`!Ip!-KEsMI4wQj7YkMBZWGxh>2lqa5IS8)TuB zG5L$0_`8NlJ&TqNVax9BMsa1(s?pxyvQ? za-OWToUnAq+?IrmxLA1kSu$bj4e5N_J4sK1bIA%>MhX+zLVH*IdL+^*4nVOYa6wua zO)oHN9;dWqU9IvKJKj8Zs`0hiP3&6M_sKh6?^MbO5VV;HH(5NdL7EE3w61P-dWurA z?b#BfA$OeOeLnKA7zF(S>W_cU8=j{l(<~@UA?abLlm`4O4phr&k_o%XwelYs1bihl z%8ULLxtbczNm z&ZY4A+$JplwUO0?rp!9_G{afTvpKC1j*l1u+o?GH7(W1W1+Z}%OBLyVxN`0um1=5y z=&A5OdpWb8+4NjgYUOMmIT+qGm1}CAxJ@H%=3Y9@T-aPHH8spWVKvKbY{KkO32~Hf z&cR&$w3FS~ap)Y|{x~(F*$9T5_tez)G;k?x)1c_iN)~A7Ueqj4D_1s`n05(Yu2_6K zu!|=Qo^M@H0jBccmYF=~UHE9uPhb~h`DlKGMJQ!wqsz_@P@kCwfwibUys7VyYUMfj zs4V9v3o7ilg}wRNVb7y0&2)TErnN4FgMXxkC$!^fVGNbxD!Txw5pgL>Ayem1TIH^!liR^c@B?mnIRJ(j0l zTZ7dLXBLj@yALmlj*3lN92C7oG=!@lGh1*B2f~yVJFfL_Zz4!~AGUHJ|9C8dy0t$r zY0U?sg(q|sQ@C6rz3KzPJ|_%7bPiO^3e;$zMFl%ZR|UGwk&%(EJwu5Lfs!Z_?C%5o28r3U5 z+kJAVzCJ(4XiyylpZGWz)R?B<5E(kPdiDYNNiw+lOh@?9=jSPteemb2R(K9(16QOX zrfp#vn|dvrXqDy}3wDh?QOgapOk8Wb{3x6Hk*J?-Gal@#yP}#KW^K3^PWc};PTf)X zuK58rPQy_MZ8NAgPW@5QtusvQzb-{7){iCFJyxR{Ar2->`~1E-`E+f}{MLI|d?j1(n}iEdPMd@y(E%H!UC}}tr9;sJ8>Jml zWgDdnQ8pW;Gf`)o`EAieoBHXFpD!V4updW1I6vEwlm7mfQ49lLh_)!*Na8(Jez6>I zcfVEqRW7l3BzPpDl4|5l@0A7Hm1XpBG6(qt!z~^B694x9El$H`nofcEFjYK27Yvw_ z5nSjzSGrKSc)H?b29M)!qM+GR@?hqP|Gr0ZG92e6@!9eIF_qo*dAi0Y7|N@4eXDg+`AGpBZ9hW*K{NJJ2kThM-UhU(BN0pW}gHI7=k=DUZj5qVnmvr2euc~ zA))`45(z=IUwGmY3X^$By;B2l!mNcCmU^xuZ?C`F5f|?9^I{OD7Z-)tm7PNlgm|2E z7jCbg6yfjf@vW`V*M@w}Y?a#)maLq*f-2LFvU--LoTkKc)6cRJ`Dxa80{9NvBp;W? z-KMrlj)Kw_yG%MYUTF?Hk8Yf6x9*;Qy2XTKQxfc<`Yx5DaP9B>6 z5pbv7ftB%!)k9<1+B6G9vQKA<4U7jzPBH~42+Q+=pxXsqT|>sSCqDL;8x#BenpLp4 z4c55reYt3VLUT)xBDCY~NOht66&@e6dKT(sn>i&BHSMkfBedO>?bydYx1eA&HJhl= zWM~@MZ(COJ$00N5EoK^%?PF?oiI`bH?PS-~>_?9Mw$oE)J&Y}Y@0=>KlO4R#uFWH? zY{Vq|i(s0w9=bJZmwg0et}3bEHlD>iEg#Jt;#ntC1u;IufOBWi8){ zri6sd4~o1%i@6GG#(UYVe6i!Q>Krye3B>js?a}`-Y?5CD!;d2?&H=Eh^zgFk{5)~8k2F8lMQF{@iQq2`N z&);fu!}7g`RnAL1m8tCgrjwH!_U;}Q5#BK!L{wCy#jtXcy7%< zKpnFE8<>2S2XWRyd-9wgjWRiPT?e0jjSGeU6=76uo2}P7zR%7;m!+opLxV zl!{XwotOA*NI%wjAXi*f`;O_43PJje=cX!vp zVesG(2=4Cg?(Ux8?lQOqc}6i6NuZLWvnfFvNJh`>#yD!v== zCw~-~AZ3F0o&}uz@~uj9_gp?BC_H6XN3usa7Hs;C(ugZA?|rh%G0FK;9kFDTPFe3~ z7dHOZ%`UDmxhqwzh2sG);_Ph3aAqb~7J`Wpqxl8b1!T>f;4D5+y)ntZkwlZPx&l0C zN-gj&ny}b}DlOA}SN8Cq;L(BNlH8qNW#4;VK`A1cG zay-9JE`?*utg^Z|Tg*_4SO`i-OYd7Yn$3q)WJe$k-PlkeXktH~CP0#EC`}Oh?aaZ8lf~&D4q>?hG{=X{So$%A*Sd07CbQuC61}cOt6CxwWei`4lq>*x!tYlO_k-J?r!NBaNYX)CDv3q3od! z)&JB91$t#uYG>n+STTKYf}p4bN5m82HRR9FcT_%16ohiPw$A7=_CVhLCs`>n>b*St zm=$+HnG1h`<1~vD^8n!~8E*+I`@y(r`lvgS72*`sO6-q{)Q07}I%HI3Xvidj`XzRg zFXshK5+httpBm*dB!Q4$@=uQAg;mJ_+yv0I?BQQ;EqsM=? zmgma7D-s#*)_%9J1pZ8-$kOsG`H){;9XL95Ea3vOqx(n6me>!U7((Obp!Jw{nrFdA zRj>=^9kDCaaI{CyyjZeMD^C;Fj6aQTzl^qW>EST-KSe(=?WtHF54{uI=?(j_!Morp zW>&0`n6Fdpi61JpgyTi0e48=v%!Kla#_4mss8jZnm^8{12sEE{#baR~PI%Xj#_8X} z-LnQVt4Cj_o9g}3Pa{ga7Ye!{K5{qi`eGrp4Bf+iH3q|#cEsEVbERs>6si>mxWr#P z$b4Bb@y%{>b5ZdMeiYMw!)`%-Xcs@$ znT?fek16+aANP=iwIP+|hhgxu51vaJ3y@6IZQd@}>mvFiKs)9+#4zP~3??bHXG&1_ z-1g{Aex07!DFsmB)tAMD{1_5Ka*z>L_$LepbL8(bq)wxUH;vvE$hH>9@(uYmw9;w& z3D`SnlhSCTz4*#_)spT)0tU92 zcxM<3M2eu;{`eWZc6*@eQs#c)4jRb|+#ZA_elxhj&Uqx<^6++d+_-v^iUaj!hs`rC zF6rniXIKu}QR{^dVa$mM;>kvM;AoCxncFyZtr9XKN$f|mw`!>>A`j0msE}1HUn)gT z4I7nLt0Df;k!7L}ey(4&8%y$Ul>~|z5bKozYsQ0zbeiVW4qUL#k&r?oJN0^p`}Jk4 z+o&sw0vC$%OUZ$dkip47-(N1)^dO__WfNKTB%1~$75syxQ~17(Ao`Mndv9^;FUvYp zeUT~<<2p;l`N-MKv0KpjhLx4Ea?y9NFi}Z5*OYTH2&N2tJ}64%qy=hgA08b}ahk_K zIt_&fZ|1eutM+ZOc;xJF1dkg8yyti~3Kw_J-aCemKH|>JQqXyM*oX(GGV+}rUd7dN zMSJ8b&X2)EGHvB@_psj&+`5XnOLoWZ#1!mCOE7Pg)%WMssOiOVBj>aCve$%wIQJgP zA1vRidTiphAH8aAbB=`=5jy-fH!pI5D6N2FsCuXXbHrw-T5~up?^>#Grr_RsEZwj= zb1}mV7{HEnr8-O3HM=wK))2qrY+%HDp@W`yCT_fi&wGVt#Ik2dgzKn(Q2I{-rkh z^O|Z-XpASRJg;~sqSwJ4)$pm}GO`7iBZ^W?fw_GB%IP;)(FJWcIk zu8wO#!!{3FE3#zKFlSbcE#v4VA*0*Ex;893jp!+Rp>Ch9qnFN(uGf}uVpj7TyK8$z z#4+Kz55{*R8eLi%e`BC!4jd2x1ba32?ZUaPmgItsw%;(=i3jw`ZTe`xc z7E3JTO|X7_JSW<@ zJY@ZP3wL5t!i8DbC{{tonV8~I4k|*&>1T7+DWfl6uPih1w=yy_f`gnc0{amntTzZp zDi@*M_D4nbCXHg(uA)4A;G5*&wi0Q0&q+zQ*H0(1cZ=#yrG2Bs>y z1B4u;W)+us+mc>I*+GZMFK&@vy*7sHN)uDXDp)&_L}ou*^Ou(+800n;7K3me>%Re`R1ko91*BX6^)D;SE4oYUw4Rm4 z&CAl@GoPlnIpMt@>NIHQ;1h;CSCYtpqyEkEv)?!D9le%nQ?4A%C08fE7qcm8WsM=q z2N9y}E$s%hGUuonISnCcs~^xSbwa2hE$btG4_ZVCcYwDmE##LndtB2+9jAOl+~$!A zP(45|WdUC2E~4(m1Vd6^<*!>cF4y_gij@bmrld?@;c}Bg2(*P?KrP4Qmvc2ae_z*P zz*!Lyoep>Dsva%Zs>Uym1LK|5hcpshN-VOZwA@fjvL+Ups!$l?u#f>;X0M6>jXlmbgJ+LKc^`D^)g1jl`ZVXFXjEb zp%#7NAkh4dM+>uKNXJtlQxIq)j(t|Y%7~+jr8Md-TeX6ZP!=Uihho|vzI>qV-{16t z*VNg*EU4EB13)-5>n)w2T`Xl?hbelKN{S4RN79Oj^p>GRn-An}^J(i+sM8M)AbGz0~J-*Ni5JN$J%U{&7=SnYrznAgZ*;W*!;!byIg2MOs zrU%m{2fvR!S{Sb}aPf+S*r10UlT# zXK-2Ie!Y?Fa2r035d zaHRT|Ygmt0lU z4Zu&wY>??Ht7L(U|EVka`#>frT+{sb&whGINb@3@!S3*j zJjZZYN#BhE^v}Sk`~So$L!pY#mRh|TMH}IO@8b@ms672*jk`9wf#SF3%xo&B0x`vN zM0x^tY%r|A9-_~jL}rt6gL1t`9O~l8+>uf#?SB~qODn%kZ5wux2ZPxf!@N-d>5cFv zJt~~EprE0?$)NG|6GQy^VNE9E($ZSo+gDLRNR3&p2d#4rt|>!Uu6V-pB~&qW`}vza0BanK4a$6iIW|}s zDRzWDQ)|a$23BH725AN|qH~TLcr&bZGyKJ?z_Z1ICU=3KXyw|rsFI|)8A2i1b9>+^3ND&8aqx6P?^DgtTnvT-vwIBSbSAk>_IDxJ!g1t*h8q0FNEufhBMt~}60cAw{$xWC3T0J&xXsT3=pQ%evElf{Qk#(OW^>YkA1TNLL(-6NnE zsKssE%`@62O@&b{TU7aHqs@Wbz*smgwNxiSnCw*Y8EfJBB{ zq9=OZ@jVQhn0lKJfe<~m305|b6gs=bB`?qj0~321 zz|$A2^xLIPODQ7>LTeOjoC`ykVEOn~@J^Y#i&FO(En+tDT!CUK20OhtGj|}|*z-9g zyk?>H0iVa?o9gg6aG$*I=wzdwvu%qaq1Eiq&N$%PD#U?VPHl@~X42rDca>R;S~r0U zHXRKQoa)7`3ms~1#z|$NN6=f@V26UB=ikf0m;J) zse=A(7}0kM*!g({ibHn<9=Mwv-uSy93>7*0CX3TYp^$DDbJfHABx{Far(jl+@qtFS zA~aVHU?@SzGb{38ka|g5T0c_1FE7eM`NF!~4vevIkVS&~CucpPCfu`MTz*$>k`Fyv zOfLi6Dc19s4ZXK+Ne}TQQ!ADXVji7Z=IPwOVw9Cb_zn+}{gkV5MPqDa_3(I=TIc(6 zoU(a++{RyHEe2FA?Ee_~iO(>j2@ohuDmz&LN$*B(XQ`-#&{rDp7Xkxkb9zM$Yl$I6 z?tG=jmEEjJ?`Uqm6G>UlQlQ}zN1#3=t~Tb-cu=Y4i1~>An6M&LEA_O!J2Cd!~nO$cSB_`J17>qzuhIS zKqXNVl(o{Aa%`3B_T?)d7|k!ZIxz<*{Wdl^V?#}uTq1fp)y0q6gzJKd6)eMOI5i`0 z-IlISI1qbZs=H|=^*Wg!U$Y1LreGOeH3pY7kHVvHj{Ub>+gg{sCm=3qhlr@wkFL$} zgnEL!%Rn}Be!{ST2rOv&RvkOTUQuXBAiR)Qpc;Lnj+x=8WKjuAybQEP)LE2gDS9x! zMR6?JX_QxK9+*&HnA)2XQsHhem;aXRKge>D+CVkm(cvo}x=(>iF86!f@sV-sPkt1E1dethy9Zlu#3-oa_-Dk?eJ;H<0E=bBE9>*8U%o+>$C!GP?)$=5Yq zoJ6bUe$-ja7LE@>>xR72BVVF_bL1vZYugvh+NRCni^gD)t4Tyvn*JvtiONhL7LZM-GhaBsbOX4N6fk-xHFz>N8DAAyJ@j@74E zZ=6-wmB)~RWh@-mIy?IX~OvBzm-ubU>7I=6-~5hw~<%UV%aC>83p)Ju!`T-vvNwYaTEVP;^pxq3|N3n83y zll1H>U#kkOR1UPLo*#Bd>ni6lq~1E1A3v1yy%*}P4MrY{i*xXu48DtI*^`3p^&2XR zrit@^O;!{h%tFO^GG?qTYo>0JogEp*|7jlV>YO6Q_c9JlX3fRc_(lh3SRT~KED^Nn zO05oXfl?tnMG^kS`O|St?ru z)g8U6HihL~?}^_z^iSl!%KC^t>g45p-LR>Q$kS+mXBk-H_jdDZ*zz#cEcAfknlxy0yL_eExCFO80^p8jKrygZ-A_XQU57G7>Cptc*k@k!RPHI`)fhDq$ zaX>v&A)8Id>amjHz}-yP-#jqrOUKsC8!)3WLwIE%MU<^`cIs=eQPWUb_AFy*8#-eA za&#Md<*{Zt#!lN)p=4ATQC;@*tzd}k-k>JlI*EL|VoxyZUZ#mH+$Eb*`~F<^X|kb_28_h&>VllJO^{vy_4DZXiMF$ zZ^d;`oYORB$g?nJHxn*HtnScNSxxhD{mKZVX$lApc`LE+e{cBQ_kPq!pv((4fGi1; z5@fQNixH3fc}#iNa~#`hsN&Fj<1Xo_I0@_&dB>_UU0@h2X0i=B&lfg^CXu%Pn-0lq z3VF(*4*CfO8~~5j)&Tz_?iYz5jK1y27r>Ex0(4i-$q0&rdJ7G`xgS{GrT~A> zMzm`CX`=kq1nHBKgf{@>&Vye{QVBx>4~ny2UC(JxTm}|z^h$H!Srvuj8YEVz1B*;- zU4SRC%^$fNcHY0(ZSeF8N0sVx6-kT(U-HTfGsNF%UV}xCP9Gd6?6n_I0aoayii6AMdnlG`!&6zzAC?g@3s> zob>a3(`2L#e};no&6$)MD}QC2@NK{K~K8kh;7Q9P{i=SYsK2`5!1*3nJ^g;2??v;xE-wh zC$ATK9EL?-2g3+F6eE;ws%rMLd zOy3g^(NOi(j#LOI1zG^kLQ>0Mjr()e)*~l#XBVs_t8HDhtaHwEa0??e+@_l-b02}` zfp9Qnj@^%zavtVcKWZSXe|8Lyez7cQxT$?+w|`**pU6?&QLp*c4*4&kfUAW4sU+Zj zW~X{1@O8BJheokX|O5=9VvfIyL`qfzVYhDGA4OVzySP{6osCas6*dJ<%%$vx4 zpV>LxKxI-JxprdH$$_E-Jd#Mtl zSU}gUv-mxU@QIVd6{5KgTLb3u(A^jUkt0(8+lV&_O7@>EXnR-2Pfs5|P3J;w zoT|$W-TvAe7*~J&9|NoxXfpYK`v(K#fOY?a_`wHS_%}3!XB31_a2#yG|1n7Nf#%#j zE4)o(@7a($@5?2FTK*F{9oiBH31^}1I9Vs$7l^{4StPnfi1-=&M8YR2fll=J$&zM;w`&JTdmT#t-`h|T);xV;(4{ufs2+BF=m0bJWRui*__vpM`#iNea zdEp83>Dy5&sIrgMN+28jliLf~H&wsszw9FFd0IIAms}h^&@ut^=YrtBIRZvNk~sWV zEg$T#o8E~eeQW>by~b%rJB`DRz&0;*yJtMG#o$5_^q(xC?PsY}5H-g%&5D6OCIeeG zAw~ZOb*AT8?EPQ9k??D3WP1J#zcZ~~&YQ=5d=9=)7Q##F`RMR|vZJ*sEoDzfKYnO> z124!1{I`1MfFdZhVUEm&egs8v-O;+c z;-^|qAozxVrGxb!{aOqh$=2Mb``u1|GmoT(s^tBYdOD7GH~V5v!O4pjNF+qvu}r$# zBfB@pv2u1m?@RBC+`VO5R7@|aBn1ZST;#^xXM*S@@jmu}ALx-Bn6tINdqkn#y_Uii z$|n$B)LAA8S0JX`WF1n9Jjln>YQTN<3p;do=4!JYr?+x#WwgDaduntnvhfsEyncA~ zpJf&YN_~I}ee=y*McFEW$Z<5N$Zr|UmCUw{BdO`rMB7f`U@f4=iQ>ZQ>dFIZ4=DJ5 z7Z7E^38JOM7v3f~V!VUfVuyl&UNBU@v`bP~CC@O_*n50yW$^1$T)q4L^-Wiv07t>+ z1KKy=ORz{E67trl$QPf-{>}m=p7iA`tTs4D898hxO4={N*Hbu&hhsbhFH?a-nDVXUD5~m{Qh7dq#9&YXjyZ#TM->t*3+y~%2be|w0B*u7YtYe z!*UfnZ(DAdc+|XrHE1}Lewt;O^Z6~Xp9Uhlo%EdTu<5eRZhvH+8R*O&@j}Uf>7II` z317`=k*+YDb@v1>PYtax{KJ_i5xvrI?C45neJ6{biiz$A@?#!`bFcvp>L^VWc)s>W zXYx7=JzO(5kcisEo(zuEwE4{@`qBvdFBGfzuPGNrH`n~y(&q4nWhgl5;-5HHPT}xY zn>pTc)r?=D#9d-IMp+lmqz>HKi?dJIR&2~F89?DZ1%24bBcL$Q9e44|LOuxx*#_Iw z9bn&F))6}c!|kj~>>I*sn=TsjC5Un#QiGy4lVY_6ocMe)Q&ffRnU9QZ`FtvO`B{$I zG#1}jjx=2Pn(jU_{s0h1tM$bcsWZ+tvZX?g@_)WHt3~lkH8I3D%XqbcIa1n%mt=%? zOsicHE^Z0OHN605(o0hzm0{Py*$ph?)U%GXmV_%$*PTq5vBwWpP8!!%-2wSb+2@hX z8?jAUQBC(|}B7* zh4B>+^QAi$!y(H&L+WMa|65S$74soNyx@S}fDI`I9u54F<8Q)+MoV&;(}kp2Uu=7< zJRx*ZKYES@7&y$gNv`mbgwo^GJJ_rrY3)%_$M`2oc(~p`jJ#B{o~$9>O<9(3%sFvp z$4SiJij-u~v$$2xuMW~B&FRS?%(T(D^_b>mgkit|R1d^s)rr~5I#EmI1`}NiN4^Xg z<4Xa6TtY1Fm1>-H7vfVi$>Sl)h#nn1o%`qEZY>R+ca`DM)cRGI6NFKXkH;J;0j=*Z#DVOlApXT8vyr<^2qV z4C{_6w4|X?^O+qRLV%=Q#C*zl5dn0L*lB`Wi2rHCr?-^ZI`i!y(p zaN6lke&@jJ$b3~*uJdHXN)1nlY@eUEXUr`!qm(X9rI@VfAt!NMW?DJ5^@#dA0Y^T;+3MIy$ z|5+`q3CJTDBs}3KIa@7zthjW4GR;DCZ5bi)V3Z?h;Y?EX8I2u z*+_e1o${6D#sz5LUlnn@*oPzi&BNH}@H|*~BMy8jh4K{nw%$5D^dMLl6O^umJdhm!BD2Iq-Plx#jX1Uhtn1MX)p5IpVK25+yN79do z1+=k+vbs%qR8GXurQ|XEsbl6TE)A??700Cu~xsOZ=5zA+Q!zz z7Gf@db2w}^i<<1pIGJv831gS{Y5Oq4l~&3GZ1NZBs8}k1DY)4&|K_J-mKd`Cc**T7 zJJJqZ&yrtX{kO9P@EMOkga6ndkm326fzPNQ#sdDtO13hbKYZ#D;2r<;-@{GM{XZR* zzd!l*LbDsUrBXU1JHLn@_o2sPw)qZD#5eA0C1`j#5MQ&qRq-z`B~ciJ)pCvWH=ecP z;yjbMl9p@?spf@h!N`7|BbJZ=tMYL1Nc^(y_qYMZ)Gm-wK7A$F6)Za@+0*)ZZ0rlH z#p&Snkx|GNQakwg|D8MYl~?Oa3Jw_9)xrOJ?u@6I=~9-xYk>s%$ktX#s*C}pW>Yg% zy`5Lh4TQA6-q%t_U17ueqJAqo3<}^Zc>Rj>L5CsFC>+eJL(5^lj*TsoMDwBK!or7F zuH?_?kp|Y(F;;(!fr`y1(EhoRuZy!M-MbsHo}#~<+*>P~^_{&Uw?ijz7HfZlZ*;yx47^ zZd-T8;Nz#>w8COorZ-9C8%{jq1hTT23U)jLZ#%aYn2X&GmU_T7&HXRR^Jnoo-oKH) zM{il&?Df0~{q(IS6I>Y~xtRbm!tKS;-~?ybqWxiUxn}?Mu)ntyxKvZRBUx8;O$weN zXCyf68E<2IZEFkncdnOy=g4utd~Lm)V7JB4|M`HY@-D>?zmVS}4X~?DowPd>hPr&b zyRCDV_&Aglod84bjoy_5)i?qrgG?Dz@H4yb`sJmasbuXTie=B9CY2jVo%gN(q%t`1 zX4YX;xIwHbqRKTsAci_FRRf;KCW5$=WFn#!ut#H@M-rZ~na7*Z{pEreZm6`at6`&X zt`Z~RCE!;1%Y`Sg!g&kIuG^*ToQ*%K#R97}uI(Vb^MwNV0P9!$thkHj+G%U`D^Pi{ zdOvdbilPJMyeoLkE)5;9UMBd*B2sfG?&OuwN}VYWsE#+RCm-CUz%q6U#l(1KuY}Ys z?}OZ+Pd@LK)ZiOWY!OQ>Bp8r^xZkLKyO@l9kFE;c{THF^pB<-CbNwbG z>Ks^VU4jO@0Mx_A=(SzAFxkaJ`q}r6!UJDan(hXs;)jMocN&8W)<(b5XK*tx(?LQ# zXEfN{9ri0*V)f-Sr`XRyTH zW(V;LlO9@3Dv=Fvr`LjEfEBI)W%qszklj1z*q=RBNQAIrxOxj2A0!Sb;=Z>p3`ek; zs<7dSKq57+`fx5fjY|6C5nFJo*!uF-5cUO`9SG8fS2WMjJD_G=?mm{Z^ z1*U=#O%x0URhYYRz)8MR;q+M$Q=d7>`tKCwU!L_$7Vfyfj_GyC+nK{1#&F6x;GgTx z(_`p{mRUdFNTBYpTg#e*#lPk!TUvLB6V7dKY1XAM{=q5; zM~Y9na~yQXGsvuFfDGFS$r{x;qxhU;zh#Zo%o82unR+VRZcMa}kY6k_AQ z%mF;G=Ej&Ed-v@nTyM158}^Do@JFLme~By>UPa_)-}Er?7GMU!iCCQF{v zTKO3|8|F?#J!1*a9XAERCgywff&#Nd^paLoz;Mv1tj z?iiWQzZY;Y3DX!;)@yR+x$6C~N5k2*7PD$>lNYn6X2YO;2}9N;n@(eSTb)|hHUJr)jUyHN6j!TDae1!K)5(RbvYO1_Z_s1s8^v|W5`pep z#i3!&2i0KQE^I|hXvx=j(#O<3U@P`bH4{B)40)kwh*{W`_oxDz%kP%zs%vxP8!IOl zX)Y=by%iOmWdrDg2r=mmv69k4{j-|c2~1lvmQA3EMT8w55vF8Z#J6>+QptOGAF zj_4eNQL3_Eiu*#&rY1|fM8BONZ+e6&|2kJ?IQ!l&tJ8T2+64$@nbQeLiH!5_KBbS2 zMBg(!Mel0uEV8F~4sQMy269xd%x~byFL_r{5!K-|&qV)ia!YQinZIB>aSwOvr0of+ z)@^U_k!=?uCt^9SDYT_`%c9c%AvN+V3d^lXprfa7jplPLI8Xyt5%$w8ipRr7iyXYE zA!`pJ& zDtGPQ=Qz^aDIV=519fc-QcAr(Nw%^)BP21o7y;XVUs_|y7rcRX+r;q zl>99AMWcmR$bXdsIE<4Pw+BBr*5;MP02ej!1&(VJ1GzM$eW1r2>nKyv$6_;#dLyiz zYTH2)PYXUuPi1P=(G8%LcJu4s%moODe1KN|eHBQ_g zZcQR-zKb~E+cIs(*z|QK@4{on(~;i?ltbUDMAE2*906(y~8Cy znL+9Gjoi9N5&BI4GbAgwLZS(POwO(;8Vh_qqS5eK9L z>(sq>UYv{ASKT|M5&5O6&`u5=s!{*uRj_EkQx`}cz7}k>eCLXgRHj;TazI2^5k8j}`H{34gF!-FH!ehjcq6FjX- z_p_(S)?xXxXH>~T2xHXljX$uE+1RxqOjD#B$r3L90>Ufu^|UnHueyG|p2*e6fbbyhxaMQ{SwCS0pV{RTN-5X&=g@$XqWfXw;8~rP4Ak(m*Gi z=9Ve9y-&ImjZmg*c}dU6cdqLtWn+@jC*M#V+F53`F(CS}&l(4e_$RI2u9|G&^1by? zlOFT3MGVz`1$?Bn#p@g!0{jLn-swGS37hS%9iBL!!daLI9SUxo*?3T4#Wv zELVB$5^`E(8t_(67N9G-RIGXp;l;ERqwE__Smu7B);Zb}RL-j%sOIBFZ{S3uaq~9h z?0$NCJgVa=fAA^`$fI!f;_d>rs5OF9MM!k4qiDi1+QS zZC1Y_H78NTLN)C3lY-MqJ~E9<>SA`E1o)o>+TY%QX>{vcgkUKyZC0{Jw0VGEh_#E7*qx7s3tb($Mf}#WyqU!F5Lge89d%%z zpn0EtQPnR8@07=e+n}-r@HVhJ`PJXhRZbU)yNM>4n63hCV9jn7$3TUER29SKBv#h# zk1tCn<-E1xv!L2CNm$FD?<~O1!g;o#yyQzQZBN`y3yw}uf=r1nOl;Cv*pB^!=hsj4 zHN*!!|3QVkLj%q04pmZY8E%u?Q%sn6`+1(fr*zStHDq1F73+&Dhak?LZ3Tk30UH$x#h2cR&!wI5@12LWapp=?mDuZ*g@w7E{%e6KNHrc&1d6t#91u1-)A`c=fu zwchc^mi;-mkqE#|q!T$Cd&zJlH=hc^#UTo~H6$MY_{^2omQ|rOv9T5+$HnV4VVIb9 z-4>*t#$Z@`$o;Ngo8a-mmFAUuUx@>#EY}|+0MD2_pY>k8wOL+w??u$O$?m1*uyn(+ zbrvT#RlktgB>rt?k+9t0Umgp2k)Xe3*1>)&d#0^;rlsD|eB!=TWoNnc-mY7J#=HTn zZs)qFTs8ENb>ApjQ*Uw5*R<_37_0Vi>o{GmvNb>C{1n(O*;3)K!C&>TqA*rX)UFRW zTefcMahGwwn7t_1ZK*ETe*9!F`IlY&arhsAW=-9P{v2TbbzMoK%NjoEAIVE~^zK9G z^q->IOBb~$9uMdcHGnrfrKzj8rY!VLy`z3{GQ%>>pLl$=;m=P}ZTA05{C@%bH4lOF zo`|xgmFpx>{o9OI5l#<$Jc)ouO2m%gGNRs|z+Hv@tEU zA>*HxDloj(Nc@9R)7WUgFXQh{2L3No$&!7=zZw}7Tf&oAp)G?an3)`iFIQG7t>O}! zTH#v=xUwApC+gqNH~-KSrKBY*=>~klns?zC^Eu_z#oJm?O^LOR;>t*%MI#N{2RXlH4gXJ;61u=RyMZjr9T)7W%kv0Zn zUOoGeEwt@5A1GR#i^*%ba7g|~wPn>gRoeex0rt?gNh|69L8r?N!8XhDmGH?*+JLh^ zqUM8KvJKQ)VrJ(4C~iV$#$2}6%TWIWE9t!SpJ4qX?~V9GXW8F;lKme_;6D*Ffc>|u z8mO5rHNcxHPq|$Y|BuY%mjh_L3LDLrN_Y>+z|Z0T!RasUbgsUCQ**jfSMFXklg`?L zS!yX%`k9*lv1Hkp=zn>FK9vE!Ry4}Q{2O(E(n$GgQm4$ue7Akzix5!!Qx!eezvd^u zOsV~&>V_T=)$uCIWLwj2pY*@^>H7bqaq-GiR$I5|8v9>1jha~XI)?v|kYw{I?|~ef_-G451~jT=3OTb6;zs(0o${DNBw)}dxpqwYxjHMT70VD;Z3ZjEuRw~bh|VH zVIO9cw<+h0EZV>GFdys=+g!+ZMSf?)_TWVf9eqy5=v;2X_Q!&-SUcOV6VHH%YdAD9 zvd)gLGizd*g1-0U;hB@8O#4w6Q}&bIX`v3N`C||wh=@DXaqcYoAJ-fGBp`NQa(WIY zQ*|#NagLK#6weGp{noMUSH>=$9auI}3*vYcQ9eD*znI_E8rUIQQrrBY9-r|U=}3Z< z{G~1h;}vYKyzVe3yWsn01lNE+G05py>^`0@bF9`MQLv6{`Vk2Qh7axvr`nXK)hh?i zf*I^|zDpP^e$*}FxSrP$1rZl(_y^5E3&;Q?I^`+NWnk;D9U6PCTQZIKL&eaZR74uG zg5n}bBj|U@K%LuIy4Eoze_}C0F#d+}lA?1^k?+V{PJQuXh=>U%DVhd`vI+e9$m%d= zHj2EfDf5VBU@3R1ah;7%du2dn*g^O%>b~Ifgzj1Q79NWo6xDSV6+pJz_m(j3VB5h3 zv4#2ZGtt55$Ku1tI#-^O#WoR;qgjB$`2(iv@^i9??LN%;TSvBT^X>C(Pk8Sn+y|CX1MAlU ztW*ZazDz3J9xY2?u?Op z_A@4k^e({>tm$i07OHm74{8V_!8`^#3)>b7Lpdk9_J2QWI-9mvy60#S4Gbs-@%4b* zBj_{!7`k*`5DA@f(u2#G!w|X;X7x{9m;xiRe+axqCv8Kw6zqU=@{_jnA5SFz_Zx7( z`XM9PQi9o1ez2v0fBqm^*is-*sDOMOd%Ovz!IWUg@bx0--vgbcgZS(>p>L@JWErvf zenO;x%c7IRC4i;=a#f(&I8mUdkr3VLlgo6)Mq9g zuFmhAn(DubY%F1Qw6}Ly6t}97J?qTry^oVv1L)$6_7+xe;1JLF?JI1Cr-16yIgS7p zJ!RpEx7q&GkCg;COYq?W8Ols(!Z`Z0QhG3FUz;ij25QZFv=)f7QY)uL0p4=5%p&~h ztq!+j9bQ?sf7&X@mSsO0FbB)Fv_-dRjT0Qy`w$$CSj;rkC3!1oGMBKXPZ2EvVGZ5` z+8aBS@1=jy4WE_Q@wVcCrJTwikMTuY@wCC}_u5I5(_(Nxbg>*T+*~yKr`eG#@qRQ+ z4rs&d>X{v>W$A9T{UOX~DTnCQCfjDTuLxPBs`t-sf-2*+tP5{Y=sPi8F~JZ|$Iyje zQS;tq1fvrzr!KOP7fW)<>~n; z(XM$cjl{AHjnIW3LtzA}g}&wDkJKj_^yC}~Bz{s!ss8+?8S!0_!-hZaSJ#Ud@}iGBu=v!hdugXW9z#9a=`98Y5(itYdb2U? z7v1^ae`iSE?md`fGkVZRLK#{vr$q*6b`%)lKbd{VCy3sucgZ`?5VtPe>)KwRfLahvWBf9(HbA( z6jC`6f9O}TrPoYu#>2usU4I>9Hm!)7$^)BWl;gPlhW#;>NKan2yxkMoHT+;he?m8; zjP@4;rN34l7Z^tRu4Bi&S`PmQi{X04<|ld}@4Lo%jWP5aD7F`PP0j>=KhOd3A#F;) zbVdI+O2;lr4ClQ~0-?$n2B;bJ3zu-H=Z;kXl_}$mA`o~bj?b9b%>ud-lnQ=lKkuF4SXK? zXG0b(2KOOV5bJKn^X|-~LXJ9YXj3?gw;0?rPim?PLhUIE7B3NlZz4hb+ckowX3&5; z2x5rof5H^(t+7SN2u*wnJ)}fb(h?5LvHj&H-N2`%^)YN%?k;AUw1q@Wsa!p)Q+#?)St&UpB`#(vu*pTT;;B3!}A;h|OF4mD>hK%)huV z>wP1Lxi8}T15a`bF!68Mam}BsD$+Ys+zF_FN}nUnTr`xDNxuv1?Ln&+vvTODbF7#% zh)6Funoi^E)-*)>eKs!5ycWqvM8mhM9tgAqSlvw8LNxGmK0g5hE!Wx2+2L6a!pM@U zQrGS6Tum}JJr#^r<-zcpurc^EMpYf*1dF~U3T$F}s{AM(^48sUCQ9$WW(uTPuOv5o z0yPqZF|G!%@qDp9>#ZdSo3tA#otBnCzOCb*%WVaEMx(47tnr$81wry*(v2EMd z#+qbf+t}E~#vZl|Eu@v-q%%AGhK7O?ytM2rn=^w`A+|-n?%%`uUcLY zk=3vXBra|jc&$1@0{PGV`$*E;W6W%GrV-AEhRb8GiciF+4AHTIyh-f* zI+afZz8li9lDtVE>n4^@WTFxFcnMV}C#E$oc6N`TZ%9?*^+l1|sC^&De@ThBr%jq@ zWaj(;S>_%8Q9S3>e+(e*sY=@Rm3JcOsbMBCNqi&lS0(mIGy5@U$aT`v^;Gcv4I_ls zw-s3B6ogeqK-dY=DH7WO+ggyyUpX7;$RT2uo4gl@df23dpuN0%jE0h4FT(yYPjV_W zwu|08Ndg7wtD>kSKs|7cBhWR~i)O6QH8N_>3`4N6XMNs9;WYUBnp)SxxFle%Yl_tsp5%> zAX<`k1mDVG;9&Q@{_*tY!H78J;WsR?X%m0IaKsNY+R(UiER3=r5sx2h7wmgx_Or!v4i z2xqSojZY+&DmlHg?=64uhu(8cG@P?kAbSozpO7sk?Ovpc+QVC}?NZpRS1 zuQ#(778&gJjwRL;W$-bluMS!m+t4k@8_d5eVIHG?J7c+0B zxl2lzTF?a_cT(4+9h+|$Rt$8x6M%odKbMkl_-ul^0TbNPuyzk|{VM(0h^wU$yuwmh zf4@@!!nH+e7OStVqfcX!1>vzdbU zea^}AxIRTuh8YBvw$q?mq0I2&8rePggqlz^zM0p-Y(in0?73=CqX07fbuya}I_Gs0 z8_Hg7DD0c{&=UaGff-8zOvuuKgT7;=s6f5Gu2EE{jh%Yq&3K~3uP3g2n}qqb`xC@` zwsr~I0(B**HvD-J%2=>l=f@h$#lWv~HT;6o$CmSh`vFkjLV+aFI`r-GI8HeHn<+j< zBvI&Y%7Wp9t1D~H&aKhGTL8|&z$B+#W*{a#M1wCuW_+S$6nzK!(^sHc~q7I+5=+b0kg`o;{3VlIW`ARAFGj)>?bRBtFo{jQ%8 zhoza(#jqw41AvuWdZ&K$B{AWye|DQ>7`yd~_=dE`f|%7Mi#Kw9lFF3Lr8Fm_+^Ef~ zoK-omv`ymRhJsBZm1Fc=Y9`Iyb<{C`RKhc#HOYtBe%szENO`e~^VGaTVD7E5X?PO>q@i{ei5{D^*+t_N~(1=Kn$B^nqwYUb!nvIn zzs;PJR(;)zsDD5yf7g0sYoMAU(o=A09dP-JYOCWtyOaB82ad|D5jlz1+Qf<+f1b(@ zCR+WK9N=6P;bP{@H8xIF7RDl#^gxmHkmK{~x{&#(A@}vj_ObniYx}rT=U-aVyKF&V zI*>u|XP%?cOTduLi)YiK$>L7Wue8BUGltDHR?WPa_Uqz#T34ePthUTNi7-39R1sY&c0<>Mr$6HU!Km6q?Ei9hwl; z0XU9lEBu9wKgt3h|D_bc#mJFzS`8|*ZA`|pq`?aW%EuTosLjCYxNPzsD=}ariH<$` zycCgC*E=`S9tErcQ8->)u2;f=%9wqmyA7*jjM|!ohh%KgK3LI`bj)ZO*~bh&psN9~ zUtl%VNN{QtNy96-s2G*@C^rDfru|eo2*~1bMMJK=)K*CjF~Fnf<6WDTkrEL^J~k$- z_7WfM1L5V(Vx}TcPsk2Kv75h9G-MUxJLzI?C>3BmkL7Jfo!&49wT!!-d`X$ zYZB!!9Vb{ln4kn97JnR}6}F$$LBuINi&RS*fm~F3ROO380(z1?%HAjSG`j&OK zC8N4kLYF4Q@%K>TC7m;H^XtDd0I}}}<0Rm;?<0;B)DtdK>{|VC?55iCp+n}oXoisl zH>{!1#_?IOTGGqZqzXc8B)`aTlh0ES`fNVeJ2VRh#Jb)=l=d%zp;O7ds98)W!K$}G zqZx+I7>t*E<}!B1;Loj@0Q)!X)JiSEfoOA1Ypk@8iB5hyKkR`ZmPtfH0Mg74E*32w zu9wf@dtGi8N|Q5sDB^}#UJf(M(DOnksQkI)qibFDaj&d6=#v_aE|4N^cP{FhN$*jM z@qqIi|L~ph&wyG+;f+TcIVR0hm4A|1aK0PUj><-8(v4|GZ(e`!&^63{8ZAk~>(q+FO2)6;te`DFwm zEnAdAqB%#~H>d{nXACOweX5?29p3LIMI-Id%O8PuCg}2cakHjO;!-R*D~R&YDL92m zdPbE(87Je*=xQb8a7c;!qZHRS+!o&=D4?K&uD8LCFR;tUg>3}q14&sh7X`FBu%R4z zS$ELv(Zi2YKL<`=k|d3}Gw9io*`sS%BM2oxq3nJgrq?lWja_J`YbZWF-9wc^qLl)`F>J}_$;}acwV^m(6ofHIVLndK! zN2AUR$DjLzWnMNyfcA=3rsl#<5{bX8-RjbTZLQLUME1g7<76_pDBDs>VTk#y@2eO6 zX6fnZhLp-z`ES3|o)RF4=PNSWQ29GDZ^>TLUU$5N_hhUSi@pv){8fDHgU@c7jo}a$ zRvXV^Ajc1HN&YHr(5^NF(@7&0ESGh#^X)>O-Xjsb5iRv82?%!N8rMC;ni48eadC9?Ove* zPVj1kP||9CQxxAT87{(E^yDO#F|6CL<EMN5g;r5|rd%*YC#w0^<33K&8RX zfD;0|B%g)Nl#7Lcd_yA!t>iWozmJfwM}ci)19BP}n?0tdrTlql(;`)nJ|v_NuLP|? zH6A+CyWq$y3=sMHNL38V;4JG<3s;$@Co+(>NacQI$YOyZyHm3OPYlCZTF=F(Fb5PA?Y zuIgl`KkK!slyyb*c^cs|_QiZQ3xH3m#cfe}r(xz)t`CBBgT9!6fY;{P@Nj2z1CEW% zXY@J&MJM&qMUW>-Ng$Xa^m$Q`0~)}w?Hawq^LDL_YE8JnW<<;4p{AlFuCGiN*ZfoQgtBb*h>vyRlwu_6x2SqAn%T;et7`xG4Kb8C${iJss-Z?Daq~CLPou}t2Vf|;-9q0x zKjQ3ff<8ikBUKg~V^uh*p4!OcknHz-bq3C0bT5j+$)AN{$^5qbV2)rQM%IlvCRq_? z&7o99aSmUQVKYr(;GiKQ5_xJcFWQ-kkuUuqm{bz=t7yyOM5hJCexF8p(i&sr-$KmO zybuCaBoZVCmo6&ilNZxI7{G3+uT#fqnu6mnY;fUeJfx1B-9dtNy!Ko(2!-&+A#Ler zK1`IaCgBx%tP2|&-*^TW|aLGTum3>K=nrJY4{ zw)e4Fd4@`tgU;xG$A6*H-P0dJSy92uYr+Bd($9b+yDA_i%L0qB2mz>WO!umeS6-|g zRCu(r@}L(t^{orRU+Yw|rgDskC$I;5pfjeC3#(HC?Nn`Ksqqk9n-jsdtk>uR9(zsE!lwkP!?F?|Ax`cdML+S>u8OHs- zZcrwJPtzb!qR7WOs(@YZ##l@jtH!gfM@F^yzb0~?T0DMzwMDeGZuVzadIc;~-i@Xu z=Q@Of_(rjfe{D@@9SP=gga*mEEVdJ7L|4CPW)LifuCtw!?g8hY|7Q43)Wjs>_w*v> zAsyj~+TX8^8s0amA(xwm)W6V|PV?D&#f(4Y(;-A4ACfTN%MoVWvV=+?;21`6D@hB+ z+hs9G)^~DNR{+9h6&+kP&#IgcW38!1Zr^htLZqgEz?vn>O$+|ebRt|)S>Wq3sv>{4?aKbhn#j6%34m+SZk(nGCf0qc|^hHS~0&A__xpW(WyCTT3U}qZ$>=% zV&z@QO8}K+RvGR^`eSG6yXqNHQ;43LlZ8xk8qIy_A22FEnDlP2`2?fgI! zDTO-ddXs6Y2nUeH5#=A&1nj=MyZb~O80$ak5d-&&I6ZMn^O+=S0nAB)zf#7-g8H=T zdUHH|#E=@)eo0SEiQO|kVEv*n@IQ9&k0I2rg7Q%^I4i9y<B=d;qER&j6D(uuBP$_a;rqOmJ^EBLCnbFJN%c zLsX!XGg#hMj95@NC3CFA4Q@H)62SU_`5vQ@3Uu0C7v`eAXk-nf9pS4ShF!lp*EuGp=uKuXhLuN? z2@6NRk{mR{C@PGD$-7rC__8f@Q4?PbX!qjB)9HhL?y}UnzQ)H;7P30ZbkD{{C!Moo z5RlBloj@%S^4=kd#vtP>H{WTncdznOcZxY$$zXMU??kOe;V|>r5pOi}8Esu5V*{e? zH9a^Be-GXr5$9O-Yqa#MLz_BYrZHf)SG*-Z=RNBZ>@q2&F~of43M>0D7L723Z}w=>UUL8%bY7p z6sh2{AYv)!P%*fkoD}AEazI+C0!QDReo46LMic|zHYwxhEFzXDeelMxJ&@$Yi!(GD z`14L`!&2+VPON(Yp>JmX#vDRkE#)$FVL3c8=g_!1-jf6hp;sC<`J%mH0}1+@zM)R076O*@uuR=!{lAkX5bHtq(}m#3W#$XTw<$)qdtZn@(39!f4kz z@rm~Sg2-P#9K#OEy@AJI@fffU?Y-|5lh=Y{XKe}Xf}J4EUQ{Fz%t6!c(#(-Mj0HEQ ziC?W$Cb!_6LN(0^cjZk&JWB@?R6<+q?xV^^VnK{D#5vM|Bt`P*IVE(XaYPBwDgTu* zhSQsXZ;L!KOk&s6I}drq*-YdU8wvmn|u0AAwgyJ zy8VfOwc?A}N0)G`muXEQpF$g8KYa?@GTahIGF{nl_om;o1pzW5dtZ4hXeW;+pqz=$ zv$bc5cn8789HU92@@3WxFFYk(LgmxIqq-W?l??Kn?m0DtH&!Tw{a6marJYj}OexVF)3P-1wK*R3dk&=uT8xwQFFEUX;C*-s zJP4b|iP%F*N&(`1xQyF&`pV+oRJd+}wUQyPtYRD!2!FCJGt9}{SpLZ8!4w@jDKqoM zsp=>{t9-jcC1OQ`INJC^uQ>SVKu~;zh)!Z};c%2Em_x`wYL($B2(?yv#?x3I4qA%z zm=>Y^Q|jsWvLQOs>Fo@e2Tz5wm?L`hX%LoN(MZ8}2n#?jb@^AqdBbYYN?KQp{kBE{`YfWR=k4M5CuaoeDAhsuxj@a1#~wnJ zBVs0OoJH8XF;A>Es=%gnemIF_-~(pShfFFmK^K2mep`(cI^+O>JVq=oJlqV z>eUDVos?(mBNZnserouOGiHZ_eqm8KMAsL}V&;==3`0EdHrfsYE>3w!0v>D&G>I-| zd9hXWT06L~0(5!CZs>&LQ9dQDOnM@!;iJTjM_53R`BBZN7rdNG_&8|Bm`!(ru%g%* z_1hm#{iplFcS+f*MKj?k))cu{qzo;G@hA-)`Rdwy3ynJRalVni;@>i6L^C7zm5gf- z^`LjKyocPR5xCM=sgofq@1aOQZ7=JzB~LYJ>0`w&CAQU1a9R&yX1W_*K(lmnu_gyq z&WM2`jJnL_q?NCaL7~XVKK?S;+8jR(gpsS6+w&CZ$SUqfFb@d1!~BoV3q-`P$W)*y zDT_^zlXK;~N8r4|=H|HnB;YQ0{nXW-j`O#*ZIp7@WF-mJ?UYD9QI*u$sIbI2$A7F) za*4wAVCHMrAXQC@CXeGaU+}f`-;a9>l7t4Ff8f;oc3j;2vDVnMPqmIrqfXY2vBpX4 zpjUQj#uiVKKV+x^!;CT_aC~WGNx}jQ1MM1UQ6vJr#(NC#(#hJaI%qs*m zUM=b?&M8;wslU9vFoGxSF3%0IP1+DuC$NAbrw+Rr$Vf7BN zl$AA=vOPo};~@CbL8N=_-*W4?i~jlB9w@v=i#qcLw`z!6iKcH~r2Vl=o~&G;#{D%N zU9e=tv773`M>6*bEEB6@6BU22F+8(&UOBC!dc~SQwJP5uUr_exB*9@zUiM$Oi!0&0 z3{tgg*m_RepweK0-k9Wt!bmb_)A<<5XpZLJt}?no<5tUgR0MED^H&vNZn|3l*;#EV zd`#_OPVRSkuwa@N6HU)w_jW3=jP)*Su}fEz&OeB>6>o>-D_DE42`$tNkCz-7aj1X|mD~03qW;4+aNQ zHqbXZUA+rZlX3O>+|n%aFqo+Nl7Z=Vuc59SnaG4`P{E<2TGZjpwH|XIiUrSN~Go1b;LV zuhSzf-2TRJg;s~n*j;Qt0D;wF;3<q{q zeyG0;{NVdYZK1;ChZvW!2ej=U#-Fp0chNoScaA@fP0^Dpd1}6J4`&qf+tA6=d3_-d z?SS3)HpPwRF0|oj96#64tU$*cTX$WhTf|5*P~oa?v4J`DeGi`K14t`!q{?Rg&M6ly z`tf7Aj<{UQ>Wq(l1Yq;*TsG9|KzC&9V%%VZ(KDRU;00yqNXPMQUMyIn&`(;Kh*wOE zQ9cV@`8A+Fo>O&Epq$%9AH|5G+ri_lYnN*e6x9%~Ol3{3rf%J*kPmUH(BSEeh+Vqv zQlecR&PCInNvfHq1CYdY5RwsK^xsa?`C3|uKRhWu9Y!_IsSnu5%V2vkmb}BO$()E5 z>|GfmRUtYD<}3Tu@u};-txMn^yp;$0M`n5i86@~bD469cz%xf1m1w#5BvJ?ZC`q;w zI27@v$F;BAmn8X;V+j3ve0`;+B`=ET_DyTT>sw=droxUdfJS+iTCj3)C+R-%mu)ws zittd|=;>P{8&GIkblP0kSv%W6ZddbFQ6E!toTx=EpEB=!=jCOFif^9DO;bDm z#z(lau8hzs{yoB^ zQ}$KR8j$`IYN`NibF;ymzs=1%^W6uHA$P%;XzlqONcG@yIeWzmTvyE4<}_- zmK+?g#411(lMzXBnq0uQSZE)huY^`Wa2NvQk zGDRPDXsN0S)~~kHWl%2{P~^|tUGh}HP*FLgn}>DCt?~`SJ)iJ_X&NAN7n0Fr4YIb3 z0uvyoF@1RP({3!(WXd!k=RGX($TFz~xj1I;NKHN1O=jaf8hnc_6HB3}9PKtd58YSa zDWzJ!e=GMC3)0ymvU*0kDlM18T`GJh&3J4>&F8-)fM3(Dse7QP@?AjbLv?)sq{=<( zDXw-&7afMr0e9`=bgGF()=4?GZ0QpxAY@YUtZQI%yFCA9werKOhl6Tl=~SGx%2@YE-F{@eoZ(&2~d@tBTxIYfaVt;S0jRAga$bi>Z2}g&!=# z(qZXbXZiFG<-kLBCT9}KN3Q%VTaDYD$=xA7i!zvg**e(^^~bVP)=k*dSsciE{t5Ka z+j$O@d6+Cak}?LlddH*UjU<&=pfoohov6OlWDnn3fch86c*s;_N*%6c^LIV-!x=*V zc3c}tlre~gn1RQ$)M*&8=x8;#)nbv$zh6(!+qMLOYy+?XWnJXnq^rx9@#=e&Ea;~u zS=1c_%cl9}bu6@6jmVGy-kVZNDJ+cY{V#hTNHRTF@K`B=L8ag5y}4(R z?39Oy4cK>eKlsMw+aQ}am_$0L9S>qe`3$ygIz%=OwA0|*m}~amlhXEtN%PH0D=sk1 zNxro(+?DV)!-U~kPJzH70|^enJ*7DQ4fLq9YnP9*I|A`_SdIw|Mo158{}>faJnTep<-?;Uw$bH6S~n_Xsa8{P6Z1XzqWwrG5J%sx~M z_Xmcs1c*RUsGA%fEkPUvTd}1+VqLlnLOKkY;H6P``JGvZyR8}sEj_6|m7bOdWNO}^F#MU}m2FciuvOvuv# z_9#k?b!pWPKh@2+5b$I3m7Lz+zT2&!a+$I;^?YZIYP3-^>v#oPM} zQ$Ht!9pLv+Yuq816fkV78ncL^-`E~JU&|srdg8{QC0mV&iZkd#T~nk9G6;WnZ&Xc` zsbCzAa(Z}w?P+z}L3KE4$a=`^E5ywfW5ni^1R29wPU2c53MBj>3&na&iMYfIRvpeS zd&Ivdo;IYFVP5sc8)nQ!^_CR>!VN99ma*dka}tFabcazWwA}BoHAZ|G zI??jgDG6SSkq`71DOwdh^CXKBmijJW|8P<#3>$BhUna^eTMsR(8PO7d6-C<(O zWw91>ry8Z>=EEiV+d5ewGwVTtT!hZ5Lp$f8)B$Hb5c)GQZbIY9uH`i@Jn-GO zshauyp~{6sIac=7romC`WDWLsk!q6f#28KyPbB#p zMb;IEiJRfN{7`44F{M+xMdYn!;lbm5b2Arx({0bLxGvz+O11VNbIDXN7M6}Kuy2Uh zv;|KzGX&gcEzm71U5RSlNps?7}EnSR8x4km9u`e zhIoRB&t2Me!q3pO=JY=7>2A(2(ehZ(j1P88#}aT^(!;7TIMn}3sb3JhDGI?Tb>~9r zx-X{VuK29YzTb^$_*&<()+i}e8JkTuB}@RT6gcHeMB z{SN7ewXf#T%ghd?*zXUR=T+*BfTj>-zW$$hPz_CI>bWPid*7_WYi)NW3azw4-Vh!A z6k-70U7YO7m$JQ72PyM+yY6dN@!vD-*-4WYNVGRj^LdtFLM)5WQXM-{AS?@hGPjM~6V)<)l!GYHb)G3SyV+0uklm;(y#9sjA9;0q@uS;I=Nor4x-+}@~QN#TMK$%>o z)-9ktlyTwNI}n0pWldorDPzdwEl;TaM#e>6jBs{RGqFHqrpk@IC&5`qiSTMmwm_8d#k&e7d#Uj&gcqohyxIkcEs21<(r z+?F(VCU4Hp{jCrKLW&ILbYD**L<>Nz(aOTCDI>k}XO=W#sRIbc`Mh9c$MeGTo{POh z^m1}a5A1D^C}A9so%&>@a&0q5ZbC#F^hzo4ImTmGUYe}CWYjsH;}o96i8Ty9rZBUN zif3T8XZfb*1v>fYCuUFkkPPpzg35c#6drj`+l;L8mcgbRNl?AMT)J#L0l>2D&yqQ5 zwtb$?(9{fiMfagkh5qNR*aE~d7v&G?1f%>?RW1x=bHv<^@sd>SVpve(D-$!Cb4)d= zkwP^kwx@SD7$Og44c8Ut?h9{7*XXZo6Z<8Rir#=CaXhA27I6yvpml!xp}77Y4a{h~ zAo01&p4@9?^V`%YRI00^%Z%*C}z31jP&=6C*FI5`etmt=QA zd0;tn!eRITiP#@Ki;@|B5)hacncq4g8wOpHLnpade;C zVSj_cB3gk$gRZ!3H>@l*lvwJC(ixW}T};H9ARe)o@e8_m2zGr%$%=+=vIRA3gF7ww z6q~j+N5{M@%?-nQc7vBU)(FwLB1@=%7MO1INjumgsT)WgGSrWmw9ox3(!LhUw-n*< z#y!o1wd?utElB&L9@tt^5~7TnhnE}s&7C5sfjQd8BLWciXu2<(Z&Pa;p~BK~4X02U z&{;8SmS|q#iOHL6(UvW*toq1sgw410;^b~eP&RjhgehEHJ-&?-@eSP!KZ6c5$WT+{ za?u3rjGA^r72#FhxOF3AytQ%*qIXQ+UqC?rwz%M%LJvEM1g=sj*VFm9OU!Gu=J=1F zG9IW9OAfDxn%^?=t5ua_1|vRh5LA+cB+2~vILR&yo(#4?5)woU5b~bY zWjI0K{Q}U}GMVTC4@D5z97)LAYQSm>Xf$*O=NqcozubWl3=bx0t(1ZJ9_^WD9bNnqXL^tG18F7QW7$hYlX*NYJFc~If+4a}u!KuYWf~za#dWQ9O zqtD7FSM>WqiIT9IEVvwo%pYTtA1{`aN?qdNzDpq@0q9_(1B#?u&PL5m0umrKq`Ufs z11+r-Vx$&27$XJa-q&4FMhm5~%+>uu^*oHnGCTodK;2D@0H3UjKGN^5|6>(Cet>ydSVum&Cj46{RCMKq!i%=#%Lsw>Hd~0dwM}vJ1!P}uzxT(|Q_yoJYHK1k z&>k8YwU=#zkUH}AY^i1D<2{6NXNd+WiuTpmdE}Zg_AN7-vUXi%M$I9GiHE2U{k*?c zjF?u)vSu%$$1{!1H4mY=8ei&5)q_~BqySfzQ-e<~Of$jC*n5_~Oma^qnzKS74^;Fd zNzT-iUZQBHq$`(-gQ*k2gWDK*f2}$vz@`4t^_J2aQGo@^6^Q5$l9=UnC{w>gK1~$3 zSuQP+?l!Fdn@?P#L)(U?!j{pI7A37OCkejVcOtqWmeQT=C+ksb&zBq)*uOv?#+frV z2Yn!YUpW?tZ3PkITl7nwA=_|tY@q+~;Q;@bLoi*!1!^o9zW6A#EGHVzARBH75EO?w zl}m-_8@1}dJ2UZ4_1KkkM)O$ek&&-=(DP30XkL2Kgorro9>nQ0tu2LAmWyVZT&AP1 zx%6VWvNU3Ck+O&N#f6g4?@_^i;4T&$%;ZnEnnow*gmxqApN?yP#Py}LG|ZjDqm)eNExVA4hu^95R;wJbRR}e%XyY@7wx3I z8X*4`rV)FlPpS62uyH)(&usGXuA1DRyJ2M1DYh3yfxKEmySLy6Im-4<;3vaj&wV%5 zQh^TV=r^SWb&Fp)j%D%p_3DR2N_ces(R86@C+69tar~oP_jkuM zlr!q9DxKO{$;4BGdwle(BgX58SF5PFO2MYzKTbBfQkK-7Ka!O8rQCB7%{m$v?(1WX zu)5H)lkON}{(>4BA zBE6WKL|r53qCNEXYcKwb9FRx;5JF{Cv0^d^F9-Zwe5EmRIyQ1K#mc-hv@F^6@Gob# zXZNHHp)e+bK?&g;klnTkW&a7=h1;r-r?bP8PKUVHFaG=s{HtlA3qav7UOZkPzqShg zaX|2q50##8Ji(ez+MsAC6Gz*d7qQ6-;tm-uRO-9^aue)mXu5kB#CrlOa8-U*j*}K$S)3(h1%n_j5VT6aC^Ot8%VpmPH`(TeKu|vyx+~ipU}SXy)e+9l}% zB{*2T8wwGR)&(T$!XH1*Cm%pMvSE>z@)%ylf++4#rmr77z3QOO1WSz;>PjiU2+?_} zE5zu9#^XnyiFcmIWT&CX)gWd>YY4pCZ2w*|!$%TN64N5Hv2nMx&lMj)b`4&2LtgEl zVd_&k)o7tpJC6>DREjj!s;Jmjp)Ji*#h{1RW}JCSdI$I+Ct{6S!?;d=IOo~b4CBHe zRSja+38ugo^4koul>X*_t>|Cbz38Qe@DFp_ zz9Tooyf}e`F#~O06Q+|9A1T#wvjz%ED8CIjR2wmTeC;m4s(z7|i11Vi2RH!%9Rf+k z-%$Q2RRd6^^>A?~8alzY;(N2}(85dA*1Ii(TcGM9!c7B<7I9Ny+SltE3%*I$M5N=_ zKI8xN$9Yloj{lCh9VRk>or(A(%XEjr7SmVarymJoDkn8U zsAItS@A=gCE_;2FPe&!c0=qt~-7U3=@VRDK7|WS|pb1WZ~MHWZxLTP_aw| zTsaw${h1IKhUvOp;ygBs{#I`DT2H=wCkwNnlHboe;o9ESTda_!aW)NVN={i~~}toL5=su$q*mN0Ca^!LxHCWZ(HB{+_Vois}~K?2{m zWyvHyJ#=8Me@*)G`x(b~H%c5%2K&r(n_zyY4gNjffl#Mu+Gm+jo)y>4K>r{sr1ULNrM1i+XQz zthCE~p9jKS{W{1)Nflci9#B06Wc`5Fl4CAHjR6uRQV!^eu3M<=^)FG8Y|=fm_{1i< zarL)mx_90k7N;2b$<~b0ef}qX>>Q*~L3B!@`%22%*v&)VO{4Zwh%dq(uohpaS}X>{ z-4V-L7#pB|+DR2#01h|rSsvUoAgK{Fd`nD)V;fY%nG7OIOOU8p*`mAV3(WutqZRt~ zrFvKJEVwC6gtN|J;brtTlVz$bXXnUp#Zk9*^5b8y^?tBPSl1}GiZ1{-g%X(e#I}Q< z&=QUfe71ak`JEBK_g(o48=efcMLbs$pM8d>Q-Ffx@X;qK^fbcb+C{Air;UPOe?LA8uSLXOb?)Kw-o0pHXuJrQ_{yb$*5mJtHMCRA%sCu)K-4)(F!|5 zWZix(WDfB866KaH_Gki+^*w&IFu%e^CT|8hZBxbdY2dnU%Yn$$5-fqe4Q*T=aNc%l z#x99l(AP9Fz4t6}|EX_Ad|p_Nerj+Rx)~VU?n5*uUFmI9jrn&V!xZ4cKfQrbPDcP! zwBAOu%ka2n-hfwdX%XARuuihbQ2J|(MoL+M@W6_LF;Q5mJ zrW+9cE=9vIm@3fI`wR#tjNp!nzI5ZrN2Y0Y`8BGVU#h+1`@iH&J!`E)x#*ix&%LaF zq?in53b8imDv|~-t}r=4YZA=u+jzsE>2*ch{D9m(R_Xmp@-3HcA)ngTMtUY|t@}C; zouJdWdh!XZD>QqTE*O#%z{q1}*64wk_&MD#IF=W`_;>=8uK*N0S7Y%PqNXq=Ax;&f zEU|ZIks2eJJeUW*(wc!6Nl|`N)R&-nV#C~7O@4aAbok-W6ej);)R1}4zZFOK4TA)J zFb>kH%QV^%3FUMeO$MhCpy=RBZcowEIhnutGk0F58~>nZN72qJ;ohchE>Fq&-5&_* zHUL)@Uk#F?grqLSI`+a^w2}I~JJ?9Pja+o$z`-XLZ|LKGTY&p%^T=O5xoyK-oGwcX_uoUDBW+~wjnfKVz%422*nT##HA9Ty(6Kln zf_{@ns6s%qS4Sr%b2AGiSx_(xaE#BpFd+W|J=yfS41Cu3KTTl%2lIIe z@)4*@_z%e1!PMnHQS|90+U~F*Amw!bboF`qr+fs85&Z*mF*E*8)QRmHCRdv92RJ6r zHuXPN->i(C%>UEQNU;)=^Rss&ss9`Ze_HGZIM#oU*0hzrTlkalto8pH{X;xe^Pjdo z{{LwIH^*+*|Bd!v#6JRG4gUdonwXjXC!hZm6Ndj6ld-o(}&>=f9tvPdk`T3h4i`hx=bp zP0ADm+2=!&asxpCDwKu<3W57sOQQw-tg56*fI^UPc)x#g1QF*jc9)khvsQLi(Pp>O k_ENUiR#Pyecd_8GQc!bMH0IDzcehnw@~ diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt b/out/zedboard/led_counter/Output/place/reports/post_place_timing_summary.rpt similarity index 98% rename from examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt rename to out/zedboard/led_counter/Output/place/reports/post_place_timing_summary.rpt index cdab9bd..0b31b0d 100644 --- a/examples/out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt +++ b/out/zedboard/led_counter/Output/place/reports/post_place_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:40:06 2021 +| Date : Sat Oct 30 02:50:30 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/led_counter/xc7z020clg484-1/led_counter/place/reports/post_place_timing_summary.rpt +| Command : report_timing_summary -file ./../out/zedboard/led_counter/Output/place/reports/post_place_timing_summary.rpt | Design : top | Device : 7z020-clg484 | Speed File : -1 PRODUCTION 1.12 2019-11-22 ---------------------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------ Timing Summary Report diff --git a/examples/out/led_counter/xc7z020clg484-1/led_counter/route/post_route.dcp b/out/zedboard/led_counter/Output/route/post_route.dcp similarity index 76% rename from examples/out/led_counter/xc7z020clg484-1/led_counter/route/post_route.dcp rename to out/zedboard/led_counter/Output/route/post_route.dcp index 1d4374e6d8d6c8406b1c4bbbda44e9b1b2c2a95c..a96e3240373f1b23d69512696a9e04098789e6ed 100644 GIT binary patch delta 64077 zcmZ5`V|1WF(`6>MZJQI@p4hgXiS@*`Z9AFRn0R7OY}?*>clUhn+5OSyboZ^QM*XU~ zcW4B;djuI!lm!Dv2LSBS1jHH~1O)2euBnM5qlcYsOtL{-rV#p2 z-dS1t^@0FB9DU(NIi68{A~Eu3_2U>Z>w!Kj{uTF;`=u_bxxxhv z7y=`m$Xz>eRN{3L_GC1fydP%rK0M#o;xOn+d^V8!Qy3MqNP(5V(YDRKFvkA1FG9hC zkxwdF%hkXUKn-T{!2Vn-^mIV~i&YurrZdp>xQ#W%M-`~o_c9mMB-jJJGjpH8ul`H=r?cvF+7+?u z2xj2GPzUqwfPUoqO*oN?!eA~eXc*Or!+vL*tM~Jcz~adPNEoub2mNmfHU6dW8~8m) z5FQA~&A;)V6uLM#GMbs1yQaA+;_0?tCbXc_D6W%8IUx{wL}3O3Xt3y!ohrJrGc8=; z?o@3_yCsU*+sWIA;-Et`UT@v*hnu`d*_}t6zm{0vRvRCQyv@6R-Y$Yg(u}iw5O5rV zf__E(;Mf(~f^dng7zz9?{d9_Rq*GyQ>M&W&1_x4Dmlx<%QG?EUw2^So^MN@;A|u-e zWjJl^z>7#4Fbr)9Q1)bSfOU`{JEIku&72mk7m3lbO?|k_*k>*}Jg7bCSQun9!n|r- zdt%|y7U>CZ^=3v0>QB}n=(ZR15YYD`8e@~q8SpRAvvFfx{}L08aBLbgHiS>I@!6gI z6wpA2o2F4jpXHJvd+4I{bn=UKs^zES?x;}>fWiXXk5%*sP}dTMOjO7H=5L1{P>50r zHy?fLa8mlmq>rpM)6y1#*4TJV0}cVlti$NO%day6aP zPQtk^?EjX#$z&WZv3`F09=6XUvE#ql+)USc7S+6^)9aj1?i2^kE-u=r@um3Du<`z` zqudoj>!Z90JZQUGlgaIQY@NrZf5v{KS=su(6yYqseDq5*LFp@`BQ#8Zlb;Q!21&np z-SxG^9YX^@%Fifs*{=IG9CN(oI2;rQ>Qdz*A+}}zn}>UoqI(o9h-d-gyAy+}#^N)9 zonXAdBlD+AXl;!jqD>k`PwBIgwT|4GEz0KysGXz+;9}rjA+}${6h(SW>ahnYDW394 zUZ#eU&#HBytRpRk9py?dhy1K zpoxDpwGdXb=-;DABB;Oa0K!N0#trvq$8nT&I>ObDq3>|lUpag`!OaqUEa%e>I&3FT z$sk04uPhs?x$%e1cAA5dH-*`UYCNZyuXespsXcAE*lHzR8W?f3`!qs(({^}U*mDMy z+0&bsE$vZJx1O7>Q)wuV2^Lr{Z#bd5Gcrf+%oi#VG!O*|*2FvZdq`Q$XSBm{UX@3Q zL^ibe!(UyG!igSYHEt|SAFg8*{?^UHIxB2DMxtG|&gHr5RXOGLPCwe$gz^hLtP;w> zDN$+s<@>Znjd$)+Xys^b^vGYp<;VlbbyQtqZ06j;o_{A5I$IU?wbv~w_4Z_ESJA{l z8NE#JRC5ATIy%sA-Pcl%Q%ZdCSlLmXoOLv;gid~v#@?4=?IY!2Z^Xs0{U-8DQl!FU zG}$P*e-y>^etMGCS)T{2B{F636gv$6;F{|#Kj87DFlZ*#+U_W@hG_XZjSB&K%RI~k z;I%tN3`)x4mf488sDc;LM>|vXf8&H7Q7pdv`pG#;nVa?-)hZS*L8(SSm9MzGtsgW0)+6Aff>7iHW*T^yc$7{#c>IHQh9WMm9 z9-Rv1aX8772ofWuJ;zb}NR%9a@#arT&pgYus)|Vh%fq1%mvKMIRh;t7g=<0DS0tE$ z(38w~=cbH|8y3_%y~AXKccEFd0SEThrk$VM>k62pm zfbO0dr?d}Vn9%eYDD9CbC>wLZyxZw)oR@J*CZtpT;w}eJ(y{eN=O`q4av5L9G9$Ip zG5G~2uM6LE4D_)CJV9BYg6n<#U^ubm_-HY;A^M~10RqPO;W~9u`8+pLEs-vu9RddR zp)j?>JZtnJxt;SBTP(gOk~BtQfvIDl*C7IR4tjD$>YC`MSp^s>O_7dKWtQnKVV8`- z^wj8REF2XYWN6eq`HFK@SJ8;;rgrR~&%Yij6qy68snaYdTsE43=83+M)CYq>&788@ z@@u}WL3B+U)EWEMnIL`X8^0*w=aOTaZv6bWQg|W>XF_Zw*7R>CP*s-@ZUSJ#qw_pI z2d+@?->l$c5NxI4$=Q?Uw{>MHKBw2%Qa=%5AC5$NeR* zd{$W2f6`=CN=fhr=x?C%R8-J27c&{`S$_)@@?Mk9u?>Fu?d$jx5VB7nDd`6}z;aV% zck5fZgiTkKAZfPyMflJtUft8WZigMmT~k>rYb9_j3@J!OK#(6ULX*wd>sM=Gi;i+$ z8VV>g5+AxZrnM~KSd-TCe9Vww{+bVkuPA?U2F+R7zSZ3abllcKT3m{#|-i&Qls1_-XBy*dqj6@WA*LK z77rOm&jI}qz??LL=;kM?P{x$yz^5(`YeF`?C|99lyD>z@9BM^$T+QFfphQ7(f&CP72bR$DS@m`5ecoR2v4j2|{!Yc-A zMCz&mzT1^(6Yl#hUP!@F1qdmU5)Vozz3@&%2k76HC8Gv$GM>GmW0{c2-={nN0g_gQDX==xgqQ& z3OBv=61moj@_a-ja*L)Tj%;q&L%e)yQNxuezUbb^HT!q03)`R|uN zaLUi2gqRLKW{so<|8w=ok5;0sj64aGxLOGx;E~#7;<(me`I)q4(ZHdRxVn;isN)%2 z@(+h|@Wn=GXLDtOEGb@|1Oe0tAVUQf(%TyO8_T9=HTb5ZnWO&3mw>!L*VIfd9;49Q z?52}`$4E{qB~E7uQ40Z&AF;t`d$luhwcVHpZgkqCmUjBbB+no4mXi#bcux+~ls+C~ zKoyj$^Qyzsq>3BzX!&yvpiq)cj@{;3g(+2j`et?Yd{;t%JI^Qk*V(<>bP@f`%Si>e z-PdQ|!1%H6to$KaT>>rF2by9~Z0o5Nv4nodto^}PwKIu7n@~g#cVMn*y{z{EwQL2a zwDGVD0=Ms$)W{3czbZ{jf!OxjE2z`}Xwrs{sLWa)i+PY_vGe`@S|fV5vs9<^$Xy_( ziNcb@Gv$#D_xXT&qhSy<$I*q6P;H$v(#hxHO16L(oZX;sDvNRQBm1KwiYrhJICiPc z66Jgv6eRtYs2^FLDV;nj>rkANWX_ez6N|-ZJ~T_8QI%RpQZy;YW(Bdw+*qdx&uVB{ z+;KJM`@Tom-WUOlhYz<)953F`?o~-n1vPh1QK#?Y!hAT;cGU=IXJ`L zOln_;rsipNH!#WCdJ5j`_kJk@ND&#sBg4agYxw5|T(oWo61eg9N%l}}D>V+T-63d% zM7R_-*~gu@daWbMjv-zm6YU?dQ9RJ0gb{RhXass@c=Kj$v!9$ifF+)_bZ?Pwb|?Ks z{snpj@bGz?+IM?FQr!U_a?iDtlxeFkk`n#(*NZP_O~mFPED~st34*ZzYf;k65Nzap zr9;wJR8ik!9wH6Ym$qHLGIn&ZZjQlPN0-Y-8g8-Taorn+1%Voml73jNpVjqJ^B#3Z zQEJFN)!%31kjYO{wVai9JVFZUbC-J`1BApJqeKYxZ}LCE`VV;*ZhRVOnmZt!kg6l^ zOn$20NSx_Jy!nx!CugYxpr6T+5LHLT-BenO7Ls5*DI#&4Qx;QiP&$8<3obTKAtA49 z#h)J#s7(ZE!sW0Kf>1TrX633BEAA#oo;w*vNaWMYAAVdc?wHMDMbL0qfPXc1_`?QD z-tyHXGa_@^j=rGNiH>F8tE9r2-~ZS*H^N)i!FrlqNJPdMh); z$R1nt02ZC@X+{~>?#ld{n|@ix?3$Y%Rd2(p+XiXx?6K>21#E7C*TF+ zwGYVCif(bVmcq5eSkzJ*dn6bgq&3J`RIfFB@4stWpgD_mSh2Z88heCAdwvGt)kOXv z?syt37JKi@TrAjtESB=p?)W^3Uk}X3N>(p~vEWnPG8`)i%-#+agMAM!+g@Ps`)LcC zL?XLtvX{zVUxt@@uBp#(Qw0d&o_9?78}1!U(JoxP%=4xh*5-Pd=f8fu1uG+kn+a}z z7V^jY7dyc?2}Pwcon{6vc2>`7v_an}eO0UvU*rnb%!QBubFe{pnEr@v&h`naW)}A}=mX?w5o_;T`VsS4k>wT9I3si+EKyty zWtwO7ahmiR+6;cQd=X~DulDjJ_(VvfXjwb+-N1Fn&yanC(oej4VD=Sj7sz;aAb$^Y ze&BDjl->kHJmSGl44go)YNm87VV%=I1q-=GcedReoWEYSN)Kl62w~}A3KwbD_zryZ z8vCcxzv`=U~Ce5cNO(D66kvdZQ_NG^>{JG5?z+}sb z*L`6ONMv=f&o3vOxf`2}-LM=gH5O=x4j*UTumpjpN*Vtyn#V`$%0qVC&J5g^Oh=@> z!(Uc1`8ilqx1yhXMWYPJFNO=xHI{y^k25Z>*DIgS@++zzKh!^dIKBK~N!r>0^4%=f zIDT4)VOI$HlHpfz`_fd`a{JQ0i~Rf?)q6l>^gTo_C&+gVRuZmTjIIf~T!M(unE7G| zYfl7}1g>~z<(Di;^!dF|F?Py~p4HbrVeO#;I zqBOTZc4ngST&3gg4k?&AhArhb9b9z!N-~)w z9eCSyTb(&XeX7j159^c&Pcj~11lWZ>%|l}KW_2DrcS)NHL7-K5!KrXfEieP%egc_A z6;cib$(H>Rp8bSiIrMPOG5Ng%;4Fs3*Hqw8<`B{wT@uaR5_z98Tk8r;e`WJ1-w!9m zt^#P<=SeeocBB!jAR>?`MOqgD5l~w&@z}DnP{j3uK)xMM|@$xc%SsUcoyH#w47yH+d z#)JBmf|xYucf}q9?SL0xv%6~oP1pEveChiT`+fGg+S21+RTYr6E4lmZ7E%)1-NSLN z>E;GCk5<)dFrrh}o#~JqA*MoHN?MQaf%ePk66(z2)Vic(_kTo_B?F^kgddfHlQ7nQ zKMIw0m*N`@>2{*uG9CH1#?E6MKH9D+phEUsO$onGO$Ei4xK*kHfu=8``+~LZhlE)x zd8X3qpC>ggf+x*d>%d}ei?hlp>D;Gf;CjGt%!3M{=*P7Dj-i#vaL(`$zw61sGI}#u zvVA}1&u5ryCjpM&?H7Xb&&TrGxS*del6X&M`ZZb zIb|`G=nkcEz_81oP1arB1MXn1pEn0p<)QgFFK0aBd8FWU9o=VRN)_FjS3f<^GN&6b z>ze=k-P+|IONH87d7#3QLU!Tm>+f@n3>pyb8>w>w;2i z;iH=EMH`xMT_Vi-Ts}rhUggq+0t+ zW;kQM{d5s&W+Mp(h>@pvjkokYaUGYtQIehi6_PH!Tl%~Wy}N@!M$bNSEww~I{W1@9 zRFjjAqF`$RbYz&e>0ifPukAnHrP~W70(X`G7_&&+{;w~?U8~BPX7F3A)Gi|eZ3cW` zL(QTdBe&Qx?D}E4?5Cy8{19BPwnPR1+^zR9n8t@+U{~`oyEjq^hXmn zPCHf(O^aTozHtQ3))Y#1F$+9nk(=IvfMO(2_00OB8i!Z)DQ5zj;UjhT*Qu8&EN_y` zH;(-7@7|I%2Us^*oXpqFER5aJx}E9+It}G%KU61A)Pm{!g5@pU_sL599iP z68y%ePB-`*4}=PYh-V8ki<7GMcM_~gGM4Ya zv!AB#Rt)1Cf_E+%YH}k)bP#4O@;FB79WI6^Wqe|WxZFy~0uyfX$3*_kv*GqAgUDS( zmC!r`+mU!{N2fQ=qnN+>i~f4!X@*VrtL`yT3sYXF&2B?liDWP*K`Bt3ppSZ&5dbMF za8IIxIThk7Y`pVK?dDEN2Hxz42{8q*Y#t${H;o7HtUrFxxDvtV9zU73tm&T=YzX;A@D(#Us0>5Ppn?E0EQSO4DNs@?OZ*iYOPCLlvN^__*x3MZ-X^}g z`FU^tWOF+lQrS^96`uFkWa|v$XPQ89J3N@rs32f~WROd<8r-GG&ghK=H4RYpyDUH@ zkWi_S$$bXhV1E2md`CM7-u+8uII7UG1M8|;GQT6$CKWuxuspyZ`?Kmo6XwjB*u1LG4 z%2(Q7;6Q=3c<&^9|EpTA-Y{|F$dABm8bN*RA)`B89>0fsTPL|xRkv#4 ztyXu3tIWn)y3NOW-Rkc^#vS?$bxEP`XQq#v%B^NgDaZD{m2o!?4QdoSNy+sLt#_KL znj{_emuj|FO@zoJ3f7|8iH2Alm>(U`g3y&2N=B9xoI7Q7)N2Ju5jYO;X)oz79+)x* zwGSsypTnz+uD}!t9!8&{>Qi#3(2w}^*}TRj@P_vCLd1x-RyeJTvZutMFvX!%8|lg7 zALuwPreZqaoc9<`*&Tx1kU5V$s6qiquUbh8pR3-jz)`s~CL713d4d)>yNQf9-g6p1c|tJqdMZ z50&vXK02xwtvCA4x-PSad;9oM@HV^I{z#gG)XJc!xElgIs9KHO@Nf|pnG0C;LN`w< zt}rnIm}JB{87HTR0;h=Z#oK8Au2I!V`js%4FHSu|dS$M+wg$jsfr7@Aq^U0?Pua&w z`V@E2V!jkx7l0<}T|5zWQ`qy%UlvHV%v5H!ye35nGPw67*6cQIacO}0UQIt%bKH?F zPCdA~B@Y81f_@Vckp)Dc%7oT>@7+H10jxx+rY4IqQAo+3q3+cjLh}n~aMb?p%}! z+1WT}H$;RM>kv|T1?1IyYLUNXwN&U6b#!<_W}AS58)X<}Q)H35{K^qQ`(v5i$fS-y zRH5M5Pn|@uh%?|H#d^Je;TGV*s0ceIlRylL@1_)Eb^NX<5ZWUdC94e-yHUCcFnm6L zRIFoKP9+$YBq!1nVt)z{sfJV27~hwRAgjL0O>(sO#qu=$iZY+`uU(hla@ygv`U^LG z8)$Ij-uwT4&;3p3nlU^G$PUMU=}%`?hqWb)I(%NM+6$lcwwOT|1l}gAH^5Lf z+0+;P7-X$cm#ncy%5A+C4mz_dwM~&+Qu)(zHVol-FtXeBYS91N+U6sVVIQ-tH#Q{v z8qgqUxH3rSen}y&mzZd$<~C<2J|p#%?LGDKG1Y$LM~yM0vR`>H8Z+*^SRacR@vA9a zc$t3!6Tw*uey5ed1)>M$%MWkE5m5M1r?-gESmF{MB`A!h49Pi9FfVI!5@{TNkM^NB zTkRsp**Vh9wEBbNSGt_dHm&c$E1Oy|TEJACjps!} zS4X#&f&De#RbhlJq(hu(23LnsbK!6rUCQC5%rx^SA$V7CUbHC(ilb{$2ZM%NnoU*n z#iFF{j5l&qFj1VTui}i~$-`L?ZYnGpE+M|#T@r&pfTG)~(uBRo?}VX1JY*M?Wr@Zd zXx!+V8|AE$2D{58H)E}d7(nV$5wT5e(o5X)w?4}#^8&L1*e5#Z)ZRwJ1)?=ple>AE z#Cc8`&eap#x)@qJ{3iYyTb^L_>>u^k`Ku4Km+ym$Z6NStQ(|&Q#VB$=A&yT8I4_VD zoV0(N2o=wbC`T+BA(W7J`N>bzo*uVAweW34IOzC^?3pd)fx zWBzhY9Ttp<0Kv*yy8LA)Mq&#@HBT+&wpPCxtf`TFo__1)6NfQu-sT?g$!eUPO*n5f z^eCu?+pJNRt~YhW$`J7CYp`IJ4~xjHD(L7jec;SKG7QbmLC%^ko@Np{&0&Dfvl&y%ZdL+PE05d7%C~0*V zvOZ%7YA@IkGmDV>(ItvxQ@CO`{OAijp&asUcdVH{#6soirxi$F*c+%i~paXnQ?7Y^^{X6GOJ z8Dda8V`CHA=)hgy$nJ(zp)J4Xr1Jbdq*g7{6^9n2Hwq|~QRdF-cZkf3%3EHdb@nal zq2%4~BeB;}|K9i+LhVPg`!uWCpczP)i>+j;CsF8?q4+@3L2K6d@&mFLWwr)oGDWVU zjA~to^$k);yCIhI518z;HR>cY$afd&ZnNF?9+4a_+FV^`47BOOv&>5n_g9ksx;{M{ zb$8AmizEPnu~%e(3JzaTEjuw|x9D-}nx%Q!1+w_hp;}osc#FC+OH}z6#!Wr{I{_W- z!Zze4(QCi_EV1C;;AIRgRxpU)ej-6zbZ}vJx>w$09?ziN1;bGreM%eDQ=Aq zO)qi8Gh!y>D>b^{UDsG@O+iAud}7Dm6+4fPB*^Z|F9+e z=<-D0TSbskTaBe)@$EYZq^D`4Wbg|5+1l}B?4=vJf*qQj)_mrMFEumuw~5tx_PPs& z;I|4R0z2A~9(E2lBz#xi&QekB4mdoh+0#_8*gopt`Lv9yja}Bu%q`WC)9_htXnIiY zp|gMs{MIAi0HaT-FnhRcSLWiJ8s!US$61T;A`hsGYR~z%{f}D7bnIuVv)`-L_9}j+ z!SriqY6EmGWfBz0{z5t`t!ghk6slIY7OF2(BmY zazQPsM#pn-fnSg1@ds!5zut`-b?`~n5{a+U=waVr3fW9%AR|)in0L0e8mB0n)-b(> zClEHQSk}*Lye<3nBLFQnqRcm_M@Og!Y7F$gSXy;rL6hcB04(~ft@=3DU5PGC&iDGk4lTEuN%&C6X$pV+(Y1ENBuU2tOdqLzE(+#=(yAOWW{|a+-6+M_T z79JC`rZrxjgZP~!q*kCdN{2TE3rAv9&#yrdJA`*ffhL&vGY58JcnHTY))pF&FR&s4 ztLkEz?8Zh628w6&lyo_(eTSfzpa7R?FFc+tikD=@gmU8@RiUz}_s{`;3}g+`5(mq7 zm^lRqbPYcoF)Q@jahZcRkcK7kC8JJ*Q^ zpyH-%Eq5v zUA*N2SRm}*WTL?LaNdz&Ty*VQc9te2hR8M+#KIB-4Is$R1>Y$%EjUCG&ZLT$7$gVL zhUnK-uN>W8bALL1qB7?JH`qh!+TL4Y1cvDW^=%A=}=9Nath43 z*oTLSo5As}3g7rjRWLkV1~G{8z5nbYhig^#(IY739xj)=>%J`MJudri0+Z7IPk0;`Gin4X_}0i!bqs96bp^248ngw*e#)TcL$J-(q%ok-Z9y#Ei=(v{AH?D zQE9K>hj0o$cO3H@LfFx1%F>Ezq9}qK^y0fbs7Y45j}g;W95Fwzv=uRCbL0D@wy@i` z-+ujCIKd}krYgetIS5#!-$_nKaQY~d6}h84y6%O5gBtKN=Ie-KyCaofSD|NKtUxth zAj@g+PXvgw)~q(3(Zo^>GP2Q04;7*tcZS((AZ#ykpwBN%Vs7{z5AO?-3xzvJ5fC2q ztOG}Xu+CYDklv6& zW~q9QJ2zcl{Z2v2s0JJkXQRQ2L$8uJXIwWn*jKTex&8?gx6a+(P28^ixVh+IJYodK zrk=~ouvrHTwxiebmB6Ymy`?}+$9RsRYc=Z zenyypGt85OSo>e}Lke`bVt-i~-nJ<=kWokt+$Swbo8 zt=@pc^uv~Nzz8XWG1xz@X`ynn!%IYELB4-xUGECpJOykhY%5Y{FVbfgZj~4GMUsBG z%h(q1ujrBHqTSr&l5vFD1j>!-Zyn;S>d;Cw9svlymXktP@1QozJR>@ek0`j@Ur76^ z=kl8JspgpVmKxx=pXYgNatk#W2Z?qBlaAMBMf7;NuG9Dp8XYY+g3aZ;8kvcAENEO@ zyIZ;&bpf_tWWT13m3CqdMp9wmymxG7W7in>{k;!TP{~lPQ_z`(;M0M| zrB?+0KumSJZ^1_*7By}Eu7f^r`asdIxTOD`9?1C#hVF+XN$IxsHTs>cp_0fz>fXh` zVv1xyC6Fl_hc8Qz?b$%SK*tYiZ+NHajEFL*2z-r5J}|H0>Um_WSPJ@aP-uWaA*!j( zBSRQK5xD%w&54J180F9TYl^TV1bed z0xYz_yw>EO!EB%;v@t`tX51t&33mw+2~^~t*>Bz6dZ=Cjuw*2UA?>!LyxE-|Zm*;} z?lf#@(XWF3u|4?Z@>wUQsiC!@D46zc$8jC=p93Yi-v{V3?fLA-5q8}Wray@OTc7+# zo@s8bJ;IC%0r-7l}4@L+I?+GIaNZ5P>HN5b_9-%w)5ZE}ch*KKYR-JqKByH4~bV$ZnqAd@7i0EwFaX#Gw4Rp6cbdD^Rw=g8gfDff-*Y5iw+hX4-j2QYGX`u-t_ z8H?Y|&C3m_wD@^kcD&eHUH)EA`8jelJ&IY^eVJ+^Su5Z}nng58}k+EtYJTQGLfsLl*@iuw18Cw_hv`$o@Tr%KN zpj4^GNTa|ZG47p&tlXe&1Qa@5U#}+=p$5zRspoNj-(D|xArDroR?Yi(pT-yDHu9k#%d&EZyWt;&u5P6(T}(sq|-%Fqe>h=$qA;>ik((9UAunMBj~8b zHKMQzgQ0Lh&Zw#!E_~0Qz7S75aiX3?Iz--)l11 zb-S>fX)lJ_-}v0FPVxg}iwu#Ecp~QakE!LChu;jPDp3D+Il{W#(#o+#GeC4|*6HXC z*sq%|>*r*oGXL=>Aw~}3rw#1;V=0(j^PT($CnfB|iSy*+EYuOT+4ZZ(PGV!oyd$GT zGQ#FJ*gtI9%YcY8B)0*@%`f4}jI>a=E-6MZthv}2_DGYEv9VhZuqPU@kfoO0>ga%@S^;a z6Q6@!ptX-iZHqn5iXk>Bm?A`1ZMs#Dr7{P#RDcnJwxbiz_7l%J9Si0yLRpjlO*q0`x?P4B8Yh_Fv4b{aP z$Ov~aHUXU~KCMV$QSo7mQb|dZYok<3D)K)S;Ic8VE;Y)(`*kaJheT?I;dC;h`-L%9 z4Qeoues9M|{`hlFL=fBGmEbnAO-puh5?G}s+08*1HdtB3B_OKAxC8Ajudz4k(agL7 zL8L_B!9ux>3lV)C|wngWoh*1Dz`sn(j9F;5fdZmHG>nbe99 z;Jag-ZU_^~GS0^q@JS(RGHl?PX=by7@#^?KixPw7t|8L~yNFc0_Y! z2d}j;jvjLurr{Fq zIh%OF3qHkKJxBNbIoKrHTig}sh^Qj-b*xjg+ABra1bKpKhCIQzfB#fxd9GH>VF#ac z6vUJx<2ndMz=R@1ru`ns`DzMDh+f&LCK1m#iZoWepAFVAmRS zKnhT)D!5vAC}oJn%^$;vGA?5iq+CNYrmWtq*DBk!VG&};VA388FL;;~DyscPZ+zCcRNsrOAgjLkGnU3>tVoUU*;^dTPF=X%L>r+W`A| zA_5f0PhZe4}Im?wxpOg(TEwv=sx_N#1L7^fH89B4DiEXJ?9mQq&`#B^dF!^gSw8Ft|q$GqC;YON(%*gus8OHTUI7{$*N(5%wiJ-Tz0FC zfi64gS7THQ9kaNEx_m-HyM!-b*THwM zhPjq8QZ_CD+x$L?+>LqMm@3Ns9RSS|PrqIZmeBG!LlEms@1tgZg(4r0hO<6o-g(>S znmW!)NH@G;KP4Fpev&#jzsIpoHNF90Qhs=Z{bq~mB~{vCZ_?W?Pm=eZ4E=eUfIr-3 z+PyX;bmVvxvcsY~J*3deG&FZL!^NUY;ITUmcyKa6;6gBpmYuY0Y4y+>0#H6&v%({f z*Z$auT2SuZORrCvi2A<2PW+d+Z-wz^_`?wYiPoC9+eY@bPfCMI+_MmQ)K7?aovv}> zg32-xF~2%b@_(iv77{`|c|C5Ar3Nj*lUBLR95^}VSwI3S&e!tu!Y(O@eS6!#a&der z4z{~XgKE`y!aecVubi$Ifh9^Nc7i@y0xm)*B#+eN2=(-yB^P9!lAyMc{-9i(rpN7w zUMn8Qe`oGEUB_EM8aQx2hm{6B(-M&LBN9GxS%#cc_1f}CRnG~xj`Sb#$=?64fvjUA zAlEn{K5)7Y9}zwy7re=ngW9NaA^zv_K(Ay28Rfu9Q2a0Cg%fa{KGMIeeUzUPwNFbx z9TzmhFS{^aTGzAg60ctp6#XwGKcb&>YpTbWzTT@~oIZPG;J4hp{*^A)vySx@3+>a+w5 zzrGjz2R#K&TF(!9?y)2Otr|zu-sWr;qM4pbykXeW-uTHgCqBNRVsLr~|BkkLB#-2e z77(*!Dnd$H89DwSfBZH2VNKa3Jh{X?PNp-ln>Qz){d?CSp)Ga@OImk&_iVuy?CF{+ z*xuAB;x|3oXC`zOwr}d|#-C$_aL4aOTNEyEMecyIp`BSmds($=+YB9AYdaDg7IO&P zuF~^3-20M1fcK}0i-;>>f0UquMtK_?n6&CWPIM(7(eOcTp5#z&UMs#tN`5Z`2H+QM zEefn1c&IYGve4pv*j4!5-<8MTo2V+it|?oc94W<|jFhk3x0Y1S$0@y>ILKN|8@f;; zNC06oVK-LycgLEqo-P6(d_3iTggKgmaj~^|k`^n|MT6%f$Et5@b2pDBE}8GxInDqu zVVDj2Z>A+EA>ALReH%+u z1in8)jrQ`PEOTp;ob_%;BmLr;9PrKu$msYH9xw^Up=J>2lnVN3;Y91}M&F=K1QqP= zT?dUB-p3n98^{yF6a5xI>SnpA%Kd{~-new}@S?5F zgOn1^`v>B0f0pmFW2X$5!vi(XO`6zSfdcYpe2O79OCd7aNSL8baf1+*{pF7A7v;(i zhDM44Q**s77$mZB^*@!__+c!uv0PVLi5lOB z?gk4gn_%=8o0);*+x4l{YN?E!3TWTu12J`SxlAPjD6HBsSgSwIG}UBZ@FoKY*JG0#zQ=(&q&yRUWj`>p7S;cJW|&T->mfO9_fZX4e9FEnEy` zZS4?RhMX4p3iSDL?Q*|)9Gikdg(poowUCZw?eh0UU-Nw3vQs}^Yg+2&7FabuuNbxC z$ORia2UXVNr0Nhx{qCtp3C?bP2L_j+GlUidI=mVYh^VQ*JAmR)KBpEOT~%XwnURBMCR)q=;n3YcV994=+C9OKRbYsvW4M^fR4}l!(W* z%bhVHO!)k^0EQRJF@FnK$H4vSlE_n(z{un9c>9tFXMn)8wkG$MHnqhWTy;naTun%0 zF{36N9odqIrv_Gy$e2zVeN0MY^GtJzWKD>*Y4>t;CY%kwd6`g3Ypo_+%Hb=iO~GHi zt6#7f?_TS3$Kv@^)gig?UUS;Vas^fD1(IR8l-y7!&7PSmoPDFk0!CAESVcl9M+t1U9J6;PjswvL&_`DR9Y%6A?4PZHM?XC4kOhy9AmXim~wp69h_!mEJ*eymNPqkmRz z;Q75^FQ)z5V*s}S<$c9jj$iNU1%E~BJr7OK(lZ@f44GtHUi`-E%6GdqS>5#HO_XC~ z4gJ%a0CU~uCIO0>Xq(de79rM4Z3zb?Hl#n}0HLq;OyAR=aed!c%A;bl8c}oZR~L?O z7F+BOuX%HejYfEUB9*31e5q9{Y8z%crq&8d7q{v0<_=VR9?wossuME0_;df|6B~8n zRnNL*A}u36Y;inh3~*G-1J2V4b>i(FiwBB+@a%;3Vhyf2blj|m5<296+~aUH`j5UD zV7<`dpG~8~&^g8r?A(+x!&*4X?VXj|QqIFSx2&16dmrYJO?a%csz3+Gr|(rKRT{)f_rw*o zNL2XcMBFTbz7wu@-{($(O`*(453_a-y3V7y?+GsYg>fWS-q+9uP(~td)99&+rMPcG z$TTpIurS-=7};)mwcvNE)=%&m7RQzUDO)C}VO@01z#(1<3(uJc;?Knb3Z>ot|DMy_ zq&Xy8k`2EFV%}8u)5cHza(4p_4TQm@ZGIwPek4wYK+t)8yRYu*?QGw>R1XCt<2C0# z*|oi@`F_HW^&s~*!~AEa6ke7T0jB_i@B1iA1n_!LhpNmbaDA-@v^$*gFK0dd$mKaW zpnL(OJ}#vZe_JxTowlW z9!hnnze&=u@6y!`w47n`^Vutg5zM*XlkQD-o(@W)Ec=u_{Vn|@C)25`>m}ee2d44~ zGK74?UhksMAvYKCdBQfM@u*Oy&?Vx7c5n7E>+CA}`{|9y2%Pd+>FZus8*&B<1lbKf%tIUyUwuG727e`>1uj^uO*c zXNErJO@uV=72<}57iC`GC-ACLIm^CKhUI>Qha`Sh%5L#rFg*z-b67cN@}v&_Hm1S$ zA?y!lE78o=WpPf|%+Y0`CE>XL1+Q;Gds^R|M{-U^jM14$JD0zbrx`&aJqR2ZY96OpS$4_gaLcl@2TZWi}ybQ(4+y)BMxP+a(r%>p&X z2Zo}(pH3smoQf0ohVtyzK{4-_3VE1#$e=nI9MGvrbCc;RF)(d7B z!h?q;!3-N0j3hBEFzSnRCD$ZU;c=@z4vzXWyLR0rt<8&x)a7SGlhv z(t_Qek6D!81T$Q=K9B@lBDlyh(kDju{})$p0aVAbg^l7G+@0X=?tuV7gF6H#xVsGQ zmf*oXxVvrK-QC^Y;c?Er_y6B}RZ}&4RM7K zckfYT-7oY;SiY6AWmgr*HE&X19 zytNf@e6t&W!KDWB%=9uK$4HJ-NX+(`(W#Av7_P6r=E)P%$PdZ(4CW2aLXbgjj95 z0yRR@F2gge*)u9M6zk6Ip$7?@o|l-%`AW=nJtAQM1y@L3V*<4*u}J1w>55%g#mdlQ z;_+}M+t8DZ`1PJ*dpaasZ14dM5bF&yei`_@)kHB&!uqu}El}cIS?WMhtjAO8U{b87 zU+REWtmj7L{bu1a88^Hj6KOkW+_wW^bZQ{!BL6|j+sJ!9g?rt5Sr>R3o(VZ?@mzwu z|L#s|Z*92rx-S3Xa8%ntsr1J2xY?6QE$nn2F!1I*6!1}S*#6uWR?-2aH=17spm@V? z!t0*gYL6Q5 zuZXx-YCDBAcd!03nuM$hy1W7xKAzs?I!^5lK_TIP(eB zRH+XuNIZtwtdrV@2U-E+j&}`xz%Ek*ZwY4&$Gqhvf8o-t?{N>`?f4+`T|hbYVi~?6 zy5)9`>-66BNFdc9Wj|%~ncNJcrBpYb)e0D@nt3(4*KN4k^TxPao#cDW_l$Yx-QHy8 zPYs)QmcvOp*rIQ4oWTtE#tr?8rB^*4PgWG?2Yasxn|IU5r(b~SUs-BdR@s7z*pv#H zYowI+Da>w@C%P1=UwalQZv{;4`4P6qTll(Ok~r(L;>Ao;PQS_{(3)|JA{3i(%OY5t zaZ4iXn{g{5&QshF-|J}06S`kTqHn~^%j3FV2QcS{Z;;p=b2)?)4^w3?F;dz!O?~J_ z$Bm!%n;(Lh-lPDWhJ!6NUD=6V;o~hX-4`XCj;Y?$=7%~apWFz3r%P?!m7)k>vbW>N zFo*U{4w*|T`kLRIO2#qCxsdiOu}83)SNzZVu;!b7xTndJP|BmL`5Vo&vfL$gO8XDf z0;y}Wls6PzfvgBv=gU~8x15NMqb=KJHw_$Mz85&!>X3K3MA3a|V0x3pdAAHb{PUU3 zS5`lG4@VRK(C1g#uZsx6`X;N(EAPS|JM7w^6w!L|N|?2_*POs6rLn{+MzeAV9ZE;l zv@%oeZXHI`vN(82B7gpgua2MPkTXA`AGx06if{w z2E4>~7KE?QSc$BGVg+I#a8HyIxH7HRevq#BU+!CR89UQ&iEGl`aA9u?-3GyLNNvz=m~Y^1P+Txv0E8C;7Z4X@7wCQc+IOQq zmk8rmbTRMv%k%Du+*v%mE2(MT5vR)|=`i=mj^_?{g7xR@_u!2vDbJxbW(z(eE7Zx4 z${Xw(3>Ul?&@8(B=8$ZDcp})bNIPInBE+#Mm|#J;c(yA%&b`fP`CpT^ld2h~cNumE z)L7I97wPI}fst8K?O`1vv*SfBmibUC49C$lYlGz>9fIo95of#lIBOioRgGC$eQXwj zWXKWdJ@9KkXppErS|zRqga8BsG%<7{_%JJ*UaReWM1sJuk-!^WeTjP_=RmoM4UyS= z%_?X`!kC-2A*Dup!N$afpn5rFxpOhy%9XW&fc+dCxPy51eFcGU*l&>9!SQ?&`2X4| z@`Yo58!uy*BIcc8dEYH@|ChI46Ate)`f^f=C-kCvif2?!MU8hrlk!0O;EHydcj~G6 z-dg9#Im9{mIV1@f2?PnanQx5Wci-=R(7q4cK8=3?>u~ zu`d5?HW)yb*#t3$UCn4%j;jOjn4iXIq&=ns-6*|R%%eS8AKauhq60evi6lZk0mT8y zC_+C0QwvEXLOlWP1j+8F39^b54pA3MGE3>-9ye?8HPeLaCELSxbb()%Ox6xZ``1){ zSRm;QR(-5Wd=1D_=s5uV*bfOLp^s6Cw*X<)OStS7eJb#TT+i_}OQyPy?_xi% zW9;eYGk09UO#L%BvzThZz7_is4+uAOHv~6)Hy9px0Ym{<0hCs-R=8HE)=#Yvtq83! zt;nr@yz-ZXwpRzXRouE-5lMiXmzh^6nh?Yo7zyO{>q8_YqMta( zP#^vRt`gG?Qv-$pRt=2)^}*|T*RA9Qvv+@)*-SeC*Av-?sFHXA%nFJNJk^g8q^3_$ zNwffd0_6hU;wK2w(P;Mx)LfD6D2KnoxVK&C-uKxaT^ zz{ZtGq9K9FgWE#bg4;qC`nmeXRZ0*5Cun-4AN#1qInNLb%~zW~tZ z4VDWiJ18CmLda-HYS|9Asd!cIp?GJXKd0;k0zarb1&3E0)4VfI5l7nK&M5Z)y}c89 zs~;w3oOTuL_b_JPtGwfy8bEEME9PVE-FLcsYmoOsjwE(>V)Z1ft}rY8Bi>z$-xq!Q z?n=$Q=b44f?hwah_t{f7lLXlnGx5A^YIssdxk8$B?A%9o9qWCqKRb$Vgs0GNTG1}E z?G1IR{&zHv%=(s?ltu&7p^6>%dZOCc6FP01*X=B-VW;v9da1ja)Ji={$(?)FsS9W1aH7z6nUlS-O$d1#q%lE6H-FFdpBwc!3SXF$B(T#O3ish1@iW4gajYuDT% z3}e`#@k1FZqh#zB(6CjD->K?zY`EmB-?&azJS%(5);v?oR2U&^33t{xr*Omu6Usp^ zmMbgQe#4)7P>6?bo0eH%Ic2&yS{XE-r8Am4?C7*uI_&D?_L=VLD4a+a{8;+LbiiWz zuY^p|Ba*6hi^-j4K8~ZC z@{(b_(9EU$(B@N9(4X?jx#Ta_JZx_7%R!Y`pY`tU$gRGF-ZvQXw1Rd!H<4^$bog}$ z+it+O%+!MAQ#=gSV{~WJbxl%DxT{L|c8}I{ot+k~u8DNB<;C@Mt8(e}wGFw+v5D_w zoYP#JV4a&+fNUz=)5t5Nq4}DA`9bhfwR%RYROhB%YrfeFusUg)5HWYBVl{F%_;VhE zrf@oxMNc$u>RqM;sb-$zv*lSm=A#njLf4RHr^0rtmQ$*aCt|wUa2D-C!$3wjM5khr zg-FzMwK@q<*RTq!mRssjK=<*?r$&6DeVEzcuWAH9X11bQ@Rt+`L(Lcq0{J>5^O_T? zVrzx+&9eI3VN>1q-0lF^U+U^72M8MKhTLLmWnc1PilfH;49=C}uA+vxX?~0ow@|}Y zYAx1RT5~ln!OYs#%x%PA4J%p)6svw`-XxM7P*rgsSc^E|r*$ooo$A$eT`#}$_$cOU z^E+-Tdp@CPMNcSFkB@_R{D#o}h7E)t) zk;&-!FD=RdLL9c8V>WF}n4k`B_oUbCw2WInngiCgfbqHbO?rIGh}{3IU=NJRVv_5d z{GsvpL+Tp^KY*!zf!T$m6ruDD@#qp0NB~O^A&SNNicAAe=f~j3r2wGtXdtFobn%6siq z2e6U|YwBg>4gr$YhY7oyLFVuOnnf|-=#a8?8-QyH_xK;Go&OK2qjNJ&v;VBpkn5@L zk)4Q!a>wz)@uO{t8&h>fiNOAGyZ?y-Rm#_t#3NtjtIFK4o|Qo39#ES6CFZkLym+5q z7&2;+nuISKWLU4Dya$@R01R*n zuw9FAGLR~Cww4BI@nCi2{D_NBV4Tp9YK~yb77ED7ax!38-K#JLmSEz2acEujU}Mnj zN=A@9s%jFkYyn}Kg2+*?{-I(R@m#|;TN6;U^Cka_h(TZePedft)r=kbKi&#kmWVC( zzq2L>e%czgTv-n_(5-h7lZf&9&h~y}f|9Ye1Yaz6OqFAWM;ZF9$-f~L7baaqlkdc9_0SIVZP>QTxr=(V&fSdsslm3VL&os(6&DIMYSRi=RkI*ic2KJ?? z%M*f7m+ScIBYb9&Rg^DGVpW#+OeVn7-5=2r>e3$5ol?pBX3-gBf@QlXJ#y`8C)gUq z5G6or=vwhFy1)s6`{Oq)QZ%wK^uwdhWO;ae#8A=loHemKy|3$1O)|Q_nZ}xdXP3J9 z2n^Dn4FceQEx5Q;Gr$6&{lj>dpLh?qdQ~&yeI*6?u@i$w|?eaHwp<=l^_ePidI#WiM zGko3E(+$d{H_^@iz}4z!i%*w;E!7{<)}+s>wWyqS3vnRRp*J=4P>C%HBxv{7@EnqCJI$>@L$R8iFHO|-rB zO9X};1AaIBK%|m{N`~*H0X@Amdk$@h<=2IwC?$E0U4%!1&{i5CF(;_ZpJrE5S?Mte zjSc!oo>$cs9v&@R&Y8gW&2VlNi0l=|C=rdauri82K*-^Mwt29s0! zk#}fZ)hFYqnp7INHo+-1$HRNmYxaV8gL-_de56#VH035-OjTDl*i|}J=0LgZtIRO605Bbp(*~`%3pZXe@ z><5Y;6E32U2^RPXG~3n$M34xc0usl-Iu?X3D2+!CBloI6kX$-N@hx34vY>zGT%d6# zh4mTuWA-h^CDFpH#0B}eG65%dC3%(dhZI75p28zp0<$Sey|j@Ex(x43QbTK`|^pgPuKMFa21Bb5c*To^2_{=ZT|Wvmv82A+{iDhqhI$XG7@e`SJd3^|m8 z91S_NgRTuZ)PoQWIer917}oOaHGwl#y%S^rnb>_hWt(X3np`0$GLebyb;CrbN^|8G z79zzj9p59ov@-Cg0 zuAa`GMNJA-xOC*Mb}vEh*FKPiPHL_6IU3a>ms3dKLE4qXC{pQ&N1B3Edub5MsU#Kw zJ7?A*KTD9kzh$^0v5rjS)#j3+3fMeau4rR9E>`Dgq$2Bz6(Ln)6DwU%YRolM11h6H zDsz+~@5&IaYFAm5BIim0?rfKJjH2L51}^8;q<3WkTH%OWPIiB;_=ddz4=o{Q~PjMt-q+Rq|CWiwY2Qo zf?#XrV3vz_$zzVov#!kE;@V=F$Qsd-zjdjc$Jw)voyeNn(#*Ziaf@TVBz^y{6hIgI$7o)BikH^<&^S{8+_XwC^lR`u&*|1 zKSqQ+YdXvk$3PYX67#hP`~;Hm;m?muj62pO3n?CKHCUdEELeLQRzZz}l|9rf9=w)? z7$-CJDyerkH;fs*2%C!a^1*oG_fM5Hq#evYhNXex^ZhiE0*MJhBmn}3VwAAkb>;@la%pzEW;aSC+cCt=5p}fV>${yL&8b&j zXikH)@$>sP)x?XiZ3HtScU-Z$$`pqZWA@?hzZQX?>Bp-{Zi_Z>Or;5r%m>{i6LXzq zJV_2yzw5kPWRBlk4Xy((0ZzlNXRs-X!nh^6g|7^hX%{==&+HTNclE$K>~4Be@NRL2 z;A70}JfBZixp~L+YRT*3edP-Y%7bKT`QsJGkCeTV`82d8j&-ZihA-Er6JD?!>#TB~ zYS(SWkMfpF{pCNK&xWV#99C`8-wfKqz1m&4wMw7Wj@pr$Z9}40ugy(yJr9sB$Qd_Y zrqANvxqI}V`2bhDB~zg3>H5j9;N|(5xk7dTeid*it}@KJ2U;H-pyR}NlUOEP#yDxV zw}n%GVO$8q^#wmNpMQ+2o{nR#4T`YUFUftr7@SR$4(2>sn-V3fKcfiBoO7LRYCEI2 znkT8amrf*(SARwjSUcyQ+SE=*-L*`Db9<~rAvaHc=H@$*_)ge3iN?)$B+@j(6!;W(&l3LL zpeOryuP@y}Z66K)>C4qd9gAn)=HI2Lp^Dx$9Zz}hULB;xLTV6U(^^>c+Q!#^J8CXgiXqXqr5>xd|pncgS^JInd?y7>}y9;piD8S&~tA1;EjTj#qRO3raGP z@Hb&x_55vF?#d=zHF?OqaGG4xLnoNDYVHm$0Ua@|x)n6F@AyqmJ zkjVEE$$j70{Ory1!~^Ly3m?pz`!X;XIQ<9+%gpURck|f@^PEmJ3C(SRea{=zHWN;N zlHa+RV5$sp>2&;w1Mt8C&^mT8i0Rv+6=HV}SB?uNGrx7DGTA(yZn(d{dp~vjG4^J1 z$}moln%nQ%Z@M^^Qp*(bwo~ruHcl39dWZeLU%UUaSXlybnn?6xmGXr7|6PsiYHYfz zv-~@k=act(1-KXFTS01IvG-!pQrXfuu_{MKQa$dziaKM3k4>p5YNW$a@4KhYV)(SM5Fe{0_r2Pof{x&u!5aaqoKl^Mk$>8^?Pf z9E#+cjg$9-JS4Z7sGnL@?2!u8fbM6d>>B92u7fg@oA#?%f{SxFO{VzK>lE;FMTcnT6;y4a`OP$0_-jBW#A zeG`S4H{q_U#DL6?Z%Wb1L6p&b(}C~YTF|xz4GQ^mu(o7UG1+ToMPjGPx&14r*S-er zr;K->F{JMWCHVu<`SiIq{bRUc-;1rucli+SNwS$Oo-*?*z8L#gPW6sYEQlG6AD*Su zUQx$1kK|m82d}1;6X(!X;{s&qCbbFb1dJrQe4Y4(l%z=8ZV5#CrmEP|6Yy6l zS+dvRE@J9$Uld%S+gJ*;`&s=oq$R1w;y+qbmDVA>xwSrh@Mx=pd=Jv`OTd~&gZaD3 zj2h&BMQdhl^e!3ro@0xnZf2pwUd%(>wiTQ_C><>>ZVq7;)lini$2QVZ?5Rs-#Ck-= z-Z|eLqCfIH>q$g$Ckwdxu9R_81%4#peJX~P`laSk)=UlQmu<+?u@IJMBeOOfjJSNS zl4e11L(;}_{b#p%NeG$+Fr~RaDc?BeP1aosQ+wV_{K5NEEIt#DfDM-@^LnmQ+>IOf zY~rsC@ilz&n`0YN>^aJkx(#FEMMna$4sY${#1HbpVXCX?Cjm!ugM2kAws%8_Zn=KF z(Y~xbs$|qe!|zH}9%En|&Y)W9b~QPEf#X{wCFk_wU_Kb946Phkd^ahqAI%S+*j4ps zyJ#I`F}aNkgZdg;d7ffGI`E~7+Pq@|98PkxwR0Tl2svg9Ca0XIkQI}J6LhMz2|l$X z>by23;Qpg3e!$D7u-B+E4d-oJdf#Hex9)<%tj+kMuN_h?ogSWwQwlE~1xcZSLtP zJeX^J;qWBX7Hf_1C^@W(1yyBAsrpis@75j|`rairufXh2&Ffg_z%hHXLGqOCamI24 zbT&4<5iJ2|hFlblsQx_4zyEf@N@G96mCKQMZ-tMmS|s?IgvBU* zO&dqI4OFl>UvLs`O8# zBOYUF<$|~_K3S;O&!Cn{-0y|8=oZEj_yRxf@T;d^6@%Tgai$}ganx+|zFE45r#0h; z$9}#J)i3%88E6(57eH>!5ZP-;UB5U~ZtpwYUa9lnNa(K$ zog%xzR&|ldFn5z$x!d><5Z81Cqq!XrFq2MBp_xUoe5p|a8hAaK?(8yhcfO&YDBP}S zAvm_f*fgy*c#eOnw#^P=J$aujCuRAKYvgM@WWDRoEp_i7*%orLk@-Z)oN{g7RD6mY z4+YlQ^lHWedR6fu9 z9u!5kSfS^!5c8@x2oE)y_0^1IpP^ykj(>by<|YI`g*)#5Bh@JPDKZ(v;|g(|RmhfM z5-I)~hdUnF!P>X2Lr1Vp+28mN`MW;{(8s=+DmXUUSedu$GG?%{H#T`yeF4 z56uh2>W&4{U+#kRBFm)7WP_|D!Uj~VnJ67l*D-8)Y?)&I`uU46*GqWs@HM#C09psb zbsQ1`E0F14zc4@coZ2WZu<`jA``RB?oA3-CO?ZGCNvZ~kc_8|+40h(H?X7&H&_dQ$s?+mPIkL_YdnUJvv^T2Z{0I}VSoi+Z&?2!t5%gx7cY`}5x zOZ#bv+NG5cusOz%eQf{W)nu+UIz0I#XCwOjCtmUlH}s%g0-mTY!iI zaAm4-?Df0a*b|KB@hudW>L7>~d7zgork~o0ug%i#dvcs0$WnJR5 zU43C1*0ufgU^2I%lPHFm2MYX~~P`oLUbKP)aR;3~|)?kKC~^D2~*lY^;gFcbDNOBj8 zW~JT0tqEDJOD)F0%|iqku&i3sQQB9n=wMK4(Aj?`#3)QC&KVm01JLb-z(~LZvux-f z*nKfE zNhN;oa&5nk7Q{5<6K991;9$8M9J;?x_AsNP{M8#xLAz5NAGO!XvPp?)Xw;z$VN~vd zab!h~m2#5`#9=q91t`aBkJkOsf{F04hx}SJXAw?d(X^;iOr}#6z<{nkv&3f~ROGo7 zpOsYogO(6#Z3;`=hQ_d{lumr3%tNBJCZ8iqNg-_s^KDDd<-k@^`m>WjUO{>I=F*c# zi53iW%+ zT>)2gD1qhf7JyGPfTvhKkEMw}*fFYaoj| z*(@;py-`g#H&K25Pe{akmV9U0CtPQ|AbhOg<*ZtgA|*7mYH)f*#qYF~qI?rnuFMVd zMRm`u!omw-3w@7R@!o}%keJMxmUKu{rpWb=dL$`HKwd z>I8Xb<%X_A+3Z;;8o#u$V%b8$|D-tJQwR_?i2$COYNT*J{uaF^6Jkl~B3p?=^z;Y< zz5$2o$~T$@Fw@^>>Ezt8oCz6e<`Zuk-+3V-e1{cFiyHT7z)wjBaDikZ;xdSdjcjLh zyGU!_>^vp(U1`V!Fm4YOVpYu|P!-tI?}&>B_B%VI-A!LR+B@lPS`l}`tqB;L!!cZojuh%;@h5&IjoqJFr79K#jZk?d=<{PU1{2|y z)d&bVe~~Va-b#8UnzRB}Q@LR7m5EIQaqqICIt;}%6X*?nsD3BohJ+=m&p71QTj()p^gf! zQLBS$hjx%at6Z z0=_~s1U%YcAxC8S>VKmplUxw_b{wTkoaj&D<6KzgpXS1b+~3OeNWcO}SnnGP9r!u#HF0goX7{4FaN#Ul;Q zvRdr5XDRNy)Cj_$*Ex9v{naSibSM4Ay(F=QE3=mT*%tGhYb+6mtJQyK1#CKS@6QUR zflYDckJ1bZsd-;-L~KcCKCKG2FP8vDS82XFcseXufP0^WoFKe1xbXzv2p1{X-W+W# zsg`Z@cl6)+s4gsnHYAH`c(PwMz^e54#lsEoeE3u2kq&Hy+SORn0!GA;00EI2iv~pSLtQPeL}c|cZ{pD0$aNEqToZThW* z`Fy!T!4i2N?IflY?|_b-Cv*hVDrq5%OHEIT@?~J=D~Pl@W4+ZC_)FL|U^0FCH8K(K zU57c`TYnerEF=|{m3uF(g@cZ*5&RDU>56$c0h(y49raHeF0$P`(V?Dn`F`a;8+iNr zQut=R+t`%_JZA#vzd0oQ#5Q}<z34OD`&Nzv(e z3@2RHPt2L9mskXIdfR(8KZlajbtoPL+O!j??mfSvCo%BrG}(O9&PqGMxVsCh`JJp+ zkx=?;$W?5NY_Nq?0Go<;$JXi;1n;~HN5NjMx?k8fB%YskF=#M4mEBgUPIwc}>N zwlBs`s)%VRtGc-*5+dS_(&cfMVC}cIa`uW!lpvUwStM-9!DF1dM_^#5O%{l1rD|>e zGDFIo%oEj$qvPLaTne|W{Tn-%b7rTv@{$K_R$CZ5mm$w*o8@kKBfDz%?L3(NB(ZTV zYNmos%P@Hn-EuV+P%caZVf?T*q7ORYy|&^}_;xfIL_pE%dc|@GFVvGaI>KR4OehV4D6#*Q}e@(Y$Bs95PbMOksY}X>3Gu4xwh#pk~ZK*KC-Vy<7i}6Y??NcV085 zg&`rsIo_P(6}zz!)?})5^5(JWlLC(d0_$xi3FRo3)T7l^Aodz1K2B~wHzH9Di?u|9X5nHJRR)K%DEvi~kWCSf|lF`t~jP>vI(c zFV$DB&jDBw>1ko6v>XdmC;gLFZbPMv1f>-Ri2Zp6(!d6HWmYDRo4 zs81L?2Z(b{M9IeX-P)fbK-YGXeQvS(&VD~6%EW}ix zHR@4P#F=R@<(097rFcJ~$0f+uj9W z8?`|hw0`e|O!w`?fI#)ftSFt{{FC?iMmV@wN!8024Y^bHrpCk?m{6JF*&CsVfK)Sw z+g_e6VC%MV{oV@sOjJLua~5YeG-7_&XWfyf@zK|0EWGjQh|vBLV)fjU31?o*Rue>& z-YPsOVe=sWj>|EKg8k}EbGW%0qAdH171t#Y3g z7^TZ!1Rdulgfl_DCZ`BRitL=yf0+x5QjvSyC2U#Zbfu5j>A@tH178uM6I%up2)`d) z!-~LY2R=(9GyUa!VwwB&M-uZ>O%|!KefUds14+^|Rh6+BUR=kn!{{w-G=# z_@e>*KW&E`)?uvGxJYziL71CC2l;HhJ(7= zh3vDl|B@xkaFxc@ayyAn5zsQ@oZh&5dOCDwx%f|=d&W73FxrLqR&}SA@!#CsF(kv= zR-IL1o#~Uc&Ng?~uVu8RTyPyDF9V*Q*rWWdtIgfKAaN1l(WCpe?&biLGZw>>i!rXS z%a2KFSBp9Ga}eTF&r9u$>C;#b#!Ffz|oUUlAG9wlPn*-N%l9GSejJYOlm!zpg(@of@DRj@JtfMEqRFyTv#~3QSPV3Fn=1BNhp9OW%?&jpldt zdY}HGXa-Pv&!6pG178DOY7T>XrxUxiMEC{ru}1Le( zx-A{*idC%S&X`vx??`G>pE5?XYeg&@M8DxwNkzBr}ED42`-Kac9eG0dl^E-)ISeX@|f9;VHhq_sKB@ux|IN& z(t_IxXklZ46!*O#ci~o$Ff7)T0^-83`6}^YmZ{_RIioMA;eG?olrhns_H5eyA~7GV zGnHw8(rvqV#msIa?({$sVoP+J{QJKZwxfy@>jq*9-xbYEo} zFGj%4rV4zN)Zdkmy`p(%pct+ZtUf;^*>A9)USQu&h+Z)7gQ!!|0a1_>H)5w{L+yii z#YR`nA61Z(Sw!^wOvi7?OjA>N5Z9b2VrNG<;`mUSuXSnA$5E-Aus#_?gv%O;j8O0R z$X+=_YsG80mOq9+^n>mHmwkOWz-+(mKiovHK8gS1_Fxhy=|EZN)B5@Of!l6lf5F`r zAh661+E^d(%QR6h`%evwsQLL~+k#UYMyPes7W;ZN%6{_oC899f?Z3V(>1>DPh%0yyDT*ikLnq0`Ph_a zkuv2!{&#ic3A5Vj`S3giAivhFxI2ABN8dX<&txkZo0MN2-MS{2KIt+iw^DX|%uT;?5qdv-Bv6caECp!eFnM<7cYT7sO8B zHzbL9NJBCAo<`;tNOG~WYP2dVXhV3^?_=q%_AG&8>A2kn`3do~ivXk++*=Ld!%zNJ z)i!&9Z6V~=+X6cO2BL9aZ2xycoD5D}80&uae=3jrq12Sjam@iA-Ua*+$3I2?Yy5XI z*foz_(ms^sf1Si^ztR0)xct}yY1>|ryZy)SSWE)+j=|O+d;FljsPkUTmAt~Q{p)%l z3US<`uDiYp`$Lq>j}lK(xc`=UZu80IkB{Wb0RK{j zi<**Li3$RzcxgK2^6g#vyh6};;ryBV3FQEM?qU?`p=j!1bCas_v1?}AVs`)|${uEPbOwbjM-n*9(Uq)|web$J7b zwK}W*SeGeM%y!R}fQQ3t$RO0M7*gTERv=FR5FxaR&60|d_8RO(oW)< z&-@WAGJu0Zpu~K;%ly2<_d7>SVXdXUK5=RM_w9nHwG(jkufQs*%LV&TCyN(r5%VvU z@xtov?q@;RI!o6wPiyhgXepWajQZ=1s27A!mq{?Iv5MSS?|h%z&6{ zhoQpJT>&dbvlvyK{au0i@aFg36$_@q#5aJw#Rhz_PUc|H*s*OHqv%41qk28jLJ_ve z1$s*DBjw(R3PieesukDS~ovdH3s&}la2Qp-h4^O1S|M#|}GEXp?QkV7tyIS#~FIoQ_dUL^v zDq$!{c~FNc;oG?6_Mi@jc@n-oDOQYvOVOd7fEFA!gvQSbqik=g>}TJJ8!w(zsY2{r z(i|lw56g|fRKEKR&UCTzBZ2j*v0*vB`vboHT!mfHIk~83Ld(`3AaBxk>cB=`Z$o1p zi^|*6ul@1Fi3&fu?|Sl^2l0WE@@`PHs#YpbKHDD|sZCz)pfgtnr)fKgY@6cNfvO=f zsstQ}gfKUU=$m8%9$Cnu@`C-|=!9^p{I=gevHfVp{=OzmC1S4SQgWmbJ138~u;Z%AFZaES{ zC{xRwaK^D$au(9{&y9%Q3k?6-pnnT0n>uaa#>2LLn0F5l8Oyic>G^n#l<2?aa-t&5 zhvEE1`p>tfxvKc<3%_9Nh?JjHMoEqU9UXQ|J_LrMlHxpXVl9pgIyU>}j3}GyT%k*C zIXTfW-}1Gg77kzk>aX&wlI97#U(rrTEyb3VM!MwXNBBn?^M6a4A&8Fg9aYsoXxrsS zq9~Gw-{yh94`wkjzA4VbVkW16WOChoBVGPmpV?Jppw!Xe?CxPZI>iTUqNdBdw;o`XM#{69%fHIiBa?xOxJYcDd=JwsmV z=bPI-%VKmc{b1wY(MaOrI(jW`A@XT-1pes)t-tF}G*cGQ8IOtCLW= zk&da|9Yrsp%+!>w^hjYfZ-W@;N9&2I8cE0CsrC#byW8B|Mt|}ejigpJ%y64c`R8^e zaBL6$9BU*Ih~;F{PgXH;7oY~0KYmEYy36K7`N7bKIXjVX^ueI)A*S9880p$D_F5n8TwA$}lN&bZ2=w0LG^_>Y=nR5phns@H^R|4ZAd|HmAuJRdT+nqi=P^w<*M(pkmyu|0=t(CS(f ziz}>T(?9x0M-TtE53G-hHmwtJ*o21;_n}GIaDp0LzsQne-0*&@eHejme3V=-xLmcr zx!Iv-7`1*+BiV%rXPfNZ2zjTr#f`2Oby%O)L{jNWWT^dhO)RtJyqzFR+|^8Z_A$NC z)sFV@0LPU?F!CJb&Jf4!``}@GdEgKBu<*^i=JVA`2SG#&e4@MLEmU>+qP}nw#|v1OtNF!wmH!x6HIK|6Wf!C zb@ROM_uX~xAHB}5U0v0+yXy2=r+0PzZX({;;7bnPPltAn^aiJ`e|h7|TL1kxn{BeU zds6*oDsYe_qMJF2DE&^V?Oi$Ix}=!Vgznq8+txo__bcn8yMB{{3iKs z=@#T}t>t0t-CevHFaG(vQ0})>MgAW26aibe1}lR`>zf;&-tqSFSxaAVICdjRap2DV zNtGnue_9`say$E#wcae&8dc|(7#PQukf{aBZ5JifRWKUWexFQjT0|U~^O3}p9u%8P z5j$Af-3>HvmCx0pCx7epVGdVfOQ4!Q=Xc>kEZlZj=Or@ zGBous)$AUq$<~79CovNCX&jw~?2^kb9i>MkX5(JPGGpm^6kL(*V(Hz3_=tuYjmwAp zNum(SuAJXL8X^?x4ayz%5$YY)MUfQLiv;pk=M6;mp9bfIkH%KC$gQfn?#NT8o9#)? zPN6B?(0#CHu>L`5x8L={gXDJKyLI9{E$bd-@x^#|=F5X7((u8Mx}%+ooGj0jo>~(aw9` zLR0mW$+rl2abDNYCvDD>9A=)CVc zIa&GpSCD7~E$WvCs-01(*ve&9B5+r1(|(Av#tzm#?+YlMh(}HH&HekQHW-DjP}qzX z<99NnNYWw3aLL=(43g8QAG6YFcF<82dEA_ZEO@KCJQwn0`OQoE+Gg-PRKv~B>mSlm zck>o5EOqd%C{Bp?OVen=SEd{l5D5q$shgk)7vOFgLx@lr-Qb{`5Au<=KEiD!LR(*n z&ng6oDDN&5P9RhkT0Wvx-C(Y(ZVo*4`GF8-dLtvF2`7YiD5bs=yhPn)ex04R8I2~f z?D;^7%h6p$EUCR?1FZ>WPSWwpEaJBGcUyO#yr8o8j$gZU$T_A*=@qx z2nU7a*~UVh7H&QcWL(rU^M&o5dW0LD3-O|Ee#af!tEelGxPWBxq=-s^=D*-U9@!WS zx;ZS_kC}_||Fbm`$d#OQ904TA4|5-Tf`Nj=p0Egcvyu5u@$G?FfKhLy4?}W8#nI_w zNwM4yhv`U}8%kr5L9ctHB7qL)7>qH~bGqQ6HQ5(}HjpDg zns&O*o(Dsadu-1ht@$=x+bEnd8WGD05V7S<->mp?L~$KZJ3Hlt-I7Mrcv9hgW!+>{zNtuVtHY z-J%K!6}R?9^$~}+y|T0n?)rwRyJppV=kN?8wn8r(?Cf0x`}!vKI$bbc_cPT^dlY*C zwgxbG%+vI5?r1@Cyg)yZ%}rNiD(i=)C$d}~jTy78R~|_IV{##Ts+n(;H*ASD0x!x# zIS$#b9Scc7xX8cd5@Mf%JlS>~)Y7?n^!^Ap=A_d|bG!f}oOTu=S{`8~mI^0viAk$> zi)c}ELuz0C02skPi_tDHY)vqL>Aw?*KS1*{O;<9AbhXMhJMa{ZVY2v7x!;E(JcBrD zKhe52y2YuNDk-g zc*a;H3D6Z88tQBV9^_?~mn$mybg3HpZ2RwU_WG0sa^Hn%VYP7TaYu~u1 zy5s_d)(3A+!S;Rph`rat9yi&u!_%bGJG%9!Cz9R*U(%_{?Lz|O zloXGBs6Bg6=j92=wkenHL%yfEJA~DDmY$=X0-3Ij!S$}z$m#CT5`6g_vu8V}AYXPJ z{K;J=U@7^Op~B8<14Rvg(|sba~{9hW@mH3DA^#5Ay`t! z@0zeUyQ&{;ltAMvrSEm{`;yLCe(D^RbH?TzX|p7HgORgAdQqTc3Vw6Sy)6yDbFqsE zP`!)gVm{zrh?CnF3)GkUlJ$|wsluFcu3o|PofEWUUbndm4bmBVqz2!lP;gl`mS#cp zG%EM~bxt5*v8B3wmR2z`^D_KI_UIx&%E!yy;09^xlLcA?CD{*}rsDO~R{J;iPIsGO zeYSK%r43^9k_H?;kZ$#VCTeMUZY$VM>S~l&mZo=dAq(=4U|hK9x;6~bLyRy72aZyi*=ACf5#cwFd1Yr)E%eo$ajD`I2{djfg-Ro ztziAK4O{FX4CTncO^#)$Ui_tCNyZ^`Iudn>Y*0;jtTGJc%0PiJ60N`Qr{LU9S_b*V z>+Pn4lU_!@e8;CNG#h;KLLzJR5giu~c{nasuX=d-^Bvdxt2@t^Lvz4YLwr1V7+m^! zF*Vw_bObFs*dPfXW`u!Mna05AYJEzE8muLuDRGx@I7kT%$14Z&v$z)#k!Zd%-4#j= zhQeYyZ6p>u#A=5OS%)0B7cUwZeo^f>yZ@X}ADp{NtUPomkTsRU4SI?K8JbsFWAv8~ z8dbL~a1(EQfra{^9pzH#g3-5fzx<3#>g<}b<9O3#&M;AatEm; zQOeZlitdV!>U3V)ZqOo&TjhB`wO=2ok&L1?+C*BFen54{B^GZ-dIx!qk=$W5HTB^T z+Qs@{Pjct+-wpSvsTYS(0^IRhYq+ciod<~)z+xT8>?iY#1Buxf;n<%_Lt9@dA5U;p`DvL4$t^kX z-#U_;^ki44sK25{rXmGP1Quc!00wPS-+g!M6 zeWxoss76|y{J>`^Us;Kzd~<*Og=J|uedRCh0{5g^{fh~RN}0}Xmg}gze%rpz@-rVdFHoIe)U9Y6u|e zh-$WKMko!zKgDU3;OSo`R%P6PoGp*!LM5u3HU-cb0oeBSV1FY3`uioBHVz2Lbzp?zq;@y?=!~2(4CBT~~ zc7z^IOW`rB0x-GHFdQG3CO)T{!pY{bFXp2;+^3@Ch#jT;*I`)&uyR*cr4Ieh@O;3! zM14;!qwkSOSs+mUa_~v2taplD_8&#{N}+C^OD?<8F3cN{!~|jj9d&BI735ie_g^#rVKzT6C@-MU-ya zi(RZL{U0h4abz)n+`mE(4S)JeRBABXoQ=IBDvbrx+^t(YC8sV=kp%JRHsxe01%g{S z;9PCtCnWbT+O-^xY{0P!hXy{n`}{DL_IEj7r(;@s_1{Bfggtw@0>_|smGssiT4U6{ z6%C=Sb?36$HIN0(pzG-I3Vp2ln(y3@zwyb4*=uriV3~`Z*c_eJ+lQupP%||o+`?BM zx?Tn2*!(*E8U=@W7LDFiYC>^63|C~RhvBJme?k5yGAsE$6yPv4DNp&eq%n z!S0-Y%o7QB^K3}S;pvbKVZ}ErISWwn)eOP!6u#;{b@Y_dFtXyJZA7zrG*979$e~y- z?QU_}V*N#1h|h`J+%|u5Ju(gsm}n{_^LH7QyBiXlXA`8Nyys^b$km489&r1jXQ>9T z_O>ZQn`H(J0O#!v^1#OTaBL&BQ}>D9D7H10 zt|{W{h%dI$jCH!~8 z2f;mYWyJ9KQ#a5P7i*~w)pMwLt6H)XA?EKvunsKynN!oPD>@f|foM!Kw)rr8P;TkC z=&gX?ZR7q~}mwgF!zmEgy z!yso1!M62FmmXs-2O}9ut1}sPmpJOKzBGhfY_+JY;02lQs!rx5)5E%?X*zA2JJ@a9 zs5M;Ea6sV6(a|oAz%L#&2((CY0A7_H1_|Il9c*I+~ry++bW;YeiGF#OJ#38=%ZO zV}4*cleu%6wTGBdxtgu?K7J-CS+eVsFGWH~7g`q`@;~X>ds?#YYojz<&zZLBJJK$@ z{un>fd2W>e8=7t9c`L8yMnm;}?wx1Lb?3f}s|~9j1&-QV9UgU)xkt6thL5M7OLh9z z_aEzZtF7{FHI7?+HIFNfL-mFoh92k3nu6z|L-o-ABVP0J=jlJfqSH<}th@d)0)M=c zo4%;&OLGmC)=<5UnB|u$>{dK`jNby5eSq8t6sEdgz=dQT7Eai;>X`1>e?x_=^O$H1 z>;G@({~tko?qB$oi;hP3pAk-GnwN6KPPWQL?<&{eX}4JED!O0xM7%ud;X0YZ#iHfnhVL+ihP^yCsxbrM#;MWhr6QnW7((ff2kTrLH=6n zy2)&l|DGtHa9PTrnaw_!QbOzKQG~mhXsKDtO7ZKNs`ba>bN&mR|MXoaIa;*Zo2UP$ z0{Cwt@A^bf z*8jy0;AFdPExLu~-}gTe^Iuq0<-{NVXZ$|n5fQ?moOiHzZDC&-H`OGB_7 zu^l_KclV}^$LMtpzB~8?hhx-rFa{5VqDL+)m$iEZA3KmL5&!l@l~A%e!1~*~KSMa_ znmO&e|^ISMn=c(Y_2KkH^hGGi|n0aEYLpo`;p;Y8#NS` z37)vneQV&8af#* z^S95ZqXL`FHe}3`hJIv2>b}E&EmJ-&eVVl;=L7WL^dzK=7C#&3u;DMF(1VDqv;u-> zAo<5lqPi3*EajjZu^d}^V1I5p^M#3_LaB)~=LIK3vBc5sk^XzXfz-DRso<^0mqLFF zqo6=zrzAU+yPiVur;m$^B(|Og!dUr}KePN)Nll_J8mheF>6xpY8{B3SvtH>@=y%~H zWIdbxo_PPEAEOd7f?@k@V1tSehCEWHY)yMwL;R-wV5IJzjjFs%_+>9v6(4+8knlpx z91*B8@XV#vq+)@X{?S)7e2D*+Mp=IktcL)~p)# zXtF%=>-dlSZdJTc8^G~u2x;(MZR3hEY>IGc<9mX;Y2$*0y4fbh*mQvTt$B)ACpWVh zX>w2aHcVxfn3n$wv#(7PFtbX#(JO*?qH?|Hue{X#CMs#fgDU0Mx#bvB*PA7``*Jf3 z{~d30vg(Q5&mQ~pv&M$;snv;NJUC+orV)xcbEGpw;Uojr>o zfO&xFM(rWo2<1+1w+)@&*d6^Y`=9W~|L0Kqe-LhT5HWzEb_kFi0c3-IHLx}y8@!tm z=**_%t^YR*&K?pjkHWNz{|D`)y8h}cyvH#x#-$g>M8w$}mK`J<2cfqQ1mdLoar2NV z!@$>7+sabA28LD)87_xq z=>H>R#D4=({4!SZV|jx zW#}-JW{9J*KA2}4jN_#lEicbB`FT!|@t8BGhOJ4BUXj2dM|asq!fF|iE7v>(&@%J+ zCu1)#j332Rdr)WbNHL0x`pLPfZcb5BKm@U92i+L>J1r)^s~P*05ua7qGTM&Iry_-D zE_vv!{8Ze8||#`$Ay#tY;CKw$^R@tj+paV)yag-fvINo$)aM-Tb4WT!I6g zMJa=9PGN_~aD31JOlH5@wMM*E zwKO|sDKEetQkc{~XdY*r@@L9;GOqRVvn1<1>Ca$A#-8Gf zkYc&)+c9a)c4DRR@!#h8=_!fG;YO+M`_?snf2VR=js>1+(~2 z{DQzlI7zO&s_lbQc{|4e3$F_Gwgj8;8`jEuf$W$)MX-UsVnu>k5v##%E81%Gzxf+}xT z9JC~FW*Y9o*q&b+@B$(OIm@ z`O~4KcTJJd!|*YG`&`yWg?8txo8KZ+vADu@oNh^6*_s1Qi&-lUnpWz4BlfpScP4d<)vkwe=q}2iTuW-!pn!5ov1QfZ&%)7J#t1f2E~vs| zs`7CJk1#M-0$f>oViQ&g;(Y;Jd9OpM}l zS?eRS@KN)ug6FmGbdlrW4oW$Z+0#@M;bKKNifSqpI%e?R{$x}R0#!#AX@O~8_JQv*g8tW9LB~q*;gwEaPgq6o~riROw4e;WOh^^i;QXR)Zre zAaI-5`Ue&x!8o)LGsKgd)=VtISYt8|E(`HY=#VjBlCtb_M7RchC&|sppR*-uZ!xm} z&;ax^wVEWNl-&EIYi9(kX3x3R(R*{@kNYUnjpy?-qZf-H70Q%nvMh;{j*2&m5kv=>KTMI|nujPthiNWNDfa1=ds1<@ELW&D=)v0^P9+6$&#st1@z zs7fHRs=^%PGvQ1Wi-t+6YQeK3lnj~k<4W-C{2JO2ZB?B*88spJh8(#KwN$AoZ#SGZ zq#>T?lqyy-N@J;J!YoG{s4kaWFvlbSPXw(1mCTC35kaqeTI$A;`I z1YuQ##>!Ym_KhieJ`#+iQU>Inq!%3u)HH28NcL{7QV3H)@`bLFEiFkF{Un=)-yL#5 z3@^!&MqsrJBV|Eqkk}+E(^5wrq>Pp|+!TNG=eXxS5m(6>t%|uil8EDOqz2Fg6UkY1 zsCS8aZJs{mjn2(AXS^UZr>U6+$;7&>*tHUSf8_+5TEZQ?wYkq^?$b zXcA}ZoOmOJHvu(iJ_i*Q-=}bi03vKQ->R3216_$m{XADneHiT80tQUhNi!f$#(}>h z4sTjdB5i`2gU9j}ZbjzUI1g}4{)UxC|0&9%HhfA1cJ68)`h&^vK2(9Ng1C~3lwE2d zJ*$AwGILpsSAwcsk#}J_Qd+tJ>2>xbWLkgTzXXQsEy!A$<5tZ#MC6pJO#s|WgUUa> zR*YA4N+pcUl|38VA_z#PLHI@)C~c=8Es!Ki?}pGmTEq;7W#9`6$~{5{zk+lJ36)ph zP3j+o=?WJY9IB2JL{ee$3$`XUPE+F?%59?;w$*W*NClwEKd!~m-R4Qu-1uAQIvO@0 z(?1yu2X=^TM;<<6DuqzV$ZBSy2}Mt}|6mpzibN^sx$*8YX$eTEWtNO}x!y29fwdBS zZ%Q5?q%bc;gmk%%Z(Z*-sTm<{NFC+{AjI2ODPCv!LqGmQXI zl_MlWBmq=AK?ndMr;`^cBc_q0T%nFQtY#d3a^Nk*7u8*2gKnlGl?~xkND4KUo+>R$ zAc_zy6oSJM!h2+d<*_~n6RXzVQiY`FM&Y^s3k9Q31xm5(YznXDOiMni8sCJaW9hq< ze4xr&)pIQ>Hk6B=7Ju-_NV{@OuEa?R18HO_dy(F1AQ(_KO7jC1vTENR-JukodNfR* z6w8rB0Rst}IoHiGyi@d|59gc7su`^~qD^w=2-aFigu*tHsf9Yyr6(wvq-L!v(XXIP zg`yx;@_%%yLr+Um0@QVjA!_YS95xXrYbaI>)U9y6@&q-6Q@98(#c&Ipdzk-}PS&6+ zzNJiURp(KIA1rhN!!pd7h$ z3bQP9oj!u`5ovk1Kb+}7ZDD2PNGktvk+K)aY^CwmYx_kCsL5)&9HYYjP*+@ntK+d@ z;+qH+34);21*u`s%xuDNbVi=6=L*iL0C^l3^6RdNKHD*aZx7kz)UQm6#lg=B8d2y zL5chg)d@F+itX(pEn!fdhvkyNf2B*r)!10*(bhu>_{eLHo+v2wKZ7$~J~wKO=8#)_kKpvEg1 zmL3D&idaA}i}hWVtB?$Qv#K7%85Tn%gTw)N@fn8fuTqnX@${rtJIkf`khEUXf7~E; zr3jO}X!O-RJa4s{34^7p@2ogD)Yk0bNEXfoT8baZ3p)xSM?&1>(0Q>?3;n0lq?Jq$ zX(D53=$ZK_84Zwh6QzO>xPP-dIJ5W;l{Y9Zb&-LJRK9)n<{BG;Du+*bBkDP3biMrX(p79iL?sKL zZ=@f+A#Z-}Af79vz3g*16dXK?YB0c%*51R$PFJC!o^GX@Ry+z5X=aA_c(4IdSLR%6 zzZl|RC{#28@p7XcjR91c3oWlnB4-qY^6ukO(#|ZwKg+2U8J2A6|E}8`k4`nI%Y;yL zI1A>lpz;%kMRI;Q#uFuFIZpL;EDJO)%n6{OFXz549GzWCP0?oKO*NX>1NkTz+0qvH z+2V@2cN8GuN7dSE49Vb$^M%tAR#U51SmAPK8eo%PKby_W$OthiSZ)maK;+;2YawWH zo&I3Z!;RR8%HGk4g~Mqr&JwDRR?2v2xXt3U_{V>%|3?Jv*7wjShY`5ZGh4b`|8Hi@sY=~rfJ5iJ3VJ$R|7sy&Moo7Mm}7?9)K%|9%o(z2tm zuhR&HzOJ-%0f)Ym=m`N|KMiJ))bT^yki{WP;fKXY%MmIWhdODBLBr?)1uYwGBi?A} z5JxRTxhz|l9@wlFRl2DN#JNeSc4Ze89(p)4)=sXO?k+0M?2Z{1lr~B}LefmRb5slX zEE-1&NdYLev%qb2IuO$@j%Ob@ruV}TM%tUP)&~89MP(7y41o+b4K7J`iZFfn2~Cx- z1+}sp7b+(=-qK!_bc#C2a)Otkrm#>91wNcB_Sf#&eJBIoQXJMp+)8nu9f4Myw}e2d z{7nNZ?Io@Po>~-C7cm8T0xUlE#=A+pS|>4rt&YfdnE|jpaR3i%mSFxz;8S8aC!zpD z#Kfzp5v&!4m6>Yt$g|R_YZF|@;N%#XjuURYK+L-01Ob~ulr4yA+C}~mLWA&06i81R z7?^xw-A-Sro#y^Hd#Cu1Odg1~;lVy0TJh?Ig~R3`)`K}BJ zNJp|WNpG1IM`&@F9I+a1q9kYx7>Y~HA{ebx>VSY?m?iVg5(ZWdm5QOzxCu7BLF~?V zI)Qu>08V*F2**Il)F2`0cZRYxODb*HU)&3X`GUYf6#%t2^rNM6=^A}gsk6BB2brx+ z2p`$R*IV^?Yyx$oh`lbB-#%fjkm7t62Dua?Jm?Y_h;HQ9-gPqH`V=bUsqGY%3aXUZ z;pM7jyap&!G8CRCX${{T10K1!G?;LDAoMW)!!7I?vhP2Ib6T<$>RLQB%n4UCvi$4s~aS@KvlTxgoY zKP5V`9>{1MPV7Z*R^g&-Y8uX>ZrN11DbCjI6&zhoByjg?nr83ckIjFg# zM?w1LaEw}20%VnYktC%_TGahAuSn2hnbOL0i~!V6cgQWueOyTa5+_Prc19cXphh;cfE@TOcH{K)EA zCk^0m2Q!ir3 zEoaBHkd4gL!AfyV2FTb@b(m_?WTq&Ja)<0H8~N+8;bxtFT-}_7k?gbCPZ=AwrNB8CtX#!CJTu`rO%|&C(TCN6zj0cf%imE zUMl#{LM-{2VBn&k?9fOS6EcWs(Y`)ylp@5PjeG;y-m;^ zx86FVDPN(y6*uJj-A=M-kO+!Uzd zUEvgFK{XU+k?V;P2&xkRg;^M9gm>)<5&0-jArbZyM{W6PNPi(3M>b|CX%Vb+KbJ;Z z_L14MmV2bE89`>raOrQJbHWM}Xl4B**V58gD}xZtS&(=LXIob6?kaQZuO@^a|5a9| zOSFtAo;M!5T;HmFQ$loPN+{0t%pQHR@Y19QTPlW?^7LLwP8N`9nFwxPjpSy794fwl z>Pbcu20?=L3=XhQ9r_SIzIKx<`5SwMmUEW!dMVbElo zBKVLY6!CmWNEIa>)C(SREKHN$HL+yU{~{tf<+ny*M5;CqH(C@EV>Rpj(joGnN1!OR z;+XRMUR_QCP`H`(lB2_tgPSY{Cz! z@40$TGY~v*E5yIoBxYu-AxUhhjF+GNkPg!zfmRTs<^B$cw0sSBhsp9N`F~ zkw;tbyYHFfmW6E&0+d~na8)DYLkUU3NI$ z9#nrRfN)kyMzv~&bv1WxtopNsNgVmkpObVFE<`Ju!0aJxP4P5yIO3Z}jF1b?`L5l70hBB``IPmBQ*^KnH#StzvCuPOp6h%#nFvOn4FW&x*fg zr-iFUg8W+i5#zk%8*?rD&}42BRYfR2EOU~`*=pzCS+3MoZKdLI<6G%VNT*2Cc%O*- z2o-%0DWoVdj!iQY%1)z6#ir7N3^+z&{<6hR;g5z_iL=8*2=}0q#?qb4x>i*6ua>&5 z0x%p*hwPA;!Bk|TJ`?OeOVY%xiKB@TuLe76NH<+BmI76@=Q0--r zpVMS~TmLO|!r8>1qMQ_oo69|5_~9l3%Rxj+v0GuV?l@jFJt_gB@Z z7`$TW54nR!B|@Pg7r=qI;t?0H$o1%$lD_X1r@zs(iSPDpJiuhkCTKmbN3aINWc&wS zzyb$Wh)7B<^ztW8#L{9b%Pwst`MP8^LS0ijxP^kOx+=||0cF`BE1;$zxxZyp60|BP z-lQ&@zSn@#1CoPWcAZTI=4C){*PHcF;vFn3VtKSbZq{PTnVbSHx+Bc*^68ADqlZm! zV11XXYAO?qlCNC-lB|{8ik2+GVHz?5QL>;=b1eat7dDB{!5(dD^$M5(Hv4 zu%PVCdf#acqeCbnL8w4)0uZnL-`fug%Kt zEI+kM-0uKNm-0Z=W)vM}D0Ll*9V=-ZBp@WRkt@Wdi1C8}{y~M~*D#ACNaCghZEV$0 zy0~E4g?tE9NI6XD!bsI5N>bH7>t^p3Qe7w?ys77^MgC0%QlN4iMVk^=y_xUwsM#J# zJ<>Rn7#F2nNZXl>u{3FLjy2Rupv)9uDkD&*J`5%pR#%!ONJa}1h7JURRWFW}2iv-Go7g0Bos@EWaN(^GkfIoyP-ChkAh14z8CRwiR1P4L0fPsZE zAW|Ar>fr5Wv^*OL?4XqPW4$Q!$;uu{#zQ7_{%JO&_~Rl-y+{BR1*?@YP<$eSD9QKa zT6!(>3p2b|9ZtemOlFjhhoOxqVO%}Sj{l+2bFA)1IFL{?G*V$Xq3F-hva-mq7oN83 z9^rnPnn2n$)<+qk)oggFWqxlV6AcyFK@#yzhOsFW&0RB!$fFFWt>fPS?~?XDQd#Qm z`d4y{$<^OJF|bA1&@*yT4eHHo92v+IBH;@g{tuDVBv7+#Jr5TA>d>qPIByz+#Nw^e!uEq>0N_+l8 z3&p8di}Ovvy}jqS8F1b;#s@hl<%)r^X3=F2Y&V8Z@yh z{n1OqkvGqRGki={oIhBoXhMh>LO|X}Vl7km-BAU7;|vi?uT&cAD!?XU$V-?M@QtNh z@Qfb{FF&?b#%Jo|^`)B@ubB^ztVPO>udC+&`2wb7Ca&Uw#)eSmAB_H9kH9mhH7ILm z)6{FjlsE5;HhfG=85Q$w8n(7%mtx8kLWyVK4GNuq-7|B2d9xVnln67PJ1SYt3m4BU zCoX)FbKbajN9wp7R;$~wb&mfH2qis+>sEQt2|xvB`%*M~-+!wB-60DQ2=dXmwC& zr@c-)^gB5(`M%z9b3t9qiNDOmCEWIG=`QnI{^}@(uxH>6-t}CvA+98OvfZS5LV51e zvb3_|btN9Ojice(I(*I4GoiovE}mg(T0!&v%I8(Xr*mJ(`^8P;{)kc+z^>z?w0YPG zP2bRSdo^ax+~W$j=fuF#}z+8u60f7+S$pC&%7Wr}Z~c}Z~Bn%F70#b0@7u&E;= za-shyso!o8mUgZAc!qyDCJ=tvYhFWr-1y2v&#B$d5Cqz~$69)TvuEV>oXNlE`8^|l z{*qsD3jz(@V+1|t&llq#ly3SN)qZc4byDFy^Vn?<4Nl7(R}x#=z5(l8fwiNrF8Xn` zS8&3%HA(MAR}(++iuQtlgN}Ih)S-ZiW8#zQ^s?`r$HW)a>G$7jj)~8z(rXFh8@wJ;R^92NX(6~=zn zj8XxDcZDtsYzReVUaWfBE@iBxHyH!A?+s(=&Og;=h|g+)jFe~B8RCoDjHsT~J7o{T zyvdKSe4xW)%C)xbb%2{W(WK*e`jIW=0k z33U2n*d9DQx2>0PDxIc$WWZy{{^NJKGX0D}szUze-~ZWVO{N6%aV!WNhrM5VgJZti z&TP9|A5#Ex%5=Z3AKrj7MjO1bj_dn3;3Q1e0#f+$S>FM&KS}uNng8XT-I(X2`qh61 zdHT9QvFPff>(~h<4`KnBzvB+q=8Y@3e{rXnXm)RD!nm>9Tn9iS0;SLU;Vi0V27HdS*b?2PY?4O!&f5l@g_8a!gj z0ecsxC*iZ|G{c_LPj~fZg-y`UxOf*=(n9o)bNJz?dF?H?j|BeVvCtmn7h)zH>X#D1 zE6LBguP(c5EAQOcaq7U}q0x=-84fkNBQOL2>iZa1m7hu@JoDp(kK2D1dC(ubKKsh` z;BP`2{YEg!jj!L>r)$jnb5!1I z=I0XD>s^k{XCuz*JpL$MkBtxgNKVNfj zE%#hMANizEpWX96Bfb_vck)lJeSM;o5 zNu}r58<_1>B30eZnCx)C2*rwj^_Vl+jV2OSJboNaykO_m7Kh`1{i^uL#crf9`ty|L zZZ2*w@RA~?==0UUL%=y*))Muxbk#NM&1OGu@YjT;08$^*^H4;+DoQsCOp1nD0Z{3Ug_mYzy718K!dr|f+D zpUc}3ERH7s`X_B{j;4LzNubt4kn~IB-l>IaR+Vj2;o{s8BsO3aun`3>su2IEx`Mii zeRu(=JMaS!^8YF`+pvh^oQ**uh8`flh{NNhvVe7+ z@&VS1<`DE|v~(zNC$G}Q!L$sa(8XYVGr+Hp-AWN9w+MiS4h6#D5m^e7eQ@EL+d7h- zm`nmNHc0MU${nKQHM?ABm(cP{V%|>%n?5d;mqOfi#dPuOXy31YVA~H7x~@*c6bjYP zLKX?t_+2XZgpOd*`k10AywhB-x7PO_u&POap7-q6X?IvC|Jg_Xf^81;IV?dE0(TW= z4H=f#PYK3zUYh!m%ospFfZ90di$D)-07Y)fVwmWF7aO|-Ye)Cqw!*ly)(7jSmH>Px zfAsd>f@?#fv@x~`RVNSb?v;N)ko}RFYx)}Q3UAvD&$%} zDK)u;`61o?1?wu*$=0{NdHFHYtQzi9>Wtd{vLl`i=^eb{b)vgn!C- zb<+eTyu@Aks*=vUCU~yIfcf?buNX4hT}ys`|6=|w`3SpuAw}af>Z-Z~8(Ws9eaIDF z-x{7gKz_~Wm^#=2Py{ZGjb>%Kt=hJGntc0)us@f!15E5rMQWtCg&z9ne%2P&+DyT9 zVCjk;-?udo3csw+p;v7FA$)I6ALjS!AXI}fnJF5$dT@KSW{&eB<~t!Mge{Djbw120 znwWL=5ELJXXnUM7NS^+^Eo?3OI<3-uJuBL){!hr=#9?U*AnVRxo${=L3Ow5=_03u^ zfQei%2n^4(iVWwP5@(xga{nz>uDkr3vf3dV_JsuEH}-(@@0>Cl$h$o9OOV2?|EH{P zjPC4dwvMfdt(lk;V`5I6Ol;fsiH*s`w(W^+o4*({v5hzX``o*pd!M)0I_rEmwRcsm z(;s?uSJ%!|>gau(gzbNvj3-k|x;qDo>~Zww1>}38DcHI=JO$eAy4vb|^~7IYW_XXP z%Qn>%0H2$fr}E=_m3h=Ww|PJ>klpC`-f*Jn7MAHxc3WKt-}Y(M(P?QSmRk|wSj5St zFnF#^4oDu1in!fhERl5bLlDHfG` zQxqiF?mC%{nn!?-;I|&98MLuZiKKd3BF1?33d`rX@&Om7UThyX zppa84Hj@JNRVm=xrgVYtA?7qJ<6k|fcsrNZ?%AueM&t_DN<~+Fo8~o&HSJBhU;-;I z(C6e^Cf!Xhdz<&H-)oaCO3v#HKPsJQVi6i*r+4enHA{^85+(E zm)M@{H9DDusgKEaZr*a)nd~tFo%V~w;L=kja{4C+-L2;C&vYcqiJjYLp-QXCO*|p?x6k2f#WlsGX#bGGaZ7l zNywc9tCBS;q#m<_5bp!VU8g-qB!^|4;33vC{OQh}9aV0OOIo3yb@RuCzAhk!T^FA} zw!5rO&DW`Iybl0j3$KZUzdDVSYD*Z_4gX11!jRm|ox2QZVitDUN1Ia9t*t--W`hBV zD6U{Fbf@DCy~jh?@eH1O@3wlg+blgZq6>TAc&0w@>ZV9`?~Y;uu%W zgn3gSB<7aQ3fC6I2U`di!F2gn;DsLBclJ%=wJv>h%u!sM{;+@PYFH;sVw>^f|7VOF zuKw)fhk-6G-()J!b?iU#uip=EQ^yu!FD(~{pacwVMcRWF1Y#WW7f3{gJkwN=n$NOe zJ!_uuHnN>zFVGZ8%k>=YN5-TM7<)duCGUK7Ox{-fJ$8xPMwio_w;%ukGrvF*ydKwzwK$;jB0 zMQ|YY&@te4o)%U;%)1^%Fx}&i?#w5?j^T5}5S7W8j%5^-ps3ZU){nA zPl(0}!$Y7-mUga-Wx5WtH7%s5jIgKd4S`uz+`Vk0COFOrjwbBn1CBz>>q|DDN!C3# zBu5Lz@MrSRyWPJPdH%UHk;k>(m3)5zNdd4MlYR^qBW`BG&+Dqu=tWl{1U*DNU2-h( zpN>}K(+bO`j~-d_=^oQA`QbfirALbm<~Wy+&<#oaF}BlR_S89(-n6W4muE!u0q3); z^!X98-~>hsn&G6w@hJC5jE#MEqdl{m1-vo$KOl^KLh!(f$aL5Im_rpck;X%xla9>x z?3q^Mh^wCs(nZ!83Sd$+0h`*dNr|1qrc^PpLf+uHmOk~4hc)uTRsB3Wurkai zxOoQXSD{I?|Q*#r&%!z(sa<>cr>#dP`7pTzoQ6p%$+4Va(f zwl={NmMp^8l^NZf0_}$Ghq3|71~$E^UB7CuoB|aon^eq=in6M{PeIs?o5J zT8t!wt8++s3n9Cy8dr-S(L)nHbht2re^Tfm>{~aul4l{L>;G|jH9dGg`ryss7NU&W z54xy{V4zUJ33puEeolXQ0~7-9b|lHZPFlboH=Vm7$3%x}HL&d!Yr?{pD?djNM7?W2 zZ}t9?OW-r~&g;UvfmpsqBew9RY6_nnSD`t1ruv+=j<4I1X$j>RNlrPn#SEtQVA*L1 zQNRR-pvCKEq@iJF>gJ2_PY>IHgB_a$aiHqviX#rpHI)Me~Nml^vyl;KH%+iwKAKJQ0i7fz5B>`n_m-R9h9A1Rhq}U`+d1mL?`tifOw98M6In zE%!)1SoSEa+|9_MyuGyBUQ_FZud-*O^miuT0)xoX8fq%-9-w2L2BJNW1mV#T^cNlJ z2ZDZ;@Zd&n@n)#u3>g5;^P|yeX%XDX2Wd@kv3N(~L2frx`5^z)WeMMtI1_Q-M`05n2D5_(u6St-p z&#LO(OVLT;4}8+oq4;9lQT^`68k5G3!t^}+gqIAvqQsM_gi4IU!=leeo`mY^z9U5i z860)WI%~x>Lt6gssv;U9XVaUDZ)bY~oivAm*5vT#Ct|Ix~dawDEMxNMNS9=m~x zN}8LnypPIkQ%|J(#iSUxXn>$eTbm1#-qRl1DNueH0zTmaES6dm9JJD=Dw*j&X_OkPMzWg}*MA*I1LCFta?5u}oglxXaP-O&QznH?u7jwsrc#UGCD!M^<*S+ZyWGMlM?C7)d*(_XL*lOK-9nDst*nMM-5nQP# zE@q2;1_IBbvICeRuBzONf6^1qEt4o7VD~pZyfG5%CqUA^`>)v_WJ8h7-tSVJrH&c| zz-41^hg*>C|eV zM=`o|rE=a{ph?r-L;2>3D$}m`m+KA4-epcYG>!`j8Ng?dcSnlFrK2L>Hoof3%(|oy z`dvOy5u)Xx&~^=H4#gkn3mIFu!=kbaM9R5g(Xn~G(wJpS(4}GCY9L&g(#Tj*k+?Sb z0@b0Xj@n;k(2zIw9UD_flb7eNWu$+KLl-mz_C?VnK(5{(O=0`@qlYsgHl=tD6t)$H z!5*r5<9Aj3wF`a)FC-2r%$;+(5U-1gU2{L6pYiZ}DEh+Z#OhMm>NKNW6~~Cje&x7p zSNAyJQTGFQvByMaLRo4^=T3(U78 zkhk@54CO^f-t#l4X!kt>^Vb*az37GTNv|=?9KQ5d;l5k`I9iOY=5T?UP+osI^`AdT ziV;kMP0a0zjba=0WLDT;W6^fQB9@-^BkNZ{NA++;b_&ft5J?#DM+7J&snJvIRiN&c$XSj^DwnX_$^sA~0M8^De9)6aZc-Huar?p*yG*`t=-b5fl#qY)lDPj! zH?Lj3)#cwx6IGI5RuPBB>Q^KZ0|%y4o3Gkhm^Mc%1#FWAO`q=K(c(<>U*rpNw#S@f z)*)2b+3zmt`L;#H(}o{s<_DEm#SBAK$bQ3Q-`e!nj8)=!WSrrzL@_9yETsUrq0I=@ zwN33dcHJ_tloelK5)~@suT<;5aKC>8>SUrx^*~v%eQpk}nRrai%F9)60pD;(qN`hK zSx-Z3y8d{>hwc7iekJGHk8{nC+Y%s%XVeNjFLJH2%A$=%qvN<j2F^tbfjiO+H>Knv&u}=murf>nx`Ksehe8KIcdyW4_Pg?OCop1b&wSeP1Uf zV~P=2)OgT9U8t%PZ_@NeWJ-&&y4p+mtr@e4k&^BKD`uUe_i7$fk_Z5uk@et2%x^N{ zHGpVW&~}5IRQa$mE!juW{=03Kc=+^A&lV6w&s1HGz~3Ha57*~3p#m4nHU8?$7523*bS+b?21$oh$I zrksN%k#EKd^Wrs#K96w8 z-Lbd5NJfM!D5&)z63BYmDWU2B4)?aX%fov5n;F|wAaH~!f81|adP~-Qu>Hrmri_^< z#dF*45ZnYIast4!Xw-TxrDZ0knGM;7w;9j#i)@3Stj>Vp+D~PB->xVX^UnL!Mb(Rt zdvq_%E)MOGQ{TnxwE+j^J4CN+#p{HxgJ_9OksDCA_ZVsw@FsqUPL~&74zsQVU$Y+u z2C?>A_NsCG?kTm*l_7*cyqRF${``^VfSdm7uzJ@K#uhT3fm-53Yl65zX>=8 zwYw6=xis=Hn4#8KG|P7rR`nbkxlZBdbmd0jR^Jx`l?O-ZsBY=L=1<-#GjUSYNWwmf z9ZM-k++>;%=^#Jya(~kgPxo#pb2hSOB{!`;b;F;~{j-m^ufN+X=fPovYR3$+(+rS^o!0l43^}qwHJ(qc{I(U&>6etaMkW-|H~Mp4svdwmlTXhhq6Ldn zo2AxRGQhOGHPr^`1oyjCv0}Qx?K-`?wQ*>tC5Cf-FDgZdqO^_IM>r%Yk`Osg&$3&~ zDz;kIP4>7$aB@>yht0xeH1LPZvh>%`TMrjtqEni2;Qb;$TjoXp=gaW9Dc0|&gES0# zW})MR8`@Ap$ps9S-xF-nzJv&(4S#Ri2S(P0>u1?bZ&exZ=Ka!WP&PBvlT3&9_g5zJ z*A&eoEU9VuJ;0fdnV*tvw*!+&eee<+>#dL+WB)qe-`Jc(KcKyQGn~EJt~fUncir6w zw8C29r8xIqdhsOzhLIW=6GSP&N`LaXBh)T#@Y;nH+|HJPU%xjKz}+F#M!MvcDH13v zAF5BHARJq78_FqGTp&=16(h&3KNNZBFxvEniNy+WM_cw!bDe*7-H=R34oZfcmkx0(n^Dl1lLM1eiO8HHU9r9k zs?x%-AsinBWxP`&ozKa{OJBEilAT}Qd`gY(d_9fE#LyXhGj54R3id!3NM{FptQ{?L zzjvPA^b>59mk=siiKwpb;8|42te!sAFYd$Nw4op!>WI`c!X#Jb zUGgs@2@e9(S&o{=&<oAr@dWMh~cq6 zJgL*=Ldm$)66(amXsdmzPt}2OmO=6bui}QiOEF!vphVFK=jeiCF+L}ISk(G4nuUnQ z?+Do$I7p4f^d&bFJb5RME6ord>EvUu$aq4*?l;5Js4 zo{0K0X~8WwK7-;Sg2vErbT5bBMJQa&JxoX*CnNo&)~Tx%f{4+9mS_tc*S#mC#_oxk zzZlX_aBq2G@mzS@KkhIOpA>~8PFUm5q-MO7Bh#Uw`C9VoJuN>Y`G83FdjnpLQSqQX zy?Y~E?8Vh8e|79A_QH1jJx6NnpA$Ds+Q?z>1_YGMWz>c=&9|mRfLD5moVeQuSf@#I{8|!u5E{HC`w{xV3&`U^Lhh z5C-cn2OL1)=Teu`SKVNflnPefMgJ6DPLfZO|0_wORVEhdcmwAEb4z1Ti73~pj&8Vi zILbkl%LY#k`P@wfVM-a~9a`}7%%ZnF?`?|)#=9d+EMyZn?`SFup;jtSDxyUg@?(+_ zR?GkuR5OD#pck*jVHL}#2S4SUZH=ZD1GZJqND0l%W|RUWNCi{dwtW0X!oLj&+)2_S zHCc7ApSKncjJ`jJgyEXCSNS6!5Tr9Hb>1f;YiMvXJ0ZBd7~bb4rxWnr3GQ`VE8(W` zUIJUBrIUcAxf1c1^ z$zqq6qVmOdcG}n)^H)!)`r?A0Cbs=AI$PZ5alaRObk>MLb;AtQ7`_oAchN86cnRn= zpxK2d7H7n$<~M!0%RP#DH*`v*HN%g#5))mGblJe3EmQF|z4X{X;p` z56Saa2(7RMhE91VOT#hh=zltor9MBzOwZ0HS1 zX_&6bW~;yZiFL9)<=<}Sb_8u>#g}gk)Hs^VehPib_5l|$*)iDK@gvt!3bSv?s{zwy zFG|4rjR*);kwGH{qFk3f%Z-2|Hl*rrGaD^Y{H!|#@|%7GZ;=~(p}507+R-s{_ES5v zMS*2lPl;UJrqSnSW7Hse zqZEBZ2&>BHVA}z6r}-0`#&WIXOJ#}AEMNpk5QjRnMAi$w1XlZggX%--hUr_}Lyadr zAev30UZ~x9)r}tGpZ(%YS=u=>?RcV2KOmy=jdEaCR8OC#!oq*pedLjIfFil_6+3a> zp+@;|?z6{OL2Ykb+UUB*Jv6w985Z=7n%KAB=CZ$}eqfRX-QWc>xV}3QM_$-v`?Z?` z1ubx%1*u8cj+yNkems1Tc*HyQ=X`$klZaP_7Vx$l5A!?gO>i}XtE!*H4%a-iPqFAO zUC3#ksif6s6wE8IZ#J!;4|u+ts0R{~P97;sY$iBgC7MHBT%&;9nszzgP7?|USd6aR z5I3o;sL*O+HbW8fBjn?PvhURr2zskvma@k4#j<`YB^lL2-&Ia$lMEN zvYQtgaW8+f3WXYMeHMnS^Y!`!IH9(;ox!h}o-BG<-|{IdI|-Ms*v@BDb^NBd{>EyITAhVF8+x}f6cqm#PF$Nrq|hZ?{Sb2Ae;S>MxSb~QPZ7~z^;?pPH` zJbGC=iy2MIT3K4w-l~bjFpUmPqWSQ~;^(U!eHp^+aBDwRr>h?oR$qQv0jKOoRaJB$ z_DKugBI~`Tk?&rEv*yUt+aemC1uff_ONOE0I5Q zmEmkw;rd{#&KrX|zYcRX=AW5`mtPYKC@xf!?_rqIMWE9eqe&!~bM>GEG%ZXgEnYF% zZ%WR8W}3t4gl{c6_T8E0ZjOPR^SfXwFv$K>Y*oOu8YRuk#6;;i3($2qSGoFIIBdru z`23pHB?LnlJ^+KOzM0aX5xUj)8aw|Ulc*oGRO;s7u*X+kv+)g+DV0a2+lMv9E$)1i zt&Dg#&XI7Oin^6^Be6d`D<3|_0%b43EHMl%KH*Q|w?&s|>Or*1xhR`!+6uQ!iL2%2 z3Ql;AV}Zb}a_8?yKY-;Bus~AbSjnFydE+b2_?~x=ZfJ1O zId^}JxI}GI9x&1(8lNreK6QG4Iz!Kf%<;^4I7ITx)HhU9+IQq~PTpyWCI0WDs@2@G zf?>0B07_^6xW(!SGYafb70yW> z(MXeR-9H7!vZv(}K);y zIGlvvw|brm{i15-TiHlMQb!Y?P<4Nhk2p$2;M$o7QUXwm5#Js1-h~MjY3;%=u^A}H z!aH^-rqhv_5G<0wtkc5DP}Y>^yl*aeyla@;v7wq*R7N*xuf6w=)~R;qd8PGy#Yld3 z(&6)suT!Jmuy2hy9|ypKXwk@r7}i{9i*A@-^awm^SbVkhNqglbF9a-UpG~U zfhUJH2VgSmna&F#42iL6Fe87*L=|7Hl1p1?l`i3noMV~i$*SG`KZ!cg3RKg9QMyu$h!~;v$TrqH0hxsgP$XM zlEMf@Cd6*UPiriZVZI}Ylp?vWu5YvssTU4$x&bQuIcbZZ^=WY3cM;xMzJNzqtYbl* zLP+G8EhHOb7`tqs+%@Qzg)xT)Ei#gWsW=qyplrSi5^JZfPgjru-zpYefq4HlIwh!T6<=-FjU)EM)dDD(>E^&LI-K+&X=hf;%A|g%03eLCO zKP7uo;%%N3jlBsM&eX7{s}+9tBD?FM#{rV?zk2fM%eK;|hOBER-JxvmOsHnW1xg;!|RRQ}a##~9K0`(Pa zMS-%EGCS%BjtKE%Ypte2NQdTU@~^&JsBvFQOWzm%ilmLa{RaZK_pbMialNWZbq-+U zLKgb2@_zhR%|Moyv&?k6B`>7N-Yj0g_*WzPjTXfcK_`?is3_2+HK(nrLp=qi55(V! z3XujrRI?1~D8f*+7TuoZ)#yA@38I#iAf^sJmLPv+r+ZS8CCMal`a&vsCW<`_(x*{g zGen@lmoy*U*B}jH;B^p@mqr&bND={*k7GvbyzhE(bX1~2SIIBfMP-chwm>Il_Z^B# z!y-cF+L>zS86TyYJ+X7_o0+k5dZC+Ij#a;Wgsc*n&V_K5_lrY`pU5%$9xv=W<-K*!K<@N2%;Pa zPA&hSil2ELSHf-MMWLLi1+_AIRwlHgLzW51iAJB^m%6LT`-&a@xZ)YzA7R*tiv>1_f2eK))ocgS?wDMD6^fCs@|3^kFvRS%@VsKIWz|3F z84>)vh%g~8-NoEp6~AjP$UXmU>P#a%my~i^2D2V-$-bjsLMXD3D0ekFnj$yA@vUgB z{_>j1NxfAfE1@SblqV;f5k_poGv+abXD?zCU-9D8gSx3LJISB8LK5KQ@zdyHw;o}O zV{H33^jec6VVX%Isc~wIC$o)add-N-XK?sy=rLD-s&3)5R)it?Ir2oR%fy)D(MN~`l{&pNHqcJ*LJFz_~Dkw{eNZz~5~>TOS#sl?JKo z@ki8_mfj8ULUNesOh?mb0crOa@L&rDfy{ ztnL0JZsd^O5x(*}abZp>d)8hQwz4w_8N$QEtGkqh^2HKS7zD)T7<|Y!C(5wn^l=XL z=fW|7fvTCz6+#pjm`0t0Rjs^{0&UEHKn3jouKHovae3*{nOjtJoxN?>U|53@aU>l! z@Ckz33kmf0Opa){CmC$j3hj@6K2iUW;D-1VkzH@Ztzux!v}qLQrXAUQsAP$xIvf_EIDdmb>wg;I2jvNg17 z?oHS!m09X}jEmb4n$+Ckk8LMraJY9)8B*|?o27IFb24q`6{{SXhOu3jkO(WdB-jJi zqyHK-0pF*?ef7JKl_dL+z^=`Rx?ML@lEbKJzJL->+W?5!FFC|4EpBvBQw)wGGgkAI zE+V~@;bEZ!^cHmk?^MUKNGmA&vaubPN&RQyNd{N5pTc%t)Qe^$REzw+Uy<%;`o~~a zRm-IW;67ho=iSLBQJP8HpjwAi<8Tv?+|gVIZMer1ZX;9|>jpE-8pRo4q(Q|wyrp{Tpy5d2_O2Cdb{HP4~xN{Jz~vFpMESYpgl zli#oG%td;&RqIsx-dp|cKSihX8n{gSai=+_sR10D&S0$7c@cLe_ zjB40&#ZkbVA&yoNwTZI1rlRo`!xH8<*NCGHGYebshF7@nnP=McU%Mo_-1MRk!<@l# za{y&2l~JdL*yO++7{KzE>e*Rg!9r(9AGp^wm_;0c|NuNf{nh z9;ypS-Fznkww3PLN{~VkWcgsbjZ4-A5T=l9Sr+2OgeC;OiR^*T-{FUGKfY5A(O4Pl zi1SG@r)Wq8+BrK$+yrNPVfSyC&cGiRQ=pZ4)GQ((ytHz4FmQkUphM>rMS7jH3!#nO zbpNT>oCK#QNb*fpzZX%PF6(MAN&6Lo+W@^O#l_<{^Zh;>!;bhvxb_n>wV^P^`sLFt zxkN=6B#3=jVq(_Eioqc7()2Q|=4yLEp|?Zxb85RjES1)jj;3rljb=C?aLF~v0SI}8 zV?OYtYm}B_`?C1br*fzaG)Q%c8C{Jjit-^ibB}#(h&+>7Aenv6Ehym@N@hF4xQM$OlAVK@*=m zu=$0j_&B}HMvnz4^w@=PAMkePM5+=8U~v=U@puy=W*EEI(*idwzo%|E2dz9+fR4+6 zdgiu3n$h*FQ6*bxF|)w3B6!6X{VyA$>?e_ZGo6rGdk)QGQEMM_>3jWtXyT!9OC8ecV(X&*&cDq;5|G(OD(q9_4n62q?@QWKYimnF)&dT?+o%J5L_5!|e_ zlE6A3ACvRtvzb-exGy?ga<1$P>@PD5hv8P3t1>Egll?$&n_)m!e9ZtgX>GV!w53oF z<1>Fz8P@$hm5upF>0)tFI;2mzzvthQ?>IV7s`X}pCrn5=RtB(w)p2*9T}xf7Jqa5j;^WL+;rO&MCb-DaIayn4`H%~@qZ5@Nw~#L zE?uO!*uSb_R4)R5$U!0^FfgzX`CFfPA>#R%pba$oj_BuhznOY%&9M1ghS1@tNO_$v z^oZ^ohd=$4D}Hn~)XoE*@@o6^dLFE15-pN{Mus(#Yhd?Cv$C5eW z*jfcpIMDt$rjU;n!=ZYxNmB>Og1FG?em=)WX>*9@3(s3bNk|{|5dH?Gem7o~$r;*- zSJkePETxDVYd2gjHOe}d*y*%FpMtkO44Bk>{^~kdrmZj+2O!(*7vlSN0qJgE(RCCh zd~a;5jPyiSUEF_YaPJ}9dKOdRd7B%OwDbd5)99+9US|@tvpW1aa`YeaBWNdg$yHi5 z3XTYB`N;lfOE7c95d0%SllCtYj%QFlR8BlCkEC#2L$fYl^m$Kf>z**yqEtogJl%d- zk&!|wH6n`(DGKdLKS}f^%nF4dGKVGA5w_omkVo%{K@44Ehf$tD#yRX^g5`!2>+}PD zyxkJu9B-)AmQS8pFr^Gz#W49*qt92tT=@%c@$&PrEfv;w2Z#3=cok@-e6fCJYyRuG zvQQRjdWC*ZOAAeoLv~O2Z?V2b3hSb^RL1KDtwrg9p=CQntL@rF3n=-C--6`jV*2rr z_S)qkVIPRFBF~*zb$&!)f0ibx@)-q)@d?)$vHZ7H$iQ*a7g-5(7VdEAJ-LMWuvC5c zNTUK5hrBDVj#^pr*wW-$-d|4&Y)69q@);Jwu~+txB^D#)+|f%SS+Q= z0$$FATILcM5QFB2gS|Vh-!&4m43e=`){Cko|2ScyjTQkYGRcL+ zKYC5{A#Wrwc@C#dKf3LtNqx@CwT||QAP?GhLhBH~nO=y30s}{F+t^lDQKNak;K*vb z>AbEg{ksrvf<3t5%?_Q&A2K3}`D4EWx|ILC7K(5JdN6RngaDoX$4ibn<7k{@c~UHP ztR9$|ZIe~gZF#l(z}?L0+(jIqZ)mjV2-0C|6Tew~sx%g~$C}!dnhx|I+Im8`^7`E? z@cMVJmf1zOO*h`Ilglq(A9E&P} z4^`dHJ>DS_nn@{2Vb+vROmO={ZBZF|TH)wEO>-&n(^p8(wk0pde0XR8Y)alFvdtS8 zm1G)PQJ#4e1#wQEdQA&^VQHj-0q=@pxCU=T^Inky8?^;OM}ouKJzN8~G+8!1b)&dB znr$G7A+|^Ysn-Ynn81W$Gn_>2K1jN|KB;gog&vc8OZD9TTx5e8?x(l2IODbu)$Nv#$)3F&J#tZ=}eWekFaSh14&{1$SgF@0Gf$&>d_} zGwvzXa$w4nL`+(&?iFNdP$Z?9ZCo58uo2BQc~HqcG+1nT-WsMCBc=?u=x)l6>=V`~ zj@__?ggRw*rS7;~79VwKqe{~T1w-~}lQnq@TS$EE*6$N&L%kh9Za~r6bO+K#YFEp| ztECQHbzAMp>%v)^=JOH!w9Pnjl#c*qRY(m@iPV)}S*%qA-&jyzn@gn>%GjP~+zbv} z8NnqHBiwvJm*23>z+jTOQDx>nGnmhD_Qc;N`X5!SV|NvCf0W2)Q{bN%xG!cBAX6bD zofXQ_)tM9W;_nWC$#K5Kv=C1@<>WuP$ZoM3hTgY^B_j{hoZq-?YGkBdy3)>jDNGDn z90MvM&D-Al)&H2(XCyL;{)G~CmB+lCjCwu&nvJxT(_LhRlqAKW zm^lePsHtayBT=3<(!`2<+t+>LwHEtm5K4B)chPG*bld0+FpigK@HvTB)TxkR=vC?i z>LylrwfhY47{6&Zw=Xc}aZrqQ?3U-swxhfRKkk3KAc8;Y(~iv8_jLJ~ln4DP?RlBL zWbb9yPY5zBMm1zD5;i0Zo);djBDGeQkqyS{1*NJVah57KoaxKVK3W;9$Dp16?^0-3 z-5=b}v!*)9BScVUq;)LpH7qRPBe=B8_Q;={CUOoS!2Y*&vobLh8aRX+cC#r76g4=! z`bQ~s>FS(ddU7%;6g~exmDS)-H(-GTU|^RY4=mWf$Gd+wI2f3-ojs$8v8jSII0Pmn z=Eqk!uzxsUuBqehlflWLumE$Se_2`B8ae)_+0A9!njsPx*fz(1at9Ot3*~5R@V`O- z1Y~NeImC<(2DZQg1_uA{c);HMk?8&faxyotH~DXv#(%~14{mnC6o&017=6kAbY{f& z-#F+0Cl|MEj36fGQ-I`X}7~a)tOm-roI?5@O@~e_+`t3;+NC delta 64033 zcmZ6yV{o8N&^8)-V{dGm8{4*RZoEl0cWm2sHnwfswr!o~ee0b1>N`KCXL|bTuBn+n z({oLC4kHf^BLj*u;1K8_ARsUx@R0f{9J=IkX`mn=)({{d(ErVvnm96e*x5!UPTFS( zq6aPCszhowMj-cKm&9F;FmZ|Z`M!iDBn74A5n!;wK!v|QG}^=qR*2Vk9(x~8@kONZ zH4P)MwfuvbJocxP?%OwJV@2e8RZ@&+z4@q;gQy|q2I!Cd$>_v6S^4U0Tb%Qw?B9CA zVmufk$>p-F(;eMsHR9~9zfym(uYh$@;DBZQn8CAU21B&-^+qamK&rdTmG7m1@gt$0 zV;{QL!^Sa6xs^@FR+`auQ35q!dvsG+S)Rg8`FGzq`mnqjZ@Rtc^{C!;Moj-JZFcXM ze_{AD4xqmjsa>UCa{9V4HGk=Db5sm9iDSRr$7A-Rlg^x)KBoUQ+!Q;oWbaOQzS;KK z3M(*>`w1XXyj|h@(uqm za)!E>0jztlDE;7-{J;cfD9tGe$nzqLr`|JUEFd+8J+JYs0K3S02c8YuFoKD(?0a!4 zyE&Uk7jepznb3kecpF+OJJGuk3HqnZK)qslf0Us)ZY_kO9#gmS;hU^`t`mE(K3}&{QBhh&m5MrB<{&6;FeGZ1dY?m{u%qRlpY)s!3k+JS?2qg`xxCW9{k@JXOR&vhx-2#Q> zIU1W6`YJ63axyFS6N>O%Je2o*a7rxy*U3|Dyi;6-FU$u==@n`19rUhvev{3=TmA2M zRe!MY=}hqb28x#uetW&;boYhLdkIEAz&pH~qukKlaZNA{w46TT`AXNi zpNb~zBbf?7E&qzh>&LZ-+PdGC$POl4n0fOGtkRC2^RFaSITy=h#YM1dnsV8U{X!_w z2~l6iv#VhdKvjb%kQ6l^^$7wixhlfJdoQlveQAg4K6MWHKe+^USf4baWFrE z$L7U~9ap0+jj?HSC4`^j+u&$0Zctw^yj+mZgx5mFZDw@b+>T%8=BhA3$K-ZYC&dZH zAI>cZvoY-?ZP6E3jg2QWd@wEJ@)tnFs!k;Ue@Pu$bBKt#{m>VN`HnvH{Li5&Msp*+ z@x4LJ75pK6h`ut7cfixM*_q^rSuu%&vlbm)q?3;=7Ujdc~Jn z!Vr%PyJnYfZ80AF3{`C?p2nYYQ}G_!PjJRT=1ie=9H4y?@~8f=_(7B-Z+?t_zEZcJ z+QJkK5=Ep)Gu)}YY?5J=4XlBt@`-Q4szvDv321Y&Xl%J9i4Z$FLK@w$9t%fFX0vO+7DAXiExR3R87$^Cm4_5 z!X_jO<{L;2r3aBtuaV0Zz#cE zk+qUO9U1K5=bJj{Vka*~_iN*j7E!(~6XX`Tngo$GtISI-9OVk^w$c~^*BZp5d_>H4 zI%G>o*is}rKOek05F$@gR>4M@zxOCS$A%mNlM>O2b?*gWA&=?k!EDM9TI6kM3-A7#JGFV_V3_;G7PZ@4QcB!A~7AA%$@zLH|>OR+)VRHa-a|XNDVA z`>C^~L`s02rem9?Qw^eV2hLObo6|V8*N(LMfM$KFCyq>(^U;rZY4u zlmRO2&&N0V5}w@F+(z}TplJd@+S9)G}kfBpCO5X1T(2g+G?$3GgMVtMG0D&s>Fp4aYpn7A9c-Rrm*F zd}YN3(Vb!LoI`H-!@VYm4}_)3n6hAn`HE*Ii(&`MYvwBY#ilB!jnm4&X83G@?k+!8 z*FTpI?!=`=hEq}cC9v6Z6a=d@9bH4WZss9t2^PMKBLWS&KPU7U+q;g< zqZG2__2C_9mp8AaU!*TPEmVNw?sPeZ!BHnxXZ|0*Luq0e5R;i{1p_8o^V^se9~{Pi z;U=!%iL zClY+IwuN}IMF%j84RDr&I?E#ejIsiLuniqtq>(SGKL4vZdw^RoQke>SS#Us*ugZ}I zUFPrD73xV{wk~MG(H{p+NnklyG0-v66_Yh8*dj20$*Y^k#d?uebbsPlIm1mZ+*$Nw zs_YIP#pCpvfWU%`RblFkr=J^`%sY|^V2_(=>^G}yS*hExo2$Rt@2>=qm6KelZIkG) z=@cN*Rixkl^#8Ye8OOcRd?f^q$k!SGtY5gax~Q&Z>e>s(>_7mj4PJ*)kd`t9O+i}! zRA0$aiImL(iKXe|p@!x3fsgBk*UR07UaGe}}P{Vmx@=LI6u~*{_(IvhU?7$PU z#o!GgB)(tm!>4dCHM51f37dDR;)&x66cPyF@dx0dHZP*NJH5xi@NNBteYuU4w>6_+ zd%=IS#cs;dB>w`&!(y5i*mkzH(LpQO1EEn}P2>QB*mcQxFT0>9ZSRXOQ4G7N zmOs=23o=yC8HU5}#5EV9p_5S)W(!rx<&%Rx9{eq*GEDLoNpL_f4X4UUnsI6}5|{Vm zsGp5p{6zGT-LfWoS|+dL9ii#h;0}=Yilmtf#Jyq#dZMAkY2qym#{QD$|& z8G$n4bD#X@?OlM!L8@IpqFKj{CABjti!vFvIq$Kei_GkXiJC5N>jYepO$o^bUA^=;zyU9w>&f z2q<4T8;(F~kj~2M86;8WG2&YK0g0kDtD=urZ%j}>>m2S_>JG*TNZjm6xT;9f-(;M5 z415-)_373|X{1eqXU-pE>`WAazkcTOK4=s$OjWR|Sod~ zBVOdu7b>0225U>Le+=ApH0#^Xb|~qbKwuscM~~<#CIRkgct=n2#$2NcKvBIyT89T+ zg~yL$8uy|#lGZcylsfq6g=U$&k$*|-+PA2*Dcb&L?mBez zMb@%YaNZwi>?VS1=;3XF>ECdZGQcnGnyif(0f!9<&X`v^a&LZ9#i{r%@r>*k#R>(% zF_b6}%!Iq7QRQ_0kt19&fJh}L>&w*TKJAMM#XNV zc3jjaVnK9_nJ%JSB!}51gDL;Ti{(dL>{9+dM?Yl@P{=7d*e?!TALYfDDQp(WpG=s! z4`R_l<+=q$+=7G~c@~TG`IAlhMLqWXX)tYlX1Z{W-mmRi!4mlbm|W#4lsxN(iht2(a`h^#iULgf%0TXhH- zfUQ1eiG|_jUH+ql3{z{0=D+?l3vn@~E#@00LDAQu6t^X5L!EQZ4E!YlEzD_8&u&j? z4UP8G#DsDsjy|1XBYGV^N{Z)2Ug%lwsA2zo{6JPq|3KiBTZi!QOY@yOa#d4wqui`v8nfHotYbs+oSB zhvc3C=o0Kk<k`qD$i4h7b$(f9D~L5*;(TCCkw~^(eU#9zH=69 z%B{cCwVB^wjyU{w5KZ?jO?R-|*;0U=1Z1h@y*;Y{_Nc(zgaFG9-g(8xz7mHymGxtJ zj<_e|fn-F_HFYx8U6_WWY^;&1Y}sEqhF zw}v=CLLL4jpH*+MHK5@2hmgkdvq0n#4J;(}+? zc8I+7#s;&3`B9`Ju9HBEp8ZubLGpBCUOD%CbZe}oBA;P-glI(2V(wp}~ zL6775l4p*ZW!wwWEsC1)+=i|*Ta!P;iaZ@)sT6IcO^TN_8I|QiiFuT0@+FiZQeL9( zeEbhvFN{k_-Ve4D#`nhjt@5h4JSx=R2ov^4^TZP)9Wp|0RVuBz6hforPShe%}!X#QjOeP(|vIWk%>uq288m|I4K(SMr|Nh#&|ROHriRf>VQ$;xM@Rc zw_IB_iJ3JSZj;njC8jMY7*uem)K$9EtF~h*-|Th&Ku?VO;qcC#;tzw%;M1CrE>^TVo7B)u%sV`hx36~ zzteX@A~A7w$?;x2d|bfHwPxxPTb8|E{HLv{6otFySH;`}$E+$vC^X8)MG4z#CXN%N zYiQd^-@W}Fw^~fImK_XE1Kp2ORhVw9S&N*rQmaX^bh;OeCDTKSR3Uu8mc_oN)A!RJ zXBrAmc{@GRg}mMh!eCoMP!64IRc0!UOYImThF%O@wB2) zUQy39nx;zEUC3u;ND3@4e|P=cugDJ8KxTz)aP0CPdnqE2^WeUO(HLHf&7;VPf*2>c z$t&Lp2gjI-%|WgdpEm<7YG&O6&o`~)z@i9Un{ocK;{9_#`P7Q=0}}$c3PjuDM1dwM zQCTz7*r{9uW%BJ+O7>G7#2_nGVtLVE{;l5f!1m!LH>$Y0Mad1UcYgkiprd>G!z=?8 zgLW?9e(G81PeJ@ehSqlDQCo2B6%+}J#X9uZrK>cmn|JjL>Xp||X@NG_?e#%0@=#Ij z0^UQqIVgX7ey*iY5|K@$*Ld?=9dG(;ywc;ZQH4h%`g~s_Gz3Ea5;%=6E4?bLVusAj z+-4ZC3)}W+IFAL$dn6#jNr!BDKBN$D2nC6YX>Wm|zFwrIzZ$la)cE)YVt7$F@N0I% z=+f=ykZDVBbb_w&sBMdUpwDX9*B)}h#;42>bwf?8H18#FC*QO=H66*O?Zj4|XnnGP zjHMi){yqTxZWFyD-xbwm;+RNNF$eGFnxEd@;ya|N&ffy|5U~$)g2t|C0}|A&MXv3X zX$hkw$~MJ<)^3`uvPSMgoM;}WA?GsZNQNt&X^)$-@^LfsQ6W5k&(mp#@M8Uwu|8hG zJO4YkmpK=DAJWIed8liRd3LOs#ru1HE`@WO(bjtXk9ReCwzeP_J&|TsuoQ%?_uN$G z9PeF7`Y%qPOnkrWRB9~LP*C?@t-J}rWxOpif13wyBbyWsID%v#I}N7V| zIsPG3Z=9N&Ho-Xy&(E{ALa7k@6T-p72JESmgU6TxF`<}wO-IAybqhw2K`nY_B?{2^ z>(9^Y&#X^tYx?@Flu{-eKm2FnYcejAb*ho{8yhv2C;6i)sg*J`Xr&|w1y0$p0k%JE zf4@i1r*r;3k5@Jd#6*xHc@7cM`CMnUZf174yQAGKTeov}ZKex;CQ`_+R#9O`PPMc= zV#zxLY2Dq%?I8?V*92b;T?hPGojTV-f4v5H7a6->k^XOPkACAq>FqyGulPTL7Uuuu z_N?qpoa_|G<@=eCJMJ;OBx*lGH(NA>#TW|VcJZ{zU@@bkbW4c32ukwk^C!mmBP}f4 zHq&QY@@UmmH-|#1oPfVG1#U{KQICYs_%PZ^a9;S4bw@H{`NNB>0A> zZB=M8QwSI}c4v35Rh4HFM4^hgsUwlGbc19Cz&g%CFTxP@yQ$iD@*4ye_qbWFOE7Hg zqSnpMr9H}TGDrUj_&8B?u8RWPxf#pin3-k|DX9q6sez@ELG^2a?WA>35xj_wSminL zfS&8))u3?y-AsHvs$k{r($S$I1*H&kr`br{o1BJucio3|wo9>Tlq0917>Du2HTW83>Sbt|S>}e7(>hU!?d16AqZu&L`dI_FdoEgc_?nIna$F0I4g^ceq zy{4`|rdp4EX3Pdv4#E#d9`CFNYviND>N^sJmifjpe>lk^>;mH)ZD0z0#}0Yi&l3;Y z7@I^q(itr;q-?}yj-;C$oejwhv`|t4OEDOe(i*Py&TC#H=5=XBw%Xttr~Ap~g?bWl z?y{YgrO`?H71j3RQI%PfXTcqvZTj1KVE7-{vNA0kQ1f1gxE9athMW=44Cd~C-2n@S z0tO9tjq%J&B%s#~ae3Ip%Yo0B8&Dem8FTQsdAVktb^M1=&Xm=|ooswy_D2N{N0&AO z=hL!h@a;3m4T}$N{3`a&n7Ww;!*#X#az*<&688)=h<0C$cwIKc8e^x=U&Vf9BhmiR znxBN1H?VMjlYT|G9SvcKOm6H#90l-7y;=H1YUqqxJ_z=a3<#cZadltUxu5wEW9MAuvejff#(GH>~#DEao? zAP(qh{2U{gc@ikB;x zZ0qOV{cJ7;@(wiy1aM`jj!|$J1#+e_Iecg6_;KOGK?6Mx7Ut7<*fAgM!nO+$45kxE^A zWTswIDVhVXue;ar$7S-%8qNxd&BbBKi0qp-BRT_n?56pr=uP{pvhF_T+v9ohPYlN8 z953^+@B%Nt?zzMF^VBN&Pgqu%TAR|~5|65zb97JFenmujX!E9_XbOz+G68Ft9H3;T z(W!t!1ab%Zr2N0cn-%Ay?WOF+%C&%QxykycQ<7zstu&6-w=B8<+U>e!Ia#GC>x;hR zB(VhIZ=E_+s;-FQ@Ill}#KBk+8%fl~@dwo)ZZ>C2K}nmX)8s#45G5=gUDf)e(czR>a=_p~{YhIfPfwwb?2U{gcE;i+y^5=3MryY4jGtjK z;#Rx4z7VSyeF#-Lt($JX(MmF(P=X_ZDB>MY7^AixBC@0YK9FKtR%Z zkZ%?KncT>aOfoETy4+X%y;kV9<+UY+Fm)wa%eyWFVLx7$RS{uZ+|B0M&Zes-3bP-N5yr-GSg)M}+Msus((lS)s)+nU3YJuV6vayp9O_Mb(H&oj>CXe0t1?vdh)z-ak80VT@jyTxA(?>bP$l4aDB*0KWJkKZ6Whs)ZYjml0-(_~c^iiDBe2vH8V!6{uYvmE<;7X}fb_py$&KXwU;0RbW8B zI$6etI9Y?c3uhV#!7DnBV+;`*V83Pa*7IAeG(*B?vj}JSYykQb@675wE^l%avZ##Q zJkY-)#xN)XY`Mgw@I?cTMb&&8-_^eQvLpR}2ykc2es$3`1w%dXf<$Jp?>bPs%p*yd z-p>5}Cik0;!SRlEaQM^2OpB&4+ba1X*Bb%G9Jg%CQoSjC4<4?*7J(9**pZ9`7pkt! zCa^sr`IRIL&kl?~_E@x6dXZ#0?Z7PXK}KSkoq8vZk5WnGHc@?e;cl8r#l4H{AiH~s z{N`(&^-uU$+7qU4g^Du7R+jZ{=_kEf?~$L8?n;u~n{a6P5}r6}j4~O5AsWIGXb@bp zLO3=G3X8|Y*B>I4F&XuBvU6#3g7J$43To;SPnBTK3JaJMRqAudd*>l?_@Oqg+z5qt zKCJ@olhz0$>MywrC``0IkHI@ zHaD?)=0GeDqIXNExn%&V;N-eTP^|_5F*;6v)p6&&pnl<$7b!JiHazVf=jdbB)nkg1 z{o#qDuM4nmh!xzakbrbKf@}#8^uvBiri8LijKgTW0fANOL*4HV!R$j2JF^Gf+`D+- zJHGj8>1V+J2Qt9>M~QhN@5DCpPs&L{Oy0hAkbIqxIY|*ES=r!<$IneUx+-tJwp2|xd|+=BaIufo`Og9CkjVUj}6;ABoEzhe6b6=UmYQ-~9i?wvLGINYKu zb+MqD;4-@oMKMMH8wah_>zq?;@bWC%h^_+YUjuDAX}&VUf}d{Z6G^Qy6V8mV?^yo3 z6`jD%tHZ-*tGrob2s>eNb%~Db5=m0b3U~gSKVKvsM{1I(Nz|`mzc2oDe#ONNai{&Ip9p+@{Vo6f1!j zk9OG!orlI$USB4$o!M+7+24D5=_xf4KKgAD)3La;2Rqd_IZ_Xmj(PTY_^)=pj9Qv; z%|4$=Jx zST-qALbO6kJ;`@)Hil$z>+C`2?AL0J;UI%TqCO`i^gNx=;NVFSt#5G!bqzj1@oj?D&KeVs6meyBDm|i=JzWeoTNWTF*-B zh151}#p)Y;d}bir=hq0CUK@@lHphVR?#9XLORXs5hdJEl0}r-^=t-64W|pX9U~cyn zl3Cw4!W+hO!?W2h3hr~C_pZb1ko^F`gX=&vv0Ej--WMtZ2r5mDYw4AEjGYLn4`yL^ za>;Nkw|Q^Xhrd7;2(bVYT_8a34FkyH!V%L&8UCopOMr;Gm+X%lCi_Zl@1za#IDw== z5W0YOUe$Eta-Y4(q?Bfe?~;5Gftz&Mej^l=$l*~f8FXP8-%tA$VE)=5FkV&?$rMq1 z9)s(Y)q>ye{0;qo=N>0EYZx4;ARvn@Apg;n|NXp7prwTa0GIVe*X0EA*HhY2PtUuU z;}2CINExSYO3KItI52_T) zDflA?G5F)Lo-EGBR|gwmI!DnLn)q-KU>Q5#J#LA0j8zic$SbU!YVfZq&f3@}C|^T1 z7Tckfd*2q{OlfpSC3jKAl^xw|v)Bw#6dr=&Hm|q9&~8Ef{V=2j3%}gi#b|%M#1Sel zRl(p}AqVB3jL4*l{wSwL&GG{zx0~1oNlNz7{vln)#qc7}cnQ;gCS0vbpc}d*{`zB@E*VZO(yVC@VHn)hl88W(=5(95f7rV7}di_-6IaC+%o=Ac5BIF z!=m2=7CA;$1nb+)iC~ero3yC2lVQQFOd+omH-o%8V;Pgf&D+w8t1uHbUEd_{?N3fj z3A7OuV$jbER7{}vIwYL>#7hK~;`=QM;Cy#FS?uXdG-OF@gomPJBhd|DRg6552*wv7 z49E*wObd%%tZOnDkV#%}Z%)CM#yn^X0xSxFMZ7P^`09Q>LvbbitDW=SjeL71o?vas zE|e6Tmfwq-jml)ndl{xBP014C+6Y!%tE<$=o*n8`+wkKZ+eF$GTe;- zFg=~#B^P&dqjUZ5rfWD_{X^{@>ctyet?Rt zKPX=r(u;0`o5){@PF)M&yMAJ>HffZUKoF7$qJf0o@v$KEJV+vSD;6uIT1OtAs}!Z* z&Q3DMEAKx_`v9Xo)IQ7?RP}$}9ZiaX7k%ha>jTs@-)SfgO8szyGx0hrADpTc%>t(6 zoKz|`+!}eSZ1<^>)6#?f$^I2QSwE?fM+7iLW*1MrOMbdn;)X=;jzrKp&~xLobrl#kb&!N9x3&3zbH}fj zz=kvE^Zi9w+tuERIoyil2tzVg)J5Yh_39e6jb$tS{+azKZL31XRf$Bl>UO3qiDD+Z z9{bcOmG3=oTxG;7hZBv=>t#4MmeK0KEK^T-mDmGlQv@vEzmYp0Vo1xokI|EZd4@8fG8W zCM=rymT|}&%3}|9M2+&-GPf^=RVXZzOan&l+|^$2RnJU98yY5?>!u_CPcwRb41|hv zWek~$Gkz|I6q=JA!_l!%eWq7RM+mya)~wY2Hp471@s_K5aA${S%7NU>(HC!fNww$p zvMD~`=sNEx0DPa>C&YGnPtku{%2kMi6JiqWqca++?2cj1soik~_KRO4z*X0<@M>(& z7xlv)sb>_)+Z5Z2n@m`=zf6<@O@@r#KV8B#5#L92^ z28neQ{((i*$EJenTaH469YpzqRFUmRf9y~5dd-gl+2D{}@f=CuK8ATUu9`5DYC*c7 zEj;{g+rKy=$f+zqAeRze-MG}pj1h<(ojN;EE#L)3DIr3&ZM8Kq6}^e+S3Sj;M#Quc z(fc5#5bM&lmQ}~ykNWU)!Z&Y;$Z?x#i-P-NVuMonp<*`hN||b#lfA;J)agW0su^1W z1MJ)$Z-qksAsYs!{~kpU+Mspr=~HAIzq|vf9-(181M{*U3}_XD&a%2BsC)aey!``% zG|0Qp0yn%Ih)x8^pco?%4LvM=u^0$+Z?q%e++8>KjDn@Ed+ax)K0O0Qw|^+Y_ec<2 zML)>VEKa%sQ|x=%f8?qe_~ENy5J+b`MiunPh7Ui@h8h4{WH|3DSiF5X68fib&NPp# zu)-HeG@Aj=RFA|k`aNW*^ZHc6m4*a*;{{{`G6X}m72{YCO0wo0V9sIRa5lP zNU%61BKa8#Xgd}-QE|T!D&&$$ist`g8KDTV{r@bKwh8?lIFKX-g8w<90kxwj8UO3f z0ykjQJbL-RCgcrsyV>g$gVz9AO`WF-fPVUT{r%6o?204LODpH^5+ErI<(4VH&b<*E z4SWxh;wv$X|8`k+K@@`XyD`6Qg@My6(v90Iqutv##|zK>bvX?i^*hYsNk^73juYe-goD$aogNVOdW<{L|hytNqu8c3v+i&$;a4PG=SlQke zr?D>_Xz;R`W_w834Ch!l2X)gdwJICbM~=bu#^Me8POrryH*?gebw;7=i$FNx z>HJiZL|)03;3k$6*FNjYRYTb<|0ZTxt!4EkEL=D}OuT?sU5`>%8ch9HTsbjhUEoCJ zc~{jpt`}enY*;6-xvdj~?&*gGU2B4RUs3FV;JSpnv1aY*gU$eT%g9>c{^PXcD+jd{t=`#1dVF7Pw z2jktt?G@k#&14UyV+C=C^jN^?(K=w2_kH>g4zz)Jk74X=XNkELhcdGI9>U$E()!jz zRr|E!5VcK!Fl+d3yV2j-Ofmf0L@=ah72LqsnXdww;@~^BonK)AC({Mx-%rmcEPr(eZfJ^>|Zx!Ex;5s&)Utj?*)C0|SjM|yLS(*dAa)638{(~i7 za^ClPD3D`^)2r|uF7C^DprE*r^8T!ZfcjQVbvFKqf&O^-W%56QBHX2w@q_b!C79UX z)^EoD8FmDd=v~1Bekvu5&p>sqWXgMA#Q*qR|4$gCS{%Kr<50o>CS|DR4t=g16cYn| z@CVuQw)@Sq$hsmeu~0@FIPga2KF78v!zkpX5;oXJelc8I*-&CP(v zR3|U*v_3($B4aRhPXzs3a=d>^$ZGF+Kilr1QP+)eDp!Xc);VD@Dq}GB;5uePL`sO( z(0ISg{-M#_2{oRdO z5wrJiD+2ynU2G}>M7~tEU?l1&Bc;o}9ifZe86HWK7k-jR0#OFKk#$}?&n-)Y9KK%! zn2i7FBKnBx6S)1$kxxO5t?=-7H7NlxC{eqlVkT2B2$%;bjKgVI-d@P!F+Z>{4=xE1 z0X}@TUt-vLuj<3jDjluav%2^3T59p)d((P zV^-nzOD_gNwmvvwe#Ne(ksP?T9VijAd`Z#tb-$y2^1*3u$s!l1Y=~RX%JOt&JsBms zNklHu39+UX-U?|H%|wuq4j)D1t+6K<2~T%HLBZyp&h{XKvf2N*03|9y3jk~-h}I=w z@c#suHtGnM&e~rNg1*7#HWY$h22K_HW)XzRvJEgSNSs1}8a!(j?Y<3LsrEZU&c z*)71$ga5NykT&V{7p-K$R9629pG*$oF?AIW+~vt~%6oz?U3 zMkz12LAV;Ck+R_UM-G5_CR{n=C8}pi_hP7o^%K6!X+ghW=U!aT7+qH4Oj&Vlzs*-E z1qWVTn8u;QsI?$C%HIK1jfsuUQFXRBPniWSS;Kl@rshkzK)iQ22zP!z?@S>{?x$?h z*&PBtg=aO+C}_1`g3o|z;*tI>sISV92w5}gy9#BOk?*c3hn#9q z;C+adO3wTrMv`(ZvsN}8JL0zE{jJLCkZsd%+Th=8+ zYf|!>XDj*gvI?TIo%7pL_L36e73V2LX}4qx?H{DQiLRxqJap5cEz@v#~Q{c1SjSTMQBK%re!lR-AbR4)touRGMJpiLp_q0lXO zVifX~(yl1}PorXu75jj#`Mp#I{vRZJxuT35hH3UE5$7wKAqA(f_C0wI6jsK9X-txT zen=M}9;`B-D&TXMxbn|lUI&&c`^3u4y`_oWe@WtDe^W47ovPw61>)6TUzPUn2=hR~cc`NVAW%knkO0~Ih?iss9VRH*^ zpIU^gVrw4mn!{0kbJwYBeCPAbly);1dch0OG4e8YD{I%L?8#0MYV29ksYtI-vyz%| z3ty>RdOvhbAPQb+TU4LUM_6I@T5uDfy*Pzmloz1I3yV#Xb*e$bHrId?Sg1w5+#s^1Hdv9jM&eXK`r%t&!(HXH>~qvlA-`c zVrVoSf8)U%kaHF=*JuGyr{2=G4R?nfw}2$VfU%WrXjx}1IXV{U_p2^lJ6#j%YBdR8 z<|~zT@5!1EjK;xbguLO!B?ellnEK>MmOOd7Ibv%Fk*ae1E(Rlc%0&tTR@-peg+D%B zM!hy?-rGYpi|5wP8+(thD$Xj++gt!;A2BV_8p!N60^^}5mG!QB!~44!vf-zL0!Row zt*}8I9u}R|VDzMcN-B?Aq<2F=*w>^ni2k9vWuYc5thjI|*_u#~6$%RSjaMj1VG!sn z6j(7V%Gdv|Y@4K3B#Ip$1jJDC|5W`tyBIaN>|5QJ zWosMp-uq_^6Byb@Dd0(VlJQm|Vf2;rEbvX$$bcx_9-X^ zgr$JRqU-FZly^eu3^r~#{Ba}WT66^d7(>x?Wjci_Y;M_q@>SSL$Uon-psHChTvyhn zk)Kdv!}X*vtQ0R4$|Z9=inste43RgD6|4`Zx!>Z3#hmdp2d%%dRGHzzk>Y8@O&W8^ z^e!zM*GTwQxlEW;{wNP>Qd$3p;kHf;|AM39?51BuJ}qm>v@bikcACq&Zy`D5aw=w* z!Z=m5l`W5j+(=esMy=S)a%p^;G)ndOb;?yYF-@r!8N8QN2&dJfA_ZWW)ULV5S1omE zAfT~En(mLV97~A-X307_nYN)Qch1^zs&T=COVVOMM56=FDJ(PPsF2*H5McBmL$hmOPB##Nzo3f z#!XKE13o69=p{(>fK6U~g1oiH=KJKsY~ z>$pHtxypgqE0#JA&iQ}1ddsjlwq|XZ!Civ8hT!h*79_a4ySoey!4urw0u1gpIKd^j zySoSb*v~%CIoJ38>YiHF)g||;S>5ZZ>g#8?5&1qE+x_C-w$Cp$8{5_qQAFD86zEDhGXd4VmK0WpcfGwjThfP?tEvH1EM-0zrd zq$%Fs6e?d(vA&p7Z=21S9S`J`a}*hzq{~SkSLKvr$aF5SbABpk7gQ~zHlUig*AgdS zOAm0QCQe@^Nyiw*x*Lv>DCv-v0>|+Emr%#4(O%h2Nv@0 z<+~0EQ0zZfDIo{MDMi8%J$UD+qg5PfMh-CWObRNlbxKL}Bl-&XZNo+<6QO1CJ>|Dw z49M-mA(MV#u!__g{Zs5u`;<;f$Hgjm_~Lf_tyT~}{>}I2)sgr>zS&D8Fn?QuEnpY@ zO7G`hJRXICqFCWWIn`|$`F1DO?IHR04%KZMxrt96(B~BWM`kDqF1q2SCD<^`!g}}X zql#a7S8dnHSms678A$lm{8;>9P4Fz}xl|ybg^Ts<&GAbBulw@GCt6^}i_`XGB5B)$ zsM)*FUXSCKH@A(~_5QgHpyDdWQ%^)!=w&F={oks6mh2hKufb`$gtq$c7g}EtiHBJ~ zX^h-T9N!)-#5Ok=2rr;@w&|YCx5r{zGy@&-_!k-5#MidYhieam7JI#(0(0+h(0T#tSw-hRk1PjC9VVQyvstlI!G)CjjIRU)4u zT|_tN->aN@3GDc}qI9_5P6Bhv6&}w*b%)k`Y%}kl`Q3BIYsPNI_FsS0f7hR98o_H4 z-qSjMt9=IPdf#**JfB--zsz(Fy=Prrre=@#pR|=ke|5XU*4ML%5-rLMmPy;Lm_eq_ zkFHMNW=rqVvj~>~va6L~mf%s(Y7UPr6hDQ_8(K$gvH2&D70I|Z$^%t#H)aN!-JN5Z zx(cH&jw#e}t=nW42H^E${tVFQ$IK7l>Br0s@aV@Z3_QqpguO3gEsSghkV*f|=UDi? z6<`*1V*VF4y;V7bbo5rCKt818!!Fk08mxq^xMa68xCIg=@% zJlgPR+e80F2e*5AfVl0kis}5%K=uB37mgm=PlBFz) z@rykMAj?1}n}6=uOFIWJ>8QNqF;RYlnSHkCsb2m)f{A2mAf(Mp1{XLzVCa6utuIg+ z-F>nx?0#hkY~|YS#}}by5x|F@q0oZ5SPxL{)uXi>T})xu1b}|F(oJa8R~dtF>gNG>B2ZKC9Ds2Vq$$J(z?lf# z6oMOI4J>bj!$O!A!n=P>bmMjVWQSWb;QN#vt_2O8GciKAgs;K!!qS3efYX4W4;l(o z2iq8-)Zl2rRl;!@rNj!1Qj>VIuQ2+3*6p!3b>O%3tXccL%H-6ZzS6hUY4^a|Ou7o# zCpkD3ZHh?akKxUQh=Y(0G6g$tLSIr{VqJ1vB0GKZ!tp}&BJl!vVR#|z>@YrCbbEza zdM5*ifU##M0;7cAvP{kuCb|ah?e`T!d?Q*td7nvE9@3v7RwKsz`YILVVbQ()Av@3i6&r;y*UVF$zz|7XXSTObgM(94K)i=8p;@8 zAMz&f2@GjOUIVX%%m5$(q(H_)jt1`G;WMu{KM%;|?6Hynu~+5Ypt@eT+zU2c)DL_W=wcV@hbIMC2F3?H1^5jHg@GxISZkoYptTSM5E-CSpy&gW z1N^#PT{_eQqPB0&QtPWkV+7&4QMJ(QAh;ki1INKQM)Wl(i>Rj%9+2&UYhV&1<{Gp` zG$IHRI8?}pz&)}R0@5zvNd)i>9t$u4ro$}7(}Jpm`FP(E1VjN#8DZAoFGAYEGyztEh{1|R zxHW`}P^U2Wfaf46@D5mX6Ws~V3z7$t2qq3N6ogq~C}2JEOUQhLPk8(uUp1#XaWlvK zoOeQK@*Za20Q%vRE3@l8c2hOm13}9|x^GB*>0;OKRo%^Xi*pXk4dZ7f*NY(E+*UN6 zXY!SJU>ZNvx#_;p)@iAou&M8SL)QszF8ec#WywwY_~mF$df6nhP_uT{xJ7249#f0J z{xz>IxGABP!f9YE*{LbX9^Z9ulkR_~8+eXQb7;w@31y5k*wzG;Ue9Q!n{ErWFrf!$ z$-m^mx`5(9?OM;npLs^KzrT$nTAqgl(xM9poO5iH% z_q$;%3_$2>wYA9LLOFAzMNH#1|41_SI;(lXlEKfi$)M#!hEa7Bl;r-MB;_QoWgJzc zcPdvP_vxcDhYD)=T82sGXA1{Z^6saK$sC}sOk`;#b-)DFoB-g7+=_pn zhhg&?_Uc9(!D}U!HRz{>R}@JNs3f0FaX2jkeLk6^e?IqX&IutnG`0OH=jJX$RF3&X z`d5la7iW5kqNuQe@rheVO1~T1i$-J$rOcJCvrg#ym38h(1>-tlR#a`QQp#AAR-Zs1WJ$}9p zbZl?;fjCg0`)3b!vqo#R!s0(x0TmjF?!RV>gzPx2)y9pLdgD* zq8hX~ja|c7n~8yh_FT+2(Y_kOr+@w}5#%BD1iN>#WLmllp+Ucb(=^_;y3Lhs;}xj$ zIjJvSfKR;wqa)Nas|KhinH+K28QGQSk~%`ApXL5=W>bxOH&;H}F0S9EYS^^qfq6Ga zi3MWCl%>gp+jl3W&R6~u|r|6XUp9NRxdWrHN-}oq9S&3iiW-~ zPoA>2Qo0ePbUD01+XHisi)P_cV`bXBo@rxxtEYXqg5JaJqu!6cHj}(!K2HT|ZtwtH z<5@2IB(+DFRBai*mI$R)Wv9EyMW|;VV$-5+dpQ)fSJost_p3DZGLCYW?w5esh2(8^ zQo9=U(RO9Kqo!}?$8h#K@iAML%~uTf6$Q1GJZf!wEUXLuv$|#YD<5`M4MI=Fj&Z7Q zc2K@CCWJHyQ9%2=V49{N@lX>&4rb8ooStoBNoL*rV+N8gyZi`;YFBd9>*UW9@SPcb zZlNF3b4{|NN=~{BuWwHjpJ`dkwd4}$)Ya0j_vBQpRg#Zdk8M=--NR_@W7m*% z-Kpn%-BIE|Dekdxprs<5YS7#?XDw)a+CA;h(VRPP8_;9kePukMajGbtI(JC25?SYK zGEm~_^9Xa3be+a9pmNVHwbuVn5}a9>EK*DBRMJpC2~*6LRoC&+=Z+ot)+le1x(ABC ziX-~_|LX-FOX?_$8a4&29x&|AK7<>Tc0kB=(5JjlU~$1M@*KH9xjfWiL<+bhh-499 ziU=VMfG(&KeOUzI8-iKbH0*Mq0~viV*AxzdmN)gD$>#y;y*~9cH3U&u92E$nSlCJ( zEJ2$oml_3Xdyy7o<96A44528e5?O_}K+Xk;>>r}3-qdm7qV(t!+|C)Wo5pBpgXwP) zQt>M}8?9$g#UC(kIeVgAxad6lm}0c5RUBwUs14OZb{`qDRlTYz(HzcuYM&e)dK%Y zU2&X}OX?8S7|R<%=Nh5d0ZYI|s?k%5qzCnHlYAlD%nA(r2iIT7L|OqC2u^NGm7|_+ zVF0@8<0xW%z=<4@zINb9XB(ol=17~Iv?g&LK{aT8TD=xD;SgSV4>1S#SmXwM3M9@c z_iMh^AMvbv&~@E|m?vtgUz$K1o*75^M##MaRTp^gWQ;6b^PBJ-=0!NUG%vLqk+3t|A}i)H`&oRK0coad~EWu(xV)1jwR!Csiz&z zwMf}%!YG2BoBiqq9KvwHzWXnRkAJ#3&#yICbQ%zalezz1f&G<1T%_o|BBF&}M10*8 zRKp>&g6@#gjKWb7{D;bE1>S`D3Wds%P}HtlGz^|FxD2n$1Dy+2*({{a)NchniPmS0 z>vRKg6B_;_vr{Vu=ILz-0^*o5-T%p+-+pLno&paMwKTU6N1&5^-Ld09N$$xXAr<+S zT%#2DjlbM4^SWxJxn?MC1W?J;lx@ckoeLovyK47I4=-g08s6rcU}vZ&5xJ)F1-UPC z!9~$(F_SE=<(hx{^2q=KdV_8bgc>JdCY=&QFQn+eH8dFmwi;PQe2v^URropFMd^?n zFmOR!&<#G?><;EvSgDP+e-Px(Z@$59XLx0cvIR#XF~vLa8H*(aHDQTXa>qMjeXf&h zVtwPtsE#%hX-#n3CuvQb0+(t~?{sn7< z`hIM9<<1BRm?Xy&%E5^UuSsz=ng=3ZU^;QZr&TLLr$R<}@Qm@>ao6@4kD&N^F8(L6J4jVV zv0#VJIbzfGT_4-@-K)>h7*_dV`*zRKz|{~e&evBVjh39W3l*`9% zex$O{JvuqT|4HacRR6`c@slPi<2tboN(HgaPYQv+itJN()l$ucy~Abg1$%B~TRmY! zy?98=oeYfm-#QWHkn!iAjn%+;l4Vxbc#`Z^q`hor%B!#NqxpT@M}SZTW4a{6--q)g zB^2IP6faLv-+&fH3kUSuYQhxuDQgmUlu5qlsWoL?g`*bwT_5QO8-p(La;=8Vx-f)1Vo%VlBiFUf9RGS!&iev~EB>F{~&-M?X|G{(dfAK8$zj#&?7W>DJSf065 ziVg?fx%hAYS^?a<{WCtx5`l@HZ{e4AqTF%{&q-b>u7#c-M)sAWELb#t7!zG|3t5Lo zNd)@fcOJ|&w1g8hiVlMkgxIYCx#4==00IwA>=v|{6fGs468Nzu8M_#NI%$rR!fsEB z_DhCK*b9n;0oK>)UJcQt>q6S{mr?liU}lvrt*1|WUzdO%sf9*eLUr8%>%388xw{=r zr7>wy+gM$yT!5~5ed6!*58=C2v4KK0%tj!w%1|_d&fN%i*#M_xxw71Dl^SD#t6nhxO7R=LiE6Q?7Sa%+GDnBWVIBEn$|8$6+ z(MVnfNiF4n6e0Si+FV$WHr-2EEB$%fn-luHRQ&?jBh@SYh%4Se}w)l?sRrB${~oErhkfh z=kXfLY6PY1=}u#mBM{q7{}lIXvu2d{^0H=B^?I{rRP@5IW>oh|vg#1stU{zNzN1Yl zA(>v!Uq*H?WcEdTmKyC?-iz~~wbk?jHpUNFC2O`XPlL?P2&z3k-thP~5)z&1%sbrL za1U8UYqrl#JD8ktRex;0diXT96PiA*wTQNH9w6f~J zX?`k<0kq5w(O`loq}VrqnIyqzViwx9wBhZ6IX8KFI0tCZK%b?aoa|ZY0#71=%H(>Q zF;SZqgJpOQT&S38Di~u_e`xBSS|MraZqKC* zl&@A)IW-hlR^8fE?5OR`&GRh->E`FX8&RBXZf!D&>;-KzJD2Bq8oe9O;+n!5i4E*E zv)VeB)8m>F8|yokd7U#(<|bSl>b3bdD)jM6VVs}V;tH`!E!61v6|0lF}EPN{Eb;@?mfa4|<2QxLqQZtE?)O9=J);x{*=#Xou z??=N*PZqg~Lg##40_zFV{Sz@?c{IY0H|T|Xi9israq_^oY4<|-O|)%~{~=_oj5KBr zG#ileNzv>2;1%CW&UfWKsAJp4)iEWp_ zxMs=IF{foH*EqNCjs27#KgR}O+*5uwXU?zMrfW9izLq`%^nC4BrPr)m3e>8m$gN(B z#X0FpZgUJdU&%Lp@XNp-B=LcIkwM~lxAq(XqOdM@O>7WnHEDz1Is)=&-{0Ow=`mfz z9)x-4v{X4Ou3=2rOX;0BH*@hl8+a2t+AZJ)+; zaO;naYnx`}<~x`AvU(`X?Xw))1hY3$-WT-VC2Z(m6||bjq^$&u$Uu5M;8H@PT%tJA zV7co|C;0s*sQi-cni)J8{%LJk;pRy9Zu$bsgou+0L-jJ31AZI=HRI8n_jvt{$3m`wYYyxEC(09=db;^u;Q+PV+n5f(Sbg zq_nvSws+Bd?L~n-eJtK+JI8Mp?8i(Zy_VVSqcd)I^`exkn-gCzQ3ks>|1u#-hh9)T zfG{zZC2i+P1Ou)~+p9n4D!mQlSVXlm=HehfKhb}8|9jC_-copkARthLCQWJ?Sk2eN zVwptBo9@K-ep7Ge-z8kRb00E`ntfu*h1%~65aAKzdZ`J9 zd1#s9WxC}vi)R*&(3fKToqS7MSgHiPx!ovzuaKQT5Id07NH_Cm^2?%CtoANDTAPfKDhJM~T-`lL`@;?o6a&}f$@^fj{}=?+HeS!<>cb<9cR9TWD=kKzP``_ktH~a&uoo6 ze?R}_>p+{kB`JtUotmE)=T>)**!x%m8qQr`KB%JHI+NJjW3LPaS~;qBpf1?Cb%%j6 z4sv=HCfz1w@-t6zl11oO1Ve=P+U4#S#=IssDG$Oj=DRJrbpF!scO6{0*KOQ9@;zO6 z`(-0PB6m5U`iTYeB2Byj;}sP#;{ReO5PF1T92e6|(781Qa^Q7V#jIPBTtmGPlaHp? z!3eSEy@qKE-2J4AY2vnopOB(l%5Z$>-$b$E59~*K-V!dxaArvG%Yz08lf32{>WU+o z@U@1H5y5iQ-a>dkP~J*P6SoIQ+oujq5ry37cj9IJ#p|KBZEKzZ#&Az%OAm~N$4sz> zDNB44g1~kPySRjl??}GytuUtu;+s*nxenL4?tMDzc);??j3sjt=uG!u3959^}{7Q>^Xi4m-BUUW`TlDRO@Kl z(uye0dDHD7y8+P=NaCI@xs@Bf)}b#bp>D=1!ACm9+kn!SX?-*!AA{}tD=KF`z7cx> z!}oW)%*Ek@!%Iq*Tuu{ZR9wub`lXdZH~KQl&q46iMS5)2c+>uiUKR75=hdeO`BcP_ z+kHRmxPp?OY+7$be`y+dG}5$@=Tq&g3Jm+G5l@#rQ{zH86H3dgNbv&=1He^Q6MaInl&pOnnzB9blko%D}iJZK69m4X6^#G*^}C zZc)Cz8iso*1r<#UFqNs0FyKphFE&BR0)S5fkCObV(mlN9PY8>S+0;?&5f23#CD0o1 zBo%y)w%NxxeyAgr4$~^@{piFY*tp)t6QXeaTw~$5$AdIAl{uJ|#hHz0V#Hv6#(4%+ zJuNUr090>C4rn0Nch^mqLd7N=oy#lFB8zW!rmTJT+#% zy>u6+Mtb_WfDdE41_Gk1yx5=K#^xe%aPPyCq=$MN!?|D3L7@NY0CMkVL zV%!h?B?QZZY0DmPVE#S12Z^7jJDNktrScaBTw&jLe|KNB<*PEWT~-c1w* zb2&Fo=rDIKeFBcMQ{~jb+1u$mRIS!!u)dI!Leg0Cy?z^ zK=jvA`@tha7`$AJZu1WFY`EwOHlh3jHpOc8wwTGkmMjy>6GYV`kHedPhg&%Ha2b0a zV;&iIRICpN-Ux5?2K`wPT=0~#Dpp9%S1ETS_rEnq;>V?cKoJu?8}!$6RHY>it9iB1*Coc6ml};9=Z}Y#}%g)6I7A z8eHAb3`3rL@m#Zhm5`en)MkXBG3Q z3YVPQNu!QXm>Fc;zZaF~z$BgD`uP?th8n>>ryGmpqfm&yMaHE^Zv+X2zFF*UY|Y;U zS0E?98r4erD_PNdLps~~iak^0i2P$}!2J}-F%F&K`%>=oLgqeuF3Etsk@J)?0`v9KQNke6Qvz_x3=RJ#- z)B~oqm!^bKhI@MQzGOvDh`FOb( zTW10$;WcfTpBi>6NAh<$jPQbngO&r2$qmU@{Xg$W$|u#!l#l)-r^{b_(4u=Ca+^K* z51|~0`GOb#MoYnr06Toh6cRfGlTor7yMYvAJA8-~`$e{3##b<~j#yW!b`QQLD}>Q> z(S^9?vBsQ87liHc==tj@fHQK3ba-*FxTSg)T zhW6(Mkc1_`TYT|=zgjab7i}_gOoY!6m0yZ8>8KZA)QfzyCD*(o(!3+hyd%`SBgwoY##{i*W-!4P z#ju0Tup^pM8*kq6(_EmR&0v7dV4RJliO};2bhJhg9a=c-G|6Suf#2Eisdk~P~oM295f)B-D`f;?tmj-GLDyKNdm=jszf6*G0O>vGg z@5nLl2r?HKVOt+&TkmHhF~$F!!rIRku004pif4{*%CN&R%G1X-SnKQ+gO}S(jAyAm z$eY62!!}VLBb&lHz*eF?n5jL8Jep>X@5-TJi(YKX3i7O)E#Y6 zz}n6MCKKD#RX6^^;G$LN!r|hLu>ej!T|n1wWmc?C=~Oscc=0#R$allVr7yj?kS{&H zx8HpA7*3^qk)tjb$W~Eay2cm%2^*gcjFm`NpPGP$((&uCo&r8@=oih|%Z@U5hvFO! zlrMrbQ7S_CK`|co*Fb98;<=#0;G@SG-3=2z;`i%rTAG@gifEH2D5)p! zi_|s=X{kixZ55)ohuy$KvPUiwuazMNxy(>{J=geuF&C!LL&6I0aceG5>K|}%RY)=_ z?+*hy$!BT&ilXDT{gp;afQOIQ8|X?4CeKI1Wrc)SHPLWr`t#FoKaywV7v1*d6b35~ z7W}s3-*QI-D!s_=bo%NLN7!K1pzr0=%E}o-zPSq}5bZS23cboAzpIi7DROe7H&tB~ zFzzHp>|t=NMX+Y8_T*Y=xJMi5IJkS7SzNh+14*Ho5VK%)TLonY&IKErCnoe_6!gzE z%edTsT6!fwC8t?8j8j%r6D$1+eRlqkNnltHzcel>l&6mQ$84CeV zB2*xtftH4ZPq-{D0xAwz^lW7^$ugY@%d|}LrV%s((*0hIJY=BJFW7i`&f*&Ct%z9F zfodV{3y?p^?w|^EAqnZqQ9muc-s6O_$-YBAqI}v^YlS}kDnP^8@3pfT_`98ko1n`5 zl%z7@m~3os&jltNB@(R2WWpchURVaSQLrb%;GQ2D;QW@rUZ7V^Uq_cx5q?Ywf$Fvp znCgO=tdZ11I=?VF?w-KB_tz04(7#YIc7uTq(g2N zwH8(>rl2r`(kLj%QIQLE%TqWvaHv+beeebb)L_=e-q6!LD;mSm7}U+H5fqIR+1+#s zjl{wAfD>pMHFRT3%7L9T20Xw=Or`I!C3=iOgKOxiqRgt0tuSn~RGLareUxNSa=SNW zY0NmbCWY$Nnj%BmLu+UV|JY5Kip z6Qjla{roy7d7e0Eld#kv3_`hjZ4ih{W=((KoKMVBgtUgDnU2gH9SX?7zQ-Zs#p4om zUsoxD{sKK0HJTT}R2|sQn5?OR;@vx#Y@~SbA5LwHt!bUAwylou zQB*TBEuvlihsy~;M)NZ$ySy(Cd%+AFOG24&d+t>;`lC=AzMb11`MgwM44(B=ln^)& zRY#}U{~}Mt%8H7~SY5U!XFmtFsZH+5(}%o6;S-bmjDcsgzy}Qc?$l(81(3ktpwkh? zqg<4P;0?bD!4mpBW-5>-5Tr;0kLPS6ZvzM5k%{B zNt+=CbIFOF1P|oyppNNMNjA3SzIO-&)V4^`ku6fgM9}(H*AU*@?-Z!PB_qu`LSJx+ zot2;^9VBMTXTzyR%65nwh^#D z%=v;{69~ktFZZ@zZ0S>>J+N5iQHr5p zQ|sw7<%Cj76TdLxr(vND?f047y6J;@1EItk5#c%S2u=?Nx!4?SOogSE^fPK@k`ft% z;WEY7E{RLB^3?PH&?VcFxqL3Bx!-A(HNv|fJ_3dp(dLW|G9uLUtVe}LCg`9_&x&jjIxNg}O`(Pot4WUu=Z{4(1ddCX%XsKyIOksh zH2)+8=HECz5)`*o?XxoOg<&PMUk+JJ@C<~=w@)}KI|s+trjl6sTV*c2eVQ2*&L1MF zn6y1wT-FkrM0l6JoStD?erD}7px35Mz6b|qNsjzR=~a8~&_jeiwBVyZ$|if1QMuxQ z=}>OT7yR~4%OsrLiju#UmRC<5Ad=ZJ*LU&65Je zx99A)s~B+jcve>g;ZmN}0S$a&4=l;95cUc#S3 zByJh6i(TUxOutCk%yjEsHQuyOf~DCgaN~R-Z4=?H`!kWn8*q4u6CdBtI?76~uf(?K z`zVy`Ynw=MwFvLwI3k*N&vBX1t4a3nuXL4IXx++6H)T=alXlB4T~fG{=UyHJ>c^B2 z7<0|Fx04@`(tOFBkj7=KqBC(QcM6es=$ZK8%|BDd(juDhJ{;80FdNyL-HQ_Z@&%Qu zb2AhxQSPH>hCf<b|j+_MLC1S9Tq>wnbX7|OkzVaR3@@UCW zEKX%KJ54t_f^BVh=IalZ@AszGF7lCfVzBf${JfasDpoZLi}8g^mr2^XTv~?H^Fdf0S^kF|e`NoVp4OLsAzM?0AM*4#D{7yR`H#b%z-ZwT(+O#?+ z>KVtP%~`8+)~&(&)naf>Ea9{!76f6>SH$j%BOVgJL5~U4xLy~?FXt?c@ZjyIEj3x4XF%7X4~nkKydduu1E#o()Dm1UBluwxASkQ*sAvjPjHr0Y;axRSGR^lD-)#s&W%Y-o&gM zQMV`kvDAQwX+n6$CSvsbkuM>i62@O!-XvzWI!edi zs0|8>4>NZD8TWk4=QA;fcMYjXVgCNxV&ck@EU9){U#uMuql&my449UWZtm}QgGJAv zi#HyqPf&F?Q30kA+`68JM`zkBnCm8M#`LQ_5?&SV5%$1NL2vdg`+4G~hkJ$$Pp@|O z2-m>qz<`Xo3*QKLIMP+){>80q`%gRi`sHDsvj+D_xVPV*tjou5Uj_B2Zw;15_lZ@f z>TviQ>2Oo-XsK!BK6Y+)9y;TrZMD@YK|*k1diI}g15iO&h%=U==$g4Or>!k1K2TIFqb#nL4LkItJDdC3PZcV6QcMfi&q5PD`bIA0KBHE5_XwyCLPorT zaV=_{<_$j2RJaRl2qJpF*4cDA{iA>(?PhaGCyYvSx&{@^O0#;M5%2PvKC_cE22-6H zR)1hyt+b9TL>PK|LS`WvSYYYW)cxrLoX*dRyJ%Lel!tPy-w#>e#jzc)m~F~sGh zW+Ax<*GNReMdqxCEPrnU;Nhhc(WTrzH%nqolHiH?9PrsLYL zTO|6Hr%(x$S#iWs@pni%mTmxMehrI$Ugnf_BaPa4*g1!PMmV#WMT#zEjA#t>@RFm? zU{^%hE7_7|G$J>Cx6Z72ujDU&<~@_bLIv0KVF-Luiq>{B{b54Ihw#eWMtPo^L|D_Y zHobz$&YS?0JXyR&thFA^AZssE(&~{`A?)6Vm{<(_E066*1>SIiFiiofi+CvK76ivb zKkA}x#+d)j)&Fx)vPlVei$ju$KUJu>3G6FU*j9KZY{i{PQXHcLi%ZLv#Bew( zt(6yGb-ZBllwFvz_H2=A~bx~st(_;-Y{QTWI zG3tFK`}mFiY@cpRZnI=u(0{%{okUFdV{!2vt$59@&1qr^8wt@wNEE=OU`azV_<=sB zSWK+QirjoJp7A-`TZ}b?1xjn~I2$#7$mgH{UR*0EbVIdo-+o^_$2xb;?$50xCD|bUu9UZC$5*JJRf5j)vemzuFm2fTXo^%G_{C-G2q7$P zp?ZJzYWcIjQo+^b+i}yQ_vn>Vq>_xdc$iZfQ=kKtV$Rr3CZNY|eD@_Zs6mx10KaNA?N zs?ZNOc@T_6a=hrl7}H_cQCTg$*<}0*2H5!ZLmis#>*K$W8 z_2^TFbJcl$%jIpI)R68RVd|MsCY12dM3!>qUuFGQS0K-2{n@3)U18hb1D8$A)5Z9u zKk-M$xkF)=g8^eD0rHyfeyDzNYn6lc$3yOS%$vQliPpr9>cXH3AURw>3h^^S=3fN; z*XWkZu|=iDKT3zkhGRjKHCKsTcZqF(Q=PWbp(ctHUW-6)#YB%pu4M>X``C+Om&`k0 z%jGS`V_<&Rrr|`85*vS5;E@AP@PdQ&N9OMdv*fG@Jet5Et}X|Dh7%ee%L=rw48sWq zV&mo{^8!}1kQkvqIv~FY@bfg);^@G?aS}aB@OP+pvd(vitC9cH#-K95Sn4{YxUg7r5Jv^zcmS5h%N7b&zKj0Q3YDPy2yxQq3`aKC zc@Qxk?|Y)>HIH$q%#QXf7U9PaXC8$5uWUX4iT}ku(CO1s|M!p3%Vc##6poZG5&yK0 zgZU6+z$D;bCpM&uWHeU0J``ek?xb?r(DtqjR^*RpPMd{x9sgb+N+MAI z`M-4MP1FCsVGtpFawIzHlq0# z_r6x{7Fc>wu;k1OwgLUclJ|1j!>ht)$7|4^$m>Kw9omsU2k>DUFOz^R3-WTDrO3y{ zz#J%23(czkgzFs=HwT~SU*}7rcHBvc#*j9B1WD}Da2p)4@&T6*v~-UJHLveVU;Z0+ z`VVjHBd-kVe0ZbUWwT228MEVGSp>K_b2bt7ri>q|2)k}pJ&2(H&j=rGPWiv#28I9! zPby{{n`(j4P3>+=JKZ0PuRf0LayvyisUmr3Cbh;=_MO-F6?m^$5)KYtGQ&v#d`9esnpvG-yZ$n75O6(w}f`e7Y1Edc0y=x zwN3%bF@=^gj>ou#-3o=%Av?*cF2%qwk|IOR(Zl>r6BNOywJcbRmq8T?Ybktw@xt7rcMFz6aeL=vx)9Y9D=b(j3 z?@vOvUvEk7QN5`Jh6LD2Zb3R18Kvi)qc<$o2hQt#B|(ntZwLkV2lg|^xDCVxfpivI zCz@OeWf(hm41ZVjWC&h=!cvDxkox6Dvj%4p)Z(b!(5!c{P<~O=i}!ztT9Ho3Fy*_t zvUMQ6rP}x&ztK>hwBZ3%qb)q6-}9W0S??LK@~NI+^ZBzELo&zy_hA)#mjglS4)HHk zGg_&v(c`0OCeCR2<)nux?^+pB$o3b=*&iO&4xlmbTVzmJo!EjMf1b=6eL) zEnDGGS9rxmRGWG|dW0?%mi(X#tCKsTOJ9M4P=ju7))z;tt|}tn%fq%Q=K4ozKuWJy zgsgD_lB%&lN$)8eHY~c5S2LonpUftJef+Tn7(v}ySB(4Oc*AFcnBN?`ur_RqA*8;s zs{wXiGKu?oMPMAEM~5vZCn-c}CvJ$*v{jXJ=b|33SzU_Ta>E2pAbNmwB%{z>wq9YN zu(Ri{DpQ6l6D$F47I+q$&ZFv0kR0qKDP@j&FX~K?RJ2TtdV{dt-$z^L^b{Fi-z_}W zAnR;XrxJhf`!s)1*=n^i>t>uQKvg+IEUNlga)SvG2)B8lcrT~_0)9x zB7b_g3St(POw|u~H5$<>__(vuqQmN1)%ebun)I`+qQ3y!3+$Us?GH@s1=ELTmXfjJ zB`{}Hcv5AJWPORgwy9h)niXEgvi7Va_0}HA(rj)?{*%H!0mo6Xv-I&H|O1Dnw^^Ic0TPs zA)P-046Do}c;KD)`Yv0zQ8fMWK>~1X7rp%7dr1GAr6YL`qS%ANAGZQiM}nX5MWO5}p!L_c4pKx{q;xYnoUh}pzb+An5FawJ5M{OsI1U_| zBPSgBK0QEiS-2q%{}_e?eQdXD?Lf_^q4B0zInu3Jk7=%)FUdKfa-svCUK2DBhH!;`XF8C39DY2%8V-j1@IZ z3s77;T4|$0j^RTbvd%+4+iE9R+{QgBM`M}Rr#KgCnYhCx#5D^{^&RBNk@asrG&3DB zKa~52f%aEEJ#g-WqvSRmyvCUhSIDrg zxYx=KlX41Bw;;RMZpb|h4?E@1ykDSWW6LPjv@bn7`|h15{Uc_mo+a%!3orn)uVVf2 za`)88&&An`_RWn%Pbt7o{HGPfM08Pyl&9pOTQ0 zJLaINIjp-St?0K{w!&qhG-b4IJ z`WegfdiwF}%kfy>x4&0j6hO*{>GzlT!*`$j>uAAjZ#Em~o0hHL2npk_TH&$G6YHc3 z^+#Uu{Mp%zg`;dYm2YQ{y(bTI6XBWoZ-QC?`afij- z-Q69Q;_fbsySux~;_mLw!s5`w^StN%ec$<`?VXuSl1_4W+H|f7XttaY|EO1j4Z!x^ z))wYtzMpRY)bXftbE^{npv}<#^_aW*G2IZanAbDY{Xm^6^dF?Znp?X8osZ1X9a`Ouy^gNv#_Z1B7~IQj3K!?<9RXiH>` zYeGOQReXj9EVoT0Q8)3Q$aeQbYJhP8aYXh`0Z&pd!Zi=fNO?z3({}NEHG0xpz=JZv zwHJ}XRU7hw+l}nJjX#RTB8xSS?J%A5wF2l6ix6H;{B>*njJ5jhU1h2IAX3Dtq65W( zD`?#THEp9zaQG5pYbegtt-xx7Dfe9iPgq|es7sM$+%&R@@$6w4iCY1X3}7%IU+_R; zAjTb6!dwpy0-z@vH0sS`{gibn-c@|;2SB0jZDK5aY8v*SHn?VK{#E`8Y6fCDOrYnC3Z1_%zel0h ztfo`Mo;lBoIHi4khi>ENOpSs)jI2SSP)OYBnof2_lcl(53v131EP1%oMfAp~hZ>tf zXtUpMK|jO-Q-pl*Z^Avdf7o#_ce>@g3!%8k#gJz+&yVajRk^-YcX{X)}V}d(R zQ9crL*(|eGfFo(L^5IN0@>n2ln`7nULR+}@L_Mb+IV|vaN`<0Nn&x2-)DD>5s~Nd$ z#rdH?Quu0jBBJP*=J814kGq*O4~=)vOS-(}5HFbD+19E{4loX9;fEnZQB*v6RmPWm zI`X`K_k)u=I8`lrPy|hAxD=8c#%WNHzU~#_J{rjNMd3gvnNxk?IAa5+vs&*Fr}GQ- zz<6fycOn*srn(sl9-b{Ks6{t71Y>R`PVW6ffT)dD{J1KV@2m>XCz9b|KxL$UM~QZc z#QsQCeXEd-0O;I#r{(#9GJrCb4YL`IwppqlI=oQecjr_bwAUJ(+{f`<5M+$}HY!mZ z!AgLGdFz!=^e+-01^4ra(u~QEo^V-;v3f!aH-#8q(Ce|b2KLx_65JGDgS)Jqe-dR% z{5{iiwmH;%A=%7B(jU$Lk|XqX2jVE4{~Co8p6+|)@c>YA{h9?>d2jOEpIGu^&CYxV z4wLS;JM0bng~51ZkZTbl4VvP3Kr;J(wv{*!#EKL}rG=u(wK$We&1HfHefl8V| zpSIqVGtXBaj5{68t+$v{W1YI5yD%HQJ3>O(a+6`zSCyj{3>uSa=Hg*}8M^a84ol2 zJ&>tLzG$8c(6|*w^b|kwg7RNdidfPNxS8JLh0Nl(kX*^LiVrRu3Ht>KKkgI}cQ8Lu zXjQ{3SgpeD4|U-GF&kz@G{AzIiB)u&iASHB*hE2H)E3G#n$!AL*NQD1&)r~x5fH;L z2rxtu+~|Fm;w28%JUEobyzqrGOazO``uNF$JaYHR zAde$Of3{Gv@6_dQF)DfZTIR`=azrM`^^}KpZ!+%OHC53~VkIV(q5ezJSY=SktxC`m zHZ!xCf*Z{NE4t)8WO*7*JdE8Y8RNZDR?a+V1Z&ZcXi^bjzq0ND)qBdxZ{;$ zL>2JM(k_mdXYYb0EETt#)8X$Z{|9*L`s{Av+hM;RxJ6RLwsL0~dV_LAV;_!Il|?8W z2)Ue|D(?{yJ4f2_3{y_IQl-BXAC%SUzPUSa7sxTE6_6I5)ONqvGE8*+vE8e7DxGxUWfL4V5HjDiEq}d`5cY4IylTdlb66$;! zchc%2ZmUhwImBl}KguPYW>Qks^Qc!{%+!WI{M&+?ne4ah4ll<9U zDp1$PAidoCn{$sSb<3dw;H_VHf-7&ZS6kM_xV=_#;vSi0$>B}fm+PR0gAKN&^0!lb zf;~&FraWA=hiNtXM#XntGMO4-Sf*D9caO?AYH^1*$h!W4ZTXM>DGAwS+?z%lkAVLM z`zUs1{2}c8M2B|{Jxuf;1Q^b7bfof-&Y?bY%+ueBz7{)SRNG;l0LncFDO@cOwVD5t z=6gEYpg*o#{&LyP+n4LY*V4hb{^xQ$IoM|~dqIC^V$&(yL98@w3C%zg<;1RCtd*4A zFjZ^fxeWaZVRi9KCuwrVRh`*0Cpe;77s&y$=i(F-Q?XpIRu@syyx*LB7@aQPffa|= zXJht~HdhH$)7^HUyxk>Q?g#wBaY}ZR4!lYm2A$J2vJ6t%dp1D-A8 zUaZYPciI6gW&$nQzko`}2bCylHQ`({6#Cb%$G~iEdZt;Vw|3*Mz* zrw9M`#dG;htuDI5lLX|+@<4q*?5Ud4_J)yr>iHyu+Zx}y8p#M4U8O^6eYMQe*H5e{ zRW%xtLKjy@TV=;3XfU)T<<(B6d=pSi^)8nHWqPIePcrL1MaWM+jF6oCD#;diBr-Nl zk+}C$>H`;|*^~ROfaVCWL4_rC0JHahr$N{O@z^@!@QVlgI__QfQE5zmxhAxmU59eq zzjXs7=GTP_IQNe>EYw2hs*nuEdNMNi$kglv-Dode^O561`9bLxa&BBt$l|1s1Ys=1 zpDh&JnQd4uYOtA%TGRwKW$?@i(1 zAiks?<*o&{*n=x5GOXW;SJ9oRP<{aG@t3GwlFp^rs7=(WD2Z=&aNNor zMDvxxQ$&g);uqj_fUW8yo#8Gz(kUX9?*>!4u1?I8QKc0s)O(V6FJXzLI%e|{L82Xf zvnC$l%-^aa?zU6*p^S=5jUxO;gSeO~ZIi-Hw1Sy#zvcFhNcLmkDs(Mx=oo%n>3U1s zm}C#gH`RuAS6FQii2#pS;v@g#RUcPP)(H9jMu;iT1z7-DW>l-7%7%}N0_1T8 zasyhazn#-yNH#m80~u~qQ?UPVj{X6asN;eb|3JC>lWh}^*!9Fj-SjMAz7ZeMg6I*h z@}THM+I+6UD@jS#UDcRASz%-`08`Cex8+Q&JHSwuv$B2}Df7F)j-IT$uE=t!>OGh@ z<8rLBUj%@l!sA@6d%Q2Gl1DpG&DV|2z=>Mp@8^iK$Hl|hxQ?s*@w+U5>+w`5d(!3f zPmw_H>`DE=xb9I1{k8R+XX)P~VX#bj9IAvRT(QrNIsK-Lykubu)i78J_ZcN$ndW76 zQM+#jyl)0=vCqtTeTf{DzYTlIQfhfic_jAg01+&|zY!P7z;J%+62%nZ|4>)SaI2;p$-;Bx5{GZRT)yVymv?y0@m*Ug)UWAh?17dDxw`3?&u1=lh&*H~QDDKal z_V?PZb6jQb%=O}7nT+jt`5k_TB&(dKgWzv<+w$3A<n|2%61}gX@s^~W7ak6gfe$3qz3)YIS{Aw%2VQuT;%-zKc ztRn?!H(J_WI6D^X-FHa+e(XcrDrO(W)?3;5n#?VTnOGh9HV6WmL-MNKZXC;p zQmYF_hK)jnJe%1IeuiMA$$W6$atGrx`hn>SPo^~AO$K2;glO@Ll?eZd!kk8lZ{qJ! zkQOZag=6Er3py77gVT^^V(V%2sMOqH$?N;8hC8szgdGz7)YWeTh$f7A)Ud)w6L<@c7h9XdNfW!6YQN zwoB3IBH{aN3NF+maA&>jq>()mk%Y0J_51v&Y7@p8ZnNt3+(=w{=R;*Nzrs9? zx!Thw?W^=zT=d0g?C!<6?Stq$`*2V*a23OH1K)qa^_I6bD4QQPC&*OmI^0EXUPh?n zmxT%mySX+xhVR;6aTy7_y9swAlj? z{$rS&dEXJFo=I<5f5P>pU!UX&zYps#5=U@%eZ>(+I#Qe$g=!tpJyQ>v}XVVk$+X;opO zmatRb{c^>+rO!jg<9hD8Qn#(PQv3OPIH2sm|3gu4s{7Jixtj}ZDM|KN!>0a6 z^l}58#|RqTx2(?cB`xyjW7==qxyv&)9!#0(TKdO-#mkJ!w0?Kvsf~gbaqJBKm-qi6 zc=W2OC8MWeHhH04SS_b29XW$dCT9jl`IMPz)X zFHwm$IzvGt+lVcs?fs9t7a`S4oHnk&l8|J&!%mm3meFpV|74z)zxcofUucDYpM| zeovSI^nb&uiHh+?1GJ_3g3A^D|H^c~OnWm3pg#pbd9@4KEn6=jmFrMsAI$X#Ogx7MR`*0&iPQUXqIyXDe zgK>A5EZv>=$(Qh(*wnGI&W`W1o1(w@{r-_f{GJ+TJc_=awx9CJ40S*$oB$Jshu^1) z_h8ls-fs^Q-?90T(Xl(3s(brNu%EXhdu1Eyw@>800~mU^_n}!yEQk_RM1JULeqe~J zHL-!WWpwyMJip>G&=LnJ`AgsMCn(t5dOu=J^}r6!@^2=hlhHEU0bg$NthSz!G0*A- z;R*Ogj~|PtJCtYCtA{Rw>FspHB@LE<4J+8LR}F+gM5P-3L9>v2GJwc#c?xqG=mso@ z=Dzzjz;7eoP*Kzu72&3wp!i6VNV)^kyY4+qUF+Dg^*Hnp^p8*;5;S&7vVEDGX@p<& zk+BiPB{QL{d?~=3E@LL6sK>er&p3MKN~b#4xrEGjdKCJ7IB^k=Mc)@RuZGWvIIN)P zp4;dE(u0A|q)7+cE>5sr3}9ligHia>)7Ta#pg>7#=5?C{n2%4y}^=ry5)WjuV9RV={}h?zVu-5jmfU#`-n{0hVClZ z0p{hWY!`qp#Q!h!jH|3KMm5+oE8H^+^!owU=9vZFLkW=0qpYa?D7QE-U1Kuox*F+) zqid>Ut6JM#xjS3ko`dHQt)YfMY_`H%;(%$sedk(;vEW#+O1J2qib=oc`3`RA@F8LX zBtYZmz@HCnIKaQx&zX+QXA5(zcxpa=RHXY9>RbO=|5*X;?dGz+Q}e#-Mkeb&?^bAR zRuvuMNdOB2@sL)t*P54%y0`aKGW6<&-66Ns)&r{rrQcLDP#ZH163J1Cvda@8h;*%Q zv=8d=?LJF#JTE}r^J3-4Tz_E_^I)3jE&=lr(*A3_0&?_fg=-u7+K)lu1*+>QwBhC| z6+Imn<1fS0_K#j%Mt9}VOrBrG$|~A@kdd?xhXA!SMg{Nr^W^Rf3uP`twHm&OVO9rF zksZ~f=miHRQc{|b4!Drh3*Vx&tMs=?`cEYxdE1|=28C`o)s?kFQTXon_(M@LUyo8c zx(np>FUvEJFje;3t>)9s1(mN4HP?~!^DSGq**FgXPRhc}fO`j8v}uSqPua{2SrBWz z7QjpoRd#mQu)GaEE@G@-xFnZ2yL!>9Z~C-av<02y_jTsvyxc#l(jbmgM++1Vm5hj$ z7tZtTkI<=(s|brHarUml%wO|UGgcOk98G*#>%`~sem=B2N4K669Faip@e6K>i`9;g z>SkAV)WX1(^0rp@%l4Ny+TfE<-#((vcQza2)?l1$@;G||7lr9z{@p9VkT{?TE70{nea zhiDnp^xKfb?v^f|r=^ey6C2M1AnASAjd8(ll0wS7&5XjJ2ubdg?n2d7jr9_~>e=REWOPLoG#=vapZc)UcW+jQH`V zB#TS}46&$K@TOdZKc0VC+`U9O15o#>8A|WUWl2nUhcale>TLvxWO@dYQT+?F_D%S4 zq1u0^yZ}WahkRM_U5y(n&)u?2eeJF3*Ygh-1S)aiooZ{JG!3{#q1kuIkc424%R)+Q~7KS2tUlv*JM2NEe5U<^S5!t);QWfctsbbc=G=XEH2@KJ+ zAmNCC)e~WpHe!?HXUMO6XIiksPhD(4KXD(irEB33CYh~R z`qx>;eg_FzQ!=ZP05I>oC^{{n2qGE8ssf$^CyiN${`T{Sm=}@HvE_lxgzRF*xv3aS zh&FoXh$qg5w@g_!c@%^n;2KwES&nHei0)1(lT_#Qj#ktY%BLY}5rbE2V>ubE3#%*f zD0LM&aSel|T9%m!HD0B#n-`$ben7`ErJE-~Jg`HKLM#YDNP*8VF%X0o%p`6+aq3 zxic`ss_aHs3h_)8iT?}v8y{a<4Nd{OnhLop5fj5^2|#)XOEl3_9;{}`@}e!tGzGoY zeGgN&kwt~Z4|;;(*XM~Zpn@)d2$5TihJepr@EV2!!%@l#f+kK}k06(rVVkdawi_7d z91_bmXMu#z0)j$gMJh~53zos#OVR7)ECM7MfS!W8o9_vmOdY}t`YYo(!--Hfx4aYr zMk^134QS?b)e+^0Juj(l4Q0<5lsPVwD{qutN`8hX0TVQ32_+yZRpK3tFQZL?>;Iu# zcSjTMTgxI}Jdlib6FoSBQC(BNF@x#{XiCrcDTm8oQUGSeazaBU#+%7qY|c_VgcTyh zHyLmp`S%-T;<`peZ+{*y`Uez3kk*LgRVy3iAD~5B#U>>>q)r8L&6lrY?6J%WD~K+D zs$_^9L1@g#sdK_Gr|D!~8}ut}hWC_P&JuD;^@!W*XLKqiQ)LLHZTtL*#JnR2N(voA zfwk40p#iH#Nuj!R@(;_U_CfQ~uoh@Wa2|jPGJCT^r0YQBgaNO_0k4y?L{EjPBZ@kk zKfs*5NsVbO@}2V%_I-Si_Ho|~VMO&>Tsq}vXr%?~uEpnE7aOf<6MKs?;raNWW9i(5 z#E_)udW-Hb!Ok_$?*-#oa+4*f`KcucOAH0X3b0DAD-5#v{lNuybg`^-v)E>)=E@)4 zUWAm+GFbS7S;+7{5}gNX>yr&Zdek4)Ab_KoZrUqp1L#s$URBz(Igf_(KlJ8xOq$^Y zPATF@81M5U-J^njH5Xg_;UD=;%fB#Dhyp7A77lW_(f_fq5t*9f+LG<;Qe{H8mJTe1 z%0eYp)hg3DjE+YhvHzXp6U;$>H^iD2sN^*Vez!LCDutBHGjutx&tAYQjf)Zf^&nu#>6ZiT)WzyN2;b&feMN!gD~DM0|d2Sj+r z4(2BT%~_bTftM&gX@7>gfmX0BzH_fu%l6YDu&aGlv;G*cql#W3U86p^@J0- zZ$K-Q==%oCzaaaSC&<%RWu*qw0SpW$l(>|D(&mSYy`wt-t!ipcc(lCOv6pz5wTpqXATMTrzH zT~!>6I%4r;aUBD`KqW_H2^R{hKIAGMT&oBHD_Fw&2n!mZU}mJ`0Rb47EFDv-fU{>Q z;wmR3VhH9a)CmBEXEn^VAnz?66PeCWB=(peS5%6LXa*r-HA?Pu#Er{c61%p8>rl~z z2WU4UoBwRIuD?&!8yKL9zfkc?nV>Zek>Y`-p89!yiqk#`^Q)=;fc3>9&T<+z+8e7^ zcO}rP-3S46UWyC?Vh}*F85^}>L9=a?-LPSl?YzdeIYo{+MLcy6W8!dvQ*WcZW@i#t z3%MsAZKK?U9Kvpn$}nz+bY996jIBz(O+dH?$hDEq-b*rR`bK)-Y04p9tN7>#y<;x5 zu#duc%>>7986nIYitMW}Qo(te@uJ81sg4agRm3p{#f7$#;{YDp=?rE-P$pT`yGy1! zYMdsP95{l&vvg__@6S-8f|N!Uacd;^-ZsLin3?|;*xJ(isiS0nqsXwxg-LkhOCuK2 zC2jZlAkqi*XXlo{J$9S(ha|94NIwh7XoxCA*h<-53GEb(5h`>7*b^2JR8(-wB?S(I za41ZLs$@{h+yVK>H$d|bI?=o&$PGbLGeQ&U{I_@sNJVfhJ|9y*K6A!<`|J#)tqPE= z5lchfnO8M2&w?==FhNn*HK)J=?fgn}^(HX9pQYi`V85YpN(c8;mYC$wmSjLN_F-?) zoyy1IW2p**SmdaI_erb-&Joc;l-7KKO-TO5`#2(FDgblQ5p}JSQSrXOh=GR1sI`j| za2?)3dJOJmlu!6$c_KJWGpi*TQt(fDGyN${H-r@8`^xh20GLwTBnImg;BA+ zVw}0-N4qR!KW1@*ws5!3}M@u(KgT1($Ya2H}8u5Usq?Kci@IDo{AENEY1_ z!QoZ;9fL81{r0dLgwdsWO82b4S_oLlG+zn2SFAJkufPkw6OIUK0 zux%OF*olW}6_%Ndou7jtpkHR4GPKW6RK#Eh*H4Crv_&{ge(Tp7+#WC z%VAugg-J9E=DZ|DQaV1x0Oe=fMYm0>FE9D4-`!N59`-F!2&7v0GO4Rfy=(YYn9ql;^94t@bt^@7E>|;)1(M%Y zQSd^IS2?lNUPpe8LmX6t|nx8haZnFs&YNrn{nz6D%a#^Fe68ch+LJT1TBwn?TeJ^RfLQ(u ziVAPA^c!gL*BIm|IH~L}>nVWq087!ErPmMS8EFWie#EsfUIx4#QASXyxMIYVpp`01 zXKJlx@CN}$u+$X0s@GsKSZFkXrP^5oST7mB@Cz(U0}2h4KVxZhL)2NWF&khOnBR$y zze;lJPpy@;O$%y`6-*!^qZt(b28_o*h)e0yF&P4)TpJTMQ?!J85OeXLW5jT8@rVVm$rUT2koOt=W$J&93@$kF+%fvu;9JokN#`)A&bt zoX8xzIGSXpLuNjOqvQ}<0 zTDDNyAw61vw6L>}tJVt3tHJ_*4t3oNI%_tq z>8#Mo@@n9Fa2CUNw5UqG2!lKx!M37qZkse0+x}cvCY8IxE^}2ek4)jfykK_ul?<0? z#X@a?cp*JRLxvlaxR--p;h6O&aYimAX6IX8-w|Dj1HQ?p)S`%5SHiWvj#E+L*vi2Ma=MUDdAt*f2=bj$(u;V3*j819`0u*#FU6y)vG z@e`TGRlpDID@2V#C%-qOmMAWo8XYc7M?_BUMU8H)W8TH&AJ|bBNNr$}E(`vWgTE4T znB`qVLWQUBwhF3|48e5L@FZ@TKr2thP;%onsB`0=Vz&(;xWiIO2l^AKn#A~oG(kw- zN9ScT8A9@xSQJ@%k9UMCLbmXw)yI246+^f10|8ZA*|ZpFNGNNF)!a#rBs~M@D_tg` z=BW`|3aAAT=uquDG=q=~Xo@}sE{eZO0}XUQk!kVDb1DuIl*nZQPYJ=JWnXYGXd6YH zGRlvvLn<-VoY7gye3CiG(DCv?Pbx5sJ)9HEMNs|ovEbh_V0|iqJ&l&hpwS7F|RHmio&9FkHKBT4g@6BXd z3(%#X75C9@@&la$bf`JHsrG&B;g^xA4!B$f)%$6Po|{`-wyLWlC)C zf*m<54$%#i7VIr<%f6JRevFd|{8?r>$ ztZqe`Z9pXX=J1vVQ^eL}Ua;kH3$=RQJE}3`Lkn2fmQt$zRe7FJ(Sf8+w*#Py;rz@! z?95oVjk{T(dh<1SDXXw<&_-ug5-uY;B>X1n>K94q z4{y}|D519Kgh`DQQF3z|iKkd-+l!88+U1tjD}DCsAhV;u$g76%;L3xlo@K$UuPlsa zHipVT2O?C!ak=2iivONyj|8|-y-f2YYqJHigQt&Rp$52Tq?(E&HtZ^jByAIM%OXrrs3jf1VI$OEJ-Md4F&vS3Lg zeoz#z#CN5xv_nZxRHkp~%Is&5RIbUG*Z{~IL=qqPFGP8%jjLW!WLrudIOnpj(+Xb)rD0QYER64ENE~k&c%g5KbIEEd1R}{LA>u z_F>Uzp3V*$N_MtaX%KL}zKX}bnX0Z;-J-&@Fo^J-XHj5)!D+C{p!uux!YWSUc8D^C zoWh_M09=yDg!}~i1?{7YfbSGxyhuBb!xdF!m>QUb&__r9-2#rpYS)i96!r}L8Bg-0 zrJuB)U?=4$5K=Q=jM|E%d|jL87%3=G#l){dpM>8v64RBi1c0F`4;IYe8%W?S<|x8s zEtzhshP7vWeBz$t2oW`M!sA%Bn@K5cAD^K6VI%E0hrA>%xlo;iOhU+dlxcX zcP?)hf}4_BBH$dv%EFMG>TOZS+!+UUjY_xGNZ0fMPf!hJoGSL*UO8=$lg^A*uShg) z8~~@eS}yF;E*T1PkGBjl?w~ATe|Uh=$T!D>rWrJkr~z9ED5B-&NhD#!lh`7XRr)Qj ztUo#xjRMXP3B#J!N>ViKPbEFn8ElaT*0Gut7r@))2N*ya>`{P1v=*8Nfg7y6JeECN zn>Cszt81NEhnNkc>E{njb)3=WG@ff(~8X}p)->PAU{&8uBC;EKEFFP*g-U%0{wQ{4xb7o+c7 z>~^kK1<)9m`_;s+jX4l+^r#GHo~Jh3>`j_0*Zp{s8IZ0}#oJJVx206l_O8>j9?~9Z zNqnoUIh#sA$PYhjJzl59h7zr78$2+A3J}RLO#I27uw%Feqsl8nTf{CK2wJ-mdOV`M zcJ0A?cu7#iKB2ytsUYL?BP8bSEtx=1yX#}WLdbGsZ|B3 z5{0B~-bGz%_;OiNuU_LK3X`jM-lY0RKStvt8iYoosXGa7p40-QRf&H;tHT{;AlA7` zC4HD3wOoe6y#uPsUkUK+mqrZtTMM?+RRWBJD;k=>ANmCCD<6XEdSI`?;M6$4n3k!95x4@-ti8ehPoO zHwwX~&Ye#^%HZ=l3X@hh=(%Y<55`Wf{r+t#GNkj$t_X=z^6VXPLeK;{zdkwaYGd5H zypb7tA?T!Z^^<&7P>v%1J$#ZqXs;UoaRA6$_D<}N)P0!{HXBVcFilOea>W061-ncg zhafuZuNAj=ba>gW+YXYOXzhjs)pCq$BcZXeWRtd;A2B14;zZb{w8{Wg{H#Pab$WM}W)Fo0R}_mE*v5^gd(cRJmJ-F%MOWAVbAE)RmN z?u0Cf`})J+;|9T-MOGeOp#`SBz_@-tTZu97(=Gy9onW1cr^YDMNU47UD>|BL6m$N7 zaCwJRO+S3d&VlvBNDlBrjwqY&{C|5754V8p@3y+(KHQ21Zdo33eP z)SilYnhLz%UCNSpxTFPEA^F$cguj+&9NLLqz?}#>+4=%UK`z*#H>YpeAE}vqjImU{ zBu;ZCg7?@+lT6w8Phr;em^{q;5W~N%6El%=IPty1$(o=nt^MruUG@4knvsq8J z5^oMKH&fu>g|IK#rX|OtP-E4K)4M6$+|?fizxNI#B*=FP)hfzXdY@#d@y<`V@mJu8 z!%Au_(vD*7vCdO)cK>R#e$~&T`*6w`5f&`l2So&4j~3VmC)#3i;rQxyilm}Cuqdal zk+e&5;}Eo3EMRbz(`f>@*Kqn={-0LuQWA3O52YVU9ez@b=f-Z z8m;6T=@ny`MXDqi$%#yI(^}OC4>T_`5Y(gwP2bDg(m*ceejEcNv-^4JAl+j!f_TOL zKo$9=3lH!>O48!ou%3BJu@w@z8)G2Uc)`U}{&XD5FH8xFg{|&UW;w5f#rz!*u4F&r zYR3?qPeN_AO;RjWV5DY-u_RW1{4y+M$j3$xIi#G)6O|0CB#%<5XZ3dpNGUaLSO{o$Mh9&!HrJp(c(CeSTm4Og20ivlz;2Q(TY(I{n~(Mq@`WU=-%@sXv$!Ni5U-Vm+RA|HZ;8|0yd6r0NC`QAPdWFwv$ z6nj67hxh*}tw@A`{2_LM;S&5PS%?_dBCQOtNSAsGbo(8I!Gv0Ww6{RPfh=I(9&&P4 z!GT;e`J)a-{1kzaBU0#`Gi!LaCJX{*v|A*Zqb_`+|pGiG;Ur1 zw>%HXg$91l(0%+v$V{g$KFv<8JjZPCfO73K{-oU+%3y!}&+6bJ8{y%4j983%v!2M3 zV(y0Gw(emSn8*<8i)D0qF2yS|3-FH@M4wo^F1EPQ;|5qofh-vfUE&d)nO$l}5)=g# z)U_4(s_9JG9j$hMJqCIq%T;FJ_U;GZCJ6d{J@Jq_F3-=m{_k%Bty`k%?x#1tvbes- zzt-im`$p%(k)VdVdx}NBeJV~W7ucfHNIweELQ;^^L-!Nkd`-Z>bDzT$BbiP z-8>ghue1Md&USeWH+lYG+*ku&Yn`d2ww-~YjIpYnLtoMQhcWS;=^yDn*x%K2e>h)- zS~eBktO^Jw? z)q9X#7VvkI*j;7?`^40Gt_IfiM89E3oR#F1L0$|mS{pUzvRcfpN(*t0YH39%L;{8R;+%G{` z!5nNf;#0dt&1cURe8;qar8UpY|Ezkx-y&LOsj&ww>``kBj zDX&j;V9qz`G4|2MH=3w%69QZ*bS9Gk1_4hz=IK3V1kQn{X;gGuBEWxfkil}q&qCMb z(-J`nZ*}g=QF`46eT^lYa$BUpWqU^roPP{Jp;nIhHNrc?n}6??lN2{?0zy1}0IuIN zi#M}AUw|<9cfRw+NWV|#*XfU6fK#fSCmu1Q>$(lI-#p?+*yg!kfP5QR~3fwhxY8Qxg(i@(Df@{-G!mfH7$?dnP=0kr)?in zp>ArFhS`eHah?^Sh=*G?pmdW&!eIf~=gaV)4gfl_=Nk`Uu-Zpf!<&i79iJDX|0y$j zYkf^i(>3Gh_76kcujQ2Zwi0W~ujX{Ms}gd|@b-0VgWp^`>4Dy!lkIrK|8?c--*grg zm*DC{l|A@);m&D#=L$u}o8+v}mOA6vi^Z(ak~-t?o5!rsoI2yxOHJ-~MPK!x=s-Ym z4M0-Et%G^f#;le8v%N+#%{!F2GXdk3#qj3UdK08k<(B%E>bPb#>%(2ka~S9`_WpL7 zSKbI|-r)I;?RbmzG4Aa<3E(=X-@5Y$4pM%?557j^^>*ohX)VZM{VD>`(xQoP?HMXW zLF9S99{x;}KF|3o!hW8uiW2AE+Bckd2YB{`e|Gf(@8cSU^w!LK?apTY39X|lGBNm9 z2z4+XJ;Q+;^pFp*66bt9CbcebCG&XIGc zc)*5s@p0D{Ag8`@K&D zF8~eGUmuLv&uPG8u6NsvJy77~bptqnZ0T1&(86~+)B7s-9?)B)dsUP-;zIm*tG9TO z7ZU=Vd*|qAo)Y<<0H`v>*suBgn$E_CkW1N#e~)jAPk`Tbe3^Aqi^{mV&$}qc2>s6W zn9%dlY%2pNZo-szk~bgodV6mHRbluIgy<>13QqGbsFZj-3mGBuNE&nG<{iFwmg0{?_O17=|AEE3vBr` z7|M0-i9J3Aw`CFgYu@62H(eS6>-s*oA^ALdew-ODOCaKUZ#yzrkt^-4qUcRW*g^O?AF& z-vK@RLe_>U7`*J2}jF9GVi4AjP&zScFk)CtC^g!Y8$^8<~}ae-4oP=mONuVvUA zC{zMhc{+2#32x)W26IMmrtU@};KtSDU*kbgL8rlAb3ss)CQtxqY>te9C4u#Akp0+S zUy<~0*sHm#(mY2;_+=yd-s~PN+E1;(HOybwuVy+Q-)oow8&o?2=Gf;s{rhdzXhNS< z%Rw>FWCQ$fV3g*E?mfaBHI4rtK(D8_8WEQF27n8fs#$1Xh=@BpqVUD^OZDeNeW2#& zrt?E4X5ZOvWHf*@kH&xEJD!= zm)mQ7rGyy zB8eTgf8vbwe&1sd21kF|DWvqw@Y2nAqNWd$NWlo6Bl*x}EMPLX`f3C$Zy12b1{^CG z&yvXEkb{DJpTWw3G&=o;-s9Gzs~Dw!XGm)6`t)hmAj^(G@Q5HEY)-g|EH{P0PgJBx(z3`ZQHhOOl;enU#v+cwr$(CZQJ%F zdHLUaUwz-Zud7b=!Ct$&PoJuzwfE{~$p36R!F$wLyrwDX=J%5LSbTh^K7*L$IRjMp zrqw=r*P5-r1%5vc>i+D{x^dHZd|DWb;Q3A?N$lN$>pep!4`g@obNe~LTR@x%Hfs9% z8bo$uBWe<*4XU57zRUh&CjVVsx7w?J$9&XE-M_cq6c-5d82+?P8ukeoDeyRtD9JaP z)?AHShum6o@rh(v0O0ETeDyf_=nKfWWr8*PJ;nqkW1oQEE<^mG958TArrhlfed3$^ zz!0#esVmUZ&{5kIzF5UJ247{{!dLf=n_;ki-ylhTWYF7ox47)tYFWQ(Rd(5kDP3w! zkN{s(IC1&`JqsW$63?Wc9di)jAy6oaQ~nz_42DvrSDnlck%MfJ8ju8u2pB7m~DhIpzsEV#tRq5-$12dJiWtNRIka_}k)S@AD$V|@xf zjin8G-lgz~#7Ez$UDs$HjA|V34YZxHD8BUZDbT$H>ymW_klx~q;+F~Cq2rN5%)PL7 z=xFl|wjJNX!ODPmwPgsAG3Dyyw?)_H$mPe}_NeKJ%_;M%s~zWqZ04#c zG~JS+dRFmcfuGwu=Tx5f`beCOd7U_VjSrxC$*(|op_^6E>j=&~i|Z&o_N3gqRPj*} z;1OuXZ!ufFZvdP-Q}OVj@D=T@p8RwfDtD>vRM{jP`_30v`_6k;$Mp^fH$U4T zUNhQ4OIwDVlO_7%gbM<3&S{8UI)ScSd33%y zW~{es=dWb=R)>(AsbzR@qIIy`4&3&b$T>#qrqD9dSJ%Ms@d3ePanF+!n<#atTD5XQ z7!HKx*D&UDS+>aQ4Ea#{;E7y%qOpz{u94;3Dc1yI!(M4@u+3Llfl6S*$sT|?zVKlr z_pFLvt8#~?TqJZ!&U4nD2lNBR7xaC`dl?f(OELZYKR}Di1qjP?9S6>Y>JR&LX@BRW zE-Vs=#MitL=>huA2!>l`&!Y2<`6j7DwA~4SJ!{^uwz6FyZV}Z8%k>?fN5`d)=zB@r zllPdMl6RThlJ}V$HG2HS$^l>?CFKHOmx$^JL)ugsq3R_XU&Luai_xO#5>3hdzKRFr zb=~Rjo3m|bOOxu;OWz-Z3Kv7q)NA@}9{sgQgmQIIx&dajT>)OL^uO?0&(E<8hD}2z zy?$7@PTk0ELNDoP`C>k)%9xC;=QmGmB6W`LGJ4^(w)>?Bj`H<5ijHddjkCFvnZeir z(_9Uw1z6X-uFUx_PGkm0Ej;*>qk#YYE3ek0u}hWqY}JrA-J_1s0|%_Z3kgJqc$-ME zX~;WZ+Y1owwcHUESuP(t8=WqI*NcOq?NC${-(>0oWDCLKC4q^6LC5SaUqPt>N0}D+o@&FgTrc zA+L2;t^=3>Let+$&v%Y5Dzv4BIn;~(f_v9`Fdbl=OT&lRb(vrJav;AJ!SIMZwD*ws zF^K^Sr>`Igc>gW7LK@18TPg+rx*CLP+@rX_GG|9DL{xeZP5P z^ix0>qtYSADzUrd_L#SkK2uqz$klH?tN=R{7y$^IwCjNY6rbX+foF~B+(a)qH$jbv zV2Z-oq1)&RTpq`OqqDyTRe2)79qXIr5K9}WVDJ$*py_bch?5-ZVwmngoIaAUi6C5X z*+9n2Dh7LQ)P#1)K#-*ET|l9KvN?~Y5O?rS_lr^e&|J(PzBvBhcnJ>=t&QaS8&EO; zJ3HZbVK(MsER5!Q*d10oTd4(srlB643On23k;Z|v))IC2ax2lBB0iMoIpAk!I-&ZG zDwsTQ6=i?A6$><3=JzW8yp>E+dV-}|5focxD7@1rk(VG(XNJ=Q0pvq=GoCQRcz`nd z0*?V584U{m7Q!;GUx?AS;>H69jsO&aslJ%iQcE$)3-P* zO^=etUHG7sLmuiKR2sC$T195ZuZZr?Q9|X`2kVE;afcNXKRoI6>ISmH_1GU>MCkr{ z8_n~XRQ>B^QZf)uf6sXVuRUpc^_ueZzzoSE_k^iz-W3?Tg$&7#&kc3NPz`8gQ%QLz zVyUfsP$c3$RgHvAe$lt3MBIyD$x?jgI+Qipp9$+ClcgEIKs-~?C7^&k*=*I$-n{WU zgKEDC=`QJg@%iC^Mb92!&>IS?N*(S3hw;>mP>r50X=EDS>^}NTVodtW_)?TVclt6~ zxTkh1^fAEAmNH-DqqFeB0ukV6=92~I;zL&%F;aPt*n3Qq_n4-EB_V-#lFz8*H=d0J z0-d)(oRUy3J~DaAj|mK#@ftF7hPz!*E$F(XLC2Id%7HiN{w$PGjgHJUg7(vjX2R{X z=FZzY(DRO!F*FKZEibhU_s0c!qlFdYZ^fHXZE`lu^@54b#=BVP1WkY(%P}e2&f*LF z5Uyf@pnP4ZQ(lN`NyV&9QoYo!?$KC?W3`a2BlH%eK7Nxx=ezwQg+RV@PH4CyX8Uv+ zve8*Rn`qjqwr~A&*~p5o#S<(q8WT8J>9Or9ZRAFw&*{z*ipuFZFQTU&?cPHv1GrL& zQ|wqeLeDE+<6#F}kpSRQ`a7Z9-=(hzB1nT9m!!XA!5iVf%rX{~w0+r_Ddx8G-vyHm zoiO`#g~TbGVCcQe+qh2UU3=j~5mY!=o(Fxb<17^@h|XAN!PRMJ3E+S0Rm}+H5RP#y z?ka(;N^ED7Nyfhx@8B>+k9ElVKb;Yef2PTp<0EUexuYJv(Ukx`3Uc;_7FlwCXMG*z zG#cGC*{2-Djxe)z(jJjJXdu%#z*PVZdX)QC0y6Lh+SSiqGWEXR z{LL)hOzh}SzCjCE4++`htH&(Av9ebe9p>k43x%{l*lw{ZBID?sp6+%q^8Y9+*dO>Y zsPi5KC-TIz20yM9x;FhP41ar6a3Y*4L;NXg601+6W1q!5-Cv1R^bN*`o(xtX?Jf5lTMKTZ|IR7bl6cbkR#d@hrLR&^nC!K(1G_)L zKaTpIMR>n^l_5f9aRLG9I|cLeeTU~eh!8-R=JnwSQ3+#?GudVTCOFg%^ce% zDQ0r*0u%w*?Ju$#xTn>-!#dH)1V%AekE3;oBB5t7m5C>p?Baa^3hT7BoLfs#BttyD zAgbd$j`MgiI*D%lIR6uquw>smr|xN~b=SD!2U?s@;wiE7Uj9Nb`H1bpFv+Cp&C8wx zl$W3jW>o$3U}4Fi7IwRgcxA|7o+yz)65vH}y#NUyi|*&)3K;CPsP(;RBh$yoNWMt* zdJ%f8o>9*R=?1F%-7gKCri1qw%|wuu?^ta=A?GA#F^y*u$d#0Q#&^WLYbupRZs(Cq z(b+FN{0EmGw<5ho<@u5udd0l(uUj}rj&bF~hM8^7uOO(L)4!KbN;U10Kjkjja}B5r z6yXs7;+wsn@A)or`uxgo0Zh0@*GlZrC9E4gEaHlDz9>^ygC4=Zq=-Qj4O<^;5l3gj zD)u79{jABVhOcpxde9>huGfUQ=|_Ci>Q`U=4J$xZZ9$u28>AR!Bj9WkeX^z4xm6Os zg1IWTq~v1;eEYZ+zL^3{^P)Ijlc02m$t;S1@V`Lj9^7C+*IJAkD{!SY!cc?Ee`=~U zto#l)qBgr;$}7N)f2$Z{FeTvRN2Wu}BRLn~;bg#f)4XhQ3!~U!6reTU5`=s?G-eX9 zH>qr~nCk~RiCY@%tgI%SoP<_Y?>y#f1q5;1mRQk7#mIrgBKsMRERf}vvCx=)Xks@5 z3YxrMB~d0|segHCLDM|bezXX#&>R~Irc_1o`*cYFxPAqbmUci@uM zaErJihRnyz;-;R(e>&CDCv5iU^S%$;w4H+{W-&_o_tJeuV#%!9Uw3l8lJ%eKJ9?z2 zi3d(8b1aNOWCwJX!-&4M3doQA>t6aDfcnCaku!&?L>av6C+gqK4JS`_T}<@Qyo+Ga zKZSq~f&+w6qsV|No;$gaVo|e|%_wThRwe0#wWp=1k?ZG-=_t2c8MdT#ki^1YBU;!)X@j2@E&c3G{jl$gE_9mK9y%qo`GfR*t$eo4m0nSZvkb4CQU0%(LO zQH-K&20GUoX%{LlrUC8;QW@v{uEnzq<-H8s8s*Uv(L(8`jC>{g6WXr;UwH?g2)5Tw z7$ym+zYDGH5(z*jNN~`-VTT5%aa9?om`q9WJq=J;7HonyWmO{S7Wi~ypC|4>H?5-J zhPBy5OHdhpAMf25*VLORRYl#d0Q!TCzu90QVdXP_KZ8ZDt$4`i7D)->gv?B+Zq1Bs zJ|N-h+&njKoFx?$EfXIDV(@_GWzR%54?CV+>5|~ao2d+0O5QiGufj0z=)#}d62!JT z$jbT1e<${VbNkRj5G4s1Z~wZ+7~ijsUfOebdr=lId85gUn^(c>n=dcm1yqyO@JN8p z6WuWEc-)#*+N?61#1k^t+xv}iR^sdbSirTWgq`XX*V0$tK`l(C64{=BrSlVArG`!; zL6g^%ZZB8hr^-|7C|X&p%(}a!A0MlqYAleUll=JYm^bsL6ur!+`Li(#CKRdQ*egsv zBWM3}J>quSQEkGEfcmXq3=s4>ttL@e-9nnnZYXqgDs1q%So@+tRwukqt9?E6%mx5K zd{LT8-Nmdet(g!t!CC7dN@8;)I`_|p%`nu8`Gs~KoeTfbf6=y2#=`O(lZ<@Dri%DV zqa^lIU>aFAnp0A>);_<&m_iJ?&GSLVml_Kljsd983_0WRU~~@E8-QL_fLNew1_7`0FZg4TrsraLJB7&}4*xSgdc8gA& z)hm~5gUP-3Ol883Z?W&#tO{HB>$&PUJRu@KxIG@XMASyglVBH8hoW*`+Z2(PZY7{Y zQoE`;{!38j4Qr2h41l5t)ALzY02y&yzdjcm zwu)yfB%XyGStS*)sNikj87WtN?A9L!dj=aR>WAhfYF~>!KL7M#mpU9Sd-!;0>2OQg z>+Vzg8TW%Qg2W0sXW>5%>h2m+|xM)@A=mEPx;1)mxSy56XQPto>iT5IBY+ zAKnWt?Q!LP{Vm`F9ha9;!>7@AWmk{c0$mV}sYk+D|EWv9#Ny!XX{VFxYLvR>#6=K*I#_bg44|xsKrjcuS zIIJ_>dBXV?Ckd(35?0NOUDB&{d+E5u%*k(-x{4}uPzCm&vYTvDPzv@2kM|%F_XR~# z4^;fB(%f4PiqZ-AAy6lQP(v{oSsxq>21l3+UjR__YYM9X{{x}^asM?5&RR9D;>D5^ z$0LE;>OLdq>em1FQ`jTZ%eT;PJX5vG>)v;Dt8I3tHfEM<57(Az^X`Mp)xUU-)x$UO zTv@itA!#j@wHYno5{_OFfp8VrJkZ)b7?}74HmM1TEMETRFMa){_qG}?7d3~s7~3Az zhcdti;vx$}sbV*4T!{6tJ#v9BVG0^c^V@R_yDvoN7_ zhJA>bf!Z7L{V)^+Z<)9Qjyn0DqWv~kU>ATn4y7OyIdN9m4O?v_#Ub6dA8xr5d!=tC z3li${1AZy?l=QWyv%lOY3Lcuvcf+7vF?pfbnnIZ9Nl?nMWkDa{>qNQT4Ef7hiZP3k zCKPzk3@7Y>f}aC6EVmf5R6Yhl9O*UjI}xn&(A{QR;+$@`m=GL}7b!C-Xp2u%Pt zQ|f1)nr1^1MPuNLH}BI5`dn{ud~0&^SQK~LLXlcGQ|oTS3P+T4EwL?6O{&=~ZI^8u zg}&Jwc--;1p9UmW{+)=EnW&!r6y-k$>3oEq1wt8qBI+c!>zY7kzkFn+-_1>@nG%tj zJh`)jus}5p;-V#t;J@v@Bq9==u`U5AR`TBwe{ubFLy%syN@WL5p_O z*y0J3qOOPiSs=#ck=$0SGmq8cDreTyy%gOwtBu2c|C~&sGky!h;e9`{88k&m1B;vZ z>Hc9H`b$0FeMaP?o!8&q7#rR*)ioSs=)jiS$EK;RdF{yb)GXp&#)F4Y8z&DizkGzT z3m#s`Ev?j|4hcSuK5LC{#P%#|knM1{J(*W7a=bHN(y$gX2<5tAi79P~D z%+N7*o4^IU8$&^-qnLIGOOgceEguxb8#9PP8IIIftfuFypbiQbo@ErQ84p)K6u z_=7Zqy4$&#qtJb|R$>tO**;nFP#p%CL}bzEIMp(NQtiBKIlhmsjrNNz3+I&4m>WUv zqK#j*+L|sq%xAh;1wNjqElPI zbePPV>PMIR+UREt1`HOg5B_H_6;hdz#sgc6V8)ut{F)g(Z6u)P) zGx+vQY%EN!5oUu_+%y0{mqckoh0P%6?O+!b`ZAWaFp3Nyjak?sJ}W66J7@IKdwCT% zwL6dSnXGFUvcc;KbyGkP6ok{d>9c7R3fd8&bAk%VsX-F|`>A)X47cV}wlu!(@6XWi zQTI$j**mukNlZ=`M>;2LBMTrS*D}IAq@2KMLH*{{YOrVGmqq|SLVX6DCZKBO?D5-! zF_eAIC0`3@%N+wA1xEx7Wu=!mk1+={k2sFp0*kG4oimI_-LxSjqGy>o`SzjX8GWoY z+hl#l--mgj?a!3O|Jd!@!Tv!-f2mgc5US*Gs7jaQ3@9Fa;@#cJxrcZcWn!MnHU4o9 z(|uo$EI16G4Z;fGwo|Lk-cOxKA)IId7fEy`7g90}^HNM{vcsoH19gXP%I)HlrNVpF ztaDL6&9w7f(w!^z@fu>9Aa6`Gw>{8o4Q5{hF@s^XzkLR)COg&UTg_)9nbKO)sY|`FiQ2=wj?+0l zfZHan=aM@xTGkqlBX#n#c@#n%X6Q(l#9YU4nh@%bLsN=z7)OwKD|#*h2WE(Fxza(b4JTWt>;{Vi$pW} z39s|!3CP&GDd1D0@vHOdg<|v97j%8n5)GRy)0dXZRwsA_76~U&GtG~(K-$hq*vXub z(K#1}l-Y!d^9hlh-C+iQYvGtdGj5$v#VVA_&~J(mH(B(ZfS^~(%^0JxstSMVdk?~W z{Zw}YDbfWcPfs5rtlSA$~ z!%oOlM#IfH9to6Z-aNt@HHD01U#8V?R4EP|d?hk#KEHS1(&9A80)q2ewSKZ{%eVm4 zDmy8FhHmP_A$#Vyr%3OOwI39p_6M#YhS)s$K;1abizTSVhmow%%wY7ID%Myj#xach z)_EslxjKcT_zx89TlpSJ%~Y&hf)J|U6LwzJSU)2|!W@XxkF`B?l%#FB_&noYPtdDE z`k(=dfXcXt;e2mCq3eqFssbk%Q(I;Q{o!eVqRtW;ZfJJW`Cb4PTx@6q>C<}`A&r12 z6!GTGWe#%@@kZ^0`LJA)KbK}Av@Zg@(;#NE@H-fDEYbpn5AWP5aE!_Yd;S9%A#>3* z{&7gjk`%&WO()XkxR5+y^Q(HwB(M4QljXW?iSBQv|_5$GBhs zRrm{6985UkIU?jUC=_G*qDef*E0a)k2myuyVM@`|C0fNz6ycu-X9&?&V97~&Km7zY zv3>`yU{6ix)w8SFl6_%7^``D%q^>y%#+;;TCry9{x#X+J9yK<(TB4qZ!C{iFz4*Xu z%TrpUS$knU%K?G#4 z7Qp@oNn&T^p_Qepp|mJ;J7J>2hE2-oCJ!Py;>O(}ft zg*oumHb4_(R-&-y+`{r*<|Us6&^ULg)v&iJ?D~W^_w$S-s}0x4XALiUC?)%%pUkQ4 z@@si*6e^K%gegZe5tT5aJ#bqJXdlftMN3MLFQZ`O5-&*_@;bWn5m`T&yb{Oz`U;!{ zVxt;ICtv{jikV}P7e}xoj>*{LcRY{_75>N|9fvQNNQP~Mpa58c<5A%OWzfGjksJlo zJ0rWy&-@xGPioBq0(@u~JJG`Z9sC}HlvRb9ylT7uFgBAKUZ_;%bs|AIgwpwtpAnyn z>3YXjcF#F7V+%O6%3v{`mU?$WJ^E~pM3SVZLTX7#`dJY$#!~}kImj#L`1R&SnZ7Cu zbn;_I_Y!jVQ@(0q51z&W4XUNWh-C_cx;N81R7TB=IoaCHx;`IEssvtCooi(jk$hOi z2e98Sf7h#S0legD8AzbNSaJCu`!)Fnij2H|#g;nC|Dx1E=tTgh&VePkVZ0CKItvu^ z!8Fxz;5|*3!&%6&XR3!I-$++2nOkJRg5^mN#bw)}%S4oyZPZtV=it zAEy`hvSo34gF@q!KTiy1O|37AmBC)--O9VN%zuOe4Vimv&Wo&pI;gmFN%P}Ju(qY> zWF3XwdENv$(|5iCke)|-DeVbpm|-sI-8JKm$~{qz={Kr?6MYsRA*@qW5aem(G$A#M zf3+X#rxNe}`O>-5dploW}S`q2G=IxP0xx6s)rr5L}Ow2yzmX-r{}#{1F?kIS$`js%9BG) z+@i3ccER+CzBHnPGeWbhw@sxfburo}A$5s;z}9XsPAI;Oe!xwNd&$hi{5g@Nkj)(6 zwXfr{N>W$^V6?)?DT~*v*Sa)%G1M@_^^p@2DXr>J2&Mac+=#d3#z5q*K1Vo2b-@r*pLF+BIQhK(~-BU9B z5nk)37_nT?8Kkl3w09@7tT zf`+B;1w{7OJ_*~Adn>6Q>)gt5fVx3dvbs5(f1ziQoeOV< zehMPlI!HyD9i|6`s72=qukk5V?*&SSN=;`tD#;-j-LlX6bhM-I zEhue3HMJG>7`^sj%{l@p^5Zn9y<3JE*6e6@|MU4A!9+yijZW_0{WXWD+2@Ie2l ze#r(iyd>HPvxY+vqds6GSWQ~$m{HvP&|LubwK44x*Ad@Z$C?(pLif;0MQsWO{4&9D z^~^IxWfe3~*W)yqDU{C_T(<-mwdSZPku1!GSE$1>m!rmtJI54bH%!WLN|C7mio|Jg zIls&~d~%ke6PMDxz^rz3`qknObKGen;j-<0`c`f&uN{y#yXgF?#o^tosjIaE2=&yc zkIKq84?#WE?S={y=N2CQ4w9TqH|v5*HL#)uql}2WVbl(Cj1UeIfQ+%*{gKs~B9Rk! z&9JWNtVz4_I$u|IzHTYAVcokO)f}K+P-X$}2HrK5V<@i(No!Hp z^p}@mwY6WO&X-5ASk;SdhQs4o1Jo^U^iq#8?ZwS0^I*bA4h&KFBcD)IT1$Q1G|}xN zT>WSMZkNun;pfhnHbr9e`i|Pi=#6v>Oj$AWr1+%<4_MoH3{L1MGD{r*48Yb-MMMqA z6BR6(_Bf*iaj_2~s^r-9LtE%9)5Ow|Zq6HFb>z&ZZb63GVl5z@H|D2W(FGAC%q9i# zTOQcvRW6F*W5_q9A21#tRyGD?D0%!8uOJS4Py{nFD8gd{wte0*YOzk#xm{sWD-!<^ zCdV(+@0zM!;zyVsx^M-s^{N|A($EmL{e+=vR3qPUHje3KVcQX(Bd);adk*LL`t9Tc z!f)`R+M2b^R`Wx8GY_D48n_N4VinW+RgrWBqf^SYvvXrAn>Ac($YD3bR7-{WNBh`S zcSpG#)i)n9B3T;{BR-g7WgHF2Wrq+T$WM-(ff#D^ zrrBpudBJ+^Q)i7g5eWtsW|zZgwTnYYN{S~r#75AEFT$Zv8X@`e8@YRaj)WcM(RT-( z@s&O6W%62*OnVxtXi1eSTDr}R?p+DQORr}7k%IoaQw4w7SE~qlMp~MRjz7LQJv2a9 z>y{r8FxL63Dn zhCY*A5&E;iD!*-G8`|E)s2xD?hCwv}d`64kgA0kT|7vmxDd~6h;Sa_MiHPuH?=xhe z{g?XA#S^Mn_Dnln@zurGa-bTKV7}l%X8MLxKJc^yZd|? zfjZOs8qyKwQRQKao79i6Sj;=&G$%~;&o)uqL}tu`8i?Yr{+rqAgaVPJr}$DPCAO^I z3~91Ya+5;<=M;k=Dm3f+P5xg~pSccc`+kC$GMMFh3%vVHHCGpG2R!CzEXGjz256Op z=B~Q#41*9mg2b>tFJK0>?R$tvJk){Kxi0qlfNFdjT zer`qUc?HR@-(M%RBaFRxzrT1ZUY`L zA*+S=IVS+mApX7@Gkhjg*hd^kK?@}2kyapWfTlnjnPY?Kl0BaUs3ot3EL&o;l-*AA0o&A$d zrjfl&0XYtrmfdX1Q8O#t!_3sOY2zr;V zo!J|&3tz%u8rf69eX$`Ldz`Y`5H;ZuKJ_y|91QdH^KvTuI;!Lu(C=ROCk~7=_Q6Id zRjr5MCBzE(S*b`;vEZbE#~-dA2Dui8jLH*!zX2zgco0h5TJ_(wKC z9Q`5>#~n@Tvg4sXHQh#gt=ewDDU->`zh2v+RL7E(5uy`CMg-)(lup52)scROpNGo? z&;L|K`G%>EsRw&#IPWwtd`i>@^4ED68rjQP;0LX`!}jhhl!|>?L+I0GWF+%749#2P z4OrXa50vLbxLS8ITTh9+rY+FSQuh&n_X1N-_?{nsm*${8w4D3+2033hX*{l=LK06y zZNPfX4%Vq|AFx&pSV+7qXj~fkXqEGnVnZ69Pi;qUJsYu)6F9{nB%~mPAp9Wr2&L72 z0tFhrw=34DzTqFHDN->>aG?^grzHSdrmkLFm?yI!LiUQAU%SO$sIULa$&N=n~=Jw$TlJ@xT zwNm0}8V>8ddyT}r;^c1)MXcyO*w2?Y|riMu=Ftv|`Q3I>Z0=Yvc-xeE9$Q>K=-p^o-}QAy3adX2K6fXD+k$Nnj%W}nFJYPs`)Ffz&3ln)6_P@EcwDG zWN+Na1zTU%I4i-3H80NtPzUPjYEJNUzCaQZne;HIKhxz?Xt&Zf1UEVI#y$_0LRrN*+ONdu!J0(rWIJ_FUvE%}P^S#Z<#p+Ehl zy&mFGLF177dYH?!MoEuQSK9=KFsY(K#YerWzJ!7&R?R3(-o4xa1yC286b_K0BJ8@< z3GQ#=I84d;B#frWN-#Hq7oExss)zXz@9BA9UWh2v5>eapn zfs3J`F~C8f%H2)J6+8`;0W}Cgc_FuZ(s4RR$6Scn(wGMvH->%tu z{S)*@L-J1tfbs;AyoKyf%B9N~i*-Z>F~FbaEAF=CfCO1%jK@Z_JfNYY2;LGl^EGdCO zYlBmQ0FINN3<3)8`>P&+T$=SRkQB)LW=&Sa9=qzcpO257Wx)io&cKmsSFkNfMwWWa)6sEt2XKCW zZufq|v6ueyG-{|_ZEMGfl_oAoe0_osN231XF_5OCb zw+}7VgL#tGAj0uqYWtjS9$Idbq++4e>}?8Dl=1dh6E^%WwX>fbNJvB1c`~K5S@nIz zaWb7sYfstWYwfEn;Nzk=sKa+Xr~ug=QpjD3R+K$X&DOQj{MA@{#QORT`7($!_tc~p zhN?Lxx6)6>v+~uRR>?KSVN1*H0};G<2}yA-haV{4S(@?}1pGsS)AhbcR9(d9BpDN{ zz#}o$v?-U6Ck?^ zBGr4TTr@nSo6`^UQmkrX?BZfb!hxQ!FzH7!OE|@fib>V^_Bo9aaem1H-!Z} z(?N5c>^e=p8RNMX8k#1yVHC~gOu!@XbJz+{FmEM;n9pkl@Fg_F?NKOMWWb!Pd*8Z9 zGFV5$)F2Q<^$B7|*(fI+qX07JwyV+7)wje;kCq@}GD7b59n1U-?jo)9GQ9DFcw9K= zTjHRjEzhB?OYuH*U#muhN5~C$GaPB?<%J1czcqO#d<@%sfZkPJc_nvN)M{ch#(o6o z9h@rTHMUJBJ|l{-=LqxRaw!(h$$i&A-{6(-w9>8o%&xu~}J=0he#i=e%KQ zRb;#5jOurcvjZF}{-t=S#0bOEv@eR7`&H_1RABTYoU>7mO+dtG#xGofq5=MP&gE(} z@%j%)4q=lEM!G2q`Q*SYQ-iqd0+Fa+?%pVMUh={Oo~p^CWQkRdmmB5j$5pucSzK9t zlDAxo*|TRqrouy9DIY?XtFw}a+On82^r&swA=jj(`=U8)Z2~JJ%(3u%4t+9>DSl1_ z8lrSU-@+p6!~rn)wMVbje$-*0R&}RNTKoxBz-*V=?uAiH>CYg?idC`e+WxUa$4vY) zDhf^@r1RQ#Q9p-{5;1p12$jYsN}K7T$1% zfL$Ne2}H?l-Eu}!a-3JM!W=(YnfAY_&=7hm5mqvfhRK6?VCJMX%uNlr zz|L~86|k_mM<77|8__I_4~7T~0t4QxM*v0%3bsQ z@_(oPH^|w-(82V-VZ^l}QEYfXKn9ZkYU{hz|CBF(&E#4lF!X;7-MEFOODK7U2n@}p zPV3*^-2eZ_7~LW^I%9A73V>|KI8Thw)xX3j|d1z5Mvc(SOR9|KSbjzvjfl?!Vjr*Ol>Y z2l?G7%Krs?*I)ik$v4Dc#039L3FToK;@S7kpWjB%|1tV^Cnb}aNWieZuasn25-?m~ ztz=6QFhbS#ZQHhO+qUg{JZEg%wyiU^ZJTF|ect`{+p7JMO46O~uu@51-BZH| zeZvRnl)xom*-J3`+@w!Jz&W6cw28d~7zKaemv?nDIE&D9RBi3LO0=s5jI?MnY zUy0PR2-nVtyH}diQi>UD2Z5nZmWU}j3u=1LoA($qtWd_0ht4!d-ra8=C{odn&EDN+ zo26RBFcq|! z=thhd%QGs8N~|}iT8wofIvJe3%?-exRn*X>)l52!BfGWdIpHMAQml*N)ln2^2faZJ z?m0jUPuI`KPqM-p{QtXC#G+UYPIy2-NB^b&*(ovWD_TUu!A{q4TwGrtRDYvV@NU4>qk91_ZvjAC_Nyr=B+gq!pCfDSKrnB3$Obp)xP<86UIVv=)00`q-ga5}$)V8!hTw5Y>Q#rvf|vcpVy?nQSFGjLI-1ceS+#15n8j_Q zGO^IB^~JzLi|qMYZ91k@x@^(a38=bA!>sd#i|r1LS)4_T;N-t+>l5!!&%nBk^)t?T zfGKO+qQb~u{_52J6Xo$D?(!#;>abU=X(s55>*-(v!X&%Oj}1U66UqpS@wtP@nFgx3a!M!L6ODAVyv3aJXUoZWYDzndS}h z2^X0!vXSZt39o$bKv)ENbz7)*8=%}|^N&gLN^O=}6Fm^XY={$?lBbY{R{FGLmZ%#ys2>cAHGvdga&>8LB4uR8xGh z3=4TyZ13>ZK$?xlF(L^0%RzXx?wHN&dLAu^;niim-+B2UQPpRa$z0II7v$zwC?sY! z%^~bsho``_wvQm0h#y01NYMh3esgJJSva-Ht~i~&nF-n%;f&d*1vt8L;+>gFwh}}s z#`Gegf-06%37?y$7%$(HgR|XM$v|ZMc}anS(3<1AG*{55KKit(cAko}$;L!v1=H~& zNR4h;Bs!D%8fmG#R1pnhr%tG|n3Gh1t&W{)7^LofPn_gk=Hzav|45tE*eOoEZEtn# zQvYUsOm%^ihkz5;$ZW&`aFPJ0BMCTxv$f6+1nqIoNpmh z)4#YY4P@lNcEX3HQ&ir`?T^O9M2q_;Ck8)Kt2UTZ8j`7_g~Y7W{2Q?+nuQ})wGoh0yX?H1!q@ms{&=aB%k3NYzazY_3dzfc zHk#L7rg=A#0ep)+HgLIfosJrGR$8B$zM5p9@lEQUM5+y54paUCPmv-CGWD@@F5IPuU*^%reEHRH^H6=q#qmpc@(&ijGb>AzXNj^>CY9N6%uOT9 z(l`~HC5Z_>eE%IFKZV5C*)ieJ$Nq<~dIt0;TTKi04M?R4GoeV@*-Eyrt_$B#4adJnc2^S+%3|mr5PXNE*+YEoB1Csi z(~d;Le=m43^FZc>CnP_o=lWV_nRbejt*K!~XLu}Mm{Y+m;;0xk$Ulk9!J$@u={W4x zLrE-U1z^83U}x2$+knc6>&`c54JJe&MtDu;1Hx5{k`S`8y(YJMlGAU#l#@*|$r#3B zqi_fXd&>Ca%c)gkQF$Fff& z@C%&5CHR(+fyc=?qSmHHl|ISp-(PrPLPMQ}07KC4%ZEFWe%oh25G2dn4bKH6ZLJ54 zGbnTlDT+aSxlMcAuzS@R4@~1(5Pvy&jvB5=cfegM`sg$A+NTnVp5HicIGmQcO4K8#qYb;aYhY-36 z0D=uT0S9(;i8-ng!>XjjAPVEX8JC(N9II(^;JihE8$OFMg)9@sbNggUI zypT3Y25t-*s*V{wmN=y%2jkB-TjT7%;sgDZ1THQcJzu{sHUvwQRSIO?^&2 zVpJPlZk>`Gl=?bi`8CNhi_tYUV0C~bTZ@ zHZ_?hZag~UHeDLI7RoBC5^*5Hnn7j0h1{e9j!jr_SS85u)>M?iheA}0+}DqPiT1HEQ`35kGPb| z)AV{F#umzSWkTbFM2tA-s(gqs*A2IgY2$OjSSL_>a*MJD$Fv6^H|A|1*dy>Hy|JhV zBQyTN{ky<2?+|L_-`^a#+LDM4TDB$@%ezWik}B+`LkK% z#N`T3(WDw%3<7Iek;S=$V8m$DK4tOWh z-=vN_5oh67oT2oys6Nht$&SvCtF=-uU%@tZ){DXxC(%B|C3dv!)rh5O*H9bcg?dK2 z(eB1P*cx#2Snz4*LR1+pfYTD+XNwv1i<9fZ&Gy&!I_o%ZVSt)|X|l8ULZUY3VgFs4 z4%+)gXvx%VO?=AE=C~h17|D2NS@er{F?ENt73c74{F)}7jPCMXH#X~p$fz-h$lk+z z7gCQh|*)t2pRya>G> z%$s2|zrsk6f}`pMl!$2hm3s!fqMeVUcfxIc<|HTkk_nt08M~7m<)V|(aK@wf2O$)~ zOerFm8UMrP|Bi$I5Ic5j>QTV+t%yrZK(e|JM~67_uNnvg)D`(;_I^i7A*BDfojZp6 zgPVFV^pUwJ$>FX&!gC1_JDsO+Vg$>^w=R4i&T}TF3O9U@G$vgpqk-Cu1BK)|u@auT zLATb>=uDad^dOEI_>U)-uVNxs6usQ(<`(*bV+oq{Tn|5z)t+L{IP3YC>0tvHqG~T2 z*8>*XM{2VGNh^9ToSy!2MX!iUGB?r}F&cNJr9CFM;zI{=AN|vg0rW;E8QkSe@46fA zrLZU7kJ}T^;QX7-XzSZMjL@k^CUzGrj7c zdi~;tCUG!$MLUK&IR|3hv^${(%-yurp$CGLzI360K$37Y?TE&7(wTJ9&;$G&Pe?@` z1f0;-OHX*6UFtTxWvE*9yT#TX(rx-NZoW4cwXy!M#<0rPpw3p|^Uq~9sS)FGFbGxn3Bzg&a=M&F%-ea}WU*0?@ z`S$g~_U&^2IE`LvL^_(EIkb1EBNom`m0D9kh7^6=)X~hD-15I%_Ve&O!Dy22B+a3a zi@C{U1n}~B->HLF?XXj`eGK8~KV}f_c_3PM;{;((@ijlP34p(k@p)gQQ@=^qhOuY) zeTtu@X0*gQ5l>K-cS{thcJ5+VH9zoGMedfKPv-Wlx(s{bjz&?caSLp#d9W#b8?mtkcNzY{FS=vMFI}m)T{6>gdku zj_OY84mv|)7*^F5Y#qYB5S(PpfD;VO*6VW{f z;LSn>LRY*@qjDmBlerSSy|f4}^ZVUinem$DxA0|g%RSpo@YCTl)_kfi^Nc2gGO}s{ zpsP1mKar%8ms&|MC`L0ZH@dgxt8ek1+`>g!VVI3p^av+vQZAT(5hs!R+Ju4Rs2-X) zAk%B{6=0=wJ-Bt%b$74Y2gL(OomtS(Za5<$Wb5>Fdpv%(1Gt1=sO%8{9UDKJYzZ_c zu`#U*xu1M2B2-Q7E!cAPEuDAA%XXcW)?Dey?95`rj2-;#!3JooV}vJ;JmMl)l4VW7LR7Lv~vjYgY3o67Ub3*B8rR?jV+l&QF>|SqK{j9pbT0ww^Q)&hnokD6BIq(%Q z@TIgYNV@QR{n~-$Xyj4UQQlI2^J09CMXSVJE-ulc!vmn!c;4(;|)kqYb2 z*B{&Z$lj-kHVfGgkMuO7W2ml^)1{48K5z|LvYu6p5sW+A@N#u%?HjNj4is|?)^Yo9 z)s4?t>9ScLHBLb2gn(VaB0RA(2xUcR-|TWckc?@#`^?EiRtK0;o4}!Ie4RCt0X&J zGom}sPQ#X5@RMiXol%oS7LuvFhEtR9=ni9ZueOhr%9RpRy_8rtl~5#x4g4&T75W$26+sgd5@k6)N$kXfzn3Ijt!5&uqtPNF$U z?j?Pe`Wp~+A74-)UDuwITeXdEgHp1oCOZL25KzM;0|dHi%wqb6RW-3-NM=5uBcB$6 z+oa!Gy7bS9yyye{yJJ>#ODgTl6JXy#JdqK8!KlC{yQHf=gi3Wpw`6Y6(rr`)^R4Cz zO|HJ9c>hGGOT{OtysYHS15sn>PgpKZfmPt^_y-V9RjHSH+8`mAPoTOcTP0imeZ`tX zp!taTG|Q413)x_TcTn6}SQt!e-Ql0Vna}D+ti2|tn&m0ia82}(@s;#+#G*_$WW}T3 zV`#TPZgY;!2@rx%4+IGqf8smj!dF)J9+aYNXtdi?TA}pOa0QPEN=!IwF?@%jLS7fM zO$5AfU)3E_E!%=uVB*C=)8UiFz8r8TaYp9$&07}i!o;BKlPqA`{r|-9k+LOZWE*Pjko0vvHa~v9&B0sxj}_D^#sw-sTLmxRon?Vpw)P1P=Ho zD7U2(kaNJ)n%DW%nui^(I~VA4`BI1IzFqajrDH?Jr4tI9{0zAQ$*n6}qQQUpQUU3H znTne{=`krN6fZEFleoMQL+yMXpiU?!qM)`mvb=HMmV|1jpx%wLvhjDqxr6~tn%Mpd z#(uJ->*11)8g>G@jG}J_T(RIt+7{r^o)U6lN{F+VQ2ePOd$uZjW*~cZDto4x`&rmV zGWltue(oL$y;|4?@#b1PcgatApNn|jMaY7*xSL+4t)5vcg0n|2nJ?F8GPk_N7Y7Pj zLdOAW)NR93S2f2XU}Q#Tm-6?9QQx<e{5Wj8BS}qs_;F&(>{gM~I+BV#S378k?%w;aF zkDK^1`Qc+tn=?>+_w?2dCxwlt+6Wy1gl^_Frk3JfSpjM;rlW?!G9Uv4E z()2Kx6@msf^|w}4MsfA_D*>=kEtZgz9~mb91z|d#Wq4JHgNxx+i`4Bu)iS$~ax?V| zv3C%jT4xO9$j#-8(5nd@x0Pk+ghJt3#B4v=sTbQ0Ed9O2;uedeaWEb#R%LOdl>FDB z1Ro2Z;D|*jVsG7eSvv3}oJWnFRQKwwJ2(EA72;~cE|Ryj9-YLpLIi-N$p1@ z;FVNV{U@LG&v@yhziBJ8vEQM)?We*XoS;1`@*QQLM(sM}5mESH%zJLM97`fX#|1xh z`=N2Kjr3f)#}31=m#_C49zK*S=62eF5P6BY-D0#jEqXrSQb+8;IZ4 zMyeCn1f-N2iZKy-a1O8$I#O_E-TXXA(*r zP)RvRq=xDt$fSjhwoUfSEJDH}^4_ z^ubbWfJDJGYYh0VTZoKFuB2r?%X0l-7?DU}MUf+4d24!OvdX;Ke1MsHi6-*#6GYcG zrU2HMF{t3ETZkj$RI3H$)%vXIMhnr+MMj`nm7DJvqsY;?c!jEccgdlphNEfx2*2bJ zh7gmU5lyDGqx5H~JG?~hdITqrhcEcDmSj_kS!Lhm{{$qsq+k}vHGIZ__A`xLWF$l) z=u=|OY>uagH87R`WGJN~?0oQFHarOWedpklukdi5no}zyR$X<#C6K3y^DCh=XGrB< zK6J1Zd*zrZgC)q1q@P$D$B`7jX-<&nDK$Rs4J+8VxJ=g2jJPBqhLM=X+iPi$Jds7O z3uRbi(*R<()KuG*H>zAN>1vkB!5YE2C#!@}YA&%mB`8HqG7Sfia&q-r5gUlW(bN?0 zfsp>9)K?)4`hPS0#jPEbh9@b9n=}nc+}J;SCRGotIdp~|S*%Lk6oMenJlBH@STRA7 z!epfr%D?~%fe(7ra9S+b+uO8-Z3O0yGLeoOqXk6&=zzL1Gpk3}n|IF`I~@#bmts)q z%4(cX!S;>;Kyv0E=ffcx-n0udlmna=mEfkVI`VvFb&#-M%gg5R>EtNHiyuSes;;v6 zv_`U|<0PxbrNDCr7bSAiA7@IF$d#FmDPlAY!nC95;@K7qT=We_nw##;A!K16B2pYV z!T@1**zXkF;u5QNC|{9Vevxc21+RCNQPD9jm~;PqCG~;ovo_uaLJkZswOMh&Swjx} zC7ke+rY!3Q9Am86Ku$*spPuF!LM`Ao{rV;+!(r-79Keh&*#C&_hgC&XVOtajf5#j9 zHa(LYj0B?WS+2EPdfkQJ`Bu}QOnt{&-T@x^u0NMLLT8Hu9N+xrOdS?!3BeJ|>wzV$ zpE7L327!xC`%>6XdrQ^$2*1NQI%c|yEjr{4_J$O@4rWh9gXM59p4lCXExhEfiudt~ zaA~)3h1F*Djpb$iecXC|ddhOt_$J!#lN`9Z-;n~XMHycFDU?h`^i0S#LE2t=fdI(a z!Uesxc(N_d3AAlH*4*LJ&BtEZSxo&g_RLazQT@@jC&Ey@gm_-QKz5T zImkKCf3k7^!mkC??HmBJK3e*X4FMbpX+KKd2A@X0!9z6-g;(v)^)^#8&q8kWy#|*> z_<3hR4EUPN9TN3eRn&@)jF;}-Mtcs!7w46jXPo{XCa~@as0+0m{j8Vg2?&#$@At8} zTgrF;HDGnwsXrMEJT!iF*Y$-}+(p08 z5B^-_b{`WD4g}tJ?@_Yks_3xeS zy|;2n=6oDgF=!8x5yaYvV+bpaAn>dt_w%n+wNALzaBc1r#!3CBU<-Y^j~~e4{0URw zyW#=zDmgH7v}@OKRU6RUzCip>qcm$E0Bjw6yA$Vn_XGR*ux1<=al)s1q0TKy;B`S{ zcc4~nT+V5XFb|?0pjN%}vk8Xv3l^OIpg^-uJ=j{S6Rq}{`r*^t+Lw#e3tJ@r#f1Lh zT{KqdnM8=((3ynt=C`pkHggOjvU##pwlfl?hz@%jc#cw6K9q+7ZzSD|Y~gJ&9nkA( zu>wOq(>os`rasnd!fsyAg^1ZElaL_-%XI~1CJByGk!GsZWU z>+zO0vxX+18c;D!V&>S0d>*w@91KC(?IqhDA;{UgE*e#q+Tz;173ECpi)HcF>n3{^ zmuP9{q^z$1_;H`mUWyPV?Vv^&2?KvhAL|=lU$wqigtdhIvNj88(i#z5d=xg2m=ua! z9v5ME^5CBbR6L(e6TGRdcMaQ_ycd>d%vZw^G-p~mQzU~5b%(Kl>-C!PVRo3lJ1{Yf ze_g7hnKki#X962Wy{TLLj5^J^h~P4S0Fl?1f+x!Yqyj6dqVDw6`3|2eMCnesP=bA- z8|jaRU$L@E^6>A8bUu(@=0z3;inY%-kljHc1B+eAfLmWKkZz|}Rz!L#RDg-4qN6S0 z&C?zb@2qxp`d2}x@`B81wPuUnUDC)Q#uL1SzG2tR55Ki5_AJsdyAo_M5^b)v2+cKA z`X0*xFOC#`BSJ%w|^C} zy)BNwD*7b_(jFXtbzPfs{8>t(ggqoi^fDZoX>VN|rGmL$7$#GkT`eS0$EbnAh+wLp z;6Y@fGfrikhcxMC)9=<>221jm91hCmcztsKya=Y=ea2uz>X46KH>7>3uS1+|^oO)F z>52kRQ0RKSr?hkVjL&58Z%xrqBfs44=;|z=o)G?R2lGLU1x+_^cq&igXU3eLG$9UZ z-S!~Zf&JoZQ>Qk&1FtQZo6#QCDC6qgR!C1@UsEhHP+OE0vw@7P5z#$=(~hR?hoEQno(}Y3*m0za8NiM?g?+R07ZU zJIT=Y6m)*{XUd3s5}fu71H1tP_mEDjT{9&wq^t{=&7&y4yB)6>cTCvjWE4R_A**7xF~lKfuxf1l73ft%k5EMHXV zF~9f9#R)qDVM?tfH7>Z(vQOZmPfTW)@5|3I)-l6aR_*Dq8!P@1@nNKX+XC;%L&)lt z?dQ@H_uzsGgti8mn$G^!c59zV**>vZ-j|%NQ%2PJ_JRWmagP~m!-g%=x-0etFr+i6 zum0IU-?|M4m12?tHoSJxjU7cCdS8A(#C^7k!T5b4M+$S;L$wzZPrm||N zFq1c25gD`oSZQQ^FeNO`7CPh7KZt4U7t$JXC#xDJQ*{T7*Loe>K6l~`s{JArMUT?L zh!BKEjSTP4{b60GXe9wS6#s_}Rf7=)O8-Fqzm6x~HLLjB$Us1{EI>fe|Htvf)ymk( zd_~9BNq5YB6dd|~AA|@4Rv^ts#Bn0c45Wl=vdt_6NNR`#7zfCF0wH!D#h%*2OgG8U z`Eb5otMMq}P%?|ndPT0hGPf*Onnik(iy^y1-U4vhB2DRDZq4rwST^Nkbr{Wz`DnPx zbM;^h^p$5iopZ5!%(?UHwtU@o^%PX;puc?j9*ce)I6!@(RM<_Wo88H*!OQP;XUNRv zXZ0PvzUa)IxTbI4^}qZpKQ>3U^j$eOmA78rxw9Rvu&;d^2Q=6H0|iJ&B=<8{A;KocG>lusFj z+29g0Up8h=3Y;C)vj~7@-na-yA<9atHbpss8qkTY>;h)YTj?3?VaspgP=m+4}BAtqYiuBikpzw(Zc%rqYv3B|20ycf`C-DQcY6>tb^; ztHSh{2(3pcNJNWhbj%?8y0k^BRxkhyFU`0m2R`)R z&oxzwO+@+VLsN&w=E=gSPCaRR<0>LIL+xNVHum30ugJA-$67yT%-}3IIXO*9Nzr@b zwy0zf3-i!gol+eJGiPSbaVHK{23KR)5hT3Spy5)N7b&Q?iGkzigM|a6X=__YD2L_M zK7gK?hCGv^X)^f;q&duS)nY-j@sLOuyVWz3a%S2wn6MM?7DTQZn!2^>>ysaI9JJi z1&?qD@5Am(1O>nLqY~%0F|W7zeKV}vMEp&>xS=s^%#y`nESit`sYN8SDsMxNg6H?#62K}mK8 z+ahSZ7E9d{+aTa-Us?8rxHm9!Pml&IztPMWJBW)fyvQ1?U5|CfWcVlzE@nU0KyCmv z?Rr`*4z2m*D?>w>*b5~>!5sEofvY?^OwpvnMV`=aHA%m;(xz7FZ;bkMD1CZWY#fXi zjBIJXmkpIB!EJasJvB?1pS?{HhxQa6gIdGT&b=IHEf=67(9)S{R*jX06ePddY|QP! zsL@S+p`K3A4f0?+-LM1cMU)6W`uF7$)xJoP&noha{^Lh5&1)0o^^c>@A#Iu#twFyf@)<=EY9DURM7Ce}{gh}64{X>CXv+kq z6~UisA#Aven=xg=Q6vxI^^3mnnz3ZaK_pKYDP#jg9bEr^R*px+_HDw15ev2)MF=-= z1fJ9X!nqT`byT8_M7V+iZVCH`Z(bzZ<=eC}n znI^Bb;ieAr$V92fEb>mP$na|&#T>chv|l+T?b2n*6tI1=3VHn~tmPMy^fQezd}!}u z`MZK**z#AA{-J`r&mrs`USQ0D+NT&f9Pzo;EoYE_?p2q4y^4tPYo<0*Y3&g??lqf|{l9MRl$ zmw6~RbD_rgNrcji8gWFbl6{~wyHw#*ETabhui3B2up4ziyb{C6p{Sw%R*K03HcKZO ztlfVShULcpNk~Gilyb?IF^n9U$q>AtWkT~`bF+10`6tagNX#W}44<2ty);cc(NF+- zq=!GSPEu=4p5`hyt2e%IS=JifNmFroNuUz6Y8T5IE@0mrvnE_Sr%!~n%r^BXW;ZK0~;fM=n)A=FE z?Yi=t*7P*boIpfvx%sx8`1+JNGB3dTie$g8;{B%XKd@!dAjG7=XBY~rz1`K6{TkXq z%`0e(y;@2uUE|F1v!y>ZK@ZIB498qqyE*% zAi9;gkD(nTWZw-L)h5%(5CZ%+iz^!CBR2n=RG;8C7*sMnXn`}Cds+HbszJm{=n}1s zu%(p_CnUt7bi+f#GDYxKtY=UYI+XuRX!FsD%S%|&La~XbPeybU)7b16B zNx=p&DMg;wDtwD^1~FnKo^+McjPYirn56y}__88PQ=}soBT_y-V}K-xeWG-0By<`kJgkfsj?AG-ztDIXRwRnZp?i% zBBklejI#dU0NXej0n!eP5}RARX<{Aek}z9Sq}sP9i2|>WQWd_9kQL~1_)E;v57IOwnQrzLtpc!2MsW*Se2%+3+TuHRc{X(I##eE z4gPzR%^3wid2yGdvq|V^n35UjXAlMxAjm4mCW@^bNhNmym;~Ma)6B#!F{x@w#;%X` zJ+m}pd{DuRk`mJx>}DJQ<;_(C$u7Z@$cz#GU%zb;!z_xXWWUc`i@yI=jS(YOvPY#> zF5Hu1T#W<%cyZB9vPqc8pOLzs4t*j0bJDW4j$z9nkSv-CdoNrXHij-`PSU_3r9b(P z`{W{p4X}-5xf^FJ@lk^udG1!90b$c!4ew zv;sf)m;PR_jU_mfFmh*u+b;}!dxdb@hV&|wkuR5Wg?^;JA8e-AUU8j~&+DOYGbgtg zbs;_ulqFh(4z@|Z5o&@4GM}=P+ki>N#y2hOH>NX z zJ9HIgjj>uQX#Wv;VSWW_%VZZdGSMX~h_BN8$^z_J7?acL1pCX_T{>xP(=YXv=p$f3ABJ3=LS z>&*Q6h67$#{0f3-v8<-P8OgPt9f_NGb?z+K@ihzbhq%xU2LiRPdt_nbk|RmUg8HP` zx-@Qt12KD`0#i}F7kkEXeBP~ntEA-Hqmt+-v3vbX7W6rf$}ok9XV|8th3T0J0JTt6 zU*F1PKULopL$koBg{X@9#{ZG5N!-G#Vw}&3G)Tio6;{R0VN|$LiF>ObeOBm_ zRw_0?Dy`TpNXVL3IwBJgG{KJ&yfihjaVmY?=f$Lcs-aN}_Yjv$oX;_#)a5P}$V%&F z{#&HFvX^jW}RqHI51z|-2ox5uO(6BDoRbH`b8;KdKQAnf|h>${)r zMLt>Z{o`5x_Z1c4@m}veeN&XQ?~0g!W;Tu7iLIn#=l5}@nmva)FT3ZG`vpI15x(b2 zRze;!aO*alC@aYyaT|H89Atd0>ebL7Y+xIg=H5DRVW~JZK1+0_ky7Z&gnL^RD#dABZr#j_D+1q!xY*5ko2eiF!4fPPgZT zaK}G|*6x!Ot*wmBMV1(MWEZ-y%J<+PnMZra9Ex_FA=QEp!KI;@^-W@*o}4kS+^s z5P`i|udWsfBU=ZRr75I_>uhy%?4kRZ^`glsr2CWgs;d8pA%OPE;s5gGt(C;pP&Nlw zNP;7J=(pYI=z9n&>482Z%%hFHB&NVQlX4vUe*^~@9+hs@R2F-+5IW)y#0EtwwMFY> z-&OtUXoQ9``inGCa}2c}|27}qJ59gdc`v#BGvF&&p?RaCx-SB8)!P4U7R{EvM(*=p z22^90v!>h=1KjghXjs!+>o+eZi_cv8+OY=%26h?4S&V)65CmV z<3%5@Qe+!e0Cu@dmff|{Q@XzI>8f+bgB7R}SmueV1u&Ia=!`v(<7=+9$C(zRtJS*W zZ9UWcJ6LtLdknE@bH_6*fOZ$X;_4GgzC5S!wU6%LZi=?4V;{@;CU-ZzYToOtD_fSI zN~rKnaBJluXe@L&oYwnyt9vF^u=5hhA@&T}{A9gA&~!n%2nsqB-|#~T8VcHme>}&f z07GjLA$+E|osgTIf5sh*bqF9O{JWQb^Rl4F%}B>~PLB@EcD@8ClE)1x!iTpZLH-i_ zf6YX=@j^*~$vzyofb%BqHWNXsE?L30B@$?6>P+6|y$5g|nAleP5+hXBOuKGd>+P0C z`oEGSh$B#l_dpaq_d}o(@R5;NLUdvYY9_H964EgXSTR9ZDf3383$7Mru%6woaxbPY zzn^=qv)lE%anFb`X0LFL0LeY2Vr!jYiK!N`bf#{*C8k2liMbtZfp>q|H9|(?B>lyWK2%pUY z?9$}Eh$lD}x~YQLCJ`H1Y$)Fwy7Pb79}-MJh}lYtRG#Zv*Fg7^sQ9{E6?oR7oZ$VH=r8(D4& zflD#`6b3>UEKbo&vImsmAucqTn+u;tmG=v>Z+Ntkc}ag&_~}yMN~++9_)5y8mDa9x zqF}T5s)LJq+AYVuWFa|_i;lv%=7qLR2jF@hn7_`&Hr?M8N5&IiiO&}JswIh2{T*Gq zx<|{ipS;LL1^B}|H#}x$rHWIb2-h(yE^U+rKARvmOkycjXdKB}(l}4pDe^tYy3c?~ ze$+DH&%%}lx5P@W2ag!3esguZ3pVAp#iZdke6!WqzBcm8;A!Gw(S}TbyrD!w<`9mK zqB{ng%n)qwD*ZNewG@{5ok0wODSBQ>i}83%#PR&o0x;U5(xd3@D~U$wP=TTT35H?DFNGhZ+eBa%H?Y=3Ko&Q^*<|ov z$WG1D&&`EwQ=ZOBf4X}XWZq9mjip~NfkU!^JkJc&l67FdbfvaBm~{U{lF$XBc9YVF zYMNaN0o1q(Ua4eLic=B~8sM-3FC8t)PemoEA}1{cjmDCUJa$rBdV)*-UVyrl%N@2^ zvCJFZuc6PObdq-r_rB1x|CA3GPoq|W>kgad+IjHSd~E<+kf5%^;%&Lxk?Pc9?8TJ4 zWx$EsSeW^3T2$T^x%SwshI2*CUnM!gp|h!0_U z?br>F4St&iti6_%Ck2host? z2-emo)aDoB|GpyrCtC4;qD`BzdC`IYH|pn}fC#X{1_HWF=H(*>i91R*;3EVq3G9{f z_P$=aQ7YW^zH;$*rgZE1-0^EBbDB?G&$3{hh4v%l3m|_oz4dZB?oRh?=uhY6=yGuj zG0T=`E|gFN@w6-9U8bWf z*FSydPO28mSXR1p(Yn0mZrvq!!4;9XY|P%YlgEpH6dy&HG%|c$BvOeqlh5M2SF5pA z;Zk%pHtH^oxw8Jra65vPuP{;j_pnR0SYMwECxDL^_0_Lji-Z1ap%yttqUH`K9#4GY zM7QY4&CP7ZB=2GfnaBZ{}APbp}G{`?_5Vq;dfG4qkpWyq) z*0s)eeF3|h-p3)iNPv={(IqrRis*|nD0*Nw%x*X-E>`^qQN3s(oSwWCQ#Fcx%T!ypO1Ow`b zs-8VdLwoB+xOt`uLDd9N<{zc%bGGwsHYT-a>7E`(+d=lkvX_gSpA}T)Q_FL%2#pf~ zWq*HilOP=+=;E--0@QkDG|d{DX{`YN9%Y)>YK#R3zBlS#3|KjogU&U(vS|59)MkEU z;;s}A+`coaZpB@VgD&GaL1RZ8(c#kFj#_ODuiUUl==uZl@`NojT;3MdeER0bVkIz2 zF-yZWTm61^oAa)4J2$|!dZ8kg5``--w-b-(nHLi!JOh9!9Ag>lQ&}V|sx!UZ?UL*$ zqz{~!JR`&m9G(0t!~}>vnxmzp>Yv`MR;V(yTcd%9v)e>U05yJf#EPvls87~dP?B%P zk*L%Tl3C5tn5ns{p=UT?gQE?3NjUR0pWdu9w{u{RORXac3FgG2y1d1+k-~-&-e7E1 z5eCy9i>=VLd}bll$3f|Sg=(t=ynXo^s6oRh2Pi58NO420=mMBy^B~VQfXG? z)2vZFFrZyLj2J4?EP@%S`R0#RH6x0_4vPg#&IK%T?8Fi~38PZvhS}(fB z-frwBF=mlmtO+2Jvc-{bZ=T(}-90QM?S(ZR2w$xc8b^X~W0m?a>Hy<;PIt!-x#zW` z*NH&hTn`+e$Hm3vQTU|#c8L?HmQ($}ZeE<{m>5|2dkPVp7bnQy0n}U++7M;e8?^3F>kPHI z?`o|(auhjYjyJ$Y(Rw{N_Ef%wtN39~S+j-r_r(`-u>1GtsuhT!-o}e)L|%bnQf6ek zkljcWeRH$cxIDFu4VI=z*WJzg?xey&P1eh+`E1`nVYr1wntlC=vFbcq2OPbu*|nII zYaXV{Tn#WSk7@g<5h9J7<^qoe2l2<mrf2ZnHbCr1p!^r!ZGbHF~orYDv{0`O}l49N=C$u!Xq8ry-U zi^pDpKqG`>+n4w8anw`uo<%QL1QBIcd8kGC_h>>FwA5(WE-^nc%;y;;$L{%t8A}1@ zxvlz;JzPF6-rO&ki;E3(Zaiq^YNTlkSgO;nqGRnq_=}aiUkV#`vdT=}w^E*Ulyy9L zcrC2*@j9cx17Oq({&r@Kf>%VwV%2B{s)VATbbW24G%JHQPhRemGdrTB9Q8D3=7Y*? zpu9st25tFr(OagxUWg)hr2t#U!v)PB`KAdy0#OLNgaax(_sCuI3Lq5qd311(LD(k79Lsgga=x*E+4L4na3Dw}nt!#m$GWebdOzjc7C4Mh zPr&Tx{I(%y_D=V;9}_mq31Qek%Ng;~7ZhvUqWt;NPex=K9I~iFxwS{vY&T@A9hHxV zTFKKm{Xv7q{9fN5Jrz<0!R?U~s%S8FdwEiTmY2cy8{cbc(I4`a*XNT+6!H%Tn-Fi)W4uw>k z=Z1h7z^lIW4%M|@7gv+JO6;!8aEiE_y6IjBu>RG04-TvQQ;}CG^QXxP;yo4dh!85{u#=Rvdt5&|l24#3x>}va!Iq67k7Odr3p) zl%-^hwnZ8b(f!dXA@{+2)=ooiRZlH-`_Y);)UBdny^2fw0PBS;#m!r7BE(d5tN#sV!-m&z@6J4Y=wJs(uf86+91}Hc z-2*umxbpmc4q|JVpjGrIwJ!=|aNhaLD9%<{7(Uzdb0DjX$#e_$k)6O@J)iEiXG1)y z+$|H?iQi+a#gsj&k6CV9iB~J>PA8S130;Dlm}mdXr4P)eVM6z=3d@`wQlB4|kUz7E zJrzneE*mm7+d|u-8tSjmVWaa@t#gSh!hW6qQSAqO?Uh(5A*ucKfnVd!wE8K1!!8mP z_d1VFc2hCq)=kByI}J6_u=?Tj=07;q=;?o8+*W#J?x0DWO&^iybuyS16C#`6W9iSm-zKwlzt@(Ho2W-Zi+45lXv@=)}vW=;%qdPtp-?|?;W zaQ5U__STkW_hDROUWKTa+hBy1G%R?5uzq0YjxemqVMs&k*mSM`bS~+xD~q1Uq`9XD z*Y70-q$6PkE15!}^hHtmR0WFziz(xJC2OveGxqM*NtG4jITcSv?;IjMK<;UxOV%PP zhbC*{u9fV8BgebZsmtL^+ljP$oR1!%+x#fuGqHCo6c&Uxsfeq3W1940TdkNg>0<_g#>sQ@GJI!CCp9NZIcCYDem=E+<+a^4 zGNPFj7rFS_8d2UH+R$lii8=MKr2-<#0z2ykeAuDCxh8%~wBoX)wpdE}&vq~RZl`i! z8`UrFT(u5eHzFqDHnrX%`aqB2gF5y^eKsNU6OGFg!Py4Ro-ujNiADv2&f&wFlwUq- zXhhHkF7YOVoiP^tmLDro9**DM&JRwRh$rWN89dMW&>O@UxaZ$x=-U$)oxZima07I*Wn@RsZ7asJZ66+i zDoeYmeKJ<8uIsKWTPn@mHisG4ArX13qlQ_X2#H>u4b`C$SW&F$L*QzKoE;|&~58NBhK0AZz{HUa>C=*WL=G)Re)-( zP0=Isf&lxzMp!Q=z*)e}TSpdnMymPK?4;J*=iFJO;{Ek-#`xUfEY*5E4$gYu@P3GU#dsPd z`f_>N^!+`SRB}JYOR7e-q1=uO5^~jj)p+6FgYf~jJumw**#6UKl_~o`!#dFs`f>aw z>g6q}$0KCYz*)Ug1<92alM>Sz%5lP;JI&=%+=|IkoF}LEhNi$D{wnCET^Vi6-)BX6 ze`tM_5Glq^h2b5a6;@S%w%kjrcqdvn{+ynAcHSgvVTPG?5sT_~Pw(hw=n|L|5NsPC zbqC^}!Ij&IXV_AbyPgomlTlN(G zvz<4>U4QqAFH*n(dg#4_yO(n375tC7^Fsel66H!hi^_la0DXvX;A{{wJ8}Ml@*QpF z;B6`A*BfRH4E4B8zFIv^eUVy)7v9F`w;+xB1Fcc`&Aoi{XPij+r*QhYD6tMV z?8jV;lMzyW9Mc2BJ3#7JedkY=`U1o=M%rk>zbmhs$~Il=1z*}E^xEF3DL%b}1jk=- z^v64ry#q3U_|2Qgb9GO+F7SzEw#&Ts3_+X;8J|8;v34Aqttsb_9V3i2Ebzq+kuS{? zSdn4Zx*xG|)5PwA2^zD?Q7aaPB=f|W_WScEp4nn@&(+u_n+GXZXMY$@d_Nn$0WRV1 zB(piAf_JeGxm6^@i^P~DlNHPC1=-g{XiKjjZyT?`nMcH{0%aw54r#~%CIamF+W5iN zsm@c*@b2Y##n;R0&g*Sl;gY+19Co};h}d{q&xB}fC{GStqLUs88sZ#)K1HF%2A_zSIkfj zRS19@y9Kphlj2Q(Hfwg#Sp?SSZ8vP@abLY=A-RRqd*OGyPT-PD8<2~$D+oGbYxk}> zt1rBGsT=Hhu5!0u^=*QB3+8bOh4=`WSrC?h5GDw{*Ad>+eAk74$y&Pa13wNUI?kmI z!FlrIF?{`H@_J2q@q5RP6XTa(f9)HzvIiiP?)K4$1mUp(O+YTzvmb}yYpKa=RN;l_ zW*kftNMiE|}T`$?Z?JNiF30?JQ|XP&~>6FQmYMTYW1#>gZ0RquoTR`HiOZF1|YpH_9GE# ztnq^pB)xSoFaiHBT$9i}8M6Jl(B^zvL3u&l|G#3;$ku=(Nt$(S@U{W@hrayOEQ`kQ zeO>AZ%=qVt0WH`vxNoi)512d6sQ-yc?VgOx8HNiaNr~-LN|)AzY*&NG#X@?58f;|#=-R5sOAfujdxs8#k2n;)0F|lq z22;VetTh#yh3*j+2hR3^J9Mb>j z=|WH^Affg_8{@S?Q~{H48b$vT>Im<{1t6XOTR|3(i^fm=Z^M8xgfQNR8=dcK<|cGo z43L{|`M{*Gyp&L0U>bRJ-JU&0uToyTk*Kly{8wR7c#%KCg2j^?K#BCO1@9xi*6^Pt zoRvNDoFSYUKbQ~x;XqJ+5izVDzzBU0gc8CGz1NESr~hK`?e7wPNdH;2iy{O^Y~p`Z zbx<1sNVpI7UstJ--2RwqNdGnEdlb~hI{{qie?~S)?nSKnAGmutQGRnwc4dEYRqwL8 z+jXTqY>v+!^=RfwR>5R;DLTKAou2Z}-PztT;vjG*_Xa@L1#tSYfv>A&*b$$BdxLzb zo;4N^ugNd&=93YUD#`CkDW7m7Jx(?~p)sY4SJ!V>)<0-!C7TA$zJ3oqA?qm7k9@<9 zqaqkOwrG$}v?(;GN2;UcVH;6D*T^Ck=x(7}97 zXYbiUfYh+Wp%tD^mn1TWPbh%QN0yCW0s$w!nsNen z1x?Y=!YFtVepjGYuBxop7sU30$FY20RHWD-^s)y3sE^asJ0~(c;yyvJ%6XXZbom zv~NO(`|^JbiN|?Tb#^?Iq%{g7!dK*815Q4WbfddNH79tAL1(*KStTvMSe~F!brttp zFRyji@YZG{Y)iZ>E-yxra`;@a<}YO|iD;RIY{Z6Y3SBRx{OI}oh-PTITE51xecGVu zx|O*3qV(;$=uGn)YWAOSVyDkf?lZ`9R%l4P$T!}WL{%^W6}zZpUoIr{nb^0ebpZT} z_dV3ea2lEiWp^5y1PjkJnby9w+>nWWh4B-Ifr|A}p_e7pKZKzwC?eMQ=2{V_BBDXL z(+gNF9K;c%$pU`Y#xI*_euK0x?!V^?rgaLG49xN&E7`t{j_x|DnNWg{Z4e#%mU!&-?B_g=Y$+9RVa43c)TjNit?5w{~oB*pLR=r z-J>7%^O|e1RV4yk#ac!5T%gCqNSJc&wIbfFrrm(xd&+YdK8hsrNULLQwyn;9@4 zr(|d9hzy$S`VL!MIe3}|gJ@p9wo0wFW{nsMARYXm*CA(?-jD4H>8f=-TLTfB$I1Uj z4&sR*WcJ@246Hq0i>vRw1pe#^x#W-BrAE-_H*}t!s$Y_vlDCg|?=oLz^2dF~qu7mz z?ikvd72bJ2Rtvo@ycM6m`U5q*|K)Q*3L~&RNyX+MhzHUYcFDz=I!acpekAZCs-ApV zxgGeye-{|0_>$f}vG)ut`~FZjhTBBE2zy~eEZBQJ8ra&`?w_z|@xYU^xO)3LRcCGW zpk!kyAlXfYi5tgCT)4vbvZ4(EH2ji&c;s*St7kXQc==q@_4dTC{&ZZ!sGRLR#s^%| z%}QTd$iP{L4 z-Cx?#QNLb1U5S}s)9uL|D$f>2H31y+J942G9yV;k*W0FXL~|M^H{TWe8>OAcoIS7W z8Tl5fb@WwasKfJ<>I-|;4o^V0OXn00(<76xaW7#Tvvl1HE=sktbnigDMZyfnzo%|9(`^U`37k?D6LI-g7)3J_Lv{d_w&jr=VFALy>TlxrgOU5nVK4&03xqV2MdL(qYF# zXuO&-;Svcy#{K;95ab}nVeD2K0-0GAozD`v8Iejo=?j6`g%$O}M&!LMDax8FClWrp8r%47Ru+bIgNkJhA_@>5k@Wg9vT-Tf zx&cWOdfqi-n6AVVlFfiGn}xrUIpOnhu+&)}moERekcSlMF3+v0#8?*1u*@EvsKqwpz1F<&gZgw-!Aq@$>7WNQ8+VEG@BPQYs?Of-Hz@NT zu7(Fs9k~4kH^}3uZa8j*#fb_C7v5Jf#1PKKTQ`6bq0+y=#RN?H`N$gY5!T~jEzZ79 z$p(lhY|sAuja2&6>>i`+0&7KSt?!}78;mH;0~HlRI4-nRKIxV4DdIZqPH+GFa3rZ& z*BeSqrq&X2LFF|Ycts%d4^8*9JZ>{z=M|T!+XaQ5kpSFK!?$ldepxGA$8?1$v3o&r z+#A+fG0b53!g=G^Me~ah~V)#3p)z`x04;FKMV#%J7k(?q}Z6QL56jhc?|-B=&;=Sui-5Edsh$bH}j0S*a&$Rgyy_Sp*<=i31@g`i$f8HDDBiJ{ZM z7H7E~4AB%f(UZXb47=i*gaUcc1BFJ)C3QLbPy#W}<@UXVM|Xsop3@N@qt5y#cnvuc zv`L4@QcSW+1Jz6BBMQ%-OlwgP7iR|!MRRXb1~H6)owQt^o&=%sz0?lRZ$=NOU!mwr ze6zJsg6c2;3f<)u$OKoiRe_^q-HZ;h3d2o+`!0kts5sKdE=--8J+#E#aLY_3ayi48 z>k?f^D8I2MqK70D^vu%GzwH&Q;*?(D!{HV}VvbqV-_|_`@$n>f+n+F=_cB#YxaPY9 zmb!5G%oFr4E*JsRyuHNVFWSSCSl`s#P^GhJjF|yT_iV77`-GqDNyc6g9`FSgNW5rw z#kilhtyoC?LPc&C3P?P2v!$9f(F>=mF?++DnSUIGo8bHD<2JJh(een(vy|9>{WfO) zY8v^)M335w-w#f3V=mGWj;#(3FuFPXc!jNhq-jq8lPFi*WPgbKWH@)D(CbMNno1I} z8?OhLzfh^w4MletCU)2eBCYHaS4q23Ff|Uj;A3*aZ-&OI(VgQ`TEsp_?`Gh&yBiUP z=f(-$4Q!{TWBwfKqlNReIq zS-BsyuOo0o`O_pdY|Lf_#lzG&xl_t<+9!Z7&4%gsAe&!Sn3>_HhqP zKHnMM8lFskcUryQ9_5O@(D?m&((!nLgm=otizXmDcN0S{R0`z?j~}~OM_Ok5ieh`b zf^UFL8V6gFs;a`)=Sp5=cDksTLzkqg!?yd1Fxr7(Rs+1{8A~U^jm$-f54Uw(AqxXt z zIfAG{G1uJOk~0qVa5RtT;mC66NXG*jxxdq__dHLqj3)S+|H+p0kcwK=({Hycu4gEM zg_rb{AT+>yOsn;S&Q&6&&(hk*h+87yHypebZ{;tj(ypm5B){uLN&C_DW1|z^I-Bx&vag^p%8(8gRftun1`;9M$W9l!kbp-aI z*WO0f0wGI53(s*C`6%odX$S9DJlpjj&kHnhij!V4N@wU|%ioV<*FaX^PZ-5&Bt8ii zRPj5-%}p)oMC!-Uc!}${?{%KiImnD3qjOGvKSo(Ah+Jj-2%MM`C>Tdv8+QRE6SU2> z6DwUub20C6&&Ejaiu&tF>&F2!p5mEhSmO@mi&$RMf>z8c->-uMG)L~KA=fBm9TyBF zSdiWHi@jQo3BQ@HD{cNwE*YA98hrSC?7nzyvn-P z+GJRnDqK|rL9LzAKqC6nE&Yoj>C)4aTD;0nhZ40SyIS|CAsh7o|G=J3Q?ec^Ex-B2 z2+pQ#hvyQ+dynyZ1CiZ_xlH#fFz6rOTmrMVz07MU%T5F|Zk-l+!-re{Y^hJvx0RN9 zh~7G`Td|ZwFcFaDD1y62^+-;uw3U{4h(ga*K^I(&GO}SYNT#aTO<0j{RUv4qstDvk z{8bOsDO$C}8Q}P&5bnRmu^`?}Fdrz!IDkncFfe5`}?30_o$4+~7xO(81ZI75cyxA1t~S$bSugbe4ts zt{LrA{)Ex1bhr2~m*@swHbP-`4HsB}oSxkl)dAOMQI0cJv}?PMA&v==R5z z&AJUzcx7&TRJ+ywstIVy!*yh3DSK4cT%xg7WY^HgpAuTl$H(7ngWFl}tVyq3|0!@8 zAAhn9Cd3=91S}BJYYa-_=O}IL7A_DZ8@G|boj_16G9ji`3gi?}Kd;8nwCnZ~OylON zINe>j+xFs3fw?M16f1+2+}>j=&! zG}ejX9-7|-3P1l{Of6T=MLK7?(jxpRJ6ZO#m2|bemG-@ZVJtz-~xsLsr6-+IyW{&@( zxR=y7U_QshS7&xXLRv@RL%hH(nR@SB9I||xgLCnK90R$d-}j-lWlFoxH&ihLn9<@E zU0lD}=Q^`q7T#`f7~7@|_rKKqq!YG^RfWlpq=t`C<>qW+J5m)u*8HN@s1_zafcOxj zT7a)}8ef*iI~k)2;#6&Oq0=X|FKlHUMg2hz%oArv;yh|7CR5YLTjhSxpY=<3cJWNe z`w|oBSXY<%07sLdZ!Z=qT4ygWhtcQPyf!RX*u2})-uO4!WdgkMqWhSkv=pv`iU5<# zB*Vc$VfgJmK$$C0 z{IvH@rl5UY7Z;-wS49#T#saDOZxQoscz1pdKg&(v=cp7R`@R#jyyD% zGwNHSjX^N+!7AP#YQhGvw?-thV3{~~d`--(%9bNV-oH#d98ZMeR`5Gko^68WZlPVy z^S}tP1{+_*JhX8Tz>UO0cppYF4r!gSuv$CfYt!LXHivXeZ`@uav5w^ zE#N$Iv_uEHy26JWx|85D342ARCOmV#J)50_O7p<@FKVWmxJyK!{EyRFAnx~;qmNc_ znWr%5jl_S;t%Tr-40JI(lQ#Qu{`FOSd@$-ZrW#Pqc^o>omj7PSQ+#JSWn#u@?2)PP+$&=RX=)`(zAhEQlzSeM z`i<|DHdkWwsqca-_b?V4??DCJEN6_! zZLO8gyU29su?f|k+zs&La*L_h8%<-oKB;=EA1GnDC_)oWeQ`w$s)FMFeWuTF-=yX5 zhKD_0C5rAgkiT9o)`k>ywHK%f&;HlG?$Qa93;2X=Kr^y-H@H`9W;f>{+wtAyy~2qd z8WYFaXDcaZ&%|nSuDvWkcmJUxkn~Zq zHz}AH)FMmP1g&l1fVj~sxF!$)|HJ+LO_Z+173&`VzYp;qL;{Rfov~l!WT5tXvAd$8 zNYAgW*_`<`YSr67wCPq3S1Dao?7Pm;6>J)vhKx2ZZHAQRZ`N$rBAHsu8JcQs3EU7| z>C1WJl_bYnh^yetnj_v2nPXYNv|8mKtcWbNMtVzn_3GB-)AkL6dpfuEf>kw8arWZ#vHn1{w@cgKg{osO#-*Ai*IYY|^;Xxa zv8?^da=rT_xA`g^i`%!As-;F5P=(z(U&Z~R-9WWotDfuef|}s*mw{>k_Fw*rr;UdX z4A!*GN+G19`ZSDSw3M4ZukJ&11(o_hwWg@qhb){%eCto00%kqZZ!lOY-hL-yRoJ*8 z=Sm}5BmXoNw8CSkI;is>?f-?49Q*!c+vvrh`$q|TW3^Lh5_?O9yjR6@05bU!J4I3J zL!q#z2W>oiBlwuc0>Qky$_-e$T}Fm~Rj6Nit5Eugb(h5Iah% zTUBYn13!|}vsTB-?Tj138J;GyFPY+FU=JqO8C5 zc2NII($NWJ~~ydGZLHm zkMH~=@cf6JX`{7C%KwpZ%V+b?K<02BIe-wpo2r4*b$Z#U<;PJIipZwI# zlJR9qD_dQUe|4LWwM&pzs6y_%`9_LpRe9i`-|d!A0)ou_kT=M zt^<0y6;k{^b}QSwOsRGIe{JfASz)ZfkOzFY7~?Jd{D;8*`9aDPr5A67mBy2Y%(Fhi z-|8jAg;5X0f3=WVcjvSC&v`a2zN};T_WbKG|9C}NX6(T~y6-aX6C?FYdjx*13@-ab zpxeKFR!Az(9JaU+Vym~@{DejQV;5RVwlb>>tY15=RtL}xj`%c^nAi=lr3}cprKU1u zNq#KmTnqA|OVJQ+hHXX<>|DQS;4`|PLvHs!AmHk^?~Nb^V}{Qz6g9gC9@vt}lXQBa zf0H-g!@jEDog^CbN*B||%^MLFiIUzf4tHbj*t#6=J-=Xs_)N#{V4^1GJ-~kCh3b)M zAkaM0c@HqWYM=+hlkOA8D}CYB)wudJs9Mhk2}<+)f^`3g&p=DkE7>V?E)Xw|fA0D6 zY2p>WchYAl8S^tOv(5X%e(v`*5GvMTO)sh*bVAQf(EOu@5$$pmX40^=*mezF@J+y)17CCg0N^xFvEq}=MgA9!yt573DiA#l7`alpU!2i;R9u1~mGKy}i-F;aI-MUW0;tl`u>SbXL&& zme92rcFpfz6-#A1v58 z_voZK&jk(^NXBsAI~*A&h#i(gEfZOl&9YUd?1;2fTL|3>x+{Gq~+v>nMjZ{GJyKx-R$MUx4>@ zDEGUd`g7GD!RL$AuD5X|udb(!T8o#Y{Nb`e;KR-W_MnrKa{S~Y0tjowtHR0PQ?SR7rMH9p4&EGYu@kg zQR>U|{4Tgj-i}~LcVa_p7|u|~b~gB)2Vdia9->hF9y71)b8~%vE33vb{@wOgI5Wrr zuDvZbS&haYsV4nyF0NjX4TsD=@=b#}UGMhizb5{D4LhiL-8yL-+IO}QJI(bCDt+Bv z-4yVC9W}V!zcg5Us{u_+Y&83wA6;4=7`zTHFA}e}2)sXmCMROwg_Yk2Kp!LjRB%r$ zzyrLtS=f6!cf2jX4#maMA6(ZEkzfk*@xP7h)=H4PUA>&#)-Q4$*b^eQ0&^EHjk~iQ z--mQG4($C7PO6Ia4-9;FPb!8;e6JrOtJe+;_}`XmDGq9wL-^m`k9I2xP9`>duD0!k zJf1+nZO@~%dhKyrQC63m&siV|@#@_D>Sv$RXN!ZXen#4zmnyubbkPHYdr?0`gvX~% z{%3HS!{xYhfzGuo;5N(x*o5SK?AsJdaaKx(tllC3-cP~`yB}+7mJyX=-m=aKb7zYP zT6AkBH+`N@GOIP;=RtAW@PD5EEGsSMEnQ#lo-erMUvJh|P+A_ocn?tv3ckPnXt8@$ z=<@zF5QO@^w*gDyyN4mn^;F|MbhC0vvY?zL@On{zI??6yGDHFpJybk|9qqi(TfCF} z-@9Di&uj*%hAxm)00-&EkBx_gg|?B#kOZ_Lh?ad-uW0!OD{WUK!s8aaXm~qYggbrx zLqK;Yp=*k)&3H_sB+s`2js89{3YQbeV2BnE5X0W}ZEqq9Sc!muKaXM%FA_X_)~ zak5P_%K)_!mBI1bW@Dc}lJaM)+k;Y>49FMMA}<1h*7y0^DN;o5cZ^kECb<^MAD3E! z<82_}V6PKn*Vf35POn^Ko&VC)a}vVjs>N5b0>fWl0c@}KXAOAHA`&`~2^gAIC8B;I zub~cgrVs)6kGS0#iuj0|s7cUbi_bp)=3_gHcK&X*#SRA5@3Q-Q5g?3F^7e(tfrX|8 zqbf$1428_v#DV#xwYCtTM`|0FAm5~oZb}}nNGD-?m=YO5N|gFmgHZ)_^4-gPz5xIv zp(#+caE{I}pHcPVkBPOY{Dp4ZgOzNJ*uMn?{8$G*P3p`DQ`irfcjnt&N-UG8r z%}A3TdA^?_mKP_a$veDikEdChp&V*|$b6D6GVR7MIoQP7QBK5WlbLqZGLLg z=l#8tlVJz6=5)oZV-8mVW?!qhBA_qIF^mf|Z$`tTq81*sa=M$!+0Yam@yx_)ktu!R z7sQrO(V8(nV2uko{HgyrOQhv0wqQ|_r2Faz_)IJo324HEwDWU5I5l}r|ovjSi%UV_L{^kHE zZGdmYbz=bqm1hN4>U;A%7Jh}kLihJa)#WgWrW#ma1a5EMfU44J4c1L7HPkE)cfG&r zh`Snm7^-KS*NnY=R_MYu26dq-mNqLa3in^%Hp?u|l6nd*VK6iv31h^Qr#e~OlraMo zDknNId0}xruYtRT*CX2gT<~5=BxxXu8q6?3OhqSI%AJO94qx2GEl;!xs0B4)_CyU)!SJN4`S}Je@)!j!d zR8b;8K}$ohBos<=p~N;y9ybFQNok{r%ZOOrZc7DA^MzhfbUcNMBa~+j$11qntqz zni_J={2KOhG7z*8lKILDPy#`d32uAO&Av@7Bs}ijbDzd`I&jpo#=0r>%LQ4&t+tJY z#9>F#JEfI9w671akpVScHn+(J)0q5%eRW@3@Q%nf8rT>l^j4@jgR}@01kGg=gzMB^ z($^D6<526w{I%OuC6$_RtIsk=BBE_`sR+%qt*1{~Uzj>?m}F0_ZGgY@2y)@GetW;S zreQvj26-fm!V>Y^MPipikV>#Jnll_}xtfCTZ6ryE;=~@uZ7r~gqcUnxA7+F|X3J03 zGgKGrFgGdRVUOmq=Yztf;iL*3F$Gj2?5?(VQctlx2-muoc466j6EqdE(^g$j6tLESLQ5MuKV$J99m`+E2huuKQg%{V0A zLwzRN^L{ecMN22|xE)v;brY#Y&6V`ZqqgZ23+yFo(HpcZHw%mrw6Df@#rS$5mwp;~ z`)__bq6*Rh(u*(OktP?N#Qc7sRDGnxNaujHha`F@b!riw&<6tC&$sCkcvhn>2<4+w z1u%(ttBdAlgEg*E{PVU9QaP!Q(hpP_AIHm9dHU5ZF4%3v@EyT!Zn*pDwK2o14ovBx ze9Lb-7fGvwseI!}WEl!&ZB1$=VU58<O(m_}gKF7B^5oQ5?K%7Yme?St%SRaTE?N zPy)%!^X0ZbMf&lDiNypqE*~JsP;%xoT5?HV$eBmd^AQ)HTLy{|-95g-$o8tzJCb?v zdGMOX32}U174DC$jYiS#yi3Mq)$Xac3E_jWAvW1^uK~2&#}1CX8ZP~*9Lq$A!u#Z# zykE8eQ$gWhUOAMrj!L+_Bty<}wG({&53xRD_)Tk35gji5>>a+5Dm0F@wDHEnJQUj$ zbq&G?4=4Vt-?6;;;&ZHFz9DC4B5JlDbFg9&<;;~8RGeK0BRpNuiYThx#lRG{V z{>US5Il%iVGH{#z0GC8~{eYq<;~8?)MqKQes(nWcofzM{sF&zi73*iA$TNc(w(0iQ zLhZ{%&L?$7@&M8EZ1~Nin0u)gEsZ4{HBW_7Jl`lDwmHl zDo6C>QC^HaJKwy1`_UduJWD<aB&6GOgPg*Jq7DYkZs&(45jS*+4*5Ix-lt zbOosHIO2#T5|PIT)U0Kx#O*Kqs)l#j#`EI2Pip#}f<`dzU48a~2e;;`rlIjxd7X9ae<__q}q$?%Xxh!q2V>@ zH|I(Ela$P%^mt`9AY_vr?FD?YZwTLf?=Q>o1@&~PY0ws>@k3v=HaRPm$RUW$8O!S^j0IZ&PM-4^=zl{uAL8MhjV3xEBaH zcef%aiTtmPQ$EX}yWcR|L{bo>M*a7LUAp3fZW=2x@;8_@IpP_^|lrG z;voL{C*?4>xtA-CwdbJq=+Naq2^cU`4BzI4w~ZdOeyjqERw;~J> zQM)`v5Xc|}84NVpeJW`%f6d=IlW+^$+TL%Xf3l6U!;Yz^RSKRJd9VeWQH8}X!Dv+i z^WuE6e7hR&xf=gGEI>Zp=~t5ryF;+!(L~5~zE5vr(p#?~h)NdLrY?KXC$vdc6__qO zJUV*#(yO$Vi~NPm?zeV3vMoS;C9OAr#wFI$QhmH#QdvcQL#fL3wfyff33HSqt5Ef! zyPXaf!A~dsUzmE%L*G%8iO~xN}^35BaOcsIdpvaxI&}QK~_Ab<9M2C zl4+!1GM&DL=0wq#u|-;wZ>6EjtfwC;NC!TXvFs|`By$iY*Cr2_Yy=(<20YMt?i(DP z+wmV$#lS)~vO*7yDR}PW)gtQit^pgB2Gau_mE+kSPqUE}ckB0Qqxrn1oRE9^r>_#x zP%|ovvyfW$p63bbBW553>A*bfVh!jS!$OG9$;&c)YYH8JP?;Nq<=8^V#hIH4-wzDg0){!Rs!PZIFU)R?8G zIh;SQdW9(&{-iQ<^$o(3uOw551yA(0K^tNAC0jAxh7P?(cK8iMWVB#FJtS5;jf%LJ z=3QNpIBcQKq|Q_@EpnYWI_as078{VBtAE@L$L)XKT{4_@{Y{39gBhGEZ3v8A@KVJ)8fC4%z+Yl;6lS^lvu8vQVZBvs~_q|GY%7Ox9`{NvZOa}+S zr(;ik`DD?blHxAY$6qq5XrmPW{vEbXxB2>HY(k2f(U2_wEJEZYo*b_+Q>rG@%n#e1 z4|xWgvj3!M`CKeph!5U-y|YSiA#8|$8KQ)awW$R&EjU(OuzJ;&=h(n0XS6!$nont2 zih9r&b%67I>XH#TAQ}q`ysrLDo@BqKY{^2Dv!Jht3vn~K^Q@zM+IBb22AYEyD(Vf) zZbc85lHnUYFsG*Co020osyTEibS`oQ(&no zP%odVPccygd>ASg`I;P2h^R|+kh9lCf9D`Ym*(tj_yUMm)Sb?5@$W*u-craV1ZEXJtlb`0JDzwB7+^dqAYwx zz@z>JZn2kEdrSvx5Oi;m*GyP?bQzHLe#5QBUAJQBR|o#|hRZ7t8?K%Q)AQ>X zFHAs}Fm!whQqh#IIy5F*10C$z3YP)+sYz<@b+RHD0XbY;Ax=74fF z8%?NI$kUFIr(mOW)z&-M{Roz?k%=yI73M_5x)5JhM!-N7&by{33?F?4{@Rl7XTu63 zUwUM7yB!;BxHh(dM1(tJ+3pzT13<%@;PpZ!ZQHk&Rpa#-cQ3tvJ}V=%$gzJF=oI}9 zov`ZHEuMjvfuY0=vJQj(sSeT{=LU_4pJt#{LCn9kG3i=>4daQLyrQ?5NUj@y<(C7(TTTY${MXdZ%PCj+7R%jUKvyr;`|nIEY*|7 zs?QrSF8bhv-zk>&u=$kgQHaZ-xAn92;q79EDlp686~Fk-oRidR%aT&zBX4?UKUbOS z=Y1x-%w$QeH`jN{HcV3X9H6~c-eiNx86Iou4(tL04jlEufQpo0nrJ@W3~YH zp)II_jPQIETf6|H8U0WtZNfzC2zRYvOJ9zdy7_{i115xUS37s;8StJJ-NUI89s)->Qt^y*}=?qDS!h+Uk3WI%f#3l^0yG| zV7W2>AcIwe4))!Lp`Y3XLL9w{Z(KN9L@#Ajnk5i!*QPPXH9Z+f|j7r_7Xn$Ab zS*jaekETZH&+PU_KXQ<)mC1^NTUYARG`sNe1)=#VkqP^Os+}s+Nwg8_KRdTLKx3hM z(P!DMgi#J3egQJHTGe$A+wpy;;PmzFrP6+> zRPyE}^403TeIh?9VQ5?{L@~xWt>b6l_q-6()jAavjQ}j$OEFZ4W(N~x$_Zw{oWGX1 z71m;Nl0PI(4BJb3`~E$^s|rkU_PlW!;Cc$`Lf&Zf2(EH{t>@ZetUr@xX1mhZ`F5}i zCWMLh+&b0^J6Vv2sQfMaZvNTz%ldO*v*eSs7xaF^)E*G^xP}7Rl^Ka4f)3`+J;IbW z)*d`nj{`{E)iXFfsiw#NKV4mSG@M)C)mzBuoe@HaUPp`GI}=228AO!9Xc-KmMHh8+ zAvz&?7bO@a(My!5(M$CH$-VFQ-S53;t+Up1*7NMW&-tDIp7mRM^WqfsyYc@z^=+lL+*ZIBoFt8HN~Kl;#*`px_XNC!rjRf+W9Y)JBDeRS8Uy-nhf-3g7HI2^G#eIh}c$F-#5%8P3kK0;<7({*O2d4 z33R!PBS7%;w}p@I_`RF6x%Pk&L{QcCL!7j?Uz*E)9mFx#LO6$mNJzlE807-ed@DrfqZoXO zb=UMFtW));ixz++6z=BpOH~JnIJJ5C%T1~VO(dZYo~UWKh!+W#kB}>I!Q=MzjGIIN zVjYsuq|(%hb#OA`*$7h?8aJ%00dZT^IGrfpwS@_Zb>Y604b?cQn;{&XclF}AkU|=m z^DxN+Ibma>q64iivx%;E{y9E^2XnR3@>+9-i_zRC$&I+T=lGTL!=y>BUy#~SVL@Fpy@>dPx6u8Ek>WzL zpr`Oan$HsPgBg>u-HrM~ZH)*%AV^ZpVS{}74v7Yn(`53?UuEmwkeZVp5zBa%Y(G<+ zGB%|aSYAIV|0SCGWR;X5ZhDV8AMbHw{=i3{ZReN*9H2zqgJ+ET26W(AsaS*p=%fZX z5h!IXL^$@@$cF>V)Z2y`)=3q~EIljsgH@T!y_1KA|0mAv#xPdxF8<|<7FNusPH@oQ{JtI*`Kb4h)Hm;40Ou(`+3@V z!{%~vPK=D8#||GTsVW$K362^IC;2e5rP-w{ZKPwBM00_%@eXgL$w?66yZme8MmOW9 zVoa0+1S9QdujB*d(joi?eqKYOV^oe71VuI&vB5s_$O;KeoZlK#dbFf*A649i0b#zM z%fIxfDCLrjM5{z9kiFQ=W3LZcN1nMNTgW5`rtb_#^W)eTAWy%Wg%V{oNg25o1EEzqIgJ=LfyZ8RuqdQ zD%K7iU!C~!EX&LLr_5duvAk?4%|WOyFK#@ixk|Ryz^xV{URh$p=t%0Ux}3>D%-?Yw zH@%**M1AJM3YXMS2BMka&u-oyLm2@$(tpJ|SAtjSoz|F7^v)2$#g5*E^{rE_5A^_n zv!qc9PgQ7s)rzHn}?7oCjB$$*m3Q!gnTo@dp5G7h;vsj0`z$~zHx(3Y8O{AYCQQ1(OSfw zNbM;%PQ936OfLBkdIjw_T{y;`i{sr2%bpR2auE_Z4^68Pj~?3eu#E~-DHR$lC`Q|( z;_3R$uLN&;05=>MiQU2xkrgH@QK&QxNhl#+uYwFHHAj->=xsy2g%_2Y^~o3j`m;oKup-`VKfo+)iKpU=tGNeo}2?}gt~ zFmjjqrYWl<%tMhl&uxxB!SsnUHpk^8dqQb;qe`oa_caW1+&S62kq@rNkD}gyQn+V{-9X#U*NP8=*x!mcNPNpC8!fIv)(rDj{v9!llzdhb7 zhvN=Vsiuum=3TlqMtr7|*P%bWWP2>L&oejqP1FfdWx!{?UHy9(7)=?pY6j%nC9&z2 zC=eyi?X6_%VEg@=7OPtg9a1|9sLtLJF#uOL-llY}TRCxc{MHgn0i^ev)gD933L-p5 zc?mNBv7!^VsNRy(yH5A;Ef8+y61=NFmdu_jEOPaRSXIf3NbWhhsVqjxO3sCJaOgqu zBT>ZV9TkC!y+%5Pp81(cwt}7aBzxVHcWF8hcV#qrb=pj0!_Q##&>g`n3AfEKiVfkG z4y2tfbx-%2a}`QmY^%KCsI)`ee0!vHd`zP;hm*An6N#3PRb11AyegxsPF)?sE?v~_ z?Q;!?Rp5C=EHv%XV?)^=X9-FoBSb!V&WE6A5mx4A*X&?up)xD6UNtgrX34s{a(d0* zKD0Q@&1gdZ8IRYt)@^OSU7IDHTJ9TCK2 zGWAfx77=V+@#|OYgT+gk#v!5_+#L)r$=1G^5H@4XV8hk z{8xIQX31N#a)+rWtS=t{(CM&Vj?q>>{Dt9@ABK%i9@r(>$>GY8hnGrZ3xiCj{x)i1 z=_98PHdzaW=-}75iNg6Cyv|dflV~AmNzb|2Fh$nVelF^JTLYDbioYDG8!OsB=}Y4( zdwuUD`8}ZS!x+WJM^^z_4b;IWI%c{ac#(7h)wGN`f4os`*pzF4<(fl4m73Rd2cnL< z<|e$yZQU;d`?Y*OZOR0PJNdIDv@7uZtk;O8t|#Sb1Ga{j9#{NwjDP(| zI4urD8D=295#9`!9o6PT7>EXRPPDE(?lnt9W!m+hL4m1Ws&<0$c(xzm&5wm1vyO%N zH<6vDGUjQ@aR4Y5MgTI=9O?uxR+$TGcevT>!-uMDjc1cIi>GjDwcqnaVfYH#FB(+K zUf+iaX4%X8?`Ghk9vS=6_2$>5weBsqzix&rRV{|p+k5$w6_V{Dj3qF;Xu-Nxj?SNx z0`(naHec0IJIvy^Go0w+Ry`=t+&KG2<)jo(qrA_25ph^VJR6C2bd)DhE$8x~LPgSq z7yi={zY)iE`p1)qqU=gjScN)4RS__LJf+q}UneNE04|}MK;?I)V&x*0nsD8WY|m=B z*$sC*PML3tK@z7S?la5HCqRnO02dEJAx$EcKx{DrFD5_Kqv#Fe2n=-|)d%a2@_Yxz z$1KKS-&S<2QpbcZ(gJFc)cz^h)#N>-lD(d5RUD%QR>oh{2p(*SYk;=r>@!h>5R72z zipJe_;eg_zSV6@A-uS6orF=6dUgYrFo!F|Q=r+dB%vpKFZKq@a+WPOqxW1)Mr`Lrh z{8_!W&vBk(yIeg|&`;*8_;o%K9sP{MedCNFDBn*TRFdJ7A%chu7T-Dhgs&+yV~aIF zC>=VkM~kaD@CLj}!V)J!)yPlY~IYC0lK0wKm`hq(V^L34`Lj!oWEC#wpZ;n1##l<{X7E33&b=aJ3l!2l}ilJ}U#rfZ?;@`oB zECsl8n#{mRXVzY5V9SE@dAVlN=oV243C|o$FG;wm?}-bmK-&ovMDWR7VEP5OOwha_ zjBnqr$kuOBSRjGw+H$_EEize8VDQ&@p}buLT>u?|%q~16`J@l|`q&m=DJu!6PZAd# zibMB#yE+{%jwhf*0sFqDT?PJGKHP#WKV zmD3AE#6tT_3kIiNNxLd#+-+xJ!#mi)YhJj6+Pv1>i%ZrvjLzTXsRrc@W8q-_j+`K1 zMp>a7i|NJG?hGBYMior}6?CW2W3_pF89c4 znUy#H{wqkRoEgme;t_MvD>LH@!v4MrW2qU$yUbj~mJPWnN5dvm(WQ@7)b468eE87#5pp6)YX@^|rg&6CmYeMzH4_dwFbe8pCGRkI z%hCwOeV27M0w)5$_ie#gs=r1*<$WEQ2f$Yt(D>?UeR5@mJs?WD86}lU{189%jF#=p zy^hmOr&|AT^FB8${gZG;CSmc!y0d)5=zf@lYD>=JYl^@D7rf+ii!ix5asCGs3QMo{ zTtMpM6ozQ8sLPe1`D6~qds^~$J->IzCydHZjd(176axzPz8vd5Ga6TyVozUfu?qdKm&D07#nL+~{HqudNLLb}=rk$`V{ z{2-hyLJCYeQC{t#Y2G+A3TzzZ+2*#$3m|X+{JMIT(O zARX;g$2ts)iG98vj?MfC2~WmO-;F!FZ-=vgdY1iPT-( zk5!NwMcQSaWrOY*0yk-La&Vp`T9Af?;=DaP5UskH)c;XIjr}UV=^7!iV^`;jLZxq= z_!I>RzNdIhYSwY%JhMK8=mAUr=;`^`?GElcy~S4Y(+$yUuwav`z+}Z)*#%MB?HA>s zbJ0!A#hyO%aDhWJ{M4W}c)^BwUnHclJ$Y6`ubUiU%Qo7tlKDNho?<1=Z01%^?_~P6 zZh*QsWe7{yDQcG$ZTNdiR&k?)K!q`)#;v`yc`+%RR3~*=vzD6(@Lr5*HJlg3pWfNB zS5jF+OIUrGkV;v(wxoLpSvq$}=zgKu7}D3e|8OfMaiQzPr+8{J+1PC#(-IJ2f57Ue z@yan33=h34O6^pa>`Xa*f#1?dj2kE%jTH5a+t8GPJ2S5p!*lfJa)m?K| z!jTeOoM0Hdn_>Q|A%do^<^WvT#|)(3#H-hEC)#5$XAop{#BlOq4$j-u#7Rh0e{eN& z*~(t{N!P&CR%aHhkJq-oF7QK6{hx+INy_^43`}BljQfZ3OiXrk{6Fcp&ysQ^gHjf< zFu6c~mXGAAE1~pgXe;;gk7@S*j=R7@bTl-W3zP@)(pEQTZw|MYR{zam4*qw<<3GZ$9{&ycEAa8(u)i$-vCz<-0shh= z{9hQ_U7%xf4kjhW{r;$PFzFphB>rac`uFzD!T)Ck{^rkt{uy_H?2>;e`|EFN$?-c-||5z(z%#4_qUv4oO^#Ui78&Wm`p+~ zS(s=%Xo^A#wyF?oQD-?1B~@)bh=ZY(fUc{qpq+@0kCC^Yn3a~i%L^r_gP4e*jqJ1k E0r*^-9{>OV delta 38902 zcmY&&s^*_*Cxnx9~}AK92^a|NDm?1c0L~nyfBDCJAJNcN)Eg@ z`5rEEQ3ML)vZ{kee{qSS15D?^N}2JN_Gn;hAf;0768@7O?-}TH`;@gmo@hfX7i~^T zlpe6gkZOEi|9bypJE1*Xls)8P*0E-4pDkKPEYiS5h@2Lx-_GB+=1jN6r}sHfCAG(+ z_N8j8sZu;21M&}#Mw4OhuKEY~lg9|b1`y(>DqYGQOf-9kL7`5Z3!V;@)Z4?^f&lc( zCe5g66>Rl7Z-gotl#o~>=n70^!h5VcR{`+pwZF-UDsqTtlC{ev&fJMq%Db+py{Vb#P>|Ft-GxLMrI*wv0HX ziaA9z$;2NhIpy|u4{v85a9KOynmf{5Uo%NUmGWR%JFOmo3%vINU%I>g>?AVtUZgh9 zysd1kuaAG5pOg;|Q!|Qw-Rs~qHvaWf{{iFZ!$fSg-pMq%AK2m1EN`F9Q?^B~EHiJn zy~Qyfy@uE8vw_^qZc8sKow@Y#J>bF0!|Uk{;u?qJvN(kCq{py9*cjn*^x@NbRs248DMJ|s#_qMdQ1+o9KXLTkS832xUtjquv9Krl2x_XV+)S9CzA z7xTSDF-t)>ggf5j$eac}ct2&+)G8_I;N1!>)uHUG332l~hN9RK5H>Y;nn>D`UUilLNy??}H0eVnCkl_u=Zr3P7 zQivESv~m8t0xPbO!Z5m(wj`cVa{V0htUt#Z(0G4EW+)q8AB-61E7(R@GI_eY41ymLN*uDLzyXhoGOfbTC~&QVulBa5OPMYdOvXhcHc`QmuAQjL#{m>zHktPK<6r&C?*P zbCwkhGCY){a23ZCDAI$aM2C7Nxpv(hx`aao3SgG=Du#Rg!jwKRx2%veku8%bhwEEF z&S<%&@BJ?Xixf;w11@B*3p=r{G5NF-CG#WiD2^{-r#u z9j$gi?Ay`iGCC`k!XBbk?lc8Q=A|@5=;gF8V@zH8U9H5Q$!~n;^;*^Qji`@FgkNyn z9z8x8$%Hw!Z(mLrQwHl(9pz{`xx)poi2eo6Ll>LlQseHUTC#MGtk@~F$evqG*Q^d)~Wz<}Rj+l&;g=+E46qvO6z zMg{M*bd@~zpsXF1N%l^-?MyVAV#+q{X(U8Fuy z*@SInfDQiEd$dO9f`~<8`{RzzOC` zyT|N@7a820#UO*xv*&AdQt)U3jdBR^vwm=Uu@uf}_{pRq)BxfV4!$ENZpbl5kr;${2wh445squIY-;iL)8rhl-<}fXn zSDaMt@ko}!QrvOQIYYEjzB|LfTiRBLtTrn%G*5uXLV&^ZMVGRl0S47Yk1i=;FFc!j&rN_&y{E!kzfLt5 zn8~@%v`MB-3!(t{<7YwCk@0;vqn7SN7InWf)#CWCB{c&8daAvdzrTO+xI?v2Bl!ld z>bktDferh%{pXg_L)J8AxUjIAcF~k;wj`l6Cj^tn^Aax`wA3Xv=@T$W^#_mB8xANf zBRp?RST1S2RT4DX51vMjvp|L?mbyqKVTyYRk<^>IV-)qGBW5Mdu@g zTO>P!;H?`!GMKEFMtCGehzNlYt3J$$KB}tx`{n4>j5Kn!nU-@MSurpN4^ZrScS)q* znqpT+6ees_`ACv|A$h>4_OZ_Z^8^-NOPf%)Obdi#umP9EHrL3QuE$x;c^cj?DW*SV zYeig7=_s*(?h0P_%RmKB8HDeHc1|Bz6=1ybwqtxMp9>__X$xM5?-Gpd=YvbDA#3%C zWz5UPjF&A2*{W&tba4S?Y8=`>bhJ)NoR(v1EeT{G$A44SwSNJ3&`zrYG2~x22?rwU z2PoYFVNv#i_DABP$5e-c_SIOT!wx@=_oBM?*?J58f7_7;;7d1bus!#@fOMfIJ}#$( z#!Ko0pZQvs*KcjM*P3wJY+ensWzewQFP_6#@O6pmmC-$3IVp83RP*QmkVenmw1Jaj z6c9xDpfx?Yp9BI2u$yFBP0|HMXnnZ7i*w>AgO^CzM{i*Sp7r?Olk#u6cE#f`g4oCy zWZ>frdrh%*)cRlr-Q5ePe+9-&wt+;H@9+tW5PI@z|z=~JGlL=!B^kaC#UYEQ;r zAMMcV`7Hop58Ib;59oAsNxhp26};?&T=Ij^s^Sr4##T-(Bwb0pAy7|oUc>7Qf~Rn- zmchKCc!z11BbZOCWy8af-1-=FL;+reU$$74ZKK8R$0wb!?rG$jfExSZ!a2>gESrA% zt@hvFYRbHtq17@=fzcakv(|d1F17F@Q4x*DKH9*4ISGJNYJ>LVZVK&MIJD05yQxZ> zw<3xOdH~J&Ec(vv&Y1=^%eg6+UdXs0bC^C4MBfrBJ>PkA^)7SOw6~_*fEdO8Fz zNCm_9>T1>ArDI9zG)*o4yl_+iYTT;X)i5xW<-)#A!q3qiDFF*epHQn+_xgOo@70~M zz)KfqFl5aZ=~C$`H%a!jDZ;>#l!2i)Yx&)RA$0~;C=sZd@pkCU{21`%WCS*2zvQZ5 zX2-i>Osl46?Tp9`=;Aq%A1Vh3UTzv}Kbg_qIUD7W+-x}XA5G67iu9PT-&mX-cuCz^kFo7IH+hTfvD^4=wT21$mg$Dj zVGeQkT5h=zz|^>B*=b3UI5abRTsmB+PCV}RRAwV~C2ApKKmVTXjcKms$4WG8a?Vad zZSgrG8~2uv*uJNEjKqU&?$O3%Yyr}&skPv)uafGw9tL&@HJH7>`s($)?VpIR1&BN8 zZBl>Bc9BW(l(VdGhiesPa(^x%N{m_sS0NlFgOo_V~b_y7&w}9j}fg5 z*K|*X2>p^z*YH+RwypM{imNiPiz^ceDl-^K@*5#4Y!S#~G#`9W0>s}s#>xs;{(gFO znGOOWKs~%3lB0tNK5_w-3B_DPS%jO3ik9$YUTIoH>B|&)oo&j$`>?-*)WHvYyFbsH zX%Nxtc=a221>>2SDGj2SCj+S7j-X%{3$0JPtxJOa9+Mu#CrzD`SZ6bhLgB8KPZiN} zzJys*_zsKZxDq!U1bU~Q4qJ+B9i}iQp-$P=s5&-!WDqmm2F~<^12p3a$KX-heqExx z9YBa*F;5(rM;nD&;Gp=@EWId0?AF{4-s|i>Xyd@_n?ZV1)6bNANvQ6vyj@Nnag*Lt zxHvZ2^7_U5YYo!*LiALdVDd)v0{%V2dz%+u00~=duKc~g!Em1HFg`z7f^VK^LH&GhQy?WVZiEUEmi%D5GOt1bDS{{&h;*Mb2*3(J8Ve~B}`=za36 z$qx@1zKEZqXf1pQ=cO)2*9+*MqTc>OzSFyHyB+ZWbp287B9CKh*>P))zjsZgBg+#P z0s+22jmrbF*hK~33anL)tBR8+w5Ho8G>*Gt4glg3Kv~YKf>?hCzW0V@f=^;%*O(}5 z)db-}W@j$oM45+zukZdEgZZSfyf z#ujwj5@e!M(#K>p6ecA~_P=#*ckl&vtpb#@vqe1y-M5Ab8GBvqdUH2>@V9AWCep`n zx^v=P6_3L^n7sK%x1+L?CHFhmG6O&U(4NA`X2pN6`SmKi>6p}OfkEO(?PG=UoRURX z{1huikbx8qrmbJtdQJ4vgE}Ol?bSY}WKK4|2HtQ`hsQ5@3x?h6UvpJA`g|ENw@my>Y;+Q&Cz?CA=d#j-M7PMKF=LD?YF~Me670hGvDYQtdKROJ7QDDt$f^S z^yb26fro_((|?i2{YUw((YfTorieYJBiLgL&>OSPnJ~9EKLs*CF!xV#Z@DseQ712D ztP1!(I@qIH!YZh*2Izfb6Zcgp_lALcTbE-zX+w3+ zE|@mvDuer+8CAWd15SBm*D!1+b;e5QI|S2N8pRAaO!Tov)j4!MS+iH8Of9R@OTLvc zS8U5?m5Tu0s!5}DE#P0ZGy0B#>zd>tlVGthY3IxU@J$UCHlgp%_^WxMomW68P%0kF=PAI&%i%IePz$uZet z94a+Osz@YwCUBNQOAwAS)F_F)NWGu9>fd~y!`RTaz+b%L+`oY%xV=#zy26|FPfWhx zp}_JXLc(%ET~l;-`>A$;Z5aHeimbtm*W9Ev`SUbzC@JAYY2E-3dIa9mA_f%Wy|8Uy z?B3s6RHeUy0m4ntP~U_nrs8veXEiKOWo_$AtEQs6$NGUA$c4NY$%>w5KshH-F!$H@tKk+LuCT+AI>Ti~MnTKJlh^z14+ROx?>K zf@GzR+YDi&#Z?gGX@DrgsGI^U?^#u{8CE!d%`nMQqo#o83h2IrKNe$He3t*56#y9n z%i|36bsstLXk$_Ne5Fxjj1Y=kgYJKych)$1_AD7V&)UUuyG}04tVd@e5PZAd-N{>s}PQIbvG-D|hU zBKO7O=NoJ{`;&45n&8djjt-^9$Lb8Q3O6a&i0sMUH>yj*SGut?y<@JD>3zOLN>5^X zt3I2!w;IBwGDcER-Ad8Nm^^=VLX8yapAZsW3F884ids>W8wa;UGtNF#(SpKNNtW8p zTJdkTJyDDGYV4F|&m%rT`2N z_$NSAY4Jl?taBZE{Mb)&*No>#^_bF$DeY%K%1Vf9h73YM1QQ^&qvxCh6fT@j+47+! z)0HFR_~BXHt*xH3AOZv@W&?|529NE% zS4nO=VS+l(1H=DV4F6ap{W{w?yzHbVsC(k*45=+xEeZv{Dsi2sIg}cB!dKy*$Wn7# zX1?Y@sFt(GyMZndo>XAG!-?6MbNw%#q%#~pZ5xWOiJ=w-_S=tS@ZUBnIrf_?M`}T`BuHZ! z@LYrxS*FL$rDO!t+@dS5#`(~-6P`w5BtsZ*U{DFRkv36bEc3&lxGu{IP_Ransl%Yq z_setg9BSFcHvs2GQzS2_1Rm4J(`*A7#w@VjEAH0QVOE!xkT^ViU9XRN=OJJn7@IEc z=o%`e)z5A6=WS3bqF;OckKCk^@F%bA*n2mr#;-U;P}eh5GPc-3?kERrPqaEw7Z_U} zpNWA7v(=yK3rS}#El;ha;zkJ?6ibH{3|U#bBYvSa#qvHJB=LsBdEJOscvmZoRB?v2 zeyRg0h!V*BIqi~~AXTlfEx|w+QG5GDNUJ7?tG;HqONK_CPK^m|Qe^Iu4K#KReo@^$ zeU?!?)ym~c~hLKiL3tLRxujs1oSQ;QA+!)?hAYED*G^O{y zTB|roff~v>nd~3Ip?sFhkb&l3N>AGIA4|pte0$`dy>p%rn|*@Mz{s3iK=k80eER zM-X|j7}nMSfpkV+h8N|&g>Uy(A~qC^4K)x0!`NUTD#0yv48QwgQ2acll0#GlsLB=O zs;QDv^mMrjeyw1soUd~uyYnq)#}0#xv17`>-mS5IGZq6|u#gq#(BD)O73782{RLeT z*qqsD*Z-&=_2uVsHoR}iGU*6-RU~O9`>pFk%|vt>o%tFNBs;1a6)@09kSNx?XNz=j zD~qZuhd_;y8rT-mr47%8Yp12W6o-)N(%R5wsf#9mql@lem`j%fAT?_<5|DKft~`%z zyxb`%6?B1;RBy#nTncCc;~!h&eJ}g9Y9#>_2pCKWMOv+pD^M z3SG;@y+x|SYS_OY6S)WDr+nj>*^X8H6)^tj8`%Xu{uF-NfW!o3U;5K<1|&re2JHYZ ze{GwRyt9XfV=+8Ucrs)+?Hu?F6G}?~>9|rQfv*ecXAm`K5_qHbt`(Jk*El8b`zeV5+%&zq$mQaHCgamNGvv!Ro>eC_4NSP8cJ(z8@s%&y3LWa%d~ zy6DraN?dm`%QUzCZGE%lY3J?s@R>Lb{EEh3KHe{TIy&8+Kkp+Rp3L5coWC5ukWiv} zDke~TV#pt?G|Sw`oklLTKiSs&(5_^SI^^|f_K;V78k#Nh;S68?`#;T0aFAPwL>VFv z#Q!uk={sFQLLoswq7$cxNP(bbBF^rs?xvk+$Vvp#ssx))(hF)8^X49=$m`6Omg=p= zVbFA>K|{he7f8U_G;XT$(OXYI zwkw;_+jc?iiC&mOI#fm-0Ak-ExRRcziiE_=k+$_AiCj2GhhAb z9vcIQN_U+6CHju#@^g z{e7F*AxbsGBi8k}9i!dAe4TL4swvSySF4CTcuToc2{5^9IRh5S;a}xCVY>dtDTe|- z^PsQ6X8SU1dW^MX8PVYxR08#4`-c;IaCM=$(NNAex=6^K@6 zVFf3{o>xZ9BY_jC>h`MaelqUL0$}iPIu!99eolF-oA{awSl`G~0d0Dq4>GIB!I-G< zm}=D6(_@^cIWtmAhy+w_!WtceKTY5AOVj40wa#!Q%y|P%+tb(+&HB2+*&y}#ON(%! zbbIil?AVObr4_00mvV9IO2UAXU6>ASR=oL$=-_>nwZH;+s?<0rRRHF0TZ^Z}g=(nI zloQ422jxO*RBpF{SAw^DUmy*5$eK93BslU3ny5w^McxW1VPCdxImSVAG8{~K-65Nv zWV*%Y6+T|uM(s;qOKx8?rY}!wn$d}#X%s>l^rdis8I@2P{0G8l*IaN?W0;27;yX=a zg7e>8Z=i0vK84;#t?GO7?W{}uJs)}LqQm703cs;6(akJn1a1f&m)cgWZSF8vowXbr)t&Jpk3{ z%=zt)daO$SGJVhe(L->~A5Xrz@TO9R*bxVetT*5hcO|taEh!!L_^C@^ zTY$`I1ZeNJ2dk&H{+b0SBGZ+Dh6N56IJA0iB$kopRE7bEx#j9=lD^H73?vavMRMViZNfG zKg0v5-X$VrVat-(_)mmdi`aQg9w?cuSz!Nf5+)ACNkUHPFpFFtg+OLJzVn@K>73dy zgtXxo-bP}(_ETh0#`iHu4H`xMWf#s*(hg86$$=3keEE?0FFVj}r3gwU^*R@M6jwkj zvN2$fBAwj{YulcU(xV;E{sFb|VkwYHmo6S(b& zjE11rhuyiQHFy{3Xzun&z3Iv!6$$*n2or0)f#upmdq%Qs^#hdvfn(LtXeg;Y&p+h& z+E|Nxt$&$!%X7)9aU5C8C1Kd-gR2;%P)7vzReSz%d8{WG(D(~m7CBN0M{yGzF+5nO zAU-qX-VK?xo_PxOhYl3RIN>jt3h=)NgZ`76%{wSB_EAAV(#VE@m&iHo&~qs6kG zovYch+e$K{*M(d|Ow8roodD+zt}&GS^OWZ=P;=Rq;jx7HOOudr)u|{GDjx7DgxWY1 zbeTNa&7{#d5gE2(QoyMku!+`YlKh&Ep1v}_Qc*jhro;lsxKQH7frzN6CYCAmymO*& zupN1{mwN|hcjEv&xpaB<+Pd*}wG%*jeZf9D3RRxT6>ziaVDpgf@Hc%HAT%G zPY82~&EEu!=TBQ6X~PWRHuTw#KGk~_J{e627qh2ZrCE{-jYuAmabiFM#VtRg!W&hZ z$`PRKOQ^STx0)r$6coY^&5Ob~Pvpz`g@ntfDUDZbo3ArNcow2$(>QAnCPt;tyaG4u z4K~20x6H+bD=V6+z~T3m4i*P%)~Pdels=2GHns?~AF2(WKuUhEADx_lO+MPVFVW`&h4;uU9)lL+H?*O8SOZ~M*Bfam?E!nY=87Bd1; zy~eVvmGTclwy;_q95i40AQt&zVetFf((69Xqpb1IpKyefpu+f?5D<@(Y2i^uf{J~% zxa?d&_Jlepc@wEv!ITOo9eVO6^Giw-!jWkd9Mn3Zk4F%E^Qz=|L>n9KQsIPO(M`}( z3>DMTzZj7AE5?%jt` z%qG$<(l|7b{ECR9B{htem2c(xypY&qK^M+Iz=0#FW zrFu?w(Vb@94>@EnSB!oCHl649GlH`~&~#_36~|k{v9TiTlk3K{?$SW06sZA{ndX4S zKil!S70KHDaY>L-Ui%Q2`*eyNt_+|)>$UhseM0)?)P~tPXe?8|s7O$Lf3XGiJz5h3 z^~u!D6*#G!pUO?AAM4?mlGP5MoUc{p`()b?Jd)PCz?)bT9s4BN@Y?l9?ixj>(^G@! zyJV;!wHG3yx$h6#<%)Ie_xOrQPI8plRba`d2iLL`a;O(^Xcd1JHS8(0Ld5;{9nRceJX; zu~oJIeAx+Zg%|H|Y9VOh8 zE20Mz4`1urv^JXmb=joH-A3P$MvGb3SjY$0P?7KWZqzGvXeIJU#gER)=jUO%600YI ze(BD1^6qn`jwM8aZzX}6KCOr5 ztj-foA#?5PP9gK{!P)%`9*%a4{YXmuNN$cJPGy0OG3@%S`&_t|R%B4E_yiv@{e+j7 zgaB5H7$;_CY5Fe;>LgSA{?`cDYP@mG84Mag~hRx%|}cuhsQ*;hl|j<^;y&3@yiN z-kQi$xn*E^3i=Kue915aO^#bzBKm57G&F{Yp!tJKF2X3an{Y)U_S%fk!3fRM)4rBb zcDg)~C;L|OlxS)|_`_!^9m@~fd&*$LYn!(DD+&wz9M3ubqfw~QAEllK+p1r}%15_{ z%8+b$H?<=MXu~FIE*eXYh#q$~D~RXUuP_Vt+0TkxA=KzITf^}I+TtXm2FB_(*mXG9 zsdbm2X=tH%58lqH&-S7siqXJF=6(mpx`+8Y^-|{4pS}Qp9H`1~G4>dT?=}zd-E~ni zVLRQ0QRXxcS!D%{o<-}&09o*O8LYL62Zdq|MpU7R_zM;3M1Az zNPEqqgga1^bJPC>22;*F0RI}R&M^OAEa%J8NHH_M&r>35;0FVZ1SSG>H@IM^4Sh3? zJu79x!4DMWKZnp@@8IRDuy>n=&{Ni!3|oe*7@Tq`drZTbTc~zw9v~MjAxNznNUdl{ z@glg=%y`WwNfZ0c+0qoCTmq1IPvgdo*>Dx80(l9M+6PI2{}0ew-pl`1GC*qo-{u1Nudd#|j#9r-&{tR9k9xIMPBuThJ`}bbJ5BBsH_nWj zhsr#s1^^z}IN0I`l=7e9lu$!Px&>4NO>Yh;%f;^P>t5OdiT&^K*vu; zO_Xy~-{}RhYhWEz)&2~9;GxcEaOe*oz0U=!>>RA#m)s6&4xof(+{a(0biyeA&?zOU zUOCIKX&@E*RFLq;-Cu~isQ5R4*l*Yqm}r*w#at5G#y5d{Rjyoe_K{=aL|m%}UAE5k zPd_&FE!@I@dF(L-wh2L*QW&RB&7?E6IiccC0{QuM2H-GxPQmvQkDTWc%e+ZcW zsNX3H1`)(+>`cRC=xrGA%!&V)pLT4Ng+|ou!>2E0y2zQkBu%NXTQ_u4y|R|XnY(1_ ztiXN#rK;Td*hMMb>C=<)4Lck`=~F!}Vk#Fx^zZPDYO9Bru+HDGvvKK`k1po+=8uiS z($`c{y^_;h7nVWxCJ(Y~t!ytgwk?2mi;_^LZIiaCIsId4!O2fJMdVt-%bUSm&tECH zfmlpVrPnR9hI%_^5U%5<0WpN40&1X%d%!H}{>o_xcw$?sJV6X^d{~`YqlM2QQ&37ts5BeHGXiZ_ReE`BlIUv?Y?$OT!k;s|~rkX`GmAd~h z2luluv(FMqOvbw7Fz#5Gy22fFJ&bSQD}-}dh@Q^OB39TTw4#64MMFb_na;g=e_ zsCh7KK@LRjBg4JjH51>ef^jX2=s#!b|Ma;GTw85igJBQ;;m=8@($@%vKdHk08EmEQ z_nJl6f{1+KLLbsjBiWa|-TcSbbo%bG47kRmLdk(p_CjmheC+Ck3df|9@kaZMu|o7e zwO99mG7W|;qVBg6V0Q$fGjz1tI0wV3{iFL>-j+TtEx;1}q>3}OK+Yn1`5owqlj9w3 zRH7W(jDrAX%7Df@Q85=A{_fCFIT!_E;e4|v6Zs;bX11z zeKW!R@j*u7omDN-KT0B>nB4DdR7UOJHtV~dVVE58{Dd(+71&%nBI9BkB~gNG)_isn zfB8=Z`0hm^@r6UHCM>nE6dNz~WJaO&g;TC2EOoyuEVNMXPjsd!9E(v#OdftQnqoa! zusTcrba4`o@cbZy{K1Mg^4%gI9b42uOg??-Ab+qvOOAPU5})-C)&7UBeYX@fec{O3 z1Ai>+_ydW;9ff0;+H$2EwqoOjUd$+#zP{p3Umj$B*+m7lAIGD;7@xlKR8?|HdH*mg z>K4#J`6Ni0#3RR@#<{RW#tK3r4%Mt1ks-YBeT;fA7Cn7*k zL!R{qpxim>AlpW{7L3T?c-N7v`Nwo3QT%YpiK`HP@3a5{buUPbp|Bu_SH(q;w zG`kLFH7g&M=qcJx~j$=Y#DpC;XumPQz=J z`z{rs=%Am!{c^DtDQUZ{L2SwD0fic09k zfVn8NjtVx3-7((GmVzl021SyOmj3xk3;VQ!*#2JJdgk3a2^QA&D2$33)i^dDx!COj8M;_C7#C-E{0<^260>G@nK z;e3d#sESI~?aA?KCDH3G{qg#ZTdzlG;EaFHi!>F+dA?x*qZ8bGKE?*2|K@g{VFA+yyILte ziBcznzx2okk!7K`oI<)cvR;P7EZ)cGpr-y0n#z_#@dYjLhyQLAp; za`%;SL?@QcWMOVD0ciPS(87DgO6sr9SA>3Wv;$oQV0Q9_jJ@9T45WT%pI1Sb8YsO& zohpU-H$`-3Bwb?TY$ zSwL7V`RMKYLCsWj3TEH1zUJ{Q%6aUOKJ{p=(M}P$DcgZ&bzEw~uTc2_T$(2sv71~Z zFM;Zr8{7NG0XSOttLuL9Y>uOs+MOGcPRd3FO!nBr) z?iO>_mWHWSFoNN(#x6v?OZO5nkyW-E#R}CG3ltLMR#?|HMo_k8vka96Kt*8=4MF! zL!hm6ZT8-3S;~+6Dy3F>1|?guEBj4Kt?Gi77R18_?PbWT_Yul$4eS;`)aH|IGoz*W z*Pr$F@=p77^n4|L5 zzf${`Iy7QD>(oyropy;0Pp{cH(GvaB>UnVV;m?@!Pnr6vYKa#0Fr`UvD6SQo`U-=u?drKOkNBSK?Q7$kJtbgk zZCtLC^wg@tXttvyJ%?t&wW|pMp_8=~UbPIQiFh>R0i*3tUcy_j11!vv^Ml(0zJO@h zmP#iur&v5{*1z)SpW}HR1Qs_irI=F5OJaOAMO+I72~=6`p6E7>0q=q?I@(Y&2Zh9{ zhZqNDwpmiXV$tktPNgu~Ow8y>9!k`Nq!&21sQZeP0$gs!&RT8cWN9f^jyVYRa8N%W zVw63l3kaF+Vu}(4c?jvW`9Gn121n{y7Y$ZKAI^m?WC{*RFJw%b>3=qt=WP^TwDH(X zxn{YU&m{)&Fi^o(J=3@70bQ&^bJut{rg|G*k2t#lHI4_n5FLFE|GM*f>%U z7TGEF!I8r?uP)9vASPWmS+slyueR%3M~7dSJWQP}TTqEm*Hy?U>_afoevH5;G6fL5 z$i5C-EC#24rICVRSI(ddMFZ}-AcFEc)45sn8irxaJB|;m)m(6l;CHQspbWup2lMWvmmj*NUz>}m z=7QZ7K;{b$WPvYe-Pw0M1{yh=5~i zV8zl6Nv`Mn^%{X9M^{hE^jLH*38sb48fs`|1gRJizG`Y}Wmzdn9CTI`lz|hvvg3S; zw?eedJZ|uf$|YVnLOMpRYDd|Jh_7>(0tt zaDjM9PH}P3N$ZPWhW)D>sgKXX6M0y}2uTy2kEi*28LNe9-MFlmLj~H9m7#hVf<*h6WIcd7<6mv+kFj%tap$Z#QpeT95X}9iJL1hl!N+ zYzvkdSRkR0AKA0Xt&hV_SGreYXPO{ytE00oUp8(OahL=db}sZ^18>y|;be(%e~!7> z_x-=D94wxXBK$+RJP&q^!D(BsewOa9raBn8jp8F?#=Nu)D3!)%2gRFXG7-pa*W3e58mBNWz<-9 z-py&;nOd^S7zmZ~OLX0B5;s{bIcsGA+wcV4YHo(D0Atd7d#x%*Z3ZCu)VSeZU9gI7*%)7TYEf6E z3pmv}_-XS8>ej!!ftQDGEmI+h&hm_-F;jy|#l;D>OjAy!a^?qra;Ze}jM|Z__8)ux z)@^<_-XQTm_u1^5_Oe8gkJ6*a!=?tWi{z?7mhxHX=UU~~8r%x5W@fD=u_u-&^#>!F zD#K;xhuyM8xz&lVLWBfSpS`Npc$j}JHNr>9G~5s*V@Z!388+NN0!}v)#f0eD1n6)} z5_|$Fq$tTXoRghJFO66I<)Kqi`UU$8gExGH2&DFI<9x#GTzY)g=5aeIz5Nq&g{Xk)@;$m>gEH7As7q^`o9=|CkfCRKh)GWJca51Ev$7yX#BHNz3{iM|xB`7uO zlDT|`K0V?{_f@n*x0t%RvRwWZp`4-RlBszcv&DT=vlZ>{QaN81O^wErlZ{J2^2m>k z7Mcd67LBrs_AW0F71x_u;&(~>DPjN`o;V@G3M!xYDZ&B_KAfeersh5Vx_#4ma~h zv{^Czc-|f&R73}UD4rUWn98$!wFZjliWB+y3U2q5Olt7Z^>!fOoLJ~@4z^~1Ski7_ zBC#5h*b^3TD#Xyr@7Q|mrGu9@8^R<%pNl*5Da6XR+p5m_m9sJI9I;w2WX3@Hf@LC! zw1p&LLGOu!L5&1#;_?fHE=i86g4?bc4k3$^?^A?^;zJ5vP-Fb);FsJJBBr;aFySda z4asx>=vtL{ZpEo#GEW-3-kZ0pp9{W}mFrI~UT;cZKvRi{2KPnP)ios%-$Ew~)KBYa zxF7@*5$?buLhasZ;{-=>E-O7EAQ6E; z@uxk>>DlXIV5P`~DjOr8b5g&%Bik@BdgrJ;2%FbhAViMY1xvEVgiPgr-ih5Oi5M%STtWlYt^x4#LGnYc925e1 z8A+K=^=1v}-Zn@9sw*5%fu+{^>DyYZ`M&;^OcP%U%%G<#!{J3T4V023G*t;s3SLB@ z`UFJe_CiVwb#B6_<}Yr>GSn+i#7n>Kiv6AIl$yaHoefjOI5^mTP^Fz>f)TS#7&W`O zHl9x?n|jfjG-vc|R6`xH^mf=qa4CMzddosX=-NPb4} zSO7)^n?cwx#!`lpaoD%*o|YdINz`O^RuD_Z-5(kH9H`2qe?ZzWFB9aB`6J55LS-8| z!9h4%;0BT2wqg!d;}<_SZsEBj@ujn7&OZ`$vEa|eqWOK-K;$rGH8Q(6jdUy_bS z>J5{WiXg)Mg!OBXgm^#L&l$ZyucN4>5(- z=2!(THplPjDU^FAm{BRRIhGukg#}RQ8)9rq%C%Cj9O*4M**0pfMsAmz3d8AE>8f>2phPmUr*Ii=Q0Grg!en&OyU;$rHPGh)ZPW@;9o@I+}j4q zNa>IzQdUd+99&wAhBfdS3SF&VL7GwJB*UTsut2%$7`qK)HS0?L&PlbyRLzmh`1pyI zL!|36rYfe$evP!~at>Y3)c0^OB_+0ykRdEu+xMTF=Uc){Qg$eO)Y*1XPqPof${`l? zK<5xhO@i(cEe)Wol88qiUwXSJu*%p^1rRFu_C6$^T_oWb&mkgOx)h*aV6gSFh7IWf zyD+fOE!juGYBfvoeKo9`xw@*#ge861WF?1sX3VpzIZ}bkv4Yi#l!n$4`rhk)wWU*4 z^Hxpkx^bEZVcOHXlDgGWcCNK1W}IsfXe)os(8*$=+m zgKbxTV1Lchn@==pMopmGoRK)4*)>h+;gaxqU~qXR>c+L;W<4h@_p=Q5Wsl)UjlpIN z|AEzGi^U}X-$$OGcSaRY$!j(tU%pDd@j=8l`@#~ESN-+L>asD4X%=r3$;HC7u z+;(+f<0ewJ%Lz&wW{rbxTI!!I9#{(u>%{Yl%SDo9gSlK6I`<3?jZ22{s>gn-fjc(- zlQEN|MuM?eiueVuwFM_kTwT~l7Uf_M1>~%x^7w;e zyCu+p*kyxRrGG5I)0TM}@02zT&7e#hziT0SP|k1%RS}s3J!`TS^0by?YNiA$& zF4mGZ(F~!0EvUz#(Q79T8crl^V8(Q$#RGQfb6lI#P;R_;xKtILt5;okTTQ}Y&sxot z+t)N!4Es9EtrM|X6~S#?Tnl%n*JMSE(HBDq1r8PUe9z!q)R`T6)cb17l?-v&CE!O@ ziQJ<_3^CaSu^zv}i^q#`r1L2(x2my5`bgm%25daBK12QNs~b!fp{|j*LsY4Ly9wkJa8w z&&)%z@aS_b@LN9+=XQ$qT&V~-0q7o6LJ`={m>H*e=9Rm<`;;VZc$K^3!~Lsf`4yV5 z4nr*hKioYvrQIM3cVmRB&3^7XP#f!Jg$=G9FiiR&kgkH;USS=a9BEymuAMMceZjgw zUfW`*>MX6@AZwe`-4Oh_U((pM`~o!&f$?LX*^>9p8Swc^&v=08A}*oV2Gr7(U(oA} zpzO-77BS`rcjuw+bHOS@&!l-qLGcpTV#zsJH$D zg|Kb7`*rh3w8nu>r3OHNv{yw`Yy4l3w*R*NTNL5Qg+t-#H4Q=Z2ByfRrTyuE%|gf^ zKXtb@ibJ!rX3g@cA?nKa>0hpCcK@N_dTQv zZ)#F4Ht$ClGUEm5p`yosu)kyw2~hZGUC@bvZIiA)@CkeAfH&`q9DvpC2+=d`V39_R z27`1E;b%5)$<`2hAEWDU7261rdGd;&QB;C#-7kZS_Ep?6q zmP7*4T*W3VW)>z>=aIH`{W-S&58KPuQ*U@eQKR2(V|0qmR93B^p&QN{`peEQH7>rm z2=i>ity9Kn4w;0QxAIJr%oBAIY#kF^Ts_l-uI}fk3hd0Ss5IB{lu5;`XZINjSVZaz z?9H9H8eYbo*I_P3Fqq5Gwk8H76kdn|bWx0r@1%XF0@iY;UIV2JMtMX zRT94-(wpD*PnpiJ(s0z)@K27?Zs?nFPSbNI;lV}91|gD}}n zTQ$NwGc|`>6KkvPgS{W$6^PydCwJ=mq~&d(!OO+UUD^Y;(qsY%a~@Cpu6J*`CwtSn zO?D>`Uj^;bhMpluiQDGLk?GrLd*}`xIoMf=)Iae&9%%TIwzRH0YV-il`MqE#m{}5% zzQ$FH4VOsiiWH6vVJn4Hlr8&%GSr5uO?8?rqJ`FTz2FBQ`5m@(8Syhk02<@FjEwx8 zTr{j-8X>Y^=sqy6S+LOY%8u_|g3izR8!f3*nb@M;1(YVTHXFIHdI zY+s`cUmZy=91YgLz?2auD@;v2zn;UV<5Z8m?DS%VuHCz2AiuyptGInt#y)XZjy3MS3yP zQ%rt1LQ~|osY~sn3*Y-(Hi#MkBtv=$aZ-}Leh-%D*8VJ<3E;plGddaO7{8P0`5iYV=e7G3mszM!1w^nKM}e)t}Qe)OKYy9ZOtQtZR5?n!w4Vr4-dY@7yGewEt6iTcW24z zX+0}`n3z6z-rRG&-rC<|DpJ*B_z`Km|8n4sG5-TeN0nsWN(l?G`0g(~)Jhs>bT7YE z35UT(*=N9!3B=nnO!zr65)5$)Os$?V|zk12r>TS zV(`gC-iF}9{8&>tvx~l*1e60Ut^UIoi4BVW2f+yc56=j}y(s_VnN&kh3Ghq|XcOuv zLw&ejKn+{~CE#B3d{2!ay6gGe&FFvp3RE6;_=Jp|w>A;COmH4zP1JzQe_A7@Hfr@< z{w2V{z4$G1`QRdaI5rmbVTiG9-Hl`LDGrjK2A>IHpXFl(0(3r^|0d*w=?b~e{d-Z! z=KlyJ{#Y^r#a)k|KP;V)++f)%Kpd_ASXpLl4?;THzd;dT_>ho~+JgBfUI60@7U@MY zW7~w=hXjM<#;d@U;lqCcBcp1gbb$UZs)=M5Z>_J`{QqVOAPUcLR1fiA>~%>m-qr;f zJ^sy`_Y!m+Gtd7(oXB6Q`MO`C0pG;T+-3at_=WH6GfC>B45bL_AgWNTlDo6fI^d=A za`#jwV7uD(iXaNH>@pVapkmtT+V0C@>nY3M&K9vu0-wgp3gs*#ylQc}sX_TZ!}oET zBzfl5vx?h6>l0{SpRbB2;{1y7?HfD7)Qx;NB?LrxDW72 zY^d0lc~R#(Ab*d0JJr*w)~>=UT?B+VBt*0BTvCsQ>HpSwt%48{MWSM@YsR=)-gtO3 zPMURCN?d+E7{7Q#BF;>^2T6U>K>|U$hkQC9N$p6adTHfNGfv} z`5MmgP{0(*wpIAqC)e&l^T{_QU>DBlyw_r@nxNj8_QC#-#7%7HT)p}3$-eFe-k2%o zsk@#XuGLpl^96FbJMT~S_#A);#!H+Mqc?#mW-5)*9@aGkggCMIsr#?jd~OZu`pSI8 zsRT(-gR%u6m5)@XOnuiV1&W{v`Iey_Ep@&tE8V^)Hl;-|!Jl^>ufNq$BHWwyJ^iOB zeb8&a*QWbCu_MzJi~x9rz(;*0IWr!STvMoxsKwMb;xEBs_-K54$q&HYR;I!H8!c&> z*Z9aKVi9E|L1&GrAYx0TseQ&?PtO4suboix@xX+my{VlXMML^gdVensi_6jMobn(; zJ}9pVw-y7hGiJV<;<5bT0VI+8vggb;Ebo&0GEXoCBZ@MX*y4%8=M+KW_9ysY{RfA9 zsSx81>*RSps166RA_5TbgX|`7Z65W`v@-8{j}@RK&dJ)4TCK>E$k^7WKSXi_FuBnL z*lK$*DxGPbFAN3ZuD{B1eJVFkGA3()fJ5{W)BL$p<3jpd`RZXz>Jkusz%IO453Rrs z@1VxHRUzl@q83(mp+>t@-UF92_I9Cekvj}Rfnd0vUTG9ZS^~&_a<^=}d(R6+BIFWj zd_gPUQE$Sphrg8yTwutjiTBlL)}lSeu>Sd1t|RB9P&|EEZ0_>s&pd=#(VtaPvSLEt zT=Gap8`^n^8&0DL*k-|xzDGI^p{Y_G6PBBr9^cN6yB)iZ>Q2aZ+BbG)>_0020N=gq zad7W@<-c3^z`tE1|0xa^;8gpZi1q2y`R@O>8KH}j;as}4W3CwL;QD%DlB5pl&-w<) z8Y|bzEASs)S^`D1n*6#o`8{STXi}9z(P;jH16eSSXk1DHSCGc%)Gm1 ziARSG)-omyF?yl(maaWq?40O%IM};T0dG$DT5?`i(yvWS<~P=I>^8m^&Gwv}H3Pnk z58B-JvrTC~0N&4g*(BdcIKuWD8vQ$~K_=@Q_$HCgy>Ks-NY)F1>jS^5P1hr5dM*hZ z90(RSjh;vPQ1lho@0^7n#h=lCT}?emy&R49%0FGY5R=?by}v{ryt`#zh4Q7l0?ZbW z*G-#4a8YBgY60O4<7)&mwTCW|Jn89lxtlIN*KHfJ^aW14bDdy6jlE7wawf4`--HR@ z!q!c$wmYBsqCF~zcozljohukw|~%MrU+iK0US26)xX}GHhE@B(alc3-=tB`Nm#N z+Wg_K{aznj?il4AP9B-03ddm)jNe8u65IsZrqItO2ufYc=8Wn1e98&i4-_@G*RJMG zm7&Evd0#7h9O}cDJ!$;1<#Z-BW#NTvGQ(*8)v}M;{!|vMmr(#GJ@T}nYTR7q{Gy~l zr3Wc!LC%JB+7YaBi-M{~(l>AxvBCfA=sDj)wIRnvHD^F)4wyr(X~ftU1O18ZLPDqP zcVeAxne1yq9bt}$e1c+tvY3mT$bc1Cd5(o(+e~U4|@t^tiCU*q_Q~#4UUP#CzL62GnR11tiEE~ zYpwWCbXq9kNq82UT_!ZWjIg<1wr+<&8C`w0JsD$pc<>9lOV-Y-9^~ByLZ4l`c@b|Lq z=m4s=anjQx1aN*!c5=8Xj~=L(^{eEgoj`-r^(&VMU~u@}YXLBk@Ry^SD6g+{W%b9w zYLHo}G{G8RPmvb&haJ~RE@pofGnjv|$M3(%7O_k>`}l7zXYr0=Qa!kj?`EGIS(_-q z+4^1Gdu*e;|G42Rmn>nc7AR{j< zF|wT8-lM`du{&Vr*X6SZy!6(-Qtq`JSXA|~fLPzxYFUZX4k3=6?hJQiRy4v%r3cmn zW(aDtzwIK_9{$eg_l#b41cET+XT83C6S>(HXvVtW3=IUa9V57i>Zo})3j$g8N(ekc zNzOXtdMX!WsK-bwH{@mKvZ1>Y+yS(2U4Zg9R ztXek6gCyr6(ITJC_*fZM9(lfBA@PuM-1p0m8*sG;N|6p$;h8wehPi{C4mMV^gijG- z$GPiVq^#YF7RvLsk4;*pQ}FnuGW1Hk)ZNAgX{~ohklJ7A1>)^*x@2-9XL!`{F>#+| zg6?y(B#--Z7W1jWC^(Yz?XabCOyg#>?=RE7npS_d@Vmd%iu9S=*BB z#Y_YMCAN?3p7nN3tSI*I^N^3yDJQ5s?~WUc_n1t`l(O5&@Dj&w;;RGUi42oS&zzp1 zhmYXRS}s8|!yTzw8SJ##0^DYA^S2SBJ|;vROz81&IfwB`w9%nuWRw|ofn>c&wU7B4 zummGHstwS+!)SYSk@=T8p2fKd{C{q3OJD#;?$El?;9)5*KN!FCUtFbeBAK&na}>vD zo18$Uo)jGGPvZYFSE(Vf&*meaNhN-ftRhw>#yD+-Zj$o+!;^DG}2BT}z z5Q+aKbL05}PUt}nhm9p+hWe4m;DVk5x>QN}7?;&R2eNRk8?o&uzT9y#sJVL~MQ#Q7 zwA3xaZ9tQL#-veK(p;_Fz5znQVPZ+bJo>8EE;m2b?PBLZR8~Rc<=OXZQ<&tkaPFJt zYw4bD<~vzmFNX zA8ceSS__IA^Eyzw!QX$>ld-H-X06Zx^3Lw2nUV#p!BNufMgDEebZZy2rPRZi(J_T6 zw{fZqwDK9HQEGxlMi!&+1L@$o$K2bDcLUMe;j4s$+$RbO$y+tx_1fXw)flrKq9VJ& zDCI~69#*m!+|qO?d>$$4d668g}4(Xnq!e3DUG(Xi!Bgex-k`>gy1mu)v znk}{NQZ0PMcnk-Xd6v{p>15hKpu}KYD27u$Pitr1GR5>|o{uVw9E=%=k>q}_&Lk6i ztl#_>p2C_b^x+uxu-Q?>N~4&)pWBjlh(jXfu$a=XoemXY!(UWx+BpZx1w ziZ?AHQ!FVhB=^?hKAILfiAAm-oE!M@D>lWh+BtjJGCCU-g{^d}i}}DFC^*HG)s?6- z!$$cl7Wj(dRg1_FONw-PQJ_*g{X*!OqWhFsEXC(O$qoVR4RZaEnKka;=2h3sb0gaO zgv7@YPpXZad|pc|16awC+i+7u&93P*u%RQLVcGtmAr<+x^mZC!?5BMcU#|s_uLiV` zZP1`s9_!d0sqAMy7i<^Yp)G0+XdPP7bMK0 z>ik6BvuYAgY#$p)kSXdeSZu86-v6H&~# z%b27OjXKMQ=_{4n(!?=UhCCR^vb(6sAyk?RVR|<%wHDAoa47}fn?si?@@|RWP(5_M zyEX`+5D~P&v83Awx9Dz5*n-1|q9VTaE`hpM453gK%rHWxd3?L^N#&rSpFnzQ((fCs zU2k$59xqg>bp6*1M4Q=NPS%sAb@yM+ysJm|MQ0V7Xf}7EP^>NupgYd#3sI~Omg<^2WE(pG9_V-gQKmbg6%8}IO?pzLJNJC0T_l#tAn=! zjr{W~v3aK))GH6VUwT%lSUm`dnE9tr#PdGImIMC8-es$IT@ZMW?5qmIf7S@vAnuwc zZNG71okl!s-pCKi%$57Mv1pL}@oHECK81N6IY4l5HDaRV*q8bx(Nz%>gM#BzqclTZ zG>!Xrb7XoX>Pa}Te?jHN_(cg~BL1i+sGDpE zzgW}qti;B-n`r2*NYgy)T{mr6u-P(e& zHy}Sxj&eyD=^`jPTghOY5Afr!X&cpY3MPN_m;hOhTKm(<(bov^>h)k_C^ilY)>82& zJEci)>=15-!53?qo>9|sooKpBSQw;s%hXo*w-uYM_6oi4Fh&Od6IM6JpG-kAT{V!S zzow;#OYU%wmqQx(6_?x`WTAG8zf_|Zcv;!?_@%PY*$`ug)cSe>ed*d;!&MJqhE9m=Wp^iP9XkrutJi*e z=cDU`KN^lQyU$Vpz<#qYkUH$JKa$JWF|k+G{YP`hkLJ{T@>jvtjv#ol%vbWLS#06g z=1J|^q|8`B6Quw+QqZ`(yJX#*%6F>|2AmHDb>Y|KDQ(eoG0yfx)MSJepivZ9|a{qnYS_yl3sXZt?6&%tE49pWR}ww zd{TE}$ zRr=W8O}F@A#hLrP7aQeU#L(ygxoVGc*w`(Sj7@_xw-0NQxLgiTq~QwhW4n~hGUm!o z0bpc1ZPt=+kVQ-BT$#vH@Q`wJ(xS3eGMdQ86jWL4(E_#gU40(9z)BQSSLxBovNyiQ z1VZ{JR|gGsrj2?N{q^e3gH4dfXqk-xSzA!YP*blV9#6IG%VAm_-nlr@DLs#+H#WZ`g=A7}#k%bp)+p?6wO|Icw zDzZFz1#&!GL#7cDmvWn=biM7X>2-nG5gqMPj%=pI#1Sv8FVb)p1b2~*{sB$**wHWg z1ovfHL7vZ{NAp2mLpkX+q95?p&Tln1mLuDmO9wP|0G&;ja%kmlV5fl4*k;z{h;5QhzY^S<`CaIc0X7?F@JyWvv?WSIfoIEPW$-;7{v8vAV#CHJ?T<|{$Ynhf=^ zy`}}46n2_CR2EQPMpF;k+9o{oIDB!RbaydXM^Qtyg7J1;lw_Hv%|+<)agfTlLVp24 zC&wDwz;){rc0ECmlVBTC2IidZU{($pxPwh7u--2kb^pkg+?-yjHnO_xC(Xv`I-(n! zeA)b4C7DLIYLER*yDH|_J6p1A)@>OUsH{YL=o?t-=*gtp{I$jWigOo&>QzP;Ig6kx9&atyf6|#A%H7vQaDZwbZe?+_9QUYr~=QT)CC$+*^xg%`yEd zP|?D6TDGM7OUijQe_5r`M*F8_x6V+7yHo4Ye7U9Z9_xp~7V*YXn^o@ey9L>y3cMC= z(DA%^eW$ae^XcSiiDqL(iTeGAc;Ua|D)$5bAQa0g?$jqBV}TWUv37H~_%t_>Ne>%0DVMoJDyHhj==ln20aS=W00W&Zy`xGV2` zrd<%Eipp9*$7K;J^)#Ms`F#F&O7&mj1~k>a8_$(%W|nMQnz#9rvCb#l`7O;@5Kx9U zR{0HoSSm~NTq*VsNm+HZ<+hl+BLVn7p~5-q(tl^9k!uW!XM{5K9igYQ!9QDAC^L(U zt#5*B{Kl4H13FX@Ia&Klk)N2HAg}5Df&aV-LaX_1DI*nBHoCLorV2vK6*c->swSt7 zt{4om3~R|%$WTKJG$)EzYn;9*v0f>zvDc6wn=j{h#po*u2WGxgGs%IDf+I`Z@$=Qu zXtQgW`z@g?FS#zlRM_ZT=kxky0xL|*Pso!0M+I3!S;jA<{D&RQ*ZNw_PnW?Z$g6{n z{|XuRu}RgEt9>^z_WI()cdXB5X+976kF(-WivDrdKl)zr4>jh!j7ORO;ROEim=4Up zX;n*3ccuzfUv|Xqi17c>neem=gBhu@{6IurK#ccFDtG#9i;w{0&446*r;QsxO%@~cdHJF}=3k=4#mdn^<0AU%6 zB3#Pe_IYCYdm69DEpbrV=pVYB(9<#NQMY7&8|0iJa6trwP4Y-*2JQFD)gFQiW)EU& z7CS=~S9ejC!=^7Tsk+)tLmAJs?HrphOoS%*QHnxbT0dXVMU-oqAsUmKy&&%&acHRs ze#?7Fobg7~F;XwrX#2`!0H#pc)e9LY|%V9gpQ2~~6zXf=?)AFS=5^D9sU3K` zD+hRW@hT?( zm*YE4(@IS)FUPm1a)9xD=whAufi z#zPZurQDp488jVe&ps?rk%}<>Frd?BsCr|+(Q7Wo@D@0quheuXo4B`q-FrD1mBM3t zdMX!i-5z^?e1B_&J3DbVwPjH8yxaWfbDEkP_x2QMx<3X14jj(|Zsoh?d$y}jk(IAr zy(6QYuE*<*E*#qchs|p$=DF$1ho!4qr(vD;$LkF)DVM2@Z`zu1yxX;!@0;}mX`Y4i ztQQNmuSZv>=U(@nZ|mzkqXo{7-Rm9?g#?tLJUAUSO#+Oe?#CzJ?ggGU82BG{ZO1!3 zz3%9w6u26S0?+xH0oS*&^K{R_HO~E1-sh>_k!ucbyhH%Bzy37;H}&V2aJ4x!n%i&NW-cCXz^x9f*W%l8FMQ&U^5&ih&Ar#ag+&(o>&k?Ts}`Q+r` zo#a;6X-X zN;~r0!NlYEC6{(f^vLbg%UI(?$6?5=uIF=6ynKaj1|A46gik==%|?cT`<<^Ui zIzxaY`teSOyLYhv_W>0hC93*5m_#Kf2y(!P@Z-bG$;eo)eL-vVh3)<~20D6N4&0T0 z)HnYHz6n)xQ|c*Vm&lT+Cr#Bzq?_hSm=0rJVe8WsDamQ>ekBR9qJ$~1n1 z87{1fWjZ@7dN+B0-+G@2p0YF#rjq<5v+GIl6DS@-Stm8K(iOA^t2V(4)<-iy4&UA& zi5HdX7(r1U*W_aMT3g57?WMk1N>c9AAp%4xYUdYj&cPWemQi!7Vn1D9rGtVlCF*Oq->Gelo7k^ zhxwE3##9CBf8L~&|LHGy^VEtFLm%Ugf%?|Qe}h8&i?%KHLS(*kDWG&NKR zOQ#Y>&|!VMQF10}KdD|NJ^P|ZHbf$4^r&$*IR%Ybz_4P(KqC_eyC_HSSb0&_x+fN> zl=@1GicPBYs0;OLqq3lN+Zc^L;1eA>NKC$|%!9+0-uF39zf(Sr51_69>NMm7X9Vco z@X{h>nDyxN{m13LyCRIPmf}*Zx+WN3CD}y@F(1*Zs_$$Pb1xjD*eMRqZp#i{fboBl zXmgqxyvlEYqmKOH zi0>3aIhKr1TBy^K-25Y$O>}Rwj!{N#;z`m{Qi~|n#HfkY!B;-AzfE~d4buyvGrpxj z-unetYD$(ilR25iTxciPn@zI-MXMfGx%9{W5G2`tS)(N;f!`UqvW*@kG` zHi4(>D?>B~9o-Pc8_EPPWn6XoPH?)0Klo1g^U^U*ozd0;L33_+nst*IAiJm*uQluU z`+ZR5+?gTo0doeVcD;vV6nC&dJ;+tFRT$oAMJ7wuFsm*x7LgB4b-am5hbfx4Doj5 zk}6N54EUjC-lTNDt{QAt*wxsmu1}O=?Bj(T#8tV;gj#=V<>F{`*Nw<#XhU)%sKdGjhA{y!`u2l4FG+X~F$$?gkO<+@C9(%Xu5# zIOD%-{8F&lMpkz%fx}meh4xt;zxqM`2^xMANDUbDW?v<~LK2eByfteNsxZ^&RDc~#mP|#Q^XqtAuC)JGS{>^Ag zS=9aE_O@piX&0=%kS#(cI*I~8EI{eX0{^x_sct)=&eg#dAsOuolxQLe%ej1P#fi_& zO(8102i(9gy<5CArL?bEa>=Xf3t(<;L)Oy#0b-Gx719>mn@9LDu=7t4fGCYHm1#DZ2g?c{LE5th9- zyh#d7rhtqI0bES@qwvm!Ti$kg^hMjc!6l?>LU~||HUn+je6MQu1W27vQq=!KCM?EK=g}-0YzfM#Z3ps=-CE`G(MKx z;lCvczlI3@QR`ZW9^zO!4-s{i8ijH)w@Hh^pLD4I#kbR$CU?1+Aj9oFQl>PB24}1D z=~YGxtRAs05oaRZ|0`;d-OZV_JZc z80}rxRCs`1iQL!Q(ZFd*FU_+hy`mI+)&t`Fiwcg{?m(-~gmh*)U31SQ7~ z4V2BNqAnH_KL=|?@jgbIt$oYHrHj|r6WyIVg#&qaXvKULmf_X-M2C;?t5n=Z5E=IQ ziuYhTU$FKyUvO9D>oksdua-?q!mb zOfa;aztquo@G1%2($Gh(JK_6T*Oz@>oIyNE<54~2C4OdzQ}S2OrEOsF8{NXx%RS09 z42UfcQ51~g2g@Hj-`1it9_K<2fw{u#@YlQes^2J*tR81?-3NU)bnKq7VTfWWx7ms(i>*`n*x7=vIIy>2#y`Mg8w%W4h!_IA_fQms^vD zHU|#scvAAmVv#^|iP_%?Ph}&lCtRahL->uqWjM?``;Gyn2%Mj|!E=o9SSx=+n8Y=h zQYm$<$tDO6x8bT^^vL7hK~w5skBG#fDKf&RB)(TCASWsl(IFld$w3uEb0?fC(!}7J zWL@Aj=KB3n6-hqSG)v%B9fXL)U8l~+w+L)OuoZkx(8UC9=#FF~*m49lSEZMW4f-yJ zND0G7d@L!xwl;f@HTus#a7)1u%U43Em}aPW^0Vf~;y0Zynznf~?b8S}HAK9=bRQfQ zEd6xzV;&ynQ;m0G{AMQdEU+tdocZ3Df~ox{yuO;10zo|T~ zuZ(;?ddDeH7KdtqyMFyz!F~dPcOmZf_G(!y`FcqI3P-03&Kme_z}`3iTpQdmPJfT@ zN)X2Y&T+DY4}()ThA4yCtBehsl}nCMyI=PR)ib!HW@IwlKSIOQBO(&JUjt?`6rH__stvR_kXP0%deQ+H3ynAM<+Kid{1!g4I<=eNS3b{Y zXoN*Lzf+uEAN0PT;GB*@9rzR&q9KPjEJtunF)r@gpIr{27@%v^LEA^l66Pu4#@NGt ze!&qG>0&b37G+nd{#o&mFpsyLl`XGv-PbT`;ZOA1@-M&Y+N{|`N!1f^rV!}(v!4yr z*KR?8E+QlHB!PCI-+MVsRvENAtUB$fIvLQmF7BifV%TB4A{Kizu||HK+gUvjh}Umw)RSXU3W~>R zc*zYU6#v!tv88d3O#DUa9G5EmC%Vsv<#JPXqPsQj6VJL(3^fz-o?km-H=5w%lMCU$C=^&+9u1{ypUd$P zJtD@ybo5)nKZPTuM@gekHl)*x@|a0?u$(DPWFVIgMWzH+eU>~YAQFpnGQm0kV1p5} zkP{O;Jgmj}9ds0x!Xs>(s0cX7g3Pu~uHE{i?^o;mEwOEJ6nV>}qsV$J2+q1Sx=lBR#)&Q<~n1 z5?IxC`&4~=BxBUu{vLaUWmt*@AQGW7=L$-~J9w1_vFIsJFPvW>q@4!V;B0>;-4SG~ z4XwW4`R3xmm4s>Zy=^7IVt!aGf!bvhKfQ8J1sRtx%N8N6@4B^>E)&0cDFH?WC*6aYB#8-6T0S>K+2x&Dg>5r~C7oQB;0Gf?#;i2)J zlBelze>1LpS(G_HFH;CwxEN)&a1HJb8%Kq*RnMl_WBZ-ov<8#f>-#;K*$8BCJbX}< z%@)&>Pm(5$$H#3w$T{{1%70N1ipSkl{7c;WrGZFWtKU0_tRwwEOSv1un}TXd z*djYlHIz5FI7S#rCQnU}!;-O)f@W`P_zKgPqG1!-H1Ubwi+5=W0ChwzM+^vmI=zA5 zx-moM8u-0k)OrW^r0gQ$_0g1N`7{Z{?1H1|*LS4POVF-u<5jLRA24#A zCq=k}jSnxSCwt3KiY}{#nhe`lgw1JRmx97 zO0^Ppb`m6+ST{fV>MPEZ)vi29@Cd(vT2#a-f8M-G(t1au1~2s2p)$J7L>Mb0>o_$b z`0_5s?15{>kv%5YhMeFBcS@$KFuM2l{J z+lO#Y-=H&g(5UZNxwV7zJ+q*6GJjRi<~mmYpaf-iHF^o@SDxJs6asKNS>*xT%}YOJ zztI^#UM5;UT9%DWALNc66%h&Xw*`smi}m<%t5;8AKzc*44dxi?Dl?7Z!54-%)xEToBwnewd5H=`X_g*`rMvccy zI$z{R?TvhjK68P}M*OU&;&C)rqaM%hypi+kshn_MgRd-il?bFPwFbOH4AXHD713^) zi>)vdz}MYe6Fx(6b-O)Jg8klc{?QUB*CzcwxT-F;Yj6q`@ zUfh;%gMUl~gME|wLre4%OWx8Rut98&h8a;MROsXZ_+|8dsMzfNvdH=F{zh3x5ptO* zdYWW)!l+yNurR z7x14(r|T;6PCfw})cJ!F4=y_tk~F>!okb!0MwvsskF;Fi@29FhH0t&g;}>G%rY7Ps zQyHX7ySzyxPoUwzAWpXSgoIRcX85*QLxvX9do{L`*l>OV$ER*7btr*H#j~tFa3A#} zARo&`^*zEqs_M%x%^z_+tv@H6fEa{*BO`Jj+p>Ax8c0o4xK@uWHdOuWoKP}d4K4ssk_{(fzt&(*`}!=#OZZ)H-iwOGkKOkXQJ-%;~F3putw zIce=S37CT7PZp!XqpdYs!;R4eYcJ4QflwOTZ~_-3k*isl?M6>Ke!R@}o@K#j#3^DyFI14Y>GF zR}nb2s&Qfvj{!GEMaDyvH&<|TV+Hh7A&zyYBJ zn=!*%o5L`%X3#4)z6i%4j+_zu+gms`)=6o{{ofy}WcqVz*+wE+i`zl*7%jatO%RK$ z0u^0s^TdAyyu=M+Jr53$L+-6N`>8TOZ(RX(8!+^!h!4mM&9es_y#;Y)Exxz=FRkww zbfOn(t?cPS`9&@Ae~Z=*YbU^)f&ZtivkZ&s`}R06ba#Ve_!Zvy5=Kz$a;386Ihe$L11X;FdS(ghPVOLx*m# zrbR6~Y1^;azJbgj?%TDp%?|MT1Y5f@g!Y*+AF!k(7mc=pKse|Swod2W&yHQWIuo$b z%8)qGWX1q(dXtfN`}m&`ZcfKGBuNIJ$z$yY={bzT!GvDh5+eR{x0XwoJ%Py|BZdVF z+^{hLJVu8VYw60a*$c;y$n6Jc#S>Ee#AP+dw1Ko7&&CKmV_pvSWo%c<2(8Tda}er)dt+=#1fEw@1}; z2q};xg0^(qPH|*t?dJ4pT31q&>5pDB1qx0h{c3&GJlZp9AVQz-z;IC+h!t^gt)WE? z;YlU?m|M_pNOm?^%{EFE_=dw z5JCAUlv*&>6Z#i!65CvupEIwswJot@z4P=n97_4Q#c}FN43Y$3I%{N_VT#mQ0aWyi za!`wYX-Yq4@Q%WgBS(B>U&DUfn6UT^ay#*QJN~+T1rQN&pG+O9JCqkmauwKy?S@?a zXj{WlzBKNaI~OCk024vPTd_s<{3t~YDNR!A@Jbg{)>54*vEy*ziU-tq9F-(uE z0hF!ny~-VKT|=HW%?;Ua_1kTac1{&7QHF6`J5lHvTs7#nzouL~m{SJP5gfX-%HZY* zmQ9-%;iNpMi$NG6$Qnv7`Z(dcDXK|!)%-Jd=e|Er+I_r@NG^igEs>_kBpJpWLA#IA za8>+C?3nT&jrL9nQ?xR*_I7hhs7<~g8Ej)A2tF*Mec{l_N}8lB_WZW_%MrzPAKnWI zr4LZ5%ty1oTwC(MfJYp^4y>wp^Zr`DQJM(h!o(^?1?3esd@4uqRe_`AXSrC} zN-nCX(Ss|jc#H07=kL^TrUxV0Xe{Nd>rN#*fWqqsiEe?W4&52CLh(^x4Fsylq!>g! zRf|WiRctuBuUYyuKu>x)$D4+8ctnaAaSbwyzOqbm5-oWM1?LAV307}VgCN3PXMQh$ z_etMpq=~)QK75`yoV>-Z>LE$f)9c*|>7sQ#zmXmRtXDOh1*F8z?tZwP$F;8u$dYi4 z+BprT$dZPMMP{)qTWQNsv12%=oM{IV2_7E$ZE8wog{R<Sp-(!M40sq5br*k$ez|(pt=*7u@{lYkNJGS|b2fvkPGgK+?7_iz}@D_)6)q1i&$D`f7& zdxq7Gxinq6(z`%jeSBbrTpI(P+$J*db1b&Oo394g?|fZZKw;R5wM}WPu*+K0TOYW( z2!m#e?mO($ETzwcT1(}h`4Ng3vDtcFOsI)da;~#GjSC2;!gG3;7>fh z+jhKa23~=aQ&2A6;=(t9`P8zKsG1^Daw>{Jy2>Nae05YSDEytS{L6PF)kW!gcKUSU zgpkB`a=}`%kt~m+)m%5Uk;9{K_nKaWxl*Ag+ov}Eg$ZfA3QQqQI-IJfkd1Zv50JNV za0+rKbcB~#QDSD-M?D)C7gdbtcfmU*j#y_waVb<4DxeasciR}?qeb~IMk*irwAeD4 zkZdhMy2J3V4_uT=5AX6=U#$TNrm_q77_h;MI`W&#kwLPtFR*V6_)loKb<~lBplfFM zpprv1s${Fe5gGs>8WJvM1Seq3t`_hBV=nT>%rJ2l@+ z{DI#+6_h1DRnA#8?w!oe{IV{1g`BYw-?6Vu`ueJXJnuQFJnjCtQxZ)ft_=w@>=ah^bwnxfxc-rtm$@l0v4LVr8su$}ClO(7^H}PnA*}kIeM3%~!?^h5+;E7xn)A zd|ilFwtZ)20g|ojB$i=_4o8>#c4aL?1cKD6x2@X=H}41DgP6O@if!WcFB?g64ZS8R z6{PSl30LTwAN{Gd)vi%LY(bb+0#45DkXhI0qp=r8(*mbOTHinYd~qo;3VgR!r!4ClwHx}T!^Wb9U89Sf(+}j1 zs=jqt7VJ0NQxdaAf*4*MYeP^mzO#7vlzC4NLUPQBBN^iG3l71Qo zy~}lvJQa&Uc9cS2v+;8fdqcH~?-vDnPJQvyQEzpQj8z9G&#({iJpJuOh`43vvjnwo zdwA(%fGB#k+0(Rbub}SfDa-5tQs4at}BqRp7kb95k`YgSiJ4iR*{TuxnS(RcTrCHnvR7pXVK;9y}7+wH)rv+c&%@x z%?dg1tMm{RlJ{62aQ$rtmfaQlQ)zj|XZ?r$ySY?~8tb9KEQ+H8pB1EDVpXZ}h1Dz6 z1+Nn&NM`I9#Lz1XszexJdvBhDV)2$SnIJ|!uRwhA6u#bOjEFD4%*CJX|2!YBy)N^2x8?Q#VTHy!j*U!_TMyU^B#u2(NnEWd0KUXV+>3!6t^DGSjT%VATF6|{o0~M zI}NlV;Ige8ZjtG1KtqqXxUjhmt`PJ9E;p1FBTT=|n5k$>=ovai#-97r^NgKz`s4GTtgot-F83&OmmE;wT{yl4+^yRde@1l!Ku;*(7gh6wnj*B{C ztsQ80_=(T0L%$>5%~FFSC~mK2HW6+7r!YfC-z;IS)u`1)8_rZ*zDb9>S%@5sqhS+r z6a)3-#ay6s7|t#k7fJ|YI;q6qO~FMz4oX4Brqq{gyecc*lkB{Bt3#Z`1JyhxTD1)E z(v&BnwPbat4toaurU4^d((gah&oW)K6cr!ZvGonl9QgJx z@z=eYqORO$1GolyfynOjc8ptJInCSddg)&cIqqiCc{uxS8Y!BNGD?_7C+8e9Tx-xH zi%Vw84j&vJbqx{$CuR)H?iWJ``>U}MCd;aJ)~L?G*bZ`dp3t|wEF5gm@DDL9n*7&B$Gt2VbbW}m6ns;ct%9lKCloxe%@MR*4YUjbCI;7TmH30Hl9pM0$^H`5*y=&U!-7_3f zPj>CSJ|$#LqZYd6v0D9_J+JXfWoTAu2{y+4!eTnxvt-RMhzx|yon^%sMh%@}#h0^y@X;FYz>g5vRqf@Qn*h zptn`$s0Saa`Gz8JFiBBTs)zt5Yxju65}cW#03qwV+Ap;&?kBPPdYwLz9$y%B*Hje3 z_>isW)Xp4@&eXHVPlZInDb5({I>uBpKT^A>>446-SOa+PF)(b1PT}6oPoX06^W}Zk zc95Rgpu=o3oE&`_0g9ob$X_4*`<+cAQMOG!<)r^t4w};k`1!SA3!1_ zHBfz)(@s~e)b5*LSqbFe#YCsN`8jk1_^Ce|3ZwC_NyY`x3{8!!RNzuU2 zE_tc|;PJh^Th8582cC}pW@a@0s3dCGiaBG&xoaK~{@BJsN@5pUBXb5(!=wNxxK)D> z*rMPPKwEFQo0gh?sm>NRw?tvF;Cbut9OM?MdTw!QaRK|BVESfW-A*Q6-Imzp5F{N7 zukCt+R<+ejt4bA}XM83uZKEt__4P0Yz22ekPI=RP2zd5&fj`)@!mZojo=AY6eRe;( zf|Uw{jPadL71IVO!jkqoQW^~H%aM%v%6*V>mFsaFOdI1~s995qMHBn!PmfUMq)g)a z*Arp*{d;wG#i}L&`Kt7H++{4w>Yz^cWQF05c~w$NE&e2nq;$bt5jBcHgRE~~lQNA+ zI}2tB@(n&qf35N4YewB*OS;HZh)zz-?fGS3=^sG*?31Cbj++QIVwwSF-OvsT&g_<_D8g$vhzV-xm&Blk)-ih)BoCtwjX@Rz!@G zN1ctJX0p8q@MIx?Q~$T@hOHzLqbD^2!sC4#1hhvnj(?Abh;l>(1V2wNZU=iuZ8bzB zVgT{u8v3KvuBD#o0aBFS<6H7SGk;PZqY)k=#K1Zb0NFp}{}}O-{7r78{X_P#xA~j= zw?*V1_HVKk_#*^BF7kW(f4l*Z5fCKt{w6yK{~`PO{Y3_26#=M)am4>D2marZ{v#P4 z^1I0Yj)#b+V2dIEndJYcQSv+NPu=YXf5?xDC4ZMhAjl~MeqRJ21^K)GJILYxeA3@H zLuptM5Xc`N)c>./out_"${filename}_$partname"/clock_constraints.xdc # echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc # echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc -echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./../out/$filename/$partname/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./../out/$filename/$partname/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./../out/$filename/$partname/clock_constraints.xdc +echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./../out/$board/$filename/Dependencies/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./../out/$board/$filename/Dependencies/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./../out/$board/$filename/Dependencies/clock_constraints.xdc echo "===================================================" ## CREATING A COPY OF INPUT VARIABLES IN THE TCL FILE -echo "$filename" >>tmp.txt -echo "$partname" >>tmp.txt -echo "$cons_name" >>tmp.txt +echo "$filename" >>tmp.txt +echo "$partname" >>tmp.txt +echo "$cons_name" >>tmp.txt echo "$shell_path" >>tmp.txt +echo "$board" >>tmp.txt path=$PWD echo "================================================" From a11d24d1efdc8bd32f66ec6f3d96bbce1c787cef Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 03:03:18 +0530 Subject: [PATCH 09/10] Added support for Nexys A7 --- examples/.Xil/top_propImpl.xdc | 342 ++++++++++++++++++++-- examples/led_counter_xc7a100tcsg324-1.xdc | 188 ------------ run.sh | 6 +- 3 files changed, 319 insertions(+), 217 deletions(-) delete mode 100644 examples/led_counter_xc7a100tcsg324-1.xdc diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index d0a972d..fda5837 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,37 +1,323 @@ -set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_zedboard.xdc rfile:../../fpga/constraints/fpga_lab_constr_zedboard.xdc id:1} [current_design] +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_nexys_A7_100T.xdc rfile:../../fpga/constraints/fpga_lab_constr_nexys_A7_100T.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk +set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { reset }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] +set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] +set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b +set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r +set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b +set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_G }]; #IO_0_14 Sch=led17_g +set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r +set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb +set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc +set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd +set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf +set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg +set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp +set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] +set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] +set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn +set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc +set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu +set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl +set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr +set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd +set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] +set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] +set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] +set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] +set_property src_info {type:XDC file:1 line:79 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] +set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] +set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN Y9 [get_ports {clk}]; # "GCLK" +set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] +set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] +set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] +set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] +set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] +set_property src_info {type:XDC file:1 line:88 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] +set_property src_info {type:XDC file:1 line:89 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] +set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] +set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] +set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] +set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] +set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] +set_property src_info {type:XDC file:1 line:100 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] +set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] +set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] +set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] +set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] +set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] +set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] +set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] +set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] +set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] +set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] +set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] +set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] +set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] +set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] +set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] +set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] +set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] +set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] +set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] +set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] +set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] +set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] +set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] +set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] +set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] +set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] +set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] +set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] +set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs +set_property src_info {type:XDC file:1 line:133 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs +set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset +set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd +set_property src_info {type:XDC file:1 line:137 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck +set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd +set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] +set_property src_info {type:XDC file:1 line:140 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] +set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] +set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] +set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso +set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi +set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk +set_property src_info {type:XDC file:1 line:147 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn +set_property src_info {type:XDC file:1 line:148 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] +set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] +set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl +set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda +set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int +set_property src_info {type:XDC file:1 line:154 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct +set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk +set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data +set_property src_info {type:XDC file:1 line:158 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel +set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm +set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd +set_property src_info {type:XDC file:1 line:163 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts +set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts +set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk +set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data +set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc +set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio +set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn +set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T22 [get_ports {led[0]}]; # "led0" +set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T21 [get_ports {led[1]}]; # "led1" +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U22 [get_ports {led[2]}]; # "led2" +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U21 [get_ports {led[3]}]; # "led3" +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V22 [get_ports {led[4]}]; # "led4" +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] set_property src_info {type:XDC file:1 line:180 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W22 [get_ports {led[5]}]; # "led5" +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] set_property src_info {type:XDC file:1 line:181 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U19 [get_ports {led[6]}]; # "led6" +set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk set_property src_info {type:XDC file:1 line:182 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U14 [get_ports {led[7]}]; # "led7" -set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN L16 [get_ports {OTG_VBUSOC}]; # "OTG-VBUSOC" -set_property src_info {type:XDC file:1 line:219 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN H15 [get_ports {XADC_GIO0}]; # "XADC-GIO0" -set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R15 [get_ports {XADC_GIO1}]; # "XADC-GIO1" -set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN K15 [get_ports {XADC_GIO2}]; # "XADC-GIO2" -set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN J15 [get_ports {XADC_GIO3}]; # "XADC-GIO3" -set_property src_info {type:XDC file:1 line:237 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN F22 [get_ports {reset}]; # "SW0" -set_property src_info {type:XDC file:1 line:362 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; -set_property src_info {type:XDC file:1 line:370 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 35]]; -set_property src_info {type:XDC file:1 line:375 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]]; +set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn +set_property src_info {type:XDC file:1 line:184 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] +set_property src_info {type:XDC file:1 line:185 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] +set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] +set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] +set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn diff --git a/examples/led_counter_xc7a100tcsg324-1.xdc b/examples/led_counter_xc7a100tcsg324-1.xdc deleted file mode 100644 index e852a2c..0000000 --- a/examples/led_counter_xc7a100tcsg324-1.xdc +++ /dev/null @@ -1,188 +0,0 @@ -# This file is a general .xdc for the Nexys A7-100T -# To use it in a project: -# - uncomment the lines corresponding to used pins -# - rename the used ports (in each line, after get_ports) according to the top level signal names in the project -# Clock signal -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {clk}]; -#Switches -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { reset }]; #IO_L24N_T3_RS0_15 Sch=sw[0] -set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] -set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] -set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] -set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] -set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] -set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] -set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] -set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] -set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] -set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] -set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] -# leds -set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] -set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] -set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] -set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] -set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] -set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] -set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] -set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] -set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] -set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] -set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] -set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] -set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] -set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] -# RGB leds -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g -set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b -set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_G }]; #IO_0_14 Sch=led17_g -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r -#7 segment display -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca -set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb -set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc -set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce -set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf -set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg -set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] -set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] -#Buttons -set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn -set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc -set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu -set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl -set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr -set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd -#Pmod Headers -#Pmod Header JA -set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] -set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] -set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] -set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] -set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] -set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] -set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] -set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] -#Pmod Header JB -set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] -set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] -set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] -set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] -set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] -set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] -set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] -set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] -#Pmod Header JC -set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] -set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] -set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] -set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] -set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] -set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] -set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] -#Pmod Header JD -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] -set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] -set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] -#Pmod Header JXADC -set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] -set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] -set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] -set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] -set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] -set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] -set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] -set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] -#VGA Connector -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] -set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] -set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] -set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs -#Micro SD Connector -set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset -set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd -set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck -set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd -set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] -set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] -set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] -#Accelerometer -set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso -set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi -set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk -set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn -set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] -set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] -#Temperature Sensor -set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl -set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda -set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int -set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct -#Omnidirectional Microphone -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data -set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel -#PWM Audio Amplifier -set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm -set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd -#USB-RS232 Interface -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out -set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts -set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts -#USB HID (PS/2) -set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk -set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data -#SMSC Ethernet PHY -set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio -set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn -set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv -set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr -set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] -set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen -set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] -set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] -set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk -set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn -#Quad SPI Flash -set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] -set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn \ No newline at end of file diff --git a/run.sh b/run.sh index b4f241d..d7f25c0 100644 --- a/run.sh +++ b/run.sh @@ -15,7 +15,7 @@ read -p "WHICH FILE YOU WANT TO UPLOAD ON VIVADO : " filename echo "================================================" echo "================================================" -echo "WHICH BOARD YOU WANT TO USE (basys3, edge_artix-7, zedboard)" +echo "WHICH BOARD YOU WANT TO USE (basys3, edge_artix-7, zedboard, nexys_A7_100T)" read -p "IF YOU HAVE A DIFFERENT BOARD , WRITE THE PART NO: " board echo "================================================" @@ -32,6 +32,10 @@ elif [ "$board" == "zedboard" ]; then partname="xc7z020clg484-1" cons_name="$shell_path/fpga/constraints/fpga_lab_constr_$board.xdc" +elif [ "$board" == "nexys_A7_100T" ]; then + partname="xc7a100tcsg324-1" + cons_name="$shell_path/fpga/constraints/fpga_lab_constr_$board.xdc" + else partname=$board cons_name="${filename}_$board.xdc" From 9f3ccad1c1ad4e5b7130eecaf6d4a457a76aba5a Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 03:05:51 +0530 Subject: [PATCH 10/10] Added Nexys Files. Removed Redundant Output Directories --- .../led_counter/Output/fpga_impl.xdc | 368 ------------------ .../led_counter/Output/place/post_place.dcp | Bin 167211 -> 0 bytes .../led_counter/Output/route/post_route.dcp | Bin 176535 -> 0 bytes .../route/reports/post_route_timing.rpt | 119 ------ .../led_counter/Output/syn/post_synth.dcp | Bin 142779 -> 0 bytes .../fpga_lab_constr_nexys_A7_100T.xdc | 188 +++++++++ .../Dependencies/clock_constraints.xdc | 3 + .../includes/proj_default/clk_gate.sv | 38 ++ .../includes/proj_default/sp_default.vh | 8 + .../includes/proj_verilog/clk_gate.v | 39 ++ .../includes/proj_verilog/sp_verilog.vh | 65 ++++ .../Dependencies/includes/pseudo_rand.tlv | 69 ++++ .../Dependencies/includes/rw_lib.vh | 1 + .../Dependencies/includes/sandhost/README.txt | 1 + .../Dependencies/includes/sandhost/sqrt32.v | 13 + .../Dependencies/includes/sandhost/tb.sv | 76 ++++ .../Dependencies/includes/sandpiper.vh | 71 ++++ .../Dependencies/includes/sandpiper_gen.vh | 4 + .../includes/simple_bypass_fifo.sv | 98 +++++ .../led_counter/Dependencies/led_counter.v | 345 ++++++++++++++++ .../Dependencies/led_counter_gen.v | 81 ++++ .../led_counter/Output/fpga_impl.xdc | 290 ++++++++++++++ .../led_counter/Output/fpga_impl_netlist.v | 25 +- .../led_counter/Output/led_counter.bit | Bin 2192111 -> 3825888 bytes .../led_counter/Output/place/post_place.dcp | Bin 0 -> 165498 bytes .../reports/post_place_timing_summary.rpt | 162 ++++---- .../led_counter/Output/route/post_route.dcp | Bin 0 -> 173897 bytes .../Output/route/reports/clock_util.rpt | 98 +++-- .../Output/route/reports/post_imp_drc.rpt | 10 +- .../Output/route/reports/post_route_power.rpt | 42 +- .../route/reports/post_route_timing.rpt | 119 ++++++ .../reports/post_route_timing_summary.rpt | 174 ++++----- .../Output/route/reports/post_route_util.rpt | 90 ++--- .../led_counter/Output/syn/post_synth.dcp | Bin 0 -> 141076 bytes .../Output/syn/reports/post_synth_power.rpt | 40 +- .../syn/reports/post_synth_timing_summary.rpt | 136 +++---- 36 files changed, 1910 insertions(+), 863 deletions(-) delete mode 100644 examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc delete mode 100644 examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp delete mode 100644 examples/out/edge_artix-7/led_counter/Output/route/post_route.dcp delete mode 100644 examples/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt delete mode 100644 examples/out/edge_artix-7/led_counter/Output/syn/post_synth.dcp create mode 100644 fpga/constraints/fpga_lab_constr_nexys_A7_100T.xdc create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/clock_constraints.xdc create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/proj_default/clk_gate.sv create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/proj_default/sp_default.vh create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/proj_verilog/clk_gate.v create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/proj_verilog/sp_verilog.vh create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/pseudo_rand.tlv create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/rw_lib.vh create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/sandhost/README.txt create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/sandhost/sqrt32.v create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/sandhost/tb.sv create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/sandpiper.vh create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/sandpiper_gen.vh create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/includes/simple_bypass_fifo.sv create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/led_counter.v create mode 100644 out/nexys_A7_100T/led_counter/Dependencies/led_counter_gen.v create mode 100644 out/nexys_A7_100T/led_counter/Output/fpga_impl.xdc rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/fpga_impl_netlist.v (97%) rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/led_counter.bit (56%) create mode 100644 out/nexys_A7_100T/led_counter/Output/place/post_place.dcp rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/place/reports/post_place_timing_summary.rpt (69%) create mode 100644 out/nexys_A7_100T/led_counter/Output/route/post_route.dcp rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/route/reports/clock_util.rpt (57%) rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/route/reports/post_imp_drc.rpt (92%) rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/route/reports/post_route_power.rpt (84%) create mode 100644 out/nexys_A7_100T/led_counter/Output/route/reports/post_route_timing.rpt rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/route/reports/post_route_timing_summary.rpt (67%) rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/route/reports/post_route_util.rpt (70%) create mode 100644 out/nexys_A7_100T/led_counter/Output/syn/post_synth.dcp rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/syn/reports/post_synth_power.rpt (85%) rename {examples/out/edge_artix-7 => out/nexys_A7_100T}/led_counter/Output/syn/reports/post_synth_timing_summary.rpt (77%) diff --git a/examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc b/examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc deleted file mode 100644 index 57689c3..0000000 --- a/examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc +++ /dev/null @@ -1,368 +0,0 @@ - -#################################################################################### -# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' -# Command Used: write_xdc -no_fixed_only -force ./out/edge_artix-7/led_counter/Output/fpga_impl.xdc -#################################################################################### - - -#################################################################################### -# Constraints from file : 'fpga_lab_constr_edge_artix-7.xdc' -#################################################################################### - -## This file is a general .xdc for the EDGE Artix 7 board -## To use it in a project: -## - comment the lines corresponding to unused pins -## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project - -# Clock signal -set_property -dict {PACKAGE_PIN N11 IOSTANDARD LVCMOS33} [get_ports clk] - -# Switches -set_property -dict {PACKAGE_PIN M6 IOSTANDARD LVCMOS33} [get_ports reset] - -# LEDs -set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS33} [get_ports {led[0]}] -set_property -dict {PACKAGE_PIN H3 IOSTANDARD LVCMOS33} [get_ports {led[1]}] -set_property -dict {PACKAGE_PIN J1 IOSTANDARD LVCMOS33} [get_ports {led[2]}] -set_property -dict {PACKAGE_PIN K1 IOSTANDARD LVCMOS33} [get_ports {led[3]}] -set_property -dict {PACKAGE_PIN L3 IOSTANDARD LVCMOS33} [get_ports {led[4]}] -set_property -dict {PACKAGE_PIN L2 IOSTANDARD LVCMOS33} [get_ports {led[5]}] -set_property -dict {PACKAGE_PIN K3 IOSTANDARD LVCMOS33} [get_ports {led[6]}] -set_property -dict {PACKAGE_PIN K2 IOSTANDARD LVCMOS33} [get_ports {led[7]}] -set_property -dict {PACKAGE_PIN K5 IOSTANDARD LVCMOS33} [get_ports {led[8]}] -set_property -dict {PACKAGE_PIN P6 IOSTANDARD LVCMOS33} [get_ports {led[9]}] -set_property -dict {PACKAGE_PIN R7 IOSTANDARD LVCMOS33} [get_ports {led[10]}] -set_property -dict {PACKAGE_PIN R6 IOSTANDARD LVCMOS33} [get_ports {led[11]}] -set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports {led[12]}] -set_property -dict {PACKAGE_PIN R5 IOSTANDARD LVCMOS33} [get_ports {led[13]}] -set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS33} [get_ports {led[14]}] -set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS33} [get_ports {led[15]}] - -# Push Button - -#7 segment display - - -# Bluetooth - -# Buzzer - -# SPI DAC (MCP4921) - -# HDMI - -# 2x16 LCD -#LCD R/W pin is connected to ground by default.No need to assign LCD R/W Pin. - -#256Mb SDRAM (Only available with latest version of board) - - - - - - -# SPI TFT 1.8 inch - -# USB UART - -# WiFi - -# CMOS Camera - -#20 pin expansion connector -#pin1 5V -#pin2 NC -#pin3 3V3 -#pin4 GND - -# VGA 12 bit - -# SD Card - -# XADC Single Ended Input available at J13 Connector - -# Audio Jack - -# SRAM 512 KB (SRAM replaced with SDRAM in the latest version of board) only required for older boards -#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[0]}]; -#set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[1]}]; -#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[2]}]; -#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[3]}]; -#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[4]}]; -#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[5]}]; -#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[6]}]; -#set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[7]}]; -#set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[8]}]; -#set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[9]}]; -#set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[10]}]; -#set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[11]}]; -#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[12]}]; -#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[13]}]; -#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[14]}]; -#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[15]}]; -#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[16]}]; -#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[17]}]; -#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports {sram_addr[18]}]; - -#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[0]}]; -#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports {sram_data[1]}]; -#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports {sram_data[2]}]; -#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[3]}]; -#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[4]}]; -#set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[5]}]; -#set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports {sram_data[6]}]; -#set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports {sram_data[7]}]; - -#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports {sram_we_n}]; -#set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports {sram_oe_n}]; -#set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports {sram_ce_a_n}]; - - - - - - -#################################################################################### -# Constraints from file : 'clock_constraints.xdc' -#################################################################################### - -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] -create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] -set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] -set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] - - -# Vivado Generated physical constraints - -set_property BEL A6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] -set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] -set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] -set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] -set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] -set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] -set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] -set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] -set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] -set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] -set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] -set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] -set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] -set_property BEL B6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] -set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] -set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] -set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] -set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] -set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] -set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] -set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] -set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] -set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] -set_property BEL INBUF_EN [get_cells clk_IBUF_inst] -set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] -set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] -set_property BEL CFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] -set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] -set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] -set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] -set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] -set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] -set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] -set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] -set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] -set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] -set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] -set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] -set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] -set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] -set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] -set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] -set_property BEL AFF [get_cells {led_reg[0]}] -set_property BEL AFF [get_cells {led_reg[10]}] -set_property BEL BFF [get_cells {led_reg[11]}] -set_property BEL CFF [get_cells {led_reg[12]}] -set_property BEL AFF [get_cells {led_reg[13]}] -set_property BEL BFF [get_cells {led_reg[14]}] -set_property BEL CFF [get_cells {led_reg[15]}] -set_property BEL AFF [get_cells {led_reg[1]}] -set_property BEL BFF [get_cells {led_reg[2]}] -set_property BEL CFF [get_cells {led_reg[3]}] -set_property BEL DFF [get_cells {led_reg[4]}] -set_property BEL AFF [get_cells {led_reg[5]}] -set_property BEL BFF [get_cells {led_reg[6]}] -set_property BEL BFF [get_cells {led_reg[7]}] -set_property BEL CFF [get_cells {led_reg[8]}] -set_property BEL BFF [get_cells {led_reg[9]}] -set_property BEL INBUF_EN [get_cells reset_IBUF_inst] -set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] -set_property LOC SLICE_X3Y12 [get_cells {LED_PIPE_Leds_a0_reg[0]}] -set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[10]}] -set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[11]}] -set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]}] -set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] -set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[13]}] -set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[14]}] -set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]}] -set_property LOC SLICE_X2Y14 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] -set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[1]}] -set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[2]}] -set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[3]}] -set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]}] -set_property LOC SLICE_X2Y11 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] -set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[5]}] -set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[6]}] -set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[7]}] -set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]}] -set_property LOC SLICE_X2Y12 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] -set_property LOC SLICE_X2Y13 [get_cells {LED_PIPE_Leds_a0_reg[9]}] -set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[0]_i_1}] -set_property LOC SLICE_X0Y12 [get_cells {LED_PIPE_count1_a1[31]_i_1}] -set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1[31]_i_4}] -set_property LOC SLICE_X0Y8 [get_cells {LED_PIPE_count1_a1[31]_i_5}] -set_property LOC SLICE_X0Y9 [get_cells {LED_PIPE_count1_a1_reg[0]}] -set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[10]}] -set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[11]}] -set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]}] -set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] -set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[13]}] -set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[14]}] -set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[15]}] -set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]}] -set_property LOC SLICE_X1Y11 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] -set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[17]}] -set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[18]}] -set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[19]}] -set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[1]}] -set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]}] -set_property LOC SLICE_X1Y12 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] -set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[21]}] -set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[22]}] -set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[23]}] -set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]}] -set_property LOC SLICE_X1Y13 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] -set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[25]}] -set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[26]}] -set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[27]}] -set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]}] -set_property LOC SLICE_X1Y14 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] -set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[29]}] -set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[2]}] -set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[30]}] -set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]}] -set_property LOC SLICE_X1Y15 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] -set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[3]}] -set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]}] -set_property LOC SLICE_X1Y8 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] -set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[5]}] -set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[6]}] -set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[7]}] -set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]}] -set_property LOC SLICE_X1Y9 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] -set_property LOC SLICE_X1Y10 [get_cells {LED_PIPE_count1_a1_reg[9]}] -set_property LOC SLICE_X0Y13 [get_cells LED_PIPE_rst1_a1_reg] -set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] -set_property LOC SLICE_X0Y15 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] -set_property LOC SLICE_X0Y14 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] -set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] -set_property LOC SLICE_X0Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] -set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] -set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] -set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] -set_property LOC SLICE_X3Y9 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] -set_property LOC SLICE_X0Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] -set_property LOC SLICE_X3Y12 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] -set_property LOC SLICE_X0Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] -set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] -set_property LOC SLICE_X3Y10 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] -set_property LOC SLICE_X3Y14 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] -set_property LOC SLICE_X3Y11 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] -set_property LOC SLICE_X0Y13 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] -set_property LOC SLICE_X6Y14 [get_cells {led_reg[0]}] -set_property LOC SLICE_X0Y11 [get_cells {led_reg[10]}] -set_property LOC SLICE_X0Y11 [get_cells {led_reg[11]}] -set_property LOC SLICE_X0Y11 [get_cells {led_reg[12]}] -set_property LOC SLICE_X0Y14 [get_cells {led_reg[13]}] -set_property LOC SLICE_X0Y14 [get_cells {led_reg[14]}] -set_property LOC SLICE_X0Y14 [get_cells {led_reg[15]}] -set_property LOC SLICE_X6Y11 [get_cells {led_reg[1]}] -set_property LOC SLICE_X6Y11 [get_cells {led_reg[2]}] -set_property LOC SLICE_X6Y11 [get_cells {led_reg[3]}] -set_property LOC SLICE_X6Y11 [get_cells {led_reg[4]}] -set_property LOC SLICE_X6Y12 [get_cells {led_reg[5]}] -set_property LOC SLICE_X6Y14 [get_cells {led_reg[6]}] -set_property LOC SLICE_X6Y12 [get_cells {led_reg[7]}] -set_property LOC SLICE_X6Y12 [get_cells {led_reg[8]}] -set_property LOC SLICE_X0Y13 [get_cells {led_reg[9]}] - -# Vivado Generated miscellaneous constraints - -#revert back to original instance -current_instance -quiet diff --git a/examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp b/examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp deleted file mode 100644 index 03b09556b92930d5c7d8f6ce575b106b188e0dbf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 167211 zcmZ5`Q;;aIwq@J4ZQD3)+qP}nwr$(CZQI?aZO^%{rsmb1k5p=Bt+kU>@{wdkUJ4il z1poj50w5e&S82o)=rSD;0KgIi008`7tFe(iox6>7OtPL`76ZyiUYeZ2eKT(|5TtQU zqB39-XavBa+!CJ%30o9vAR>ljuTR#fmcbHL->#GI*+(w;9A2jqoTm0lFq)G@3hIRc zW2T0f_nV5MBE#LA*b-?yA!yBMA`z{qo~h|!yZsp{_7Yq`TJD`T7WJsHCC1{lh#qNe zmlwMO>n2bWAt%r_m^ol824Gz4pKrM2E-#g?Jm~?l$beGwNmeolpLBJ`Id>A9ND6cM z&gy`=(PLA&b#_}B>QSE^gUnc$)P}rF+wF2S-cr&uOxikP@k{5tBa@->qb!-!o}4LO z@4h_-{wDJQ`&aI4YAcLm$|-XftXVaZF#^7{w6o2EqYr*ph3xdju^XM4%%1IDR7nMC zI-wPes}Et7o6=v?>VnTaf8xmzCP8ldw7G8pB%HYxJ*JL9lib`9!`%Fpsd#Q@gyU{N z)o_IcPt0|ndcIOpG$Why>JJLh42(CBYru6v8p%w*mL~KX^2oFxW(}%Q&Ro7&&x!V;eG}Bk9m~cyrTfe|>wCIJMK`oyflT zF8i?i#XMoY-9%(WBq66nBZnESE@`y6mF`UW9MoP=8ouN%itw%#3YG7 zxMxWRk7)C^N1WF*&Ifp|DB|i55ki_V+Qyk%-ko5YHCEh`UKBJZi-JZzjaM|7&zW>G zzli_K9Rp&G+p4PTU+qr=N+R2_FtRP$*=KeW8wlj+K7kNCx($Y053W>jvJX7=I3_Ot zrUbKQxD;H2SoK8Sr?B<&mjUJyJUTXyg;4?5mfYCqr1+a?4En?L%-t4qSiZ)T&$8?A z(9(7woVZPYJdL&zW-~qXZHmdrDjBcV^2x9;lZ1WQwz-^!MHg~8)&L!~i?%fOytl;s znEj3;qv@k1m!fO+^Vy+Hpw(+D;!#D_Mx9?(^>O9IQA6~CV`da6M*liFRVK{B zy{smBIpKOE^aJ{!vs_>^ns*D5Uo=vhmff3C`9X?Q2Ke)!cKXk&6iSrGPghW9ESOl~WjdA>Dsy4SsbDWv$;)T*{ z<3}rSGcPvvZ9+IgDr%UR5)+Yc#ddRp$1Ey3HyAnD;Y|Y-(8qo@S3}Di$_D78bA72@ zWk_-VKs<>dj^7KI;i^K96r4|m+w3FqUIqR_2(Xp=<3&c(y4I(76|GtKXz^4qjji0yq3QCVrrKnP9P#SD z!@t+jX8w;VnL&;1a^PDMzhs=5%3`8zEmkSk0djGELTR3yzOX;XoSnHTeg|qL z8)(Z`)scV6r$+GO8&~Fwe-RW=72~7KGJ)bnU0Z9E2oTPMkSdDxpLT%j3H?=f5NNy2 zwq_wDyt);hukUbOIgOYAkl9dm%6U^O;9wXF&`u*nT4`P7^1pJV0O(*dwcYgz-tIJYNzJ_q^}mWwtd}nL&-TkZ5&;_x*P1rI^05%Sz>%Zla%A{D$~} z5Kbz>Z)r&?Q8DKMV(cjte8I5{e(;pXl6BWewnoE5-#Agn*;9z;}ltAJd?fbuM$36JIZydTjkkVXQ-XGl{F+r!RX95_sEzZ*3EA7 zud?HExL^4+5I;`5A49)NbKol98;Y$qBkMEr;r<{do!0P<2ELqQq? zeVu>LAcYaw?cj$1h0AfEIB{@ZXE3o}nK-<&-?!HgIj~j1=vdKNb^c9Eibt)L-=0y= z^<0ljpw-*C4b5L+@sPger+)R@sn7Nh+;&9F_|YZG#j2nPGv_9>pof^0mygF#O`Yzo z`Rnfc%T!s(KSb5-wG8{*%bPF8kw6 zPvlG!@-9oICxcaClU9(=g8&W`VSOBP`WoT%#R`Q(kqLtn`j3Rg$z%5rI0eVBzNj&u zphC~Rv}y`Wz5MY!SgH)pkpNMdM#MK;Sg~CBFt8gL4CoM=gcNuE8se3lEy?J2?s+BrkH=2+SnfM#XXMG^`1)qvf`wgWL;cA0Geix zBYHqOB$#p~KCisj@b|$;j*_1xGDpp<_(eq(8>1sL1NNDO3ax7pnI0fc?mmidGPU&HnuNB1@;59A*tc}KCj=mPt9WM< zI-(BSUyhSFMG~;wA#l$7P9%laTZb%rgrInYEKl#67bQ*T@~JxCrhaX5=e4h9Gw?xe z@kC~VvkU@?iKq0>B0A{D%sBOj}t<`w={;gSK&(!)AQ z^9LLM@x4CucC@T0GO)lJe*aSj3-D#d8(5syaieA)O1Er5(V^joNG>u*!?OqnZWhl2 z3yVYlRvihC$5)2I0e{JdzSV_(GA!MDPuTYo;f0c!{HrRA7#C`UCpPoGA{ojv94!Q9 zt;>u1dTw{D22ik-CsB~Lt~;y!Cvi>c$r&+*%66*I4N)?o%SZqokgM(P&0i{f4Y9zF zCrA%bGz%&r6Yo?nT&;AwZ_Mo^r?B=by^DHczl!g+sT4W#310wy_r zRM1GN!q(56YKxe%&|bZB5Lp{#gKqSm58++$gTdl0=n|vG624fM{D?gVVr~dGUU&ol z?el_mb-SnJP)?a)_LMD#w%twT^qWZ8BcckBnAD$|xLl50pfLm9b~}G zRLt~h4eO*I4eQywiyU#jIuH3JhEnX)GjvzK41w>bHbZ+F8WGKdl<=t&X5OI5Wmq`8 znO_x$_|OTgtX@-QZmtw93PMievRWnEk*U0RbuQ1KBoN{E;Py4KGlsO`KeMlr!y9tR z<+xq%S&;^n?+^N#K3xLt##CkU@l8SefcgsE06=nayD(E#rJmn(@yt6d3*vMLfR!w| zPPB>^_nxSpXAzcK_6@!q=6R9WD2P;cX-O4DirzQW9^?-nY!%BH*%B5dWTY3o1*Av` zk|qqWp1Ev)C>WNeywLSc_LhcIE9J&6PeAv7HoZwUiaML8h7e?5yq1Py>dvmNRl6An zV3+y)yw7H-{4Cw6kK$3yrV8f$cHr|mPI*u7o$;kOPVZImMcx12ud<204SV;60JPLE zgk?XrhuyD+IYi&lp`Bwou4yFo%N{itWVIV^VG8S)-K00m;2gDoe7|csdD0 z_`RvOj3L8xJq^uWG24O)uLdzPZuWAuQMM&w z*&cJ@-VnmsNcljf_6ydH^6hBM>8#9arvIxhh~!j8Wkhf_R>h64P35Hi5Et~z@#Dww z5q5syhx0Xd|2wq6-yY?diu7IMkT;0}C)r-*n0onLWA+ny^h=ABJ6Sm^HAq#?m*^;v z+7v&A-1FU)4DPy8Z#*`P6?~3e@_Bn~71C@ycY)nvU1>rUPYpKZhm+#8lI*ZES4#iZ zLSlSGVVQRKn#?!eh;At=&w;{f)lYHL9QqK3!6l6+a^+fV!KFM$D~GExb3U$d&NM4s zM)rDX7UN_RV@7?`rg@uIPbGtA&@4DYK(y+Hb}^48@6S?PW!O;V?%;D;JX*=@Lr>yE z-{|6Z(6sRPH?AQeV$O(C^@4SzO;wn4q)hcVXO<>)Ru9=dNBsd}?=N6}SZVxlz*jzHnTY&{+ z9?@C<%jH~mf~O6>%bDHY4X^dI0s{a?{+DN+|K7C(n@)H&8{bUbs@6&sSp<7g8sv`N zZ@ib=Z4N>oXTovKOUrAsuX?T&+uz-5Pn9y38p|?=y4I{hYdbchleD2;GA%8SF%{ja z*w5PBytMaObEi@I>+Pl6qOMDKZoE&@@WETF&8urz1WSGalr-72!@kQMIo$oEk(i ziFsAg>Yua6UByD6{-!cJJi9WumV0R2EM@*pMMY8ltbeAvD|>H`VXXH|XP63yulSa$ zXlxnG=P{V)-cvyCThQH8I7`G?901(vSI?PLcVc7Ot}ukEGD#-|Vw&|6RPy%x$|-5T zEzfBW{lL2Dx;*S811GBp4bE7 z62QR;b`{^+--8`K02iMpc2WdBjDSC(2^rmfx*B{F3*dq>I>BvEL03SJMQNz3C9v4 z5WgbU%r2OLB$I|FloT>Kf;c+-b9js~e*ZdJ=opZ)`AM0wjZR9RaNje3lT%``Y<{a( z5C(_R|Ml6##WL^bLkm~6r=mnnhzU?_QR*AJlOVMx?HHv-hfMDP?wIv0)$w~ZnxmYI z0d(W4$5tn{XzNK%UC$W3@b;*)!suPNB?pUSgUV&8Z8)vzHmvcPCo;U*)oc!P&TqO? z@zKXR-&7d>c*{`gDgT@|e_FUufIBF!9+gUXu4Pd7yMw!;A0Ek!;CF#xwGST-@d(G} z87@kEo&jQEE`_2QA0nR zcFnt6`|cg)&QH`#Q4|xh%UtUwZFk?!qXU08ZDW$1xmlct;fXJXHkV`l0b$ZjYBE|6 z)z{w3mjb}aIPpSg6Xu!?N+baXjPE>Ac*~HLWV)6~$)?TVy_0yvRS|bQaMZZO<@hz+ z@AGbvBT=K@LGNhq*fT^S=QWTMnFOs#D2@E{J+-r&xRn<;2DGb6z`^Lv_PJ_pu%8;2 zjb>s#_v}!4bL)(;nQDckw&W5zW~Fq=#9)jrK@lap-K4T(XNlajoIW!|bgUd1iPSW% zK12K07~M-!Wrw&DN#cz!gI*}oT7w%&!uw)IYS{$6uZoF*jeO1Jse(=RLIfuVT_X}5 zD6%qAaw~;6R3u9BxrONOy@t1W5tmUcA6~6WedYxMNWc>)$ALnkJwn@V?AV)S;1NT`7}bBMDE%pl`Jenjie5 zW_!dH%QC0_`U;_F?Qj*d3fje=!SNh{4V9f_3`^%xwXuVsY6su>IBU!+cWr_uW|NF! zB9|e3cS1hBFB(#v`kUBbM`N-FJ*B^O8dMb!ziZPYXG1@ErN`KigLcpsoduLwkL;KU zZDH{Z?=6e$BrAy>h|}G&9*A*qxbV8<72-pEd)rlpwbOY;HxD91YpkwQq{zMw)jmnaL zBE?dy2K8R1)-;`UBTcnTAarw#nq8t~c2Y6yiu*wXzLCcPR87n~oW#z;ZxqEUvCq*m88`|n#RA|Q82?t99Y8x$;&gr%$OU@ z(xRHqwt|?KUJd|c~Dog$_ zq;`D-Xtg)p9wKOzb05`0`4lWBU;8U+$pkAC&ojP8YAe|o-+sW(gBl?f3w4d&vtvX^ z#3I0R@l4WPZ%N*)$|zbQ)QXiWAV>x?+QPX^N;E5;Bdql`zAWAuawJ`~{j6|?8-KoK zab&c6tJ5$uq7o+%N){x<-ZCEY5n0WQF+|uo?w=!T=7D`elCQ(2S8<3NGznj}VzTRX zTw#lwzKwu~07JM*Ce0(7VSQ*HD84%!4>{k)Y!K}z2^mBZ?-LfPAkHkNLOS1v<EO0Ml$0sNNPxp8<6uKQTtU)BF)Uy()a^p#-HC?0%Mxx?GE9?PTUuL@PfBmMmcU2 zhC#WMLY7WwAaEtfKpj%|Eg`!hp;{``hr5v}k%_hlf637sUiQmcl0&Y29|IB0kj0NA zMV(mnjcw4L0Bzr5=v|M?Px)W44j^H`?i~bn*Ofbzn*d5vz1zd?wE%{rlTd~7 z({MPDIxkiZ;A657L&Zb{6mDz)5Zu98JHB5hmkOA(%v#m(2Mj{J;Uefh?8JhtPGN^- zYLLwut7Vom9<0TtEp+a8ULHzq1uqHnJ2@C{Oiop*)lN;VZ2Ub*ouclQ-SHW)a+Q6P zR{q*6Aa*=22QN?TR|O^zcGQ+kCS7<1jtnG)=Y6y@MixL^;(fmiq$0>PV4GkuLiJDd zB$h?$D)x~3X*TbP!k z|Gf@Ec8h`{J&z*HCE?Cb#INwI=2sy9QKFKOI;6uYGE8nxTT9kGIK{72SBCLh_6>l2 z6MQ3lR(&Gbm7X;lw;8p{2)$~EPhLX5@_o?Pd(yTkZPJ7*lMW~lBH)8huve5;7shyV zX!95dYVxv#>RCw22k4 z8)Se9x&1`#m5a%4mOxaa0zr?&;8s&*93he^LHM&-r?;U>H!as%QueB`D@9*mMJ2gR z6SiJ0gxzr#KTiK`-{-Jg$pS`)6VmOikVrUvhO(8kjnNDW!p@fN&`tHb^>Kj#zNMq% zL-%s!39Olc19PbnO1BjE^y?1ik}M1K4lj3&8SQu;iIXETD<4Fc7qGGq-$$s-f!aqL z7$Q{|tM?@lM-D4EBG&oQjB97Vts|6}J5X8pMrh5+Xt(#@^SrL!$V`9)0LZ8MUqjN- z*kDCd%Wi8NRhM(Zl)0BAgCi?=!c)VVEsBo=$>kNuN1le7foQ9d?%uWyP$0?30?TsT zQM7f5fZ}5w$VMUGFaKP~PYi!Etrz9m$xmqEcb>VJ4uy0>)wpYehlJ>Cnse_p2bxnj zGL+#d@#td3u)vsGENpm+MS`6Y+yGb~$Qo8{cF>h)1Jc8%(OuT?^Tt>0ElcYawn|U85=E%DA8@Zy zyC+}o)fM90g$A$P-^(j*trS7lYA4_sQe<~Cs=48!)+HQQT?#a-7&G3nH*x@uAU)X= zR}g!Prsg7a?2rdf53_F(h$lS%)w>(z-LU{TNjrJ`#fH?J#e4Vl7Q40~$lyjPSuNKj zM0i%{TA*_rI=K@s5Ec&TqZGbJ=1=S7tAy&3QWUpBu!7nbvysi@Cc|u_@`HMqH*SFJ z(U^?ySZs7hS2AX$+1aFQ_*2l@f(~UMyP3aS+5FK9gRW(~>EBKlta(B&I2_TkWEWf| zG8bIR{@JY_+r)!+nZj?v&0p-AyLCfMkrr?7n7mF)MYRBWL=Z@Ct9p{%y=`jw0&urE z3p>WJqww6E9EwYDu}d!H@AG>3>PTs`=bniODd=-vaplIolVZ!lxPwc`eSnaP>0!LL zoV!=<6%XC{YCLCU!yww+bOxR?7w)AneLjC98XNH4xQJw{$rfPc=8smix5-j% zxp2wC(dm)aEfGx>2Zx{$JdsBf+ucQFkx`g(TCrJ79ItsYnRp6*5P)qK-`E?b5W|?3 zZq)CFK*v1sbGJSrLkPO4zjt>;Or%ZMJ0+~MfCuy>12Ml|65kzyH0WGRV4E3)oGw6Y zXMh55ux-}B#v0jO))@4#W!e{4R~3C-JN*4a&E9jKU`CkU#BMA0n?x(%I(vXO8sE&( zrp?l5oAcQrgYNi&Cs@8bQ}4uBLT*k74+Ulb2P8zC(cQ^0_KS2lMzvW7`a;CKCW)liJfndlJMjWdlc19%mh?^S}q5_R91|b z&5D^0|F9%w{H-iKwLo?RKATX1r8f7- zg6I_!v5LY&t}q z4ywAwvB4Y*VE^3(hFG>>PZ!Hf9tsLlM;#0LvyZ}%4o1EeOW|VewM7Z0`L<bAY zYL$!o)*HtVwuhvgVox+Fo0R!8X?Bih7f|5@^7q(N0N~_1mf(k}xI!eNO=UA6Ju)`_ zC{mX+nuHdA;*xv^#TVpW2$ZqU;qPXw7*xGmdv@~J`6b#3nQw5a_F1?)cWr#k(gDWA zhC1g1LGMEzx%ogyC9#<(5UP67d^5o***FA{C80C?LQdqx8*Zf?6IHpvEhFACNNsKGA@eB|F-82jDF;%$`Ua z8z#E$SaRA`V?>bfUP$|8=aBwn|FV3QJPh1p6hJ`-@fJ8$(ykfKb~-!&7)$EH46nEo zZmQL=bNb1x9vCu3-$-%lY{_GVH5auFpg23$u)@^CRVPbtQ0gjmi~;WTW!RI_LAJAl7q?*mJr0DKMPxiH_v_qpIY)8O)B- z%2{iZ-TKatKc|MpK=kK)LmcWCi=f%F)BADD{qbCc{KKcfd5|cdzzs5_j`LlV{9j=~ ze3D~iydlLiWJnQLvOaXo4=cWL~>Jw>5n=jlJSuR=Y<%Z9BHH7>Qf~J0#kuJgt(2F z(tt$5Zgzz@>RI6;%vdDQb9r_S`*}JEq6*`>=8%K$Z{Z*xDLb#LP+jgpC=~`qq3$p{ z`DqZ1g*wwV!b=W}eaMeZ5jZbyVWiMO0j3O|3#at*Q{)%Pg!M3iZRM#bHFA-&gQ1~{ zG0a$rM%2y^)|v3kI9lVyVASS=#F0hcdBdIe?>^3sR>7B9EEuo|s^^KF>4$kUgTv03 z5J$fqgGLZjzeUDt^<0et%#7FNH-v|3qc?C&L2bCZ`G{zugUlsA=kq6nDC6zBohCwr&D$JckQQ?Rg~NH|6a< z>U=!oM&H1}cxH=f)7(Ew;pbteRv??4-GynZPZlG3tKk=TXdDduJ?hhCPCTRF=dTlC zX|%WWqZ>=TttZGe`HI@lOSe3Sp66dD4(M0b7ElL{*LugtE|mIk;d+tbeD0Od7Q9{B zO4T55*8_jy|NGR2y{g?#j|2d)!UO>D@9_3N-^iTI4eU);G`*a&M_l$au8m%{bguta z;~l+z&<5derdUt;2dvyoYSLJY)EZmkG$6M2Lq!5^bpsJX1*fL=QV`mFI49+nI+S~W zEk1;AIcj`mv4<#4+l z_po9@eBF_Hybo-2Y#h0nj+x$!ug1yjF(uK;8J-?bM{JqJ+Ul*KL4dFg{s!0(zNL7X;7$hg=T6|!qU?@a8KjH6g|z8) zBj`>1uKgG=sZdFyV3lZ|{PCz}RNqZI2E?pF6~z{dm6^js&z=}2saPV9lg7`Hc*u`V zxjfp;KD1%dt$<^rT2b^UwlB{KD;D9Zpu}T4_3TikT2ta7I#Qt(N*aKPn^aa>%fp;C znH+~hb8qhuB}fyd=z?2ZoO+zmhr zMjj^l?1MZ6j@+%~IQSytUq})*CyEL%kTwzA0Henn(eIeSz{I%d4N4P&$@`>ULG~_* zIYdiLJEIn`0~3HSpeB?@rynET%(UsDsyTB3U;3sQ4agjIsn4wkev0PO6M&vTQhhCnHp&brO+V8f|L zD~lq^^hK26sH~Yq(ubi26mj&tgX>*|GRqiSA(zv2WrX z_V;OVVsXaHWHdu#Xq4MSwseQXB`@qo2bV?Pg5)-+BX)p=k}DFqC4p|2-UQOxmSe>S~@D31&1<)U3k9Y_`8qVcW$`~vpV${5VPoXx0uk)=$ z(XyLQkwc!%K2r}2(Il`99hiCMl}lWfIBkl=o2{Pne(?*6J#p%e+F>jdd*onnUEQM? z@H6=U^vpXmK$35rCwgWA#eykjU{ctpSdj)=HxW{6Aph^a31z0g#p-MrfjzYmxH$r{ zMeiIhBFFL2O$31AfC0W`&bW##2w5XOxnsEt(bQY`U+a-f>j5zn!}epGI#)ijnva4H za~Bw`*2l``(5nz63<+GZ7UlYtDjU=zt|6;)&t?T`cvP_$WYVE4I_a|nNNH>Y*d$Oa zy+Ap^$$_^}2humdntcMLFRXA9W=-`#6n=^$f(_*GMn0Oi47+8__@JTFeRBz4F@yq3M%Tyv5)6Y!0 zG|QIF^(hS){6s_GRV{}F82nU2MM>acV20kJQe8%0V6@i}57;JJJ#0v4W5&%KOc4rn zZ!|8kYDfFxEewb!_z5=ljAU;ziNKj#E(~gNcO9ibRWAx!6G12KHBUi~O*Jt7j?k1o z9+&&8r9imZ^{9XMi)-}3%dAcEZSS{?gOe9P`gZo`-2(RnCTo2!-)8@@_DrZf%zqdy zd#n6dW^Yp!XyHiSd6m(RAAsk1Cirj62C;HV? z+N2*on?O)!ubFae|el__ZdnP zPrd}eIM=e%uyBqXjSMmPUSX+!pkbKOeEg!7qdxD+YT;b(^^BQncAERE-i4&;fIUHZ z`ubF&f02?3umCK6rsP>*2a~bM)MUC+>BLY5Hr{3zAHxzL5%#}y`k3if5;^Tkb{uuVprtr4!@;_PGFBBR%-cMT55q>G2;($#5uM~3Yf z8#KBnhw+&l_>t=v?zO9qW;XR0tV9u7$+26*kVXs4130G1n6sjR5)=rSpoSqgkMm_j z1I8&3FhLKSH;&o<7uh(D|9?oZjpI65(H~=!@Ms_hA~sILr2nXeMFTh#vT#}^{;5Ou z(X5($`@W{}jR$ud^S-Ste0=Nk;AeyVw`S+Q;YB~z(lLB1VqojF8 z?X~8Z#!dYChv6LJ(g#pyBPbC^t{-F)4n~wnjL#taj;5p5n~4X{@U#5NX$Sc~S)NSp z-`VGUftB!p@AvWm5)#}2M+hR%e8UZ~3+#$8h@Nz;(a7$>!$rlv*di4Uf2bp~=WmNJ zh@T3;b>X3+hHnY6$OpA3$i%;}A|;5t(MMbb`yve^hy6qq5DsKemPmZTMIhJY;e!;& zYgRL1PnQWPq75dvywvT?37k#rF;<;^s;wwzbAQ3;L=d{WA4%Kk(}9SCOdu|7yJ|wF zfE@pXA>%YZgLrNH>F03oUK!{x!vT-;dlVZ5taW+==E(Pg!wL4>)WqRAaJ)-D+Mg&5 zY9-}@=)&Q-&@7~9#r--$mH{syh!*l(Q!o_TlBb<8f z|4(t`csC{k{wT+eE1Y&taO!wxvWDa{kP&d?Prndw;&@j*6@Xk?mLn5OyCATAvd@_o zRF*@^wdJh;FCIMEr>^C7U(_HP6Y!3JOQZw$yUYwY(%msoq8l|cK4>cHtb zUJvAPob_)6Jt5&5Ys2+kI^NNCw>;r}xjhah7+RpqhFuN5XNlokXcVfQ{sQ67qkin> z2{CulD)ZV88LTm&-q|y zEu8q?z!W-n%>B|uemMTh{1f@&NB$=U{*SaM{9h)6|70SFOoX`o1{sElurp|aBkB$^ zCogyiRg;1^Ut`~bFYjsCYmIE0%TZEgYwL#_rv?;w7+ zr=C{%P!G<2ptJRJ!tTC+Z+lNE)VD!7CDorSV5)fNLr-$Si#q3~hJfa$h9u{s3?$A+ z>e-%N!bA$w!dCtjlOpt?kA*EXXbJjI)RH#Z)Kp!()pT8$C>cAgYOWrP)V$qR_ZOB> zv&A?6^Cx|0tR5bof0SG~dU*Is^daOcn<%lhsUhaSCkF;E4|mF5j6A#l6tn+mcmHVK z^r4jfTWC%JX<-rrQ3kGets^W4BMf@(TZV^s4-7%>jMAjLt?5eLJkoT!Fip{Iw58be z@Q<j~mKIF!$=VDJI3h61-kKs!zjHmenX+bNk|NL-vAJ#2Qn(-t&IMfBKp+ilh*-ngqBkjSdj$sXD zZOYAKmJI^+m>eodR5MbCx^m#U|JPMFC@)U6F>5F)oq_UVXFY+O7l(4bB@DD0FIcs* zj(WTlyxGsy@+=DBEh{R~QC>08SB=`mt-|~RTOdZxAsd&LLF607h(UBAv8-2Wafg*MX^gI z1H>$OO_INWy`Y6pH*8=pGM7M=JllK_)GovuxUlm1q&%Y00Jn%p3@AO#NDQbQDJnCR zuGSYHUM z`9MgW(25n&0Z)mV1t?TDDk~cGfbtg60Y!ltW|r=y6;GpqDK#4gsMQxsVhS5bo!Kgx z(Lk5BO=}byU6nY6`a>11X_PyP$|z}Y_uQ3{{9yb1a%)zWYW)?qBK?S}R;v(8{gxs1 zdVAHL(ZH3~NlfU}BPu%@^`R<0qX8nlXKd(Rm}Oa`fwSOE6PmYO`K}mHd`ioHiZ?C= zujs%HVI3ezj)Gfe7fOnR;9is!6VZVzmFpEKow-ZLBK=uaH4H3DH7w97Fck(C=?u$x zigY&>#;UcLBp+i0PK>HTdGh;x2s2=oL3BOh4`P_IXDtIwS3CPNGcvy;-SWBUO~Gs9^e%bn*SLAHzwp1ep$kXV=S1EN*px-RDdK&L1o zM{GWL;cj`< zknvI9hih7|E%Ui$kfK(8^?l7Ska9WhX_XS!<5$VJH6Ed2Ihx0u z&sntsi(S3KhqG==RHML7C%9Q6q2V%}2Qna4++yj9n27nx^_(CgZn}T9BJ)Esj`?RY z3f9tgK!wLTv`N9oxu&Ji0zZS(vypLUt1~o9c{R=etWl#z$E-#>9&kW58L!2+r39_C zU)NSaOI2e>w~2Q&YB^MvT4aUVhrX84>+6`(p@FO65B4Pz+`Vec&Ke<3zl~*49j2jM z*XFTR==@Fr+h-=BqnAot9VVQvtj;mJJ%_7@OG6$ioKD{6rCG@GYF+EQhQTcN3LHPR zv`5jy zaG0``KH5r6jWQ1jMB4J0=D`jaT~(Ksf!Bx7gm>pUeqDB(ylYvn(iv>er#*RpHL)_=uZ}}eH*rgt zb!V7vu=wsd00FoFa3&TGrjkYo1;cT%#6tSIiBU@qY?JNq|8i~NOCZ;Wr2Mz z_MJb9rG{Vs=&@kXs<8`d^S(hCF$~ComyHGXTG)Hyoc^xtKgKC_FSju)3Xwv6%-PJy?AFrwyXR%2G}F@! z)!fY<5No$o6r@RhYSaF>0snFR9kNI&E3Po&l%z=wJTOCymQadBoj^4bi)fiZJwh^E zBAD8DDJ_KC0>>QW15#YbQceIH2`G+yl1PgrR%A4^5AhkGC&x8|G4&*_5X`Mwl0ZGm ze`BaH^ig6+}trV{u_b+7o$0;JKz^L|jeB4TcO~{R$x=4r|pd;Vm zjH6D9B{tJcQ%-;zIW30L=*N{nHEP)8l~A+V!x4=r`-e__2`Y}bQ|L-m#)En@R}cYL z`LJJDUVDvDFb;{c#nL$SD#NIncC?UAgkli$m!(LcTBuv+D8sa>f2JnnA~+?|nnZWE zkRnItJn1wjL($D@sC~cE7qh&<$VRAa!Ie81x?7R0rR(q<;^a<0L4rtssT;8yf5vgt-9U!x7S0B2cH&epz`OvEp@$0{F z7zhv#dzRASh)>LYi8q8?7?_nQiACm2xIgV>Ma$t+!jT`1MWe`(9F4^y%#mySq$3`l zkg$06Wd!4~3wGxP|VLrGOXkfh~^`hui(hs@i zcsP~1Zt3{FZ#9SAc6|nb-{yVjA7%~%zy1>3XIa31@g_W^QO1$|aGe}@;S0XtE8%|# z9MZq;+{$SG1P#k5;d#DcL*&hm@b#@Am9jq%ClZjYF;!pvB1cIS1a*iGN@nDW=kR@Z zLZ+_Xo_!>wy_)lS#x*Sdy`O`90`U@-D<|JZ=0xPh(88$0c$fmzv2E&Q_k22!Uf9E@ z_4EC;7{@-WT8*8-68(2v2| z0|@mgnBdb8C;IT6JYqfgUc8&(SN4Y9GYxE5&wd;2@%F4V}OJRp^6XFa?0sMFqly<9z2;?kGpV7L)Irxs5&%f z*dRK6`3ExtrdOewV(bFI&pbkNt0z7)X z^+9V<)FJ4gQb6KBr2|ZQ9rYo_hch29eCs03G$!|J3_4QGG{Vk34>{~zN!Mj3Y{XsR zowT?+VlG?{U5Ppp+jO!vC!15-_|rTXYmrxw@j;Nl;=sfF2YOL={=1V5{+6^6P6O$t zZ=R;>d~(b@Kv%KTy&@V)R=OuPX#aGNZCGXbWMAM-bVZzNO>|AJx~=4xUg}J|5dsB( zpg>|EDv%in3#0~q19Af)f#g6;AUo4$uLXELLXS7;$XEj+w{$G=$h1kO*&mIYd@B9w z_y#fSsB~ITz?k+ov+-3mCID=8WSiyGQf-w*j6N0<-v0D%^7Mhm;q}w)D#MNjmPlQA+fbHH{{!=3ied0SJE|5<9kLQ?3B)SE zrPos*ycTr@!Wya>L@U6i*L9*U=xAlM@XD|w^XT|Le6$YUc@s1tFqJN9jqEf{dhC(Z zW|YN0^#I{S$$W<1o7k(|JKxLN+tBOOd)o`&8`Ue_OTA;VgSn%+!@lFPgE(9)}AIA2JqnBmlivatC%ta))}yV&~hA#t!$6#}4F<*bey)29N~^ z2c!Yw0(pQ?KnfrhkOPQhkL!-@j_m&Z3FHao3FhhR?vE#oCxj>bC$J~9C%7kEuVBvb zaiApPP9od{NPPf45%wSOn*gvp6e_UD05tuW2xWwSOR7F=E#wNEHFz^PuYYzggFfdB z{5j|Y*sK40FX#>tkjoy13jrTA7Hq_SzZZ6g3>bWZEuT?eE}vdMCEwsPoOE=iVL#3M z$Y-Xf|4QP-7{EKUF`nfUa#1nS1$F6ixV3YhX}xWDX=8uIJgeq`OF|5{}6{)e&K@WEB^9YUs7-Fl zweG^S8hS(K(A2?|W1V|qa_C#u5$E+(7_^%Xb|{04&XWu3jFgeo-H9#A)_rRbs=!g;F&s}g>R8f*%=3}_}DYjHi0^3C$zR7Ly~(t z`A0GtnXHUtX#ByitP(N@i%^D(GV%eZnl9|)WIn7A`B}~tE2^pN@<%%opqlP z*HnAIGsnAzFWn`RF-z^)+nyyp#zkyID59P^2czXj;ZbY{g06dh_&T+02Swdwj#8$% zwz_1j$piWB9#XZ8sEIZ|3e+Oj3?Le^8?vAe9DiGSZiMYK?-5feNna9k&bQE!IZ9uG z*$ArowP1sQYN1vvu94w=;>Iy6&&syNB`CZ6d$Kvx0KP`pBSRwf>ex{ouyYI)Q8`~s z=4uyNN?x0cU#wQFN>QqKuHunhD$`ATsa>p8L=5wR739h5Su7rHU$#)WAPzb{%=yb#bv=IM4yKbfE~k{6xK9ne=DZDZF3~T5~W4ek@1TA`LTN*5<9FQ=@1?30%V~HPv`kl@PF2@`k+!0medByp&C`zG3>jPfv&68bdTdef!3qYqq6_KM#4*Kt3PO zVkv58uv=EGwpdfxc~5viqGM_~nNF4q^0{RV_Wfenc!%g_yRu$0zbpFvBK7xVOVPAK zW!QeT;1C{F5^Ldbw+bFo{aurC2>MRhFQQ#6p|q=Foq{YyNh)HdXSitR^XN$HI^~-X zOV&agS6$H;IjE+AYU{HHwbi||yL}xi6|@e{A(gaEnZy-Sc`V?I!$-ZfZe<$J6bE?8 zcKUIfiQwus)?YdXN82XIvQ5JbI1+hK+APdoUXluoCH+fN&TsrFhLDxip+bJLSLvk> z@ap<{tg}UM%8_L${ngX5D(iWs8a5ahSG)xEYcSWItjcP5ZgQQIWD3^cUeShlRIrgY zd4W{T0iwZ%cx;T-^9R~iiN%@qOHUc_TC6f-EXv)vk?+$fXTA4-sd6j4314ef^_9BQ z9n+JrXv%*+81MH_55cHwP(y#A^+&YB-04K*|>Hrf?QLLPVig#~( ztvA2LFLUE0^{5c~JFYFq>j8CL7`zder3!ynup2%xV0MT2 zmCD!LcQn%Gu%~ce~}%;`-P0ftnWzlAAQ;-!;IRe5Kf} z^~~_#YgLWkn=$Y;A8UYWw6}p;9+^rAVa*USMDLc${@zKKq88-nV6F*XigU>U zvkpeZk`s;63~kQ zR0ja;9m8n=PLIceRCX6tdD>Z)R99s;I097&8tw3ez0m(XQcpNv>}%VsW6c}ny$+*< z&Y2nXW?{;0izod59Vi1BrIt>cymD^g(}Whp;1J<8ayvYj@xVs#T{Vw&p$49xlVB|| zL=R}&LO0E*gAaHr!L`ick-JvV2FfU698{FUEr`Mz1=}_QA5|c7{{I>$m@)J%^qqfA z=8+JXUM}RZ)$uMxvDMoD9wrk`2QUa#_*V#+ro^!p+U;U*TCej`{N7X3^`T~EAS}wl z;G}6^hyk~pf1dc_ytMlWsv{;MVf`lwl-!uk>3^gTMA+*utdOcxZP^V35gmpKi9AR@ zi*QK&3rqzhdzgYxfDhX-RW~7So~Sxq1)~BzpX&H2JO+;Z67xrlXn4KIyYD`VWBK=l zF9s`kAs&PGsQABHDDppAh^PGqMb+IUIkr05Mb(wn_&&Ildax(m9O+s&RAp9V;g5kH zSSIzW)+TYaP&h6h8BLz|D+sfzz@Qgl0ZH4@%6Jw^@}Bs5IG&9`_}JeEQmxR+4c}ly zV!HJ_(it#pGiI7-)t)!o{L0fQ}rjtBxIW!;sIfq!zo zE32VuXc<$bZ-oM83IKrJTvM+0jC)YA`dUT7?Z5b^Il#t8-Bw;XjmF(y>Pz+PUI@w@ zSVA!F5>V0s6c7JLR=>Vb{FkgEj`u`b++Qkasb45GVC;fwUl^OEwRg7LN-X!a6Lv&s z?!PGRKw)q}FGttvF7e1DyE`)?7J@<1i-?W)Q)LLhqNb2W?GXy8gA#O0B9mAnDgSzt z5^srR!W)<*BfDuKuJU^m9FRk zMiE?fPBj@`Tv>%_K+7oAHk%~<*N*6>P(2c>8Lm(TMON}aPy7#tciqjG6CsV>uz;ni zL>WuQja;IAP1D%Nrcb=(@4+d!3K}U)#kPCbrMTF)vLh@S!lJd(_p^9UU6~n6g>FUq zYHlf6B@(+LOVp~dmbwu{ZmA2Yxw2A*gN|fl(w_aILBO*|`FJ4JWU5YW zN=T&U@1h6a!!2F ztKmi~X}kQ5gM1UU=z^w6Ii_B^$*sb*#`4PGd-H>sh26r(!J;oYcix-u!FNF6_t-h& z#n9{!)f7$$5gu(1FcZ!E{JmA~>w4tQ>w_CMgUh7dHNDxo;O)?GTi0z|Ax z^a>D3;Os($Z#u*?h!YX}?94QFYpexHmXHWNpElG6OSMB(nafoSWTVSf)v*gTF*ycH zbwgyCEmaJpqcc=junN^MT?b2bLUfo&j?_oHM%@ zMI?UafHxgA3)MP@V}jIIB_OV0pUj*A3Lq%7Ze7DU=v^G4!mdUgfvx5if3~k;rt!Cm zQzX_li;moBH&Q|1WECg3Z*WN4IUFuUuoaj>-we?t~MRu*B zNof69Q>tm^lf%@tDJrBlvPlMLp_gdZG*8W{H8gKk*hDKQ0@lyX@zmG%7Mq94HY+ad z8>=cW?kqd@7xovYoEvp2vkw-hBIdPgTyqMuC)b{JFZ#LY!^f{&@r$^c@|3t8EQ4sH zm7%pYvf6jbnY+B13D{mam(kKg6i2jC%}uojH;@_ zFH?(Os5yW`0qzcmCn6ljM)teN>g?bIQI2BhOuxIfx5=0uR!ulXx7=^dw86psL}BRy z#cLCVEv4JS2u8oX7RSQB%hZ+gDA^3XI^S*&z{G?o<0GE`!Nll)2D93PP-iS4Dg&uZ z_Qy@Ky*VP42({_EJ^%75CN=jpNmx*7%O|-GOvcR#v=%9P;@iq5o$he#jsEln5N{9` z;Xk{_+S?`J?jng<*sw7;OOsAMk9+HwSKQY+d~K_12|Pc(q`rE0A4z>)H(1TnZ>N7Z z9@fds*C}~tA}X1L>O1)e>@jl*4=-~Z!doDbE|m(Y!}|>5dz~l zbZ!r=F;xi=L4zyU{ZqvJSmeZ?l&g<#lGAH&bh@FxxkI-V>oP zpS=le8`U4H=6o`pw;2dJj^-zr9%k@%Bs6=UTt0SZz_kuer%%H&ZmzC+ z+E|Dwoj((mO~UiH{5-BF;V8l!84OAsp$jrPPQc$jD}B$R-O{m`v1;ZY*X(8sfa#HZjOT#bH#~ky%^mUF_E`zryE;6d zL-E{>S!~<8B0Qf1alH=3Vm5q}wpj$uG1o$EP8!!j63%k_LNrbqmqHd!8n;4C&T{8M z8qRXpLR`*rx55+9HtF}s89K(YA-ixMWT#DvwyKUGCd7J5i5S~P8Qj>Ej#*1i&3$pJ zP4jHLDz`#J+s4@#j<#7-&b3qV^$qhVJR7&dY}>}^n1_y8P)?p>@vx2KT08=W!a`f; ziI~`qSy)b<6Y;o?*+k(sr}yUe^ARR;!4x3Vjorj>*z7c3fdlu@ec#oD(S*=K84J@^?pT)Ds|wh6EOq*65&9{Swj;VU>_4zIA)~3qy|GMp zO=fJV6N~XajwBPacv;73cm!^Rm3Z05f9~b(s>gF&3*9!3T{t}_V{}~#0UO6AoID5O zjBT?~ws-xAq3$n~?+kW*q)ha4_H3`H3&+2FGZR0lWG)N21pQjRxr~tRHcWXJ&N$yU zNRn<$OiKYL7d~)-IvBAq+a8w$93+jmOI3otH>-Y^ZK2)*&&v@Rdd8M1^6;VWZ|~zG z?{T{-8{PL1IZ1O8g^8^FEm`_WtP}FtGF;i8bvpF|fVk7n_Zjf8>AN~CXm=7>UwMQ% zi=7{G$QSrt=0|*CuCY$Q$4%}>u4V42dmiIBJAp7Aar)6@1ggT4Y5iM-Ei+(+vCouGj#E#L@%^_MXij)O?y%>=*Z<|o*?xH+llyh2?48zsPH)|eO%Jp#5(>Ex z-u&djKjH&g(uwmeKR47iRX1<-S`c8#3{ocHvc{}kP0^SvCF!O6xjwT$pC3o2dpcei ze_H`2f@gXOu;8n1z$zz1qTG@-}%6vb4cg`LLa-+Hhm(gLDpU!kE=^B zfSpOAabo;&?sign$n(|}QBMM}^XX*im|1(@MKXe= z_x^v@LL}M$S zP1GPteH&yn!8eJw1>wPKp-2%#2tm{v`5K#iXyz(H5t9@`Q9e?kZ6A0q;?kmHx5fw0 zZ=ptx-BuVu^J!@ACi0JJDc2B#V$Kuzf6lWUamAnxAelfVo{&E6N3}F+Iz6$_(h37_ z;yD`=pylkayJCQB(89Y5o#`&@5Jk-5?itwZK(lt##5} zwBU>=e3h-To6oE_dP2I6PkK_j2-9K5%EPY=>Us@#FD`jC$nA1mG{jJIV-2^ezZX!q z;}rCJ!Gtx<^`s{gZ%5P_P3z3gKh(7p@SHOGq~Z{4u0?Fm%~Q>(snpFXI&l8@j^8G;lSem#D|T}Inv_~epHAVuYV?FOHH#`hW?Sgr_#Mnh0hM>Tfw}1(fIb_FK@W7|zIb zO#sobX3I+l4x%v z9D|^dMYI&dX7wM%)aj~KNG*gw_P5KqCpTyUHu%%_AA32Pac9Q8dfA+B=PR#>)ng<5 z)~&xd;&6n1bWCwp{yIdMFkQ@w(lNV9%B{XX#G2-k*SV=7$^W`>^0YWQhdWdDw)+^V zMili|NJ;Ws5DGR&J=jh#9_lydCHG3!LZ2`r#_JX713R6|h=_iw;C@cZD%)^vv_cz?Op>Z^i%gvv! zw?5uGh;H(LmAqO;DNE8vjmyyf$cp5M_uFGJCyWtYyK_%>Y?39I%8D1~7SP)b7soDL zBM<@%U&{?_yv3DXF}zV)I^Rh9u%GaQb$wi|S3P5g`CXnnA&?#S9tFHSJ&`cC-p*}j zri}c==7So<3ceX5TH?UeD}&02RSLmLmZ^A(SUGnT?3vGiMy)kl1;XielG6qzR#(K z>2?F}RNhkZJ*eSF(e1G(UtDV=Z)|U}7_VWsiBoh#>S4?-s{PfEglNIEePizy?i|!{ zW?j!%vsY=B%Vn-dz|vzM-AMZ$_96dk9@(w8IMDxbeE!M@WxQ_649bMN~>MawWF2nHes`}K8a!4Ir_`Sp3m{^!;ScSB>Ni);;hJPF@n zP!zH-(ZJtCip6Bowy+6e1Q@XXEyh|zNN6MspgY7?O+XYJrCK3>C?UlW%jHyWsjbQC z1}(|*1eKeF@=2p9DOKH@6fV`*ER`G%`7)_;N6O4W$?qU$o-3jV88~HG3FG7yJ(nk+ zS7%1WCH$=F5rb-`hi30au}D!vEHkj5T%I$mrw-tcRKVCkMP#51=;K0JY|)zzHGpRu zlvRS!qxj=)U$AZs!m0#lq}Agy^OB?U)?P&dV9h+3E7q>wf-d_lhz=(am~Kyp0gc>X z^&H5@TCZ3c`&S%HNtjTBA^9pg7|p3$ra;?MYf6ol^jfwpO)|N8OvA&NTCdtxGQ>c| zkc*@$w$i3rnsimEPKjRnnS?GTSVmZL5`~%cXz3tYrTXv+QC_z_bv%$I*5hO@{BZlw zYV62#Bd&MyT&;}`yCOYHd$b1IF5(cE!A4bLfs7QA8ydDHQnif_Eq*tjyDe>UJo%S( zeo~im&`9h$=|i0>AOMrYUFm zK=cD7P0-eqqP1$JDbt&wCNy{Tt{&=XvVM>TL2n4S=K?;~bEuoHNu@R(^jLDN`8<-% zWGg@VaV1-dZ+DP5KVZir59r6?OR*Ig^K^x}{5?VM!A>C;;7dQl6t-J@J=C3Hu+X$X zmWYWSVAagLG(r*7xu_rDIn1E7&$sZqLNGa1*24EF#;8=ze_W%OK+s(LxJElbQ{f3` z5l=EGbw)GEqQcH6K`{xW!p@r$OOh-B*m#%9Kk|Hh~k-*omLCwgC!N4 zp;G9JDb>YZ7U}~f<-=Y!?1L-y&Rzy}88{b^p+YJMsWmI9SqDu)&*Y&XscCQ%pU~L% z*FV8JXmmho4k?k5dek)yUnPNn$>WElYyV$Ga_Zi{%;c+(iHx+8s1$Luqqb>$`D9-D zH<;un{*rlQUPGe&l4bxBG+0lT?%p|lMhw4ZdL)>VEJL=_xbnZ0HNvYyDe?^mta8lw zFyh{vZzskO?_qk^b*UrhMNxu|5wGsd7vrMCq>arTM1O7;6Wk=p53>bqym( z=^T>C+cgTp$UQaoaHR3gBGBm^63FH11}##!2GAHA25CEn1a{d=_%VRcb-*B3s?N(s ziPQe+8lhc86%yg#+&naZVnhbe4WK_HP=W#%ws%=ZRQBYyp3gOo_D;v#ixx!3JcxFr zzQ-qx&@gC4=MX?Hu5Oq_KCEt-MNXl<=aQ0U96_CuW*YHDQv^9f!ysmgdk}f|D4JdU zpk3Xd7Txta9kb|NK>9RHw|lm z{3SlF%t?d&t?obEmrtF^4t>h>SHr{+7D&iK13eRq8-<_VD?ezNOL3mpXM zjD>Y1jo_LHJ^eX77m*s?kE7FnzBZxqn@ABf7|*T$>$}T}L$4e6uzRNPtX+5qCto>c zSd3hpTR!*B^d3UvlPCLB)+AB;papHy3x<7+l{w>}k>%PZ^y1nbh`j^y0RTI5RAi8b z^mlV#mNHT3q7Ty7XMpiGPsNc5Tue}z`t@S1;=>pvq3AYq&x}WJFCmGmmIH86IR) zt9MDLNiCi@Nvq)p*BUiara7@AH2J`#J*eQ?j~dmVa4zNW1SjHd^vo?@Ghmj<3eQ`< zX^cNo-e%p$&IlT_3^D8Vun2gEg|IfW5Sz14<(}T)yW8|BP-C;v2Ch}su;HH)0&b}` zp0jyggFmZ2gPX$ZJUpS=ZI7|tWjtygiA$STep;8u4Q+&WWn}NTK=>KU~%VJ zqT<(VQAn)XI7TNx)(t?C^a)k*>n=5hOT~^uW5)Ny<>_o5`ElfUtcc%T;IMMpNMDMtw5r<}({KM1(~#?q!?|_F zGE8@fc!ulTevPDDQOd+?wi#uBST%gtzA}H{XNAN`+8*%tumPp>7mj~`P7eJO6yNTA zX5KJtKXO1BHw+BFs9fN8eV6%q>~1{Gpgq^@%&thqtG$TB@f_EAAd;%j&o8_jWdFij zUpJukxchq#*f^XILl8@g^bd;FO#Vr+9J?#mmSLE3_{Hl7LN0HTWT|mKIX7}}B)DUQu7)Hb8 zb>(m&xTnfJNirfok2+zQ2whxED*GsZ`>*LjOC8qV*DGg@MXFA}#zG0bH2(D%o8yF^ zf;3lRaqKW_*y#JYM6v`8p69ud$8WegJ`UYAGObI+re*%f!I>^L?|``rHHp z!xs1ntmeO#Whz~=Qo$?GQ;PLeaKPRwXym^vqeu;L?c$^0d&1a1$@__RZiDhm1(`W6 z9CAs?jn3irlA^C>kHBY{MzsyTI|U_N>xLcWc!B+R163lDzzmO4#GU{f{bkZP9>TF- zUu38_rFMY7mZHg++8oJU>-qifUv`TMg)R)*fC3qoBDgg*5!L;D^yfWtG*Q!rD#aU2 zhv9>7x#87;Dnutyf%)!r_TPWH6?qG5(*ODLlJ7!C#fC)qfy^^@j!nHRDH*eYvU_) z#~6L&C?dJ6UDK!8P2WTL@E3rXBvao)98UfD@tx(CpXiYC+3&}ZTa*sfHhibFuF_6i zI^=M#HUhF=81RV4^doS|YlskAJ>wR%1-kO^y0}90i8kBl)6-3XtJnZ90nsW9=#&lq znX*JV$c(Li4f#ft%ve1@jY4<0BLk1k*-~B}L9E)x-h%yfQvB|fjq};dbhtitAia~3 z)FnFhRybAn`QyyPfdE(3$pxQdyq^w4luI>b_Zv67&6{nvttn5Sm+mu>2 z0`d>uk0KE{hD7U5#1oW{bR<7fZC6G4JA|W~RT_5~l~jHd`#7+JG3ZllejrRKqd{_g zB;Pa_nvp|qc9aXb51922mzgShkIpm^jA)Ux14zLF1FA9q+8Yv*D92$$ZdNuoY?X% zt{xMp)63R;izf%9JX=$Hhen#5&TH2LywpMX++{Rn0PNgbZ8}LMq{b z^&ZBY0!m_rDQANV9Km2S`A+ris+9Os;_tr6hAL-+<~Rn!bF8M7qxWKK?`AlaWPENf zr^gBA-IFtK;*jdUb+*u(Me-u_45HGSd%Gqr)cO7#(L_zf9f8A%OHO7@pjnG^)_jyE zcu#e?`+jyTXmEP`jWLsivEv7?r>o>SUDY0E%n;HsyWUttf3q_n)XfV|ZX7mj2+aG* zpsw&Z&f$&%`fZ=HMozPVt@E|~P^=PN zQml|$@WsdMsjP%MBfBtEZjpY-T7j&Q|FXd2FQYD=Q0lUOuW{TAWj{D-W-(>Cpt6rf zVu}aR8_pZ8SBR}xLpP~j^BV-8)uZLw4EsnuE(nA*3amHUzX>2)RIK&Ldqs`3=|nxr z;vIqi)>(^r^b2y>svc$X5s{c_30X^Xn68#>hO;#?^#hg{=CtmceE`M{EX7J=gWOMoZ zqhGE=F5<99E>`R|<;*|oc(=^GU!M;xJd@>We;I5xrnxu|AAE=J>)ol&=P=VRZDZ0R z?t!x#hYx{k1(55tW#F%R>}Jm7SbtmU1g)HJS0Cg38+?{zPz~C@_+^|0n<}5UlNbnO zM};xqdx<-jAkN>Tv^N{?h*#t%wS2-Zd>c?G5i?-MlP-sKy^^1Z!h`_f2ZKKAATE59C6o`ASerrMDW|-I6nUNP(#P_s|-%O){wP+O9~(!K4Ph> z!5Ky$;_xFoY1G|DWU~S}4rV}kfx!##xOg7OL+(XQTh0uSBnzVG&5N5xP28k|kI~1(1 zj=tHM6X)X|3IB{E{}FTh*5yQfrOzZ^Z>2iDwF_W>rMD)wEDelDw4tM?%M;nGRjb$m zcyH#WdXQUe(T7Cl4>N{jh79wv12XsI;!GJoSqbmW$roA>L>HmE8PQ@&<_H2p9USGi9832_9$eL(mxEoUU&CqB6E~NKAKH1N;G&ShoI|Xj7pvuGla>q+`UW zr{hfLmSzb-@Z$)Jn#eM#6rpfROmDaY{8?%pooLfh`m=rMbG1CXB z!a>=u*eLO<6OxB{H;hed*nlIqU&QEI?AYx%{7Ubd>(vweOY+rg-6m<)%L1XR=5Eik z6W!*vw}t6Gi=AdTvb+luwrp>ph72qX>*oOmEDdfG7{y_s=`!2Q%6Z*JIOSU*hnH#8 z#&HOV{DlOpkQW`Hv!}>S%(~Mn+l5-kBTq0Bj|c+`>tEY2xmy)U@KCi=r-q6>uWsXO z49&1td#Or@poA3uvAy>y-pmBZleB>Q?psX#sk9kw(^^Am&V#X`CNMnjp7T3JJYbGRNt0}ATvuM;;FHPu8WlzR+J z-8IHUNtBcMZ>!TUdO^%6k;#MR1Y`49YcKsTe*eAur8MFvApUiNWh4Ec6D)UQqoGV4 z`SqU|p;xc8v48PsZ0ygyQC4Uvk=P(bl72TTscHoA!|G-^ED_!+E_`;g%DF;T)aE#0 z1*u@x?tA2N3kY1fn&8+De70?FkF2;n^3&Bv!+-n17niy>64tqdR1(sd zo3H{KhhacWHf^0-Ax+BApLXP$_Iq~{tNVP`&-L@Oxgu~^4U%-ap9m`ogYaM=78 z9Kv7ruzWuj2>C}uq#YU)jbKBkQEO_9Hn2n#_@U;ljlZNx?ol*b{!BVm3weV%fb!oAj*KjVA1*nQTSqu#mZ%*)zG0m0~Vf>iHQ~hW4&)fd=DyLzBCMs|K^T>p0anQWRWvf0T};fpcCdEey74xH zf@9-klQFr=X1-+H_xn#xzTVGtxFr3slw&SLO(D{PoZ&^@PEb2^|(Y=pQwQd4wjmSDj zq;e@7wtW^ep}1JyH`5YSMO%1x#S#}WURTb$);om@Sriu<^+_l)7d4)Q8u9~SWhi_} zd~=CS<8<^tAOOfH*O0VmZGv*bz)8uJGb}c9k9RVx*Pn)Ki4hpYF9GW(IeL8)xt>S0 zZo~=D`Ym*FE2{mw3@OX;OruV)EqvqqDnjimm2x{dG$Z-suyVmqv2hsri{!syCUfmc z2C-p&|H-@yRy*X-Wfsf{YS<1^59R{6@!0)>BRD<2AFo8#>pa1ymVhT$3RXj;d&2!b z!d1pl#Xurv9x?*k*G|_ZN9|?IxJ~+6oSZ~F+&oDI)5k!lqq%_<^w^? zT3hXy2OT3CcCg&VFiL>wA)H*od-$|U!4JU|Y>Rf^2=1Su3ay2CN<*#elo8%bP#yG)Vh~HV0xEuz5UAvl>+rr zDZCK1z$T4~2B)L>aUg^pd39_?Z;JyE!iik&O?3dd76{>1BuAyz|5yiv@VZzstKn@K z?nICWF5BvSoEq%HLcL-o4%oIZP~vm(KBinmDh!epb{e>zvGfZhWbXuRwtL&_3*6AI z!gBoF05|Hl<@2Ojg=P4;sii!6nW^PID#z4k>q8N4MCq>I!hnoV;uNYMFo>u`ao*aB zjvwE84M#2FRDKHbdC)tep5E$<1rv7Ui;sd(wgquRkV56a_ z+{C3`W}4d279*v3(Kug!O)h#PkGb623%4+B`Bf@<3z0&@aqZ4;7@X-A#4U27nHO>LiLb2s&F&>L;{uPM*->TNxP9djY8x%wu z1@+(8o4XsEn4Wh@))tK<6FxA&fxw59_2x?!aPxscz@kBTeD2EOJ8Ub2KzHT?p)ewe zo2~+z1)7Z-^b6F@{=!}Qr- zrrV+OIqK>YSj4I8bMVxkY4`rO$nDhg{sOS&c~P{i_u7=Y<#92|*?p6oy7lsbtmpNZ zylC0Tqiv&BVd^qhDe$<~!Pxb7c-nDaOXyps>tnqkaNV`M_59WrG+tpP=y!3S3dr1T z&5jqo~c`No8 zzjxkR@`G6)i(P--+wu*$^k0sB;s-fvh?`2R@XNxbwXY5BieH&izkg$ItJHfL!v4a zdeIl1*JRA9P3})IcJBw?Z76YTRl&| zInM7wY*?=ax{qs^?C(775yxVi{i58kcYHtk2ne#}mWRNHN^nXySma@J((P=jYvb=h zgOc6P8p~FZ*STsp5I|JY+rt^Ya|V;Go|P;BKJ_nMQV@!AaQ0H5o4sK1yIOf&?Jc!4 zf^1kGx3^oKnx+^d{xRKw-dDWPwlEdR;DAAOzja4Z7{`W z3{Q?Mng>1=J%m1-z9VuT_63r1re!Mb+KnKihJuWJ~y*Om=!fNftay04ntfB9OzGb3zDUQiI4i=wcP z)Y`}NQMmBp#hIa}jWY1FyRF5x=pVPGzeZSW#ts|dXUL*K1+{C;kJ+D4GmYbzx*w(6 z65w#md?ya7;P{zsk|l^hX=_`iA1Oaq3W8w#7X~-0iejoxd%Hezgv z)de0ab4)V3=KO;2@~V$3SH)J2a7x_v(6Rayx2J29dwh_+)CGO{Pqu)={>2bz&?z z9cgCVYA@bU&Y4^qU}QoYOizomiTrWc4X_AfGlttjGWoQ_T2-0pFO_SDD{W}iT}P(;r(c`gpZYqGKF;6Z-mU^l zrUm_>Te5Xl+uckK;y%xV^n3^h`WK~ZdhXrCha0{HelIneYG#!?6YM1-R?8j%Z|6>>qevY+w;!{y|%lr@@YZgm4i0fu<2vq z1&?g3kQG|y^klrcOGxuurGwjr@%1hrvaWfRDut3 zf{#)pKbbJW>VdCf#xo$L^HU4{P_r44Y0G* z>~2p%xIu_~84m6C66ADQ+3@k7G9a{@1m^z=k3TT~D~Mx0{;wd0`S||^3Czd;4o8KN zw~9m0rF-5g4g%%w$8S2{d5@4UW1$}hgS_7R2GT}cHb|Lo&Gz~VuXh?wb_D!@ZMTmj zLAM_}b>VzizosSr-l9H>{OCscKWx2aSR75$HjKLlcY?bU9D+NGySqEV-7UBV2@u%e z1b0|0XmCgfvUq?5_W=2Z>y{_?dwf5ty1RO&y8E1}?w;D&nY7PV!IUZVp=S^9XVgr= zTMx+Fkk|)E&X-U@%7QCoGx0xZemJR{cV-F5u$7h>)o}~^5~SGTgxKPg*px}gCVSXJ zk!IJ(s-ivdV1ctshNXsorA6ySe`G{az-?!jud-K*{#c8m>^6TYc$LkY;6gR*Dfg*B zgUt?qg5*EhpeL;}>P+6ZyGd3;+ZV-ekxL3Z*zCwB@?<7JmO>f&U+A)V<9Aj5lNIYD z#g-D9*Z;zo&71ryf5fxmQ-K4U9pUd_!il_LPuoug20-bbY;S?c^waUipJlFt9tFZx z0xE{vkNwE7AyYTX-Da~Mux4jYU^AA-@gGD|eaCk;U+Nu0a4(#)%}O5ls4MPMxC7NZsj)&DX?9u*C_m#VN3Lx%Z`Se{)6D5u`xFoks0W`&)K3MBS(E*eKfx zgRwyVY}prgey7oXr+%WhG2HqdVaaYKs-HrOA6NkfYv8!iuP zkc~C{K`|B6fepJeU#d{-(;J-7l+zobhn}Rq>Ftv&{n0kAJGiG1ve3TBzK!7xtB2rO zyWWeRR8OqlkSx$|=#6~!%UiK!#J(mYq3fQ(T7RBvAKYrZA?oE=2xWin(lc-W3UF$R z24p#AjB6OwNLc+C$OM;uxZaFvjs+Jb+n~AVKa#IhK=z>rG6;oTnvx&z{j6|XM2xyY zM!Wgjk}l}a)!QhE(;}Gxs`hf4%?8Ye??X0- z1J2l~T;Jh|^L_)IZW3s<3HH?Dng>7oln385X5b0xExk#Ai-w(D;x4EkGcciki!Zea zTW4{z1%YqB$8<03q{0@DnuD#A#fC!U*9W0~h_u@T7>RmZ45pAUKg6=ltRs9h?1nu8 z1_P$<2#*HN@aTc3n(DY1Jy)puSE_CtZ2i|iA=`eZr4l$6XD2B(`m4PggIoANLi*9X zB~mRlseS~&lr8ZhP`By$!AM&?7yre}?5KXgLE%kASeBtS`fQsv`rR0bkB~roLhNjj zp-poVLe4?UPw5aa*am$J@b zMw%6`x`z1|A6a|O$5+9gYFzQ0XPxq#o5=P0xnHH7B*X;$Hd`i6P^ zG!VUQ?)#3rKkMapI-?^CVQm}IJw=Q6NB%gwf_AOR|I?(S#nLqN^q1dhpiTk%0%o3E0g+morc*vAbs&8NK)#1QY;mpgttcaIVDU+hUFO))g z)Qij@C0HNQxXqWGP@H%}#grv{muTXKhX zM6_6(+x1}Vdguw@x;hV!FQ3F?`iehqa6(VEZ;vVw=y5^N`VF2N?SXl;5nA2m-1>j3-nea^ za6g$sHi+CJaUwNRLT}g=#j0e)T+K97U^W64Xl0=|?<;X{#YEwd!{ng347h?7iU@BV zlKOcy;U$ZzPEG&5ddiycXC+mq;{T6~yQ1ne8l^QNA%XU-f`|M>?H;-?48YjcxCotVQ(U01p~3A zvW~Sy=%VVwE0@w&ZS0k*VQylMWsvJNac0L@cZKAY>5GpPFr+P3`7*z988k#H=vDpt zHE&_`P+)Z897_MOIkz|Y?%&fH*c=AZ8EzxV;uxTiKvld`b45H?seJX~0tP;?BA%|= zD6X!nVMN1=Z<4BCAxc#I_G%fV%@L$Y2>gPyA0c^1N|?t%i5iicS&q#?TdxO}6G=bB z-9&OkFiCjeOhzwxq&0}V6c2W1ggrfg7rf&@aP6@}7bVdD#8~-Gu+B`}-@R<)YMDOa zGqeYNKLhF}OpbM5omRTqSI-7oxGq8N0m5XL*s8D0#uC+f3!* z7w37AM33Pp;eUR)HR7~~+hKL$2nO!;6TD~NIk~|RL~NlF?(4T|rcwe;!4fur$%PLG(!bEw}~PTu)I1BstX=ey3TtMWY~7>G$IOx7SEXi^#;b^X`vM zZ`ym)``FK`X^g2-DUJ_x5P$W;Ex%~PR_FXaST{_0ofm!65FEZNQibg{cbB+!E&WeXs|rl;AD=F(APu|ZAWB=a&wM+#z0KP)q8_)5w>}U=cnW^AL^=wz{&pe2R4eV-=!n-K*q8P?h=CR?T;3X zsFouT?!d^Ojo~ad6|GD+wrnsd7aW>ybwj-mUp2EC@ zrKG0ohrgu^?%Oixd?{4jb9eWjGV6Pz-wOa0he7X@nM< z$BGcfGQ_9xk2jo;g@N?ZBp?KEuL&&knV!W5?+U{|Ajjok?C9E3`?<6D;;@q_h_(kH z@w7vTN1;eo)Cw$wHq?F%F!158!-j|>6{L`eR{|&-aiwFXMx{AqC_TB)Q^8xm?u^j2 z(qNH>z*BNa#O@MN`=;_zjg7=)c?91^f8u|Qb2~wz6r~UvTjKXh4~NoykI49mqSol- zo}Q&eOZVq@Uux6`#i*UPMp>x^aeQAF=%bU0McvFePSK2SZxx?-Lb0jCPcQr76{tnU zPGS7>Bw&JzN0k=uGly-&hdYvx)tl;TkW(vf#{*#U5`b*Ob#Egjbrz!enNfwfi_Z1D zVj3V-un`N(54I6|EiS$XE7dLfI*tfNfck6>nnYP^=xmeKL;%BN1mUw_&1G;#oKL3| zvt|y8`x`+45wT7y#S~YH06v@>clHAf(e{!9TuQcsSxo7aYZ|dme4=YRTCtUs%OBKp zWrGSSy>BhPz`*YWoU5g%R_LaRm~29)vtS#io2()K+2%7Kg2D-3_VOD z$v&c|%&t4CmnZgBnI+^dH1$Ji0F`mR0dpD}rYYT0Xfyed#JE*3cB=jcJl)a_O#GGD zYs+Amd&@`d!RaCaj*y^iv&u7=j-yHVojP9Z)UGe0uQ@{e!i*naph(@fX+JMIE=FWy zr_U0xU}h4rav6vyxKw|@SL~YDr5K=5ZKJ`y|7R-$I979a&AGqw=6;71%tt8|yni-1 zJ>4~pbo`r8IXeD{36?Wx3+AF~$VygHmeHA^?ET~rPfcIe;C+@B`q9~Y#+uKOHu`QcSHKz<{U6pdcuzo;pXzj{ zcBzZ;W8>|_wg~t8KmI^A8TPS0?ud|;I}>=v&~7My%y}Q7^qrXihhYsH&gXhI@}c_f zHr$6Je}vFhVo326?d`HGLSJK$flJav=u>Dzd-oUd-PQ*s{sF4%TE3B%`h6&+el}(I zBQ*M61SjfVQgF!ZO|(n-Nj2TN*(1*RAdI0g4Kkd#K~}X^b)zadLQo?MHmG*|Rj`6F zG7ZkSkN6J(vo99ET#Ys&I?E{{195w;tXLOF8Cs(JxAjQ&f>BTi)2; zJ!b2Ns~u)g}O6YR5PucM8m z)%$uG*GHoWBQ4!Wgr@+Wo?R=@!~VDH`7`l;JHmEscbo7OCN=)5UkChAN&0_;7+%f| zjZ4HUf?CAJuA|5X)SUNpYc5i7LWLPZlg8{UJw+&gQh(tn4h{~VW^s*2cLXJ(J5Cc5 zEp#Uce9~8(7eU%MyL!9oJJhrN$axPBfl~eMqlpWE(Dx-2V{|?X3&&9@@uOQhTYOaSMLX zZj(z?-0Xh|K|9Tj79q~{U-aYNmG*;pHQOr_k5`y5HuJz@LOLI%YDN0ZyH!%d2+is5 zo$>@`!ieTq_rGq13|-Zmvj?*OXW99UB-<(UrbF`6>ihPWubAA;EITA;`y{#bUDcx^ zZ#IyI(e+v+&&sM--rvTrz8CbmuE$bqls+S4{8mCtZ6pq17|v_z6Fcp~xBK$c624IZ z9N~);QoJ?!txx>N_m6%rUA4P$1z1Ax0rEs6BY3LitqbHcn2DGkB2*W#nSe9zwZY}?{4b@EK->(hO%B@Fcw&Pzv8w%9id_t3l3f2w z?-PP1XkL5&o4_qL+^x4)xff0_OnoDKo6ETj52W*+6PA|D1^&ef0f|uA){I-Tor5)!{7eYqR}O#jxEoZB(l!h6cG(zhbZ2t&rWRFe~|a z$k%>wwb6{C)0_8-T`J2hLN!s_U^?cp(Th~a)OsE+!nDKT)0SCsFxArKmn&AIE~8j= zy*>|E>W%g|;|}=yk28Md&E@vL)--kjj$T*h2jRc(w{}N{1=ylDpnuI? zm!8{}9Uiz?Pv-(0NE6A4?l7a~&*f|~qPFpoVHm}Zjjnd~jjoSG-@E)Cs;)VDnXWl> z)f(Mky8ys9r2WnZZ-1jajRz+(sU2ex93gE$Qq3T~z6qxh;uE4{;*vaoQ<=U_W~wGAVA2Y!E$MK0d) z#(_~n=2rbdU4cq5)J)gyrMy}2_so!fT4#XvKi4F<32*)KI&zow-j!|OyRw^JUG}=t zAo@LBJ*95$k=#?jD!_-lTd}MXN@U=OtX@}Ggx*0h(Dt+2Rq`A1Na9+!?C(RqYTV;bXyI7EH zuV5U8C++!gqHOPvt2adc-EXou#Sh_M*DMNfMfS}-(mj1S^TiB(X*=kQ^U)$4r?7+u zL?oth`Qa07JcXEXDsdeuY5Plis8$4$DL&t+%7<_#@O5cleX!b#{_EgVNC{&6j4(Y? z$c|a)I&o$JhZ}~HT8;n`J>zcj5usbuIsQ5d*M2f?SvR+3rhr`1`AE%5k$~;qc{le_ z1!H;Oq}E;1KjJuzbz<&uG7Z@;WbiWnR$)wT7&BM9$(7Tu*zTJl2Y4`<;uiTcj4F8d zQ4ap7Z{{`I$Nri$9L5wl_0c&0XR_g$OUxcfG=2%A{ZE(+*z@&%BRM!XQdgD>a7@CF z|B*bZfV@1SfUGP>inSh^ba{uzGdBegx)AMSSL85B<$is&#&>D`$$xB}M@hK9A^y|c zTEd&M8qkK+~S89+RC z@Wh|0W#-8#5o~%ouL=QiqJ(sY+&^4yyrq+H$$sE_Q3qy%6=Dw|1rU_L1Q0BKMi2}# zc)%amx@GP!CHmAi8oVv>+05R$;e z*#*OvKJdK;4}*X?yk&R{A0|`9B0rT`1&=4%VGLB0YOqB_iK3P^ZTA5_cut4o8W$1y|UKWhTPb*8{CJi zhf9Ui`4pLu0Os>~J6eggqiyg;^1~(5`TX`Ms2C_wjolrTi-+7h?|^4)6N`^!|HZrrn{QDj6I|p^o&Idjw zA!doSPq)mO;8U$IA&F$-T`+Fh1D|DPvF+mZ10QeBKG;A&jCswfXx#1}@xL)mVDStg zr5*>t%iKAHsR4a3w06wNV1{AJ8{m7XmCIm_HlJR6Y=Cz2KNIcU0lo4j{oiYua>#v6 z`KiI*BF?*m^x~LEdI^(rt8 zO;!E6bFk%@1~s_`Xm)-EmZ;lj`}#Mm_&Pg)ePtFS#huT?6cS2^HHr)-=drc?=jFIB)yp9u2Mq(eBLOh zxYrXfvq8Yj^t?jue@AAVYMD3v<(o<&_l;1nM9RaZ9*sn>!1??|@WW+nT5&J=-2p3* ztb7KA7G2Dn9c+V7D~`sutLg2ZD96kLxMa({ksG5_a@ zehd_=972k^Y@_rVunQ!5@B}C_eSQ~!Px0=+Cb3w`A58)=_u@Z}`RswZF4G`hFaMBy zpZSbAvAxQm5zxOK^BK60H2eQ@OaQna&9?^^gn0o)|F5Z;e!@51{A;mp-T`kk;)f*u z&ni>gYt9@L{1X=QsS0fhdXo z#GzCWvoTO8_3gnUfDpyqfe4T+0zk2!&r`{S+#jVCKeeY6uNPm;xBb3-u9bSn9&)d{ z13m$20c*T5Ba;Yr0&MLlqWB50H{e5pm&jni4u9Pppi5vrTpE7{*(nE*-YvB;{}E&l zc%IwDp69kAU~Vf1Z?ygZJaq=}RIy~@a==qB0Z%OgJoOUrRPg_K>OW$+q&WcO0KiiN zNU4L|=+ME*2C$MM4yRFsFW7{P^o7+n&Wk zFhVH3*kkm2@QqwRfbR$JjZ8p*8I{{mSU_ zvR46g5`TGl3?x0T0s=PRfFmP{LbPV)%?K_piNGMx^h5T0@I1X(a?la|xCAgr!0eO( zv(qX8SNctt{;26d5err<2eY2hR;jn%#<7GIl*%P(F2rdhka8<&G$HvV~>A#l(MGdo7{NBm`NAwB5ci=D40lln==7RgFsn!DIcS`9NEOjKkEU;Q6+!v`Y zz<#MMDqklwIFu){sw@_MsT>^Fu#lC(r40rAc>X{8Nu`)h7UILgw32mPlEYtVB}KGm zG{JD_X>?awX&>t3((exxG^q}0D}DFquHK5L2L#ep&N#<0%qD=gguEVr_xB%R&b};y z`X5rHf!FKR!E^H+2*y?d>7$eZdb8r{kxy zylGWf!d1ejCH_QOkI;t>wu;UvvR@oHqJsU2X69X~>ygcz*wV@0sR>cHXAAGN*fu)) zr%$1?+Owehgr}noECR8vmNq0Ga)|(uC66%rXyK54-_94iVMN|Kp^NMl@S0b=g3cy7 zh1A-QB%ht*1`RGQ^)5g-NObhtUz2AyD0wX*Y%xCigWSC z8L|lOxA@UIiRz;$>}^a~R=+?9+PX_agl~U6wD648&*%Z|xUgo(bY*xdi=dmaKe_*p zb=VDh`z7#Ox7*fH&Gc``9`5&3&M7<#2=8d5?U zy#IjYt#=yr=gc>kF{uuM7h>-}fUN_1?R|iHt1M>0coQYTQW7IzlP*jO7B+y4M&~4L(Rgt<`B;&)^^C+t7qOc!xc&9l510bMkFoN;n5>PfS zwz=`>vWL3J?>BIi&DdQR09UFE>Nh(?b=xaCO+hO<-2-a4ZleDDKH&lpn*z#)&ZCMy z{#TiD2jjzb1Y@^1L6Q4LhX@4E7J@6jOR@RqcNYZrSW5n5T~ggJ-g<-RCg%V3Gw2vK zRQoh)+N_6B#S7HFebd2cJaKqhv5V?f^Hkl)WkF^lxTfyX~#fdefvNfIrh zo8T%&>ds4qhw>sWU;xGVq88p)MO&fqwbKwy}f!jII!5 za*D$G7Vu(#e(fUySo~XAhQwBpJNq)l`X|v%ivJsw%?upq1FnBg-8$YDq19=W-4n@8)Byl`7jQYM|9d%H0CkN})L)B% zQmd92MW@FS)1nqARPT7iUi#eC7xXb6or|0*-6iy;?OZoSBI`ZW(rum^{PgqHbVoix z$(57Wmo_PFawYyl{Pii^6?Q%BZTj8k_sbGUw&wWZy*{c6F=+9Ir@(*MQ@8jd1Upb7KSA+nZBmdKG1dfVl`aaH&H zE@byd;*VCd1l@7h4d`hHcmj;pw-Xnwt*fLd=9t8rWNp^$L00r$;E#9>{>{@}aR#s6 zyTz(X-J{LJm8YP6Kc^d!!6az-JUY$IWY2*MaxPpS|HfNV_SNV4W}- z9zU;=H_h4ADenH2CQyC#f!ZxV?W8hmnpz4WjP)9)FoXuU?Ih5d?fm?R#uW6pc zX&!@GKeJks4nAEC`jh)>UTFfKB@)7b1HanC`cPirkb`NSgkV6l{-=7RFaAIx?E2DlLOY_ z%1lX+oxTSiO=lMHO}}sVFOno-@<$qWugQND!k<5{xZbl5@M5BXcrqQ2{|{YG?{S?6 zHZD9W>#y}iEj}s}Cnfc=JuXIbujG`6qDFozS>>wiV+b^j?}+(&%2mtT}3!%?bWgF6+U%k z3n#u@?9i;h5DhkARMXqK8vPN#id;}9F>jrwvMtNQZpj6G^O#3?CIIz)PTFj7Kf`4M zr{E^S&mT=|uYZ-S(EFMXTcr0QN1!%rJ8Q_rU{$N-ya+F9ir&M&8r#oA(Rf`RQB5!V z22=Un^wqWB_vP)~+Sle@HvazDq`Afh>sDPwV)jsXn~q`VxLw{u?J~3<+Fp+nP%cD6 z!0M_pJWl=IQV)`!=#NM=rp2BLHl+qNy)JqaE?S0oog^ZhqjMk|}@bR)_0+V*W& z5kIxb%e!$xZWFE{#Yc+D{uXv2NwArhP{m;Lg-WBP`s@3IkFGR5J}rk6+g59zzi*c$ zq@<9+w~d2*U3c0|pGH4UkIsnjT)xHVp_O)X`r(4vQ(Mx8*poGMR8rz+xfKA<5VIAp zF&jVIP+8*Z_@j_C035RpWNm*GlEhTo#=s3-;a#R5t}s>doawTEbY#Pn9`qw121!V| zMdAJE1m5utMo&^I@odr5wZX(}Vdv6bjyW80G;u*CRc`x6lx%Y`(%_GH)TwLa+?H^J z)NJT-NA=1O@@a~t?r4~>x_#EXTAH=s$~)Y3VLbna#aMwad>1~;z+?iMWx|Q&C#s3L zHW8Rn)f{tkoU}5Fzm>Is-aCAK^P|+TPb?|vTXR*=N4;vCx`ccfJ6{v?T-4>4&shleh>=u7p6Grv6f`TXgsDNP>tH z2FLibwpWxni?4czTw)|CUI$zY#Q6}YU{jluWO9-%AzQ!{%JlK zA$RhDi(*q-0#S7`zBHalbD^Qgl*@^_k}TY)XN{tutt-+iIeF!09K#!_+3s-Msbt*Q zZmTXOVoW~srBC-WKg{=(pLvBQ6*tACbAD&H>x(39j&u7rNT1q(hb<1wmj;J+NGF}^ z0?m=hkhsveG5S6AI>%9(bmekecm`B#qA;f|*J=cM<1;vp_E31I=fFSYPA0HyV-|lm zM&>E?aCYT4G$!}b@unm3W(AnN3NU-arB!)jgUp*{MX{t;fMv^aMF5UWZZu%EQq3Jl z;myK*W$2JO1x>5_M}6KuZGCkwHnu5W{xB!j8hL^ChKp5(N`#_dxeI7v?U%?`dn~QW zcU8~Lk#*`eN?mdso6`ztRf-rPH|ZX}U4^KWiz^7{RxTi$m)B$Ja*12h@D`wX9%b=Rl#>Y6KV(U5$X>um@4J_u`*7mZ zFst<3vFX4Tpa9@79Y`^$eW)x``>)J}De`{VqPv^e>l0uA7b=A;-dtoD!k7m@3ykU3 zL#+1V;DhZR-V9T&BjRAqBl9Kh`wvTyNuo>t<|W1!0Lx^#!=81BYrKQl&-tBF64BDi zUxSlxeI%1b-11@SxqrX~*MmnMHEf!&e2^dJzIffc{?y=7uWtG%whm!~*$HCkh7T1Lhg-c^Z#L0NYrN1@KraL0H)5sQ=Q z$5WPch6WBl9X}USJSe}pN$O;tid?~HdZjeec=Jnqn?sz#s1<+=Pu>hv(5dW5;X2}} zG>5%Hf1{?Ay4b2xn7ie_B13Fb9^i;)k(qT#5P*yH(T0z_q_trt@t*isRJ7@kBums; z1yPIC+RU;F(ey%RJ8KK|e5c@eb2y%jA(6a6Wf8#hmWDMdd^|FzejXSc4Sr#hEm?vT zsvkksKISpJC9Qo|s&Z7pG~dZQ-t3ytsA=-dz4eH4Go90LGE-R)r+jHq$~9+13mBu6 zB)wds)R^t-R2i}Fb(k8XKfDk4e)+=F#rn6xbJZTR{rW4Za}*`PqKLf}ltHZ-<98n1 zY8%~0b;o;rfFrEdI2V5Pb^lWHY_Rdc$YfI%EIyVS5G6h`M)xx63f+x`pXUGKOPXuU zW8%pXd#+N#T9RG@iYCk|QhU@KG))1yMNtZgH3v1W<8yp0HfVt*TEg|V`LmVLU1TWE zdvIPI^ehGC+Tk_H#+iE_TGkg7aS7~cbF6Fk<(Eo~S^?!5%sq2snWhKUuLuV8$L!%y zv)buDu{<=Z*(RddF^iGPzDXgBiC!wx_;6{D|6Eo|d85jam!K-+(Afae5N^s~F8ns; z?Dg8tkfv*}nLU=NQAFjtXI!Zi<}a+sFac3H1ic9IJXwd!dBd#qB~`RJN{^187I!-p zY_5xqV?VUi-fA*+xi_v?sTt-B?0<5pL1mVe?#VM=nwE2WqmzKmp=M>dtICI3ZIOb_ez7;tqRYfZ$M=ebsk?6uFWv?45E_W02LRj8xj+rm|=)&4Y zd5rpSIs$0U%j&{Ii-bk6+{AEo?XR{HoM=r++BJS(3YsB-e1La| z*gGZYH?GoBs5P@i;+2gAxIV0ddAw+SO@v()KRV7^~?AyFy+l^~JNaj;E2>*$+MgS!jxzdlH zo>#ShEz~`nZqfh_`!0jROH@do~EbI4l*qK2{{%)AMZLYex}d031G2A zb@7#9N3~#IyjrTfD)#{K0rUWG(*kmqv6j_%^$hL7jJmsr$6iHT?M(naTjm$ZkT-< zY0TLL{;*?jsJ6lh{^%a7K9but_=I#>U?{oSXhFBnYcp_BIk_D&bl9F7hrQH__32f& zq?dha42w9Q9)9q_QqoE-uf;?Ky(PQ!Lq*wa6|fVpJ`a|Dqtva)5W031p9>1* zo{m2@F@nS09fM6np9>rHz%};mt=t~bq$LDiD5TszR|YNV*6~1y*F_As*Xft7hT||K zIQ>ndZ^*;EzA74-u{0>59^`ht>pG0Xm zz8JTb&?AZwVuSqzeQf`E*D4c<(9Y}3_F>{}MtMv{M|9~J9y5z&Y+Yo_s>7EOdmbd& zd6>hQZap4-1l2H6)R z3iagLRmEF$i9<_*9t|4e%XX<@Sly3;{b&Tp`td0+&smzM%Z4}!F}AJg8A=LDTdkU= z1n%KC=?z_EYAZKl)diV~=j@x*jefF3Ugv1?Mg0uZwRa=gJSnuIKRM2a@14DKXotuH z{Jnmi+kP*^eOLH}n85l#oR>Lc6HQmG4jyGPJwW3lj$&ACuZmYXZ!?XpN+>;B;kCbXgrU_- z@~vHx%sfU)`|{m{`fc9`aMrm=H0f5^>-=a*Ph^PAY1GW~fs{IOA{taBX42PZHl~Yz z;uAjcd=(?sZ+@>H%GYE3wY5NGJe6vu`R;}KGNxMPZ=J+!Zd!aP1$89WZ9?G>HnreE45RRhck@9HW!dMY~qkiIgN++9K^R(j#{dQn_$-ln%^FwC8`9xmP^C?T}D(9a`pg427X7 zV;rIYr=>!>e;TM*T-|uP=k3~iL%wS%o_uc_OFkC-J>94P`DjZWU4kg)7rKX9q|D`u zidPsfSiVcyNSc(D8R%;6Dkt)(wb^+}HEH*}9sg*mC4ZGKTm?al9p6fm3+V5bs5_u1 z?yY4jgPEW}bvJ8|6xWXEwA!YFwqihW`TiBD#r zILgE$M%3_dK{2)*&~l)tgDGn(J`wR~cCp4A^#;R$>x1$kV>p1E98@d;e2 zUI|=wS1N+cs9rDnqq48$WskIF9ZWJ`$vUtWOqpSPTOnAM5+2J>l|f!xs#xGVaQ8Ky zmw(Yet^lV`q6ouEi)!A{6W_3kA*)X!2g5puVs6uJ?!{(IA{Pe~JZy+xa@3t68N0Fi zi-aPv>iW8VTy=?S&_wB%d#SVxTjNd9|D5mv+vg(~j<@n<`S%J#c?!c>STe>3uZRAf zEPi83qBzGz#n~FDlHE^QRM+O$U^6WYF5`+8Nl-7$FZ+j5``Q6(D*ZC1q3`@&m{H!M zv)mLnLIVH8;pD2~VqQlEgXX$HzpV048U>3Kde`yPY(zd6B#q^hsB?0k3qic9eT6q^ zsLKgcAQ8;UyR3*sG=^)uuN+vi!{V}EW#-ivtp;VJOmj+57ByZ(A2P)cl&blN%9IG( z0Hj~4PQj+}5i6TXJ675*s!^MQt?-$e@kcTvl`-ljksz4gG>Ho`Mf(_{& zWJ(U%H9iI?<5#V}h#p>HL1&F3sG9ifr7aOZF!w>JxO?tHRk63`B1pLMkg-sktvA-S zbmeO#h#dXl{E0GXO@Fw>6NV@;q+d1_#~oK2LDUS1rnfh+-FFkNkBplS_Gc+Ni!!xT zAvI2kM*r|Hg?C-9_%6pvUrAOW0nA&jpJ!}qv8nr(ki(pUVcP#m!fd(RYq7~E&VpYP zVRO#S)~<*Sn)MQEebSU0F%95uhx$zM|9Q7(M>^ETXG=n*h-; zwCpumJ@w28D>UMZKkGq}`8RDcTlMzYj?UQ8tKmUQ4iw&TTmi|iEP6!>mw{VzlN#oQ zC8GYs2$oVP7kPfK`JnPIKFc|?qBY>s@O@ng4ghXB*4;j!ZA+tj>n)?AiYdI~)MR*r zCFc8e$zt|BS3SyL)D!~udod33@j*++vxZY8Z1m9chEqmtbns;ZF$yIix6(+VjBo6c zp)Ssma{q7uzB_jVoH);_oR0^7oFB7O?Bcu9Wl;{-+4BajlGtd77+WW>_VbFdi4F`7 z0J{Nl`eymq*r294NUAqR)_3|;U5onHVbKx@JT9Ac1>I646YC>r4X$M*3y$rFiaxyo z@`er+lGF0S$+KvTyG=;RHxY`P!Bn?rI_FO*gyN1_b|3v?gePE%KbAV&7HD(qJCuAH zgT19TLh4^GBkpI3HuD^ZO^eKerLwVlZK{#?g^UF)jI)-TS^{1?MRr~v%vQKhR2z|# z#S_Ml*E^IAdn%`m1`Sj-z=GXbl)A7xc!(kuAIpO6RtRGELOM;@2BYzYE#pb>jl#S^ zyVl2Q;DLHsVVDa+x7}+z*pPz=lVRDAyHQ@jLwWr$MgvK^*pzqlQ1 z!N3vn(>f~)0?zT{+_XdH4SNUt;FANpy7R>AECiU{zF0~<)CNXt;5ULqZVs%V+b+xm z1T6kgN?%Jv#bn>dHWh#=-jNHtN=4>c5c9n$ob$CUjGICvR(>AmO;pUZa?nTq)o?O# zV>0n+zSUu}b$HBTdeWdCFLOJ0f6s}u3uR}sYPRC*QAU;C*3auN7ra7>gO;?O+Rl`d$|&o#{I7y7CNFJZ`;wBzGaWM|mn zY;g-L`U|2B{bRCO9sKzXDWlrD_t;a$jTX`oODBc9NikD=&Wp*vAupd$PB)Z?=wuc zbS6Y##c>@H#HZc;nN>q$^JokIcr5EwYkilXIF{MD>hF2kFb9g{4h9tWS}lJ#Rzo5rlJ?4ocgXi=R{tWJ9NvK>oy zo?k3MN}&eQf+Zka(~59g9YKnAHCeR@J^U0=6%&~_gjPk0MVtua%ZR#p^<5}6Jur2D zDRQFkQ{_#PQAY5!i^xe{Fv#)Utif}yQ?r*H-P zwF?y@^gI1f-N$p)PV3CuP4+D~kkzw$kzm_3gF~SWm0QvZ6@y}lkMA#lB>CNk%+HW|e@R$M;j;No)S;A2IfhtJ^7ZmR@T1f$f7*7;!jPMe8ONuEP z-Pmmc8ENw$F}FGdFqqs3BP0zQ<|r76<|#*TYqHdA^X}#|q*&I*pMCV;(Mq>FO}}Ey zxE~Ep;n+C}V!0s7%j>#32{*+MzLh0d{+?K7GJM4$r|E`r$uVL?&qpBmk$}8M88SSNJ~^Wl!SYqUR&9$p zG&}0$OfZTY5;sC;@zxrRp^HXz0$J8@+WR7Nz5!BATOALzc?7}A1Gqso!P*13vR1)Q z4sZqE_+Zt<^852)Q?1A%@ZI4(Tx0L|$!LM68N$dO@lv_H61=#1?})+KBGHx2_9i7o z0vgl_d+Y~}kVE52_Z$(~a}wv~)Ji%tfkpE{N;yP>&oYAbipcv{$L1C3L6R8*J|wm* zw3FI$xs^#=PUY(kK-lnw?+g$Yyjha_)9X|g8rc$QFnv_(oRs!H6tlHDUPDs1)fIwe zd&N*JUEbh&g7wOi%!Vww0qwA7J{d{3_1L)((ctAh*q!WAtDRzUoPo+_2~hV3!?i3F zsSSv0KJo%$?euA=BO#ydbM$q;&U^W%xo#W~N)@d41^QNZx&9oOJSlvR;jUNyKPAYT zPvoqKN_nrfHy5js+1uxER!%2y=opXEl5wK~vweAKOTyk!Z?EujK!fH%HTh$!#}fvj z@k?wo0&^caG<@ypcWv_61(*E2JS%1OG!&Dgd@ z=z1Ywq=(N{jaOrJkp|!Ht(PG&h!-WQ5Bic9W8r?EBK9&Oj|WZIgqp$iLQb~6YU`6& zU*3I#sC*Ka^#(M6aGy13$<(IwyB2YqFF6HxIracr$z|QtfcUSR*Ck2)bF6sexpOz! zUm}2L@V1XeYudZY1-ov;;%}5|yiJtPrXQvW-@0YAHv* zLNqw&){x9zM`g7+pjgn%UMB)F!D5<7JvQhW4)_+H%Cfl;HV)eMaX&x%`Rm`Ls#OIj zFzSeX$Y?EBqL*eGNKe84%;=w%L&K)~k*{$qu^WGzD3Lwc`Fu%4R-gRarL1Yb_OCXz zs%s-g_LkUg0cqUq`{Zs-Wn9O|K-fHCoOmBuu*UX^lnb@y{K~*iXaX0BF0SJ|K6fYc zd^V4zr*egw+nK0~aB`!jSjz$5xY9jZ4@z~h4d4B^rL6uB*@Cam79~Un&Dl|F1z??z z@0j@Jhk08#j+NL)n>pU{xIkr|Be=R%;D5()9Zbk=fXCb86tBdOqYSBhrD{_|N^A=S z-J6RNcxu5wIJc5%K7eIh$!8Yeb5o(_T8)UW)n7!g+N(t>!qmNYiAg^-0^+s8E{t7t zX!%aGf&Xw3IwjVBg1Lb*K&7>pjO0aY8UWk7_!#q3L*KAEDYYk~ z>SZ7!J85N*S4@r!tvf)_irv%Cv*9~u?c6mT1CPKXH6FI zGWF(>B!@R_J34wp9D4hF{A}!%CLJ9Nq43`vrPYCZlWuDPlo+{rd8DF>Z{(nPtGD0- zzRxbw@dDZRyhBbPF*eh{LyIz;Gqj6ShqGp^T|sRvB9tz~aKA;bn=?-eB2N8QaVaYI zSx#7@#}}s~%}qb@zVg9S*%sfq3x=!}_NadkT{dYFi&~UZ1!Bq8N`_kLx9Y%iT%AFx zt+D*Ea>gF^L($*hI3@{Cht7D6J?z2=e3A5lWY{Q3j4kN@BkLW2D|>>z;n>>PHg4>V zZQC2$zOkK+ZQD*Zwr$(oV3XbN{-5u8tKO=&s{7a7bLLddoO|xvx;@j~laJ8MVb z1L!-`atkJ`#Au_WIwS7Qw)rcM9S$Bh%?9UoSsNx0(&FDkurR=CGQen&s^T|4jd5k=O<#|we3jy(5NOmpiPBpesjCa}|Az_GD?HPpF_77Sd z!5gZHJpu$}$fK)ucQN4Saz~DACH?!KCdF%~_lauOSOq@iRNhwfR(!J17eq&` zE#GR3HPco6h~`|uw5_5mz>_R?J-c%uXk&3XgRBmD(LH-2E1K7Mr(76#SH3ltpy;>$ zv6&Ia6Z$OB zWS@EPrlY%_df+HN@zND@*`^n&CF6B)*Kk=TBzXjL41g4J5wW`yUa06%dW2>=c!$Wi z|E6`hMvfgdmKC#Z;K76u8P;{BOO*c@e7H=dX|@o<3m^yoKUiZ9ljo{rdH();c6XrA zh+vT4lTD`Y_S!c+vN~tinvFK{h=|nNVcyQEsIxN1L^Z z9$nTNTEzYroPOO6PKoS%R4!Quro56lWJx@8z}jWjxHQ#&S4(+haRXmc1%#W@3)=$> z=3P^{)Hgk6JX_vm!O;=YE~2tFVf9r7tI6hcA~ zn@2q0nM<&V5Y!s#0cc96j#P zChg{w92a7>25h;S1vPt2XYk$g@|5W_+_Y6qb5*(|^k;Nah7RMj+5H0pZp; zwKKoKxe!z|u>)T&gnp7n)5mP%Ge$lDiUN~rfjTHadOZLj5aCyZ5{tp8BdOc~kfcwO zISXoUFUjFsUlXT1&Y`%(1reO8c6z9W4DAe}LMkv$qbJ04L_@zu_27@Eu77{Es8T8a zbxFcS26(2qJ?6F_d`+=;k4A-#;^!p@Pec-<=G7{sBv4=N8 zw7wnc*+L@=jI%e?2J5pD)RHYG^c8pTbmMZZ@%UR&s;N2+=R?KfH? zNB)~>U0YwL=Q2`;+;Si3#0!j2p;sc?9 z9vVvPD(pcGshZ`*|Ig)Bqy$)I_9AIMg1A)4l?=^n174n*$_EuY!}SAf;y+?!VQ9uu zG?WY|%qOf%<--k3Alj5EuvlrSUqdndIZ^;6~!X72RD> zscPc0pi|Lokc;6;jSplaFH_OBup<$0B-HJ4NwaRb)O7*TsH`F3(TCqOfcV#Bklxr} zP#tGWw^@?Cv$CI|tTl^Xco+Y5v(i)7_9Ia7)rKd=g9Ie4{-WvpV+6(DM6t#;TL31{+)1}9lwT2+)~DE%0)LZ)7H zzFit<@Ucr^6j9qM+iuZQ#Z+EJ!=;qS8^8tsKdgV$->2e09!ZjIu`$%1R=@X^`!@L19B+yW1(~&ejug;V1b6!@|!hgU+2r z&q6N20?oF9CMZz}G%GPYr-d7nGL*fZ#F;-#-z^F(62oHRR zstik?larCmPQX5wWUCV^_{d+D`xYh9hkZvE6cP36gayB%cCq@?snVf#kNjPIAr6Nh z!jRT!VA_oY{Y5xKX)_+4jvnE2UxITgDqS-g@3|DLbUy=?i|cs9M0} zY zuJ)$bf3h6nwGIjwM@_}oK;wF7XefwiZ46~k0+kilSWe^7x0h*u@LTpX)kt<=Ai_Ac zVEy@F(+>O#4)e`Jc$`8wTDgv0J?l=A)x<|#?b|7368sY~0X3#89TqmhCMH2vvKoL1 zJ|fKJX9s4IXHgg6^W6QKo1~P;C;o2%YFkmkO;U`R&Do z@!Syk@Iyn!r+|KqOV@G@T#RKE06$C@4d_jBD18e55RJMe@FTkj6N^94t~s^nf=x4G z(3~--6j2ji4yOj4+GBH&i>Xz7t-`BT(fT1re{agzUx5W-!+jVjZ_GBqdxb?^@5oa= ziKT8i;74-8&383*I}CE&tUu2|i*dV2EPM6lqAQuj%I>GM>bblKa_pSm|ANVx#jP$2M=mDI(%~pBsz18-u|E0ZmYx= z;D1dV|6*OH1u*uV?1ekNN9^j=h@&*9iF1bP{(ZQ@-mMt|Icfjld&C$GQQIHk-Sa17 zRvbK8=%9Q;_@NxHSnU^^>EM0FJRrl`?5>BmBky4kgPnezYqua7zJzxNzj5*W^YV$y zFDwV^$);t%*sV=DLEGy(GZJ;|7_fP>AXl5pf-uTAjWh2B+as7(JlNxPOj%`PdK2N+ zMZIl%J6J>toSQH&j}(3PI>Wn1met9;haakeb0GOdVub8S`VQ%z!p#p!6q^%dN+Z{t zHhA{=h*X0zSPAmB9Vql5eQsLZ7EVt%d(6yC^~7`&_?M)fpkZO5On?#MX8Kh-jG+cg zs$Y+DrM*)}Tg{&_cSAJDDS=16o9_~lu?eKl`9Kd4Wys~xQQtK~~+OrG~n zF@O*ut=#p!MQZkD;$%Bd|9{2qnjn|TA)^$M_!&yRB6yZkdFEPqXV4$|@l)xyQ(Hsf zIxtw7^=+qR%qyv6g}j|FCfZt+(G;owPNG`jhJatw$c6V#4$wXd!|HA| zznLocW;6D~Ls07Jv|nF!cK+EMZOW~FR**pNh;wGsoR_42n|9T!YzR4xvBvgTv#|+2E}ijmi8g9l9qw%ngcU!JxFP$gH!!q zJP2^bPdm|Ruzbj8SICa~QxmRKEd@V^{5^o*9*KowUJYijG}im<6wN)(Zfb{F&T0qN ztk?rJLVTs;9B?&D>NpAX=2~~$QKKIXtKQ3K6$^B-rk?zu7pQkHvwRu**t5ak8_=>X zw;A6&ZjjJ!4gm&HCIeC;L+#XFtqr?6eB8+SVWE=GiHwj}_N*0e#6CkoS+5D&I9{2Y zdy+@&ZZkxkr!O^)<|S~%i5$RZjviROM&+lAqLXYnrq>#n9x%-$%RD|9rY_3$+(=-t!Z9`LsO z_Y~U9LMk0#f2(&W`;Fw9sIxcUTWPsy-91E*{TxV%r!aK z%;n?U!1Y`Z)9&2$d*UeM%f{6cR13@=(H65twV|+xV!IQqVduui{^@Z3WUsphj$Tfg zA=nR%BaJkNi~;j@V4BU=N2OqyBjpEtN>OklR#1CgjkR)}8JFC`^M|5J^lNW{v3EVp z7K6r=0J*mQz@XU?3tM`BNn+{N$_pY+!Wy?Hk=JzxsaN$MDdFE_Q3%}7jG(WY;O$Rt zbKaU{3Gcagv;piBjf5U4q2Vej-cST8Ud9Y6UU76P-eJ4ul`@N5AD~-9z;`3i8Gjz0 z-3*dhgL-Zar9A6^G-z3C&~g0gnOMu9<5k1ym+g_5D_{O}eT+YH1TU6&ua=pN#zW9q zZPHpuIOG4FyS6a(wzM^M{|-R0IIF>`F-;>BeJa9zO+sQt(%4pJ706*{ySDo02~Lc2o>8{8~u#q3RI*x;`K}hM1R8 z56h8>AzF^f^)SgGr^8Hx1~5AymJu?RhTDcIw`d~57h;2BuSr;XP==?c@8*{Hnrnn_ zMat}fuuG|djza_isK*+ivV1Yh;;2T^LoTg4+VPigmg)TW!$L;ihG#ck6Z3VshXXyQ z<3Hv>r=PdibJvWQ6gJGF5H@ttPE04nJ{~d5Pxb8$XK;{kuH3WvB&@0h<=lXJfRItq zf2QkLRKdj23t#(^J5)X3)c?a29h1v-p>sTpG^r{BuqHBopem)DY6Ua!th0MK&?r z4#SbPWq8Tufs|PT^|3`Z(QhwHw(mrLgS9Hqw!o^^~GodAd-%UaWk8U6`r_DfNX$Yare`Oh;0f zV=;ryX4qDX*Old$&3jvSQmLV~k@dn*Hcs?mUUN*Na3+I>d7XM{r>e%O?3-Pvz>{#d zTnMy9n#7GBQ;zEcUa2{b7$&SX?SH`q=uDw3J-?v*FC?ef^;>RU2Ai#Wok&(BXqcr^ zy_WP<^a;svHPVJh$W+rKq`evTguYB;Ov(dPAmg!Tbz)CGVZ2ogk$8OS%7cIhbltZM zl|AxIxATZfEAEZmPSpjJ06tysYzRji#^@M-ZIl59yxs9b0D?jaCkI(%^u1Ilf4B~tsqLlbCweU1y68#J9At$0A~a~`tf(3q>r^P@1+N< zKDzL)wT=qgX19zujPqg=A~eC;dSW9I5zfA%CIEtf zutN_uc;eBbCg$2(ExedcikHg>OlebL2r}e8=T1%#*}J9 zTCG-PfIU^Ha_G|L=XGu`vKMq8s5&e7qB3fEIGXM0G`p4<2_M6;Ly42CL z0oDOe8xkHd*e-1A5*N&}hzbK3*%FF*j7ipoU-b**nVXVCZx29 zoW_-NY^PgmlWAQdb9pNIGcaJ`#RftUPWCp=GOG^lnpdGdA``5Wj6;yV=9m>D-2I4+ zmHZEE>q=g0_$Amky*8(hPk**m*}=I`OJ4@(HeSB!a@Cw9P%&;L)f=TX37)k|H_DEOt+FWXJ@Fhx0@q|YQ`Tv zz34l7p@{SZh3S}!;$m3uKF7LW|6>fay38Anapptn6TAf4Qb0I4Y(`#*ME?V}gqCY| ztN#mme6fNRG_M_ybg+LKAJ{zo8PYmK0c)Err7T9YItq(9$b2(sk|okl;ai*`&}-#h z0pXw_K~NQFD~9D{uL=dMi35;MOG>PGqd5)Htejvt6%Vv77`x{}ZCkNihfRcoCoJQ` zEY+9c-pew;ahcZyw_roo>7Y2-KSS2tJc8G`Q|)g8jG&8k<{b8IV8QC#arY%%H6p;a zSwgg>De4puv5=mDHy3s45vaIL?BV`d=yHz@4U|WCI(x96#)v|L6i|Abp$KiOCVfhH zm)2=@3f`%LBAOp=x);fgVadJ@;9g%if(>&`zT1<49B`+9c?eiIPi#F`NF*$&8(+-| z^mSNE{c5cAj7X;@CWe6z3K{65>HXFXnjUeUcT>?vPZVsvkgr#j4Y+(@e_$Ck^qGhp zwlz&^-MrXyHTm{!jE>luo4kN>_0uSKvuZJ8mRc!4_8U>LR=bK>@D%8-~VCwyMxQV<)h%4c`G%HAOzj1mF@3`NXM166qQ*BzHZ7Y;MyACAkvusCLd%QZH+Ta>$Jcm}Mk^~@3t5s<81HTvKu8t=6QKDw+4|U)xfJF`Ojnw(%nFGWs>|t$lt~>aPEsdYMKq z+9?5?HUGZdsSbTkk;@+DWvx*;zhAKYn@mgUSigOwNc>{XrfVa}zd2@SiW8M9$0jiF zKdl7_J^d!`>6^ti`tzscai@0%Q3^KO3zm|&6SBi;Aw5ipwASnZ6^%jXjY@+GF+f;% zV(>0IO$VDcbdRRa?BF$Oit#hJYkJ@gBc1on?~n~Ppho``je8Vy!x9`@OiYST^K&-x zt=ak1;vKz{ql8h~U&HViOFx|tKXicHZ}W?Gz&7+!dg+g5qt}kZ(Yy`=QQlr|*k5>} zr~66|JY4di?dxbV{iY;Md>kbJecK1Tez$@%m);V+;3K=PT+*W!}1e+y~!m<^i>W$n~f zd>}_=rc~~uqE0GunI<}hy^jcF{dS|jy-YN=t)9iCkNoUvF&Ut3FQ*#EU zE8{P9ttA6nxE^MQ`b)ZX*14@hYb|-Ux^wpJdEC|lS}lExn9D&!k|r|c4Zf9 zf~0&02>{8YWK6Ii9f2%V{j?tcotRO{0c{fW)OHZhX!trC}5>x7HNU{@KJ)O)YF zxm8v;tQJ-{1|W{Iu&OSy@ndKmVL1@@wfFpeiL`lQ%i&ZOq=tMg4F^4j&$HOC32BR5 z!=ZdvIm=vlBA6s^jSmQ>DcqItMIZB-dOGnl$IaGT95F*Qu8 z^cYxHYO&T&r-|tt#B{iaOz9ceM<6mLr>5m5t}-v=oZ-vZkm>RU)XT_5L=t6@z-keL zOfYZF;+!gL8B^UMInNa>qI0LM_%lU3*-Y;e(XiFBb!-@_t)j~t*}Pdh&&)OC4j9Xt zs!E-()pRoHaz@lGqA|Bc=|>6@yK*>#y>)SlP3cF@GfE5^b6cZePBJDx(%XXnlfdxR z?9tf@W?SZ$%-rdG4>qH%iBBh*CzbTc8p&R7ny2SnJIre{3Nso40S?{WffwA>Oe=By ze@|xFG~L>pG-KXPh*Ln9EShd%a@tcYGDt*7XzJU;jKtf+91zEXI1$7tiFyCMd&7te zHh@D)?9y*6Wsx`5P_dQUzf0<^hvV=g1@U={V>ciUiOH$>^rO!UoY~8yD+P&Z=q&yM z%1}-xY{67qF(Zs~sdd)MGWbe#_DcQ-|I;%CRON{ld5#dN-=vvU9MtHR1;dTTzd;0iExNSXwFD0-vY zCI98!78r+s9m4zc+8yoUT;P7hx8ck?5=H%{jv^?`Llu1L(@ zFA2F)PXFEpHzgu}8GB7klTA+#fL6Svu{UigD7BiivS!m(7c#)iK&1`>(Dj?x#Am3i z6a!YRMvKP6PaTXm3zBUE=Ibh)yZknsOoo5MUBk7Xe=mShe_+q41eY0jmkugXl-#^( zhA4;}+_l|#Ry635Yi3aJhh9&SbP$zv@SDB?7w1zp%nvCl!|l{lIJ?a4G>$~E4ixCq zkc38T#z({G50lrz?NlqKN(xZ(#kkEq46;Ns@8ObcWV@r^o?Gaet0VJ!xy;^5#nddW zV&=qb*?@rcl#R24=9BOmrk27mu0%X&e^Qi;LA_`!pxr(@WInE!{XPYxBXSSxJ%SUQ z9Zx(02e{2VRD~#pF#$(VCD3Xa+qo(0+B0e6VRdAu*Y6F5@Ir*%C_>Ai`Ye91vooFg zeK;S{vj<+s56HqHUR*S;%H;ui4+E!dhI#M`Xxs7G+HCpTY!S;EZ4jy3ZP+g=?Njtx zbJzceux$|8rrMVBHYGbXwki#_=vJ{EE1F>ja#MKI zJ{s$qY8I=VfEBz@i`=U|zA+?=+%HltbBo-<5XSsSj3k|#vieQd5S<$`O+6v+^>RTA z7JI>GuJ*7rIp4V!@w8s)uo#!>Vo`UER`za5_L`qfFmVrYgZ-?T{k5@rsXhpOa?5Dv zmdmSnt?rVhxeMBsEvtC7?xW*;L+#rQQT~(bs%(w38QPZewd`f9c7N2Hm!47U~kqw-$ z(K6-7A7{)bjp@An<=?9rgFMwr*E|b}@jG*`D&8@Qs)-{F35T(6HQY^0#bIUjPc&7N z4L|)dwpm#~D2DqQMNLi4!1GK2fA!i2`xwq@{6Vt$8+zBZIDJT*gx-2esJ)E#@bJxUqGf9q{$y;@KiRFIZY5cuc-&iW;R$>2g+u?q1(XIn-AXN!e$d|HRKW* zEm%$3S&pT%XElAQ$^)jDZI9Wu*53b`0h)I*9r@4LN-2!@GD47%aG}**C)o%pdLZb{ zMcuKK({zTqT|olgv1m=WRNkw(26REOm91Pivtg|+ux{EJXIN zorrG0JZlC`cohCal($Yl{hP|A{5RnDt^=zJmL)-NYF}uRL2CX`34Jg19|f9B%H9t> zsm_1h>uLQ3`BH=I0#CEtzGnqX<^m8QXrjhw7?-LT(-`hcQ4xL0NY&}Es)0Q>Yp1eM zC#9&ufpCu{N`n7k0w)N?L(W4b)8XU9FaoX-OC^r{DmXGlt<@YjSO=P3FUX`!eJU0+ zhz~i+A|&I?4dO-*ai=loJ0@VDkf)83k1#h4*b6+gkiBw)xbZS%EM{f-Et4|YC#EyA zW;dBQjj74Ha2a9hbFGj1Zo~2RAT5tIod_L-pW_56uGlHAh6cL9za2-_%*EEAMkK@ThQKdQ;(OX7UVb?)>5~UD*fgs2cwY!FD6z*^I#pni&9IvB#N*b5 zhh<3VY$G+(mX7m`j^Os01E6Pk!cQ_H{v+-1IJT5?4&a#hk-;cy`uC*t?RZ1B^mIxc z#$razU!KadmB&AOjy=?)3mMByG|C^7VvkxvOrO)PhzLX4r-!6o4*pQW!b}bapr`uA}^VI{ctswIn7X*}>yqc?Kq4FN5H5aj-;RGyP z`asl_=@X@DBUBF|Hs}_u5JlO_%DFc}SuZeEUR@p+dN7#t`R9RYs?`C`SZ6(7GLu`X zGk)+cKuETh>jgh2Js*L1 z9xaU7*$7AJj9(=yCn0j7ZWo*Fb05##LgDq@BwKU$DHWOfR&d7y@q82v=2wnhaHj_G z91;%p)j=w}cK~(%CmXDHL3hB+%;3cgRBio^0BP?j(j-HSPJGabo$;grO{mVb1h7SjY*VV|N#wSe?0GMk2wxN=F%_!*~F2+25Ct#bDt1>ay zHhfonG6grKq+xz>=<@eTD)R8dd@QDkLiOME1+(EUhHoO*SM=U6Vy55-o5&@&${GIE zu(Bg*>nI=VGJ~{$$R%qHV!c-|W(I;S&k(-Df<6+~s#7p$4(NBer-xDD#FGCm5>;&$ zTwwe(aDtthIfIZe8wo`RMv5&20%lC07;?yYu7O{S1N&9An_b*AqJU6NIN>E3Req4; z0etWJn9y+$p|L};M?g3wxQ z;)c%rT`QgVLJYpBIcOnMypDbQS!dQ(e7=OJI&bgSIipl0Q7~`>kNW{n9gC6IOnom) zi%wpECfm52d<+e7>QBI{2w)wixDChhqQDS&?y_Hh#JUe@v~y#Jg0vY->D3^(8N{}}K3`jj>QmOJO6V)<9dn*IJMjFA~& zEGSy**#6Cuj`Cl|z~mYU^?Cbu_zmorX;uO>Pu3o5+$2w7G3r|+c9Up}4%j!5Jmrsb z9_SleVR0kp*~JCm2(OqFq`5eCs0Sx0xpX|zdZ;{+eYmiABF*=(@MY+`E~(#l|9s1F z?{!d*vA!V7ah0XMem!D_&;);1$^U#M%4Tb&WJ=N0A^+!yi|qz9bE9a)<0TM6!cNe%h=`zDkWo1Xlepg4{)EfBL)3x-F2Rbx5- z07&XxCE)<*GCo*7J}baqCe$E5FfxDnMzeTl3KG}|-=Gk%%&ew|*m)(9CYSQY*!Ih( zB@6zu?XS7Dpf?s4z+y4hRAL8VnY{&l!N8WIxyk9Dp`nv4ldX!^_V#q67Uu-6%iL4@ zl?pXFUQ3TYU!h-wZA5|JhQ1$b6W9zdD1L+yu8GB0lZ{!cZxnKrE%2YVA>lZ0$KsAI z;>!WGXElx_38xZ|cn(SRGPHjC3_EfDz~#Dh9%iXIXTv=EZr9>narmS>a#k2!AO2&Q z6=c(*B50A?O+*U_1_(a%YQ!lDS}!x0AULk#ldYK}F0vp;PjO zkE4^jM#_`9L?Ysd9mk3*=R_0!go`$Bsj`AI7@4OFj|c6>%@cwg4da<`q>tF-v~_IW z2;VTZGJ8VdqO0YJMWJ}ZbbT-P&fjm)$Q4To4%NHJK!R;S2B6h3=F(r{s|RN=a0q0$ zKRJ_<%RUCC7L{WPl$|FPxkjHZS^=0uv*lv?+m5^-N%DmRy*I#qqDD?5;zSbK%079i z{LHG4?dLFmO8vGDG>76c!V8+wk}O~cGYHDvI9F!G=l;QQOf9}WznoZY|I1#4xWimC z!e8XsUEP7;2KkG+V9$Xc4=I;EG6?>pCG8w-p5iaw!Wxb^ry$I;nsCBn5W4P#vM2)) z=vX8)mAJ5#Pkv6XgLI9uQlY3o7{UuC{c`eC4*p?JZFuO>U@}3rPuLH-VYuZ&4H|O3 z<=Rx@3(aNQ0*BgXH9nM|df;{+FneWDl>_WvlLx#J>4bu(PKNgQ#_Vs@5w49jH-Tnd zc#q%jc+)3yl|Rt0LYzdcsYo1`X5LhM|-B(e!VG>uO^!Wkq$G?*@fO} zFu9guYL?OXiy9S*oW%(CGHR#^n>-?Fb4j`&6j6nwro~=a6}Q%1Bn)iZ%_!>lmZe)t zoAwm`gV*stahN9#*9bLQJ+NNKg}W69V~V(FY!|@A!=l8**+z;?yiA~%_+MjmIFob; zENDNvV?Z048`Couc_i0Htg*{igoF$@ydU3EN}@FumBjflZNU`0$!S-?vh*e9U+g>d5!` zAVgWOI-62X$)rU+P#{eZ&J;ac1ArcrjWQVW57`Af;(cTexU!{mE@|t{ zUGg&agEUt4%|~+0TI4#4x6BXzLCPrmxkAc895NLF5Eat*7UJ|4lJ^!4{wlQT8=&r$ z5MNeYen4A^yR`k~Q*8N$7x?WimSZ@s5;@8>Mh>lSEMW(kw?YZJ2R8fzEiNI^Kjwm? zPUHh~{w%N$>e*CS2>Q9;lS5bJLqQnzQqB~k1onk0AAGTXrTkJ7wTY%2W)bq?Sz0@s zIC2k&eB<_2*t_7!suM8mcwA7&M43t54rYxsy%9509Gf;5SMx%*rio+r^f{}x< zD~%Y@ZdWCYs56$pyH!mr&G~5NCPkvUlxKs~ihmNwZ>F;u|C!dz!%J&*)L#2WDe$Y+ zQkC8$Sebs$cce2$5`(53YUT=*;5SsQzc;75j|R}z;r6-olMsg>PNcNOX!!1ETEIDH z&1#RG=}McGFv#j$d%gnRI{78id^@##!NV~NHkL=SJHgt;w3;^F4PjFoR;oLNBp(FU zTvSR7_l+=~x`jj@Ru_iP*f#ybAya{3T4>HzOk#w(Q)m&ps_{H1#gn`7xNgOe;jQkl zI!Jhm6yZmaCU~q!dVct<=fqIBci(H*!u?y;S!P{#kD4mYV$g;mM=)Vb)MYAI-%jA zgHQPl1n39}f}h6lLA`=RSxuLhSc9%xM}Eol=qH&2t|-jB!07`u6r+=ScNEl1fpen> z%rZ&YectrV9Jx4PK4=w}tkZ@$Q$^&G=j2JpM|Q=FwSR*t|D7+MRZtm*+UM?QWpf~t z1D~b)wy*aJn#m3pl?pX&+Ho4YWcFhjZJMj?Am)h6t}^2p^8Y2iM#=~z&pZU$bmkH5 zo5sqrxfVLiemM4h_VgR`*3$m!c_mLI3Nl~XQ_&Ut*1hXZ`j{UK_0gRXQx5QCoQn&_o$F+J$;wk`zV+}{e$dLTLwz--jvl;?(oDVZ)kapCp~nGzT^QikQ( zpwTpT+_>J8SnBnzUg`g2SDN21n2SBNL|nI8XF9Om^Fi(eCqV5q#X}|Fb(k3giaF>u zaZ%@}`k9`+ngzDg656|m?7ysx1iuHM5o!qQy*<1`c7z4|Q-K#;1+U1!Nqs>kMizby z2r-vv^$~js5EJzii$l-F5>a@8xjG(g^=-Tp5)0X5U;P-Z#UAhVZy^zPkzh@lkBpiVg&j9!FrlXT1oEd*h0Y1W@ zcOHn-H^>2an;Rgj-VVO&|Ur3uAGlF~2K1PVEL^E~xzsVVYw1 z1g$+2_lSuR@XWdH5;wFTpR^;+h|KY92Woa!>EDmq*|@&mI{pbRO-kjO^!ht}NFP zV7k1h$Wy$$AkCL<(sckP$5TgvJo7Sd_)LZOnfMBC@&xwZD8zgr-{s9`+mkH#$e>Pe zY+ScF-^h!ajAe8j*|;(3rZb0k4OLr|z9;RU9F>sda$(gH&|6kSw$eD=-UavrCnP7! zu&y6Y@+hI9h09PNde-2;5AlbSUa9e=vL*hoD7abr+?G|NOH*gemIb*smLU51*1QPJIP+l~zi`q7Ce{oyxpoX{!qMqnTvRnX1u;fe z(aLxH3cH-7`VNJDZH9O3D>z04JoV&MQfVG@SzhzY@8(27^-v1^Em@6$(TXs9qHSEO z-*2hOu&+>2AIY%0d9O7?wKZouQm2-=AU1-Bjek(BS4NiT`WOa}&WyB=P#b5lY5vR} z;KyjyXA3iIs%tP?G+?^`WV)rRcgdA4a2zEV`b>+vO~;O~K42uskZjid8r9y8h?m(b z*7yx~zGUNQ&bpI@g-34;<} zYO0b*m+!~JLgDLmN6J24$ zK7AUax@6|Hg){f(6TS}@BEQafLqjQ}x?)gU`qh4OKG?*spKVdvNdZStyXRES_enfn zGV*zD_a}UBE=0gi6!V`-iP3)z88(L!))IbsO*N2WyE7-wcsxkt0C%XFgEpqDf0yR@ z?O1@+_Py&V2_pigiFDhC6J1~@wo%xmX#XVve`Z10zeF(}=CM1|>qUQY zcg}N;8MuoGX&y!lW};aDZ2w>CYbX6hkhmAOcFIto$xYgAo4CVCyZaxFqxPncnn^)k zBEf7D?77Pnq#a)=9kx(9;yLtubv$UEj&2nZD61O*Y;g2sj43M(kS;cHuKy3kX00dn z^j8FdW_5+FO^6TA7#D6N1-V!Nz7850haDeikT8l;{C34=#i@l)k{B!N8x@0EHFcFi zHcgKLwixQ%Oo@gbHa?*L2_j-%(48*`E?1bxhX#2up0NN!Ip*EsE-#Y(L%-da*9yQc zVwV^5`C)H?+-tBdgZ?-}D+h~<(fEopN6Kn;10wDOz<9Vj@aF@BOViyxs%!P#eD0Y{bJ{BHAs5S)hRw z{-DR7u6EY_e~tuCtO|gj-iZ;{Amx~XAD@=wz68*J)RkyyF>SWZ$!xjlVLlqvj%e@QFyS~E?jb|L|9KBYy#S6OmTm` z_~=zwx$${DADuip{bH)1J-#`(b@2xN+S44te7m}NI0{l>h%s_g9hx{?BS?aN$4G-I z8Kzu36eXL-5ow=0Iu|iX65xXdDDA>WbX)x zYtxWylV()uYF=5uVRPy1_$4}}7Tp7BGFSeJ{C%<*(dQ{qK`n4Xf z_HK7cb}yln?*3My&6wQY<`X*&vPnQn?0by3QO0J5bN3rk-dxU%A}jx-;e7JCoT%xF zjI9}qjR?};^Ht&MHl zwr$(m*fut}=Xu`mt^2R9Zr!?7w`#h3rfR19%pA;|?q7Fo-t^Uupy)3s=pU0X89pHNRw1d5|+2cF+gj(-~+r)|{J>Rw;GRXwQBfQ@ z%t!1|0tcAEgkoGFa7&6h9`{e}%zr+LeHmx&h$y5a+I^l`yzamYLw0@#JT5Ly7I+21 zP=IH0b^tu*Y~cF_%v(0NX(aF!cu|Cdg(asQ(6t}m_GfIN+ShB|KfUsCJ77dxl;-uS z^{u+Ddhl1$EH2BVB+0MtA9pW3&p!d_MwDZ<*ksg$6VhG0pH%Fn_H*mx4QwBLYjxni zI!uvQT_%WYFH=PfHXN>m{uE&P?_D5*YU{6A3Vh_uXlKtnB(MF>Pp^=I31^^+z0p>S zKa!=Qw#hmYrnb~hieJP=#uVixHkvo+B-1~sfjks9`Q9>sjl@@M&O;74*9?u3ze44a zkROI}2hJm#4pUHhGl)&WCyiGsXfR(e*e8H^H+=E^AZwl}weWyx^!!JBK_t%U4 zXCLJG-;IH7f$M95ob8|8Mal=FU}yof9C7 zMCBU?((W*vY7#z`cW}cX(muE%986C5A{tCi;d#bzO;xJ^Vq^0IW+Lx#PtL}@7W!lM zX?55A>R16ifIgt*9bI%ywb8smc!jAbfLz0}k#MDok48&+O8VxlrDvMJRw3uwmoG;O z*{3^STGyIpMOOz(A)U93F4A>=Ps-Y`GeKTHvOD8VT=o~=wikk`4t%oQ^@i#Xr6q&4 zQcDAC)yx{4eO$J>fW1KgXo66&4kjR~Tt##E=}r<-rMsV3B%!bIH=^Y1Q3hBdqE!&( z)G#w^0&6P!|l`d6UAuXuN;%XlnTQ{Rvl#r~FZUB{p#6NL4R5Eck zuhe6&@Hm?Pikmg)(g*D6PIv(%X|W*PFIcJgQk~PN(s#e8)#XZ3C9Wo1CZ!VxEp}lF z{_}*~wD%V;r2sShuo+e|^JVN8JhcF`EJeI8aC3H!y})Th3Yv4vIjad~wE%hhWz)NC zGWqpTiev2b#<|Hp01B%GiJoTYoRb4%T>@@CF(ssE0<%IVX-aOIp1ofTQqfX6T$VEH zc_6FQ=>UA}k#xfa`Py?B5b-QdsfsH|2*dT7u}dgxNKF7dMyaq7Op zw2rfg_8X)L@n(6f4=u=7k_YCl{T&EE>xMG_hQLJC~tHIM@G% z*Ep!CUur)~HD9oD}zZW|RoF$U3%LF{~Q>7dzJbkjzKG9(;NN5q*p zd&6@ga(}=Pi4`;sk8_NX!$s|<=CqJh`KpG;MT0fe(DaJ&1)jig_M!0w3I9I{d6`PU z(ABl^9=ibf)e}kE=?|h(tJ^Z#%{my~kcIK^88ELz-_<%AfX5zs56;f1LD$|tq3-F^ zV>IC?*?kC#&T(>gmWjdcWEt~?J7nXGGgyVe23P%Wk;lI%ej0 zVt|WTv8Lw_eNT2lvxn!`D2>zTt`Nm2W~sVYqR(tt>2=9VE^6GA)U6Ojeoz`3ffKP- z^4Q=;X6}5VX+$sgS&h!4#2020mu4CywtsyqAzWKSy~K@u$>|M?1>kquBqrr_aQ&ul*D6>3gL6LJj?SVEsb) z6McEMdk-e(3@+yZF6Vo&0NHLxsaisGtBEDI$2Xp?D)46)gHJIc_#`o(Wi}Wh5&8k2 z|I$SHP8>(Vky+>EA*_H4o8HT5eAdk|DX-1|f9iBnm`Poi%}e>pJ$Sgv$lPmkaMn$x zC-2rB@k6URh>Q!{QJ|i*t0zFpV*@gwx_M3)YolXkVtf1Ax4Q-1ILaGoSv?)3c-*yk zpE1G1kq94*MgJ)s!dP@86eEh!Gz6C(PXh+?T?ZCm9AYa29pbk=s@+szUuQj@psn!( zW-6{s>OsAIqCsZ1#LL>G_>c59#n&+U$Vq0tiqO!=RMh_dhO>SSHJgX$%cr~h>!S`q znUXl%^LDZWJt%YS?_j*n9ckr!sGqsbK?#xmTgj3BD>lrK&DC@;bl63{nw<*`5I3Dx@yjO0a24(w;Yw)RwP44fa4eF!M!bcg*;<(lIZ_(@? zrjLhJsL<(4u8r8F6-wREWZMoR6jUs%3K>i0jk**S4071atQ9qk6Wlyk;(wD_x=>S!zd7Y5hH#d(8bka0pxT+?yfU`z zeqq}1vnOW#^|jr5yH&c%&MyzRV#GyuC`V{OMtphDBmQYWk`4tWfs};58XjxLVQP?1 z-8sPoS;N9u2D-6<7W(f(e>;LA*# zG?2|glP&RxTwKaue+tX12@^9^R%cE^9&A-B;_h_E#FRRKni3|5m;E4%hsrIQo*!n+ z%sIie4xOD8-cmzho^kc3$LogHHtR8gS%BZyB#VD*m7f>n=9j*P~%)_FMps>Y=dYJOb- z|57g=y`9}1qMVF#^~&hspmPdL_(2ZP%NBd)@yA+kyLdroEHAwc;Y8~Gk2gyIQaLi~ zbWuP-;bj!3g?k0Hc^vRLDqkIj*fDb2>1>8to%)$JX{@FHBNzQUW|hJCxI8v+;-4(^ zMs8UM^oo$s&CLq&YOBQ9i`Ethe#z-b6PB7L1cpX-rxE>rz161LdTk+})n-bHvJArz z^G~ylx@8XiD_8%j9^%?ZCvbL|vR=UZpxhw68V^DC)hDrL>0*4}V=(b3juL?^2-WV} z-@BV>f{3JC%k>z6;+7DBKhb*EGeda4Kr+4E1*J?abm|?mFv`Uy=>~wmY={iL{GHyu z9MlqL`dcv_+1hVG5Y4fFaD9a249Fi6&V zgq7#-)=J4m-B0g#_pgD=q1W`jfB1B-4cpfToPf*bK;wnsx>|5n-ETudNGC#%f9Bqy0BWK1e7CojrjzYf0bjtSBB;$(G>2iM4HsIk_P17ns@?M zreD+#AyX13#A{|mxZgI3M=rvRa+QRZ9R=bW%znw%6)C86+Rbt7JmiZEc&KNF(a?`- zBa2V#58O4p?}`#P_-Q)s1s!)L;wd|$C+fduB5JzobuL0#rpc@|J7Bc*`u0oI4?!zG zSJK}P|88vE6EqWWL|>nuGZg2b&$3Ua9=8VmT(4{Fb#zo5*YAbWauN;Y*55Vg__sfJRa2B z%>28Trbfidc?J2#>-phMydAt4KZRSio6l=R<#*EV?i~~~tP>Sz&dLSBX|H<#q;2)d z`Sxf1+cnn3o46^VC$h_FxB!1n;Giv{@Ag@h_-FW++e=(nk>pl%CHmmgsdBqYs%giA zJ*@28&0w-~h5;nQ%lWG;L+_6@F$7uJm2c;PaYJ36pE-}0MPWjF z;TzM1f|-u)rI*H0ci|Ds4K`chcAUSWh>B_5x3Ae&h-cv~>_xZT|yFwDN zLn(>yamP_hd0wHZYu{>RPj9zwUjDDTZ~%_Q7}$_LUu21sjzym~(rVz6;3BsbPE&NY zcvV8F(z$^p8fQsM+g{t89e1^fVBwnC>o+6egxdzI>@Mow~nsbGjd5U|3tO89=o)=F{4}<{@(JM z@CA8aHR=U*pFFgSY%N)z@|X!Uq8<(XmV}?8JzZrnGTO7Ve?T|A;_M0p%v-yl|0nF? zI(w8>N9ml!hP9qjJ?c*$6F1kZO7RWS5bIWF7M_oI0e3E^$wY>Jjbbn4Zp3S2^2XZZ z)LE1~W8sLXXlOcZZgL*+vnSr2Vd{wS1G;{-T~J#D66(N5Bm?$!v0B|yG0)#1(FO~v2p3ZPG}vFM-S9<3lpDJH z7bK*+8+sx6gPsZm2O?r)q~1Ho7P0+3b@*l{j1WhS(d-n75~+=zKNL!)A9DBTXOsz6 z4YL!{bIcu5C9}>V4sxk=^SGd0+&b6?Zh_(Wz6fQx-77VFjd{#3lO)s6)z?kB zdIigUizmlh9}_u*_rj?qNtpZS?3oUgeC&xi^PJx@1w1-~U?+Mo(wC_g~U z2{GRj3i-XjLL}^13A#ao)V~o4U?Ali?^;zx0Bl&hHwGnqb)8nlT&w2Ua zrT2FYEC_C4kn(pQtj_tBR`ZReL^B9A|B_TZDUw%|Kr;Rg83|(Pa&R7wKh^cz0y=R6 zzg;~keiFSYFrw2wiN^Arm!=Pe7iv5#mPoY0yAV+p zC!BxL?O|*5(W@W1gCw|uqs41s?jhJRs2G8W()f!`{^9*!bbTCsJn1y|zu{1@rn%^2O4{n} z-~bAa*Qp@Z!s6es3bDJuu==qd060e-xc0&Y98MyrP}W`Jf=RaGX`NLL`zShWno z+GELvSdbjTgA?Rql|#lWO)rw%=-udNp3Pn{Yfl*2Eb%>G)0yi@CBSSdL!Wn?3Cvi? zGC@JB?b>YF_bGk7HTU&0vH%ylLHy$xq6asqh3D7#^S7>XhTu+V=O{2XGIRAJ-fsL6 z4>(wFJaldq)#x)6GrmrKu7r^jX{AGwTI!?gaZ=HRJ-8{=0Nyb;bOQFzH{Kpz-_9;x zZ~n!mzfaz^A})!~VQV6-p))ub;VN1Cs>ht@?j*=)CB!`x0fWgNAYSsnZIY$yFQ8NVcPf=B$4{_dK1dH2FxV9n20#>5A+%XP1s?||NCpAV~ z&ygl33L_$GiL%n$R&cCMjCm2#1(MN@hp}A0yD+tohvHIQ0MkM{ykr|uSc5UYJl(yX zSWy*v2m7cn6(yuz>5s8w`-SSa(E`K%PV1?_u^Wd9Qtp%TxD*&MmTmh|Z<>M@KgtfW ze=kW{OwgEWuOsqTL5^XKvUdEz7X)9&)#s)KFgQ~Or}xj!M~jb{Ei0y!ef??dq9r{a z(D`7#x|FLTeNG9*Rmi%L2bnG&ATw=(%(4t`ITL&kc6Y%)Xcev6xP%DC_B7JcE|+#@WAt7gZbTs z+M0d8k~p*@RTxL|ATG7JMTmR_QRvjrT@I2m@u94?E>zaCvv-21p-OhLdRx5`97ygI zWMl|*IwW{bbUI>H^B4{*hr=|KY3Gc4RaN=&GWHrLjhTg*=a`ldf*Jzf(-oIs(DKp( zOuQ2(5`uSoy!5(K_c-`b=OZ_r1_4Z!^4-%E>6SYNr(^-vL={2X8ukbFYQ8#{#Tt== zIi+7q?7f0cb$4rfaYFSe72#F-L{G;6F(fce{NyhvpOamZC$x&%b=Qk+TtaaW%7hJiV zq`2Yx91G~Rg;Aq$=Vo2Gzj5a zV^k0lgg+#>2N=9Wm`J2n@pBY-lXTgfnLbgtf@Vgmopwj~;q~z)s!%9fj)svbZ{fbM zZ{L3Z9xpOM4bJ^)18yhiAhX_ZT6KQn zo3Ts5dqMky3W(-AatZ$U=PH&W53SW z)B5~qpSYImD6LmMkl*iTG#`77^DfK_u}_Yd_Hqv7XISKP|?u|Zo5h5uRK}m zsOWDE2((u;##0gX3u-D*24X-1SlOy!n3gnnb0TGBK!UjTD%)DfGYYE`#7?tv)BYCa zPLT2Vfal{UrbEWLqW{*Q@86OsL-+`i4nKL|VILypI z3DtY51!%N2-B&JZ0i^31NmJ1@hD#dc6*V8s-BD^RKNopnTC{~ml9H{x>~d?;5q$pv zrQKb$9F#s+s%rQ^+CfdrNDOn^#(V#d*Z9zzN>-3zC6+`3ZVU+j3w!R$4CzuBV^h8% ztv_^d7_k(6WWUwFDXa8FZi}{3t6or-IAP&PG&ef%+A^v18YN1m;Y16`%GP$0D|U2i z&EZ53dC*{DM#D%di(}S3R@q^}oKKBdthiXJ#fCUul%sKCu27XaV9h&4)Ii*!8R`f^ z(IHYw%k)_!Ywg7i5wgRh!^w$^P~5PEHEk_ed?#tQPUYqlx7IRTE&d(G-h{$iA;{Sb z_=zep0uwflD_t8X7=S;BqT0HuMtdV9Kw19_17ei6u?jt~xyfj>S)H=B>UVK-5*EMF zhL&M-)!0J?lr$Jb?71i->e$U$zC{~m-nZ;(%F~M`2_)RR!iE(LW~)g@IMWFc6=lh9yrn1{JJlvYErlGVvZEW<$Q@Ln@6nP2PF zW{Hg|(f$#8ZRe*)$GgWL;9yaHP>H!R-|1LK(SW^3Xo*lVXj-`}gBTPP9?IbIvU}AjK7p=`v@zn5oSz{$YG-h-@Q}-~vI6#0a-eos z8~yvsJrsYaAr_}oS5XTZp0lDg%ZpUyiJU zNvh8Ci5h6TC|w18+bxPjkDxatT@|Yh)|o4c?C%pVvJsJo(_&EZUlvd^ARKOX3+iPD z9m+jP0f_#Q2a9W25IneaA|kXcDHz>2rMz?D4)4s0hFou+YRYvyDc&l$wBF7m3&&q9>4g-~&8r4U>d~_)*<0lM;n=44nL!UK9yDRwDr#BkM4>UipAjEOU2^G(VBu-ctG)bd(VY8RIgk}h8%@P)A-jYzT6ITjbqcz_hQy2O)Tg4A2#tvb&EO8m1!QoeBF7&_zJ-AKirQ zP~wqnq`)1857mCKKPDiA%`<43mT3ePVy^~KCiS^Mhu62olMD=t$#9*qQL&5DhYs-M z5gy`wwXjA=$}rKf?hE%}fE0M%i$dkYlZXi|@1|1E6^U~M=DrLI;hA94q=IR9;KWBM zz#0Jt+@_u6|4e*g%<62-Hld@o-Wh?mSlW4U(+D7O0w7KVKs6!yK6Jh3matBYa1e-2 zUlo0-0gS^eVz0NX6ct?>UUuI^wfUWpL1$H*UcZIp0GlQBkQ#VfcY2pFx7r7oRQYmb zCT^9jDQLa7P`xjK6R|Ez3%=ojEzkR5*gL7}qYj2xUXaNtQClB!){+s*v-dK$D*YyL z#gvgRWPzAgzm0`Sh*+AO+q1rkj`y5K{Glc++8?Za94#qqG6R%U3Z@1Wd zBhNGbK_7k8xGy;u@C(-Q#gPTvewOH2Z>7c-WP`4&Umeu$HT9fOy*;4{F(-PjmdDVM z&`m{xRq?ZC^BY*O19fD=75Ejr(8_Xd2lebFBAIkgo__{*KOIoek2!w>9{Ud+V(hzpvgfVazQrFTRJn#h>?&;*M1DiACu$)IlKf2BY zYmUr<46ae@KI1WnFd3y*`zL8ixftW$JNtBrW*?@+%&OR^Rk$0 z(&)X0Ab)kO_B`16oqd#;KiKHPs&Nn$Fbk|?*t7SN7&&s-M~YwWYXxD)hdYCj}=0u|pL;u0_PhPMj{(Efp$K~&^yn>TSuIER@jVUkm1 zg~7^Yly1$pB68w_{iUw4n`U}xE{`1`^QALTAOpV3*1Q?m0p2VV#ovvOC`Bly2NA9m zO_`RfIsMgEA-m2G9@<>w7Wzbo@a>3k!*DM{X|ICx7M)^xr^=R;iI@;8bw)p`^|rlVqN2%xFtdCH;;_-Ltksf1MsE5 zzBVz)YkBSwMO{3xzY3yh-=q)`WVu@_$tC8l9O;q(X*5jj8UrS7qQ5+tb;4p*V)~Of z2WW&CodZ;V$niyMFL9oQE*CvE4cLu~8=ERb4Hyt>3DVnudWu+HFxfPQbo#lT*RJ5#; z2$9Oe$qz|OCt6bd!odu>W?E*6(;&7o25s>mN-9JcWKM)}fyCfDLzby2W0Gmcyvmw%J$aF7jl6>vavS387G*!Hys z)#OKPQi^=r1zWQ2{2x$(--U3STT{I6!S&ZH|~tZo=QNg z@cFDR<@GC8p{dZGupc);)1+NiqyRzsnadHC8CSICQ|NW81ha;xs+&R$_vKvF0sx=y za_(uNn)woW!6GI(>uL0^QDQ>gvwg$@SXkL6ut>b;#_@lWn&I*e3CR&%=Lv}|eMC*y zDk9VP`FZV=SmSx5pWzz!pR+c)LcCsoXqjkYSXYM+J2!RdZRxaJMwzCK0S=7?%0wgf zqjUX`L3kQvtkWNgjDvsI_`eGrWnUTF>=KMEOvP^_#2-gr?f1@k<8ijffJr|<%X{w-nK_i+{el|V(v!5r?ahVoUNx8i~2SvBr!VX@ZF!#UW+G@Ls z<7KpA5{iXxy8LJ~Ui#*Oeqc-W4Ef>WOf3Gys%|8=1^@K%yE6qBB$QH#O%Gutfm zk+*{=S*}0(gnd4lmMw}yTMNhh$RS>?hm?oSHuR(t)YjvHm4f-tk5dczj3+=3{oh9X zoRU1|hVOqRTuvwc>8RwR!kSj7s@kkjcUlY3(_;vAsZaE*&Ba=nj=|XXc=LC?Yw5dx zUAkF{*@^=|7 z`ri8CwZ}bd1|Jq!H^U&q>_~7o?;vUHVO>k`3Ds=b70Hg|7?d30IUEmgh3+yF;GqYm zXkLdgAH93?(jezS3c+QyvS%Vd<|wv8qbtb_=A&LrEK?J`H@_D$l0pOFTa`4BzjaRk z;aWYjXWgJ5@2bu*-3Zz$TXv~4$8EWcXuObXVe8legPv=KfXKI=z!UvD+PM@K5%`qb zD8tXuxTuil{THU%YmLY9o^7K=Cgk;Y|9yW!KHR69d+ZfI)F&l>d#sclg+LWgb`fmwYW%UwXdV1$(fKfi+6pzdhBpW*!uG z@{khINNyCrTRsh(J%RGL)Eg&p9Lr#~j7ty{R}O9q#~YVgorX@-@2vdhVAZ%I0`?y8O+~%sSgh&d`qc! z2r6tgVmZ}!(-~0olDkX)i??`?NHtz*^Co77u9)<^SPEM>9m_3Lo;{;(X%|aVd7b%O zIEUOaC<6dgO|&nbNa`yWI3zM&M0?5d%X?+4k@A0G1-j?OXLYgA#haKLJ%)scC6MC) zl;zURS|#GzJDopAuEGg@X&iUL;Hda2Ob#g&u4!`v;%g($e|1hdy8cvKF9n@I3W z_R@k)4gw8g`Jo=Dax01!@5WVBJIO%%Vc58Msq`E1XYD+k?nn=j(Udo)2yV;2QjYtw~F*r+GYFhf24Qfn*B)9^3;4yU9Y|ySlu7nQw(bgwV z1@H_iW+Zz6iKv`E-y-=mz;eMFu}P{uq}up|JeXMuALZ9<)R7Br+|a~s5E2jhD%GHQ z!L1kq$#I4x zh$|X~D<;teAm#8s3x)%*kX8m$&S(ct1V^kU2z;w?>|p5)@{nZ14OswOey1k4R9b;` zJ?xy_Lly2NOXJitkw+*TJTAz(7s`652XK74X}2GY;>J0#&0P|T@zhVG78D-fL)oO4 zf-$+P358k5$Y`GNPSJ#_m2ep-z^~8`Vy8VKSqz@kpX-5PNkQ!x*Y*mxUT@PpK90Ou zym#bVcnTCToje>vV;oYqv!^v~l|4NGNGYiNf?c8^U<^Y2PfWx-U=b;I>wofw-iF~z z_q=MDD>%GzzMWwKN`WQ)V-iI?vvcX^M=G{RTtAha@W5q&F9<3BGR7AxzQ`Axj7;D zo3!Jt(?qszo#=$8(bm-{w5S5ZhNHD|*Ukj#BirMo=TGmon?v5x&bNJ9+gm5xc6ZNe zvNh|j1WiPGG2^fBLmv#9DK9E*EsJ^Dl7HgDYQOaolkti z9gcQ^%1xNPgS~sO@NgH{l-%d`SI+20@>@E+^g+yD7Rnzl!P<#4<-aKj?4aiysKryf zKukgX7llaO?O49IyMc+NY~#OV${3mNoaI9dUWl)h=FzO0hqM>X86rxEXyhrWXySag z>Y1gmCE>3QCgUQy?zo2085rU*nb={Fz0=)x(qlCGN#kIbNX2++daut2Xw34TGgoHE zw+R_$)SBVly)D1A7+l(rr~LgRL-|{sL1mW5_qwA6)k%EVivAN3mPfhuA8#BOWa7tY zx-itu`M>Z8wyXcXDN|8y1^f>;%L8(=H*vXvUOJ|O){>N1*^|CB5-mq8rrM2orkj4L z;ofE^)VSBXNn}3})@=pG^`qFsPLgzwfaW0xzoU$`(X4PK93B={8uX|c?DUkjszpIo zs~|5})V25NSlxcAygPU zVGrZOjN6xt@0!ZA##S*TV7=(3C2sGGt9m1J0IOO$=4=jRuQ?$-pNHYN6~{#~6Tt>X zcJ`=K)5Q64EMC6WvbvM=qqCVIuC5---B4FzY?02X%BfF)%8aPwj1l+NZ{~x{p?G6J z*v_s|Y0batyM@aXlmrl)o%)yeDODE2{IWG>EQ4Vp0Jq>$jzr-FUe6IO! zk|vg$eq=}WPDJcGJ4zrfeVF@@v*vxs7js4;tK@C6fa#Wr3V8o5A&NS{wra!6t(Jy0 zxoa^!NRFToM;;BGwIVw=$b_AVif?^BEzP$6>rZjsGXnwdg!b`$;bhDVfo6$2Tj5J{t#%&=`Tj5BxgYHE;%$It204^cguodq?M!8{ zR(<^`Fk!ZU;Wkyf#KtaU3OZXg2s-5r6ZJ)DA(LpOUv3|oL(+%YMa-}2tptsryZPv{ znrl=8rdyp29+p}jSKfW_@fn^=qZ?-=#5`BlyYTTWBx{paRRge9@LRVfwU~9-T@z*y zuZA0CnT0mN`1!9H)+1WmUTxbD&7a}D%L~Y>v_+s&=>K#r=@q?DZo1z+y<&(DlBUDf zv84>~64>VJnFVljKotHyzn&Q2@g-9aD7O&!O#K7+bmQ^p62Nr4v8Mm~<@G=6?7kVT z>X+oy&ENg|0iaQ^-OkbTvDQXl8+kpvy6n1tG1G`TF4WonQ~1mCJX`=q6ND=SBj4x; zpz5&lVP(%|-ZmOkGz0Vd`MU7**-Ey)55Mm$&h6IWOh*aEHoLKnw z^b7--SZ0@4w#W@n#RdKg&&VYUmd6+So36>F{=sL7$G?CAn4No_^cWY#IUs8iMt+-Mbh`h%~h>tW9oaFwyh%n%#>NLcR_FL3>ZiZ%B0Ef@5$d!DNE0QL} z2`fu4Wx=QE3CIW5` zoF@5v@v6WwrJc<1vw$E9|6&&1^l38lPd#n3sN#KBJrf$Us6Bq&S)Pu&bs=Sb^Rn-o z(^r0O=q{Jk(U1F0Q!wAA=^Ok4brQ4hr$c0Gv&d z)$%f5d?Sh)os7r6StQu?c-pd2&S5oJo1iWF{zFscTVUVv$IYnse1ZOi0P#ANSR66!x_)gZ^66zPak_%-j3Ff&s=7#5gKU zV#t!*aTg9?+fp~Ka=TEF5d%0oqX?8gGb>W$JUm!|(?Bof79*oH2b^!HBt>7!R4*Z7 zO~B&sKUU>CN4x&Xa}ff$+CX?F7MGzxbaJEy*X~XlJ~%~lBN%|n*pIo^69>1i7L2g> zsRRg63y#nt0d1O6$NnCzj!lhG#jI1ht(*~D0T%_PE?vb;8MfG0>xYzj@Wm{hz|eG1rPPVL5s`=LVTiaUTct0>m0q=N}_MxRXat~AN6hY>aOKa z%{1|BO!$#S)k>Ap0atrJk?#y}NFRls65Y~@2E_qEb&*$6fL+;ssmbVFEhB96kW(1p?MB0k`8P7_{>}JiR3iJwTX-{NC{EQg_I@!! z%nj&AofT?D$3zNN9aTuC^xTKrGdt7ReRyh@cdo*K3~p+%T==BEp8)%ASMQq3JDetj zVsU}%EB#NeT3FbJGxWl$Oh-7)XUs$1p)n|k4qrDQHx3EGCFAv&Bh74(aN06+L)-qH?>;;*+GDBy>Me_vHXx1+v%xQuBkcm9VdP>_}~ z7@}3qY1fY~`e={QPZ5P>i}%<L)`Rp);JMjw{)2a z3J5xaS`5#E#M?H}2?-$*-v5vC3{>>L@~rm%MV{5qzk8nY7XRzSP=QV?%YR&lp1AG`5=@Bg4;Pe+6d$C7e3J??sx<#hlEwVL9_QxX9_HH3s!LLB?uz zsOT(cdzSyjIGztWH;orHM@>cJPu9lYi@z4Hb8J{t3j}Al*#FowcjX|7mA;v1ql!uL zEycw{jYCs*Y;ARsTV8gn_Di`Mqg&edyXWVm0=e)JTJlBgnF4~t^ew|d#NVv(UoM_Jr_L-|XOulBBEkV#k8dg? z=HC5Y$MXgo<%2X>O+V-!{I&wrqCF#37;x8F_a2719u~J3-*x$BJZTB5)d<5;Vu)Av-Z{ zcldPsREu24wA>FIr}2Lb5_;()_dIrwDwVa!S6ylt-IgN)d%+!5EVJwQvJ&siBM|un z=OKoLsB*!1yFvG}JJG^;|4>w{zK?c5R?w<;RIUyXJEW!z2XS-a+f>1jP`Zy`UMBlU z$l9Avyj%JTkm&`v#X+t?Qj!a6MWGmMCfth*9iQ0_oif0vP|fmHHi5}nkR=v1jL|?v zGG{{@;Y2iNyWpif8NxJYgGq!#=M4?CbC(XlWeUYvbGdoBu=vdK3)5DyQIC$ltD0m= z1@||xrGi8)$?`73`n8PduQ)8_a=|-fpxpDN8an|ld!80*jU>uYMp2XGoFEKZLJY9D zTQ{rrFv2tHeCFwOV+I1VWH2BwO9cY6i869>ATT3w{uh|-0D;-8^1r~$4hYPG6aEEe z6hL4m;{89s%(U$vU{>=VU`7E1W(uhm*8c)CVOMg;V6LdT*T04WKwx$>3CKN!8L&`qJW;jrvukLq3%Na0(xeffP$mVZ4Up$gNAxhPh z4O;cPK_uyHYUsdDWzFV>XS}sBGM;;BMBdc}t;$FOoPx8(Z|dsMmdc7!sEG2e15K-3Wi~H4)4>sRgmpB1YLbW83DF%7`gUcydvgKQhM^ zA@sPjR-MyjV&0gAn!{aflkPS6N$6BMDvM)H8e&dA_CRP08?%fiNUP3g8}W=xjws4Q zD^=#)rn`w0$gdlQXqlABk2lvjPNE~z zGcs2cBf@{;nk#_~T^M?vYQi~F8dhe&ix&4SVLnEvlbCSmAao3ddvpN*Pa2r$;Gr_B zL_=fqj<5w ze(Biq`}FX9r);qK3uwooD%6MMb8XrmSdS5$=x!O%EpctsdLu!M_YK2BCww_6$U2iU+EXv(a(|SH+e^WE~O{_h5|4BcXxL!?rsGx#fw9M7A?@y9s2#fw)^hxvw!UKkV%G{o6I>Ub3Z4OOrpFa9W1t+ z?!Lg%Mep*%c<-G>f6y1yp5SzdGb9xmuWqd=JJxQ=Q*k_C+88o0Z48z;Aug-Ji-*Xf zXHa_ds@0?HJ?j~qzmkxc@>cQmI&ZWSA~AXp6uN1l*#~kpwiXOqC#JwZv}(K0h_}G* zL{aD@F)r85&OYgSj}SSpQ&8$pzcMv2a!m6;jqvt}XxmW=apaTHQgM z+n%{nCLt@d4qC$)o?Ggi9OvLr0gl4zvfom2P4 zAR`J3FD~ih)!}WUh!XN@p%_EpNaBf&F2Jg>yF+R*c|&N?RmtKNl|qEsOfY=udm2Br z`3ai^Y$dOCz^RvW*31n*wfh?~0GcjEX$m`=&bL58HrgPyp#+iPiAiiPKPX&c1(-x8 z;Hq?Mixge78d6n+asN4FDBnj7tci}~dp4-0T_y}$>4BQ6JTr=%Q(ol#rBzqet|5QP zM7XTiN8#@*vZTsV^r(UMfD%7M$RR~sMqJ}&xyBed)UIMhTmt9}rfP=u$$BVFb3Gfa zpw&1W98zqAM}{SW1o49!E{sP8RH;4%Jg94JfoQ+9cuLcb0cMOQ)bi2nNSN+*)#77_(gB$|DWMI7r8rrCCeqGEZPOytyYmT$KVsrF8e2=l(H zK(uA1bAneor^wfelaSi1d^7cGH>kQ$B&ISdrUIqru{_F(AD69(b0=6_)oI0(!~_Wu zwAjgmOkN&<9$nZptWQ-zfLhge%8W!F(pClp__}E65Zu9i@tDqH@t7vFh3?2IZwcHIjZqf59uSIb=4}5@4op1^W-xSiTWUD{GoI%` z&=|*fYfaXo-cjakqhCH+BO?8>^n=hVxJsmm((hb&kOZ5%>MUXGXI|4+jdsQ!kL_5BuEimqX?$5BJqlBKoawXLt?qQn zA$au=BtZ|kfg<57DOvOks`8%(r?tBq9`4_vggr93?hEa26;>U*nEqmW6n6V zrv$N>0f)avjwGC1lY!Dn3cCdnc*#xvW23L@p%2Q2q8M05Nq)3dK(o?aRei85v$&Xq zLmD2k^h<7H=b#ldvF!M=-Ejp9jr&Bm|OP~D{6iAY;>96^FHv`Al%?E0Lr zMP`@y==$-hZAxw1@{f>1E@uaHn|?wS8zRyL*D~*7{FwGefZGLvR(>PRpE>};krTKdSlWK2OO-&va3*8WpOnXJd=n3=cr?QmINXt1Cw^HDpfjrQsKx z#A>6qdAnzvHK!8Xb^0z`{8Ca&XQi%F26%C5YEfM!zWD2b(;9)lpCGAIyR;*e(x%*p z@9(_Y&Kx}cCoy(SjtY_Vh!_<(9DVBTk!gOH z^pIENSb!qdLa3g&TS|i9WN1L1tMyZq2$#QhDae6Xkdql(FHkxDcF&uZtS zYo}mFWz|&49$k%YeDMr}X6mWc)K|JGGfpelROnCI7U7=}HCDt1y-iB&UtaEr^1Y;_ z=ne=l+aA=TE-V-@m64A!5`NF6NtYlmW7SBV_vouK81v0McUyor--?$vrU{n zZ#WL8)z2EFMRfUn?EmBEcXSbbU5@fZY05J7(=Wir5mkM46~n57TngQt3l0RQ2lYg} zP!SmLv%RqW8?Z2We%F&^d(?VhU|QOVodcqltY_uf37R%(n&id@p16E{<&Ao4m~y22 z`;+!A{2<2%o}e*ujbUl>o~5K-#(eiwcdu!sOy{Nr^`-ZlfAE!zzI_z=CaNkkv{#N2 zVy^wi!Q%O??UI+!^uup#thC`a%>NozVmT>Ehmd;|W;?Y192HefBdg7(98FZGTaMezY+--Q$5Gz?z-?jgYs&fGByxn6e5pk`_}CDa^IexA z=h&B8m$^=Ms;6~;=wD7($F zP}BK?mg^Q<>B6gVDu)SAN`hWwE#DaC^C|4MEvSx-`7i7`yj6SZShQCyc33XtFoqlu zR!AE7;}1WDSS2KrJjgw^%JNiLFy!F57Ru0UuV_Emg6$H<3hrZ%D}=2K?&W@T%IYTg zy5DtH2*>wie@uwuzfAi1K4B!G1k<@xvga(-SET<74l6=^kqw;W{QgaCIweyAA4~Y| z^nP;Mo~viqr?xk86taRr*se2@@$dQLCF60eSq?fC4DU8oA0$2%%!GWm*xpR&DtO?l zu=34y6}@GDct-|b<;Hr?zS1;pAtLJaiR3fWg9;h^_9+!}S}D+Hipc-^Wjfr19^Q9i zJniZsK~R7zE1hC~1ug%aL}++&bjnTeh(L8hH~(`TqmXYi!P5)ld_L|Lg|+KwxR9Wf z9O*%1gf%=cMeSDkd(mI#&1;;CN)vODfd=hSjx94GH zc{}m?P7%`U%dvu=gI2L4ggEQ$`_EVd{>xl5*c@K+C6+Bq^ZO-4LP`#l_F_JgNAq}a$p3L_=0$3$G zirmY(wnklSn82|<2XzVYM=G66zuu!`Z1HGLO!)pmu_z)KqcRKSYDDKx%-Bc%fOTFc zs)hfeb4;+sVmbo^Y$U$>Oz;9d&OI1Z zyjz*Wipr-W?aTRFae%+B8L~AK;`1Ryzb}C!%r)z9tNtYdMTl4dysulHcT2O?SLl3T z(%DX5Qq;JdY}C<7)j3`_;1SwpwfE zntzfWRXY8p?fAOiqd$-?ru-CB-oSmNhF<*m%kRnS$2(&tO>%$F`W+IX049Y(Hgn>l8bg`>;ctQivOv<{oN z-+P0Q)JWr&+9lFujjQXu4x7@VeZPm|1lRHx-}D*CjT;Q+NK)k0kuT1BIy-;NyV|be zf@F0TYH}CZPnbZa{X0KV{4Sezm$JnHwtbAQaF5&8Vd5H`-6h0BLzhs8@e3@#A-Yvr zp+gzat)cq%&Rt9OOYZ!JCcCqtdGwjX^NM!APHj~_vibXwQiwygByz)ZqYV_ z8_j=Dg{Y@##dM~0Z{FPd5NTYX1u8{(Sc+UE*pt%1{9x} zz-OiW2uhAxrh+tS%gU^z-loeTW`!9@ccH9=6DkfS$Ot@fOFcpMzV}^UP9{~?=n!R7 z=}X=dXtm}jShT5*EW=Nh_39G7s27v7>Pf~I?oKAn!Mh^4heHRGbAZ_6F~TMqLy4E6 zlgzh?ui=u+X^G|FU(+xMfC&7t3o{2*T>C2>IZr{X6OO#u=Xo4*Ok0T0doD#p zNVoH^SkcMQj}z)j<4h*&EXQBmPDR%qm^ZGf3sD6ZqO~5koJ36Wwj`2T_Usgkt(od} zR}??b7r)DisLBsBt1hT62s7g)>(Dw-yD>xwO6TR;vqfZ)Pnna+WZE+`^>skxeO-9F z{-nK>t7fIaLmnh=w_1zXUYf#8KRd-en4VhBlWnG`uuP<^*N4+}q!Hiv7LVuTMC{@IQR9`fYyrE<-)}WB03meMtN1 zzZEvwBK3&&<5GXY1~`23#l6ZO?fIMRd6<8?Yzwa2u6WVJ_s&S0HcoggT5m86|Io!qbm10-+dfE&zQ1)7y;q@fvZk4i->mVbOK=lw(Z z9Qw02@}&vELtpBT-hcW_Tn$o+U`QE=|GFjn{H64i<~Ob*Oi3Z<;g`Pl8RC?%nA|D)r@yFxde!`iVqv_`D#Wa#9iD0&SIO7dwrjN59 zm0BaXMec~D;g;N%pWXD-Ai}0)x2kr4gYU6k0A&&DbtwgO)1_u1N@P9i({YL-TOHXf z{(02D{X+?R!{pwTIw6E?d~`hA4z*<8#T`fuD}y_=+y4*aofRq6ho25C?8_MLZ^k{x&VJ6mKW&#dPHC)H}`4_KJS z`$?=2Z}eN$lL9>P5?O%V-NR-S;8ul<8sA7U`*_h`@FKgw>W_2zOU<5n zfvnfaKiemXfm~sG?KeyCm*dt9k&0fB%H>nb{4=XW!N{kA`pk>|uvMjZ**|>({Al;- z3MLyg=j$}rY}o4#Y*NTjk+kF^jOUFgNp;)ci?N{kN7wzIX1I1`4XBAF%q|h5bwXcUU^e z&Q9BujW1)}D`PSei0<@7=bqj#0yMX6?p{h*d-@?GN?0@<#U+{}ldD8!PJHd@|gEPd5MgV|$fb&g?y85mr=T z=TGbjNX)lVxg9T!SY(%95+_v&9gJfN++}H&dMjlO?TkM@NQbzYg7}c(D8{WLPCI5) zC*EVZVxLnS%eR^m)t-8`NE#_*rFgYuQWuOKJTlR)*s!mQ@S=wX#PG&MJ?Sg$&TDXv zGK^%&#Jz)fhMN3RPPDy+`Lwm1gueXo;rau5WWd99^7v=$@lGb9B7O)WaU~>P-bsvZ zj0s))!uQ%d!CpaskAp|m_`#Wp+`QHJT&{WRg_Gmf?b8;V8;4C<7uTJSnDDOj2FM;e z5oE1b!=;zc3l=x;PsAVjq<&HaIGZd5^_JdWhFlvypBcEVqHR6vr`)%7AN?loesDY4 zo-gthjkx@+wv1?u)m!f?dTV%+eByC{^sr;E9|~WC+VjSLPvthOr!TL&6!T(S|3r2% z;Fj)70_g@=hjgRSkTk4#!Gh?+^xu#%XH4d1pY$bDr(o1F9ta6QNGw=+mqhG#-jWHr zZZU{Wws7(WULx`5Iede==XB=dwuWIKc0u12Cy^VkwShs3rKp}pUt9OPj~_%*Pdcy3)3w$YSLJQNc@eAdcY z#VAlSQ7I=2yIv|$Gx5QmQcRHWK2@h<70!M@IxHJ!3G=N28BrGz|6(!-E(p86Zc#G{ zXn#aJJhWjSd2mKQu6F?bY|KZx>$eQI*tTTbQAKkumyL&>K;7sBxw9g=tVg?aDJ3)U zONt+N92jOj{&=%`Nh|v{bYJzJ^(lVud#LtZFV`UIvEETNZn+BU>Z{<{wBD-Yq1mtM z_dYw!@}aLVxsS>&|Gmk;tA62lPdV8JodY70Rw8W2)tEmU&IRo~G10;rNS?WwGJSnf z>qhe5(AMN!L7XnhTwN^5yltvKAMXnl19swVFFSWo{><^aqx8WlVteSehc#x?x!{IY zBPA{*d|ijmC#RB3IQnU^q>>CzS(I?6iWPpbaO-0XB4gRvv?Z78{SJqB!w25M+aBGX z9MF>^b}3PrffIYpferilflbafU1sjeb&ERNMyO5{6BBLQFHkgZ*4M>c6NN@^sl&yI zjvAc;{-vvJYX5YFewvTY2_hS1JH5hB-!cOp?oT+4ieq*paGr=G0%9JJgiqXPp8UTV ze*cWp!OGnYTf~8hqw1JErRo@O=(c&(nUBD~ky?f+Z5L)4U;N=~PJpRf;f_T0J7+P| zH$VdC%%$h<>}c(c;h%M72O{~mg!Udh5*;!cp^*>EWQ#uC z(v8r9ObaZRor^xdQ`9P`1roMHtM_)Izj?}4t!WG_up075YQn07JvBGdnQ0AXDuXLi zWcMn*Z)*Hx|HUN47|{M}sA11$Z|!@Fx2fr6-TWC*x)Bf!-R#?F_VsNV-n9HG_w(=S z5Q(wE?beRy2wz9Y z!BQkfNvrcN@vSKEF+SQ#aR9@Y_Nq$6kYlLv~M4X{Z9@cb_Wfspmt`#_fl*l(WD9yin{y8^kLITa|m#Qmt^B(uE_VMvkl#k9R>solVO&*!4LUr}> z7z0ba`7zb#nZC_OmtVAk+TJ3zZ^_!|9(NPGj#}K#*1vYsD{onAKCDkzw>RHBp4u$q zw%FBVscu*P0(rMJf_}f4-uHooU5SscwXZQH6hki*H}+<3TbDmXP&s4~_5U%9MbMy5 zhgL8)UNQb7+WGyM98Efi9I^gu(Nz&l{r+?_)p>N|OhU7Bxq#JG$$T>m%Z)6VR8-^V zjJ{jPQF+t&ABC-?+NhZ6RW;SprkhiZN(uJ$2$2KprFlgk}qGx&rRSBX-3&#)J| zt?V@QadpVDylC2;w-hYsHB(9Jo%(vG1z8i)dLj+Cd1?L}YuyObw_1l&OkXLPmNf(T zI3r>jl~lRslsK7(G(-LUj2w%QuDJvn;(xvE`H2 zz1678;#5dO8JU-g8HPd_n$=?bPclO&>6>}bqf1-sCJmT{s+tS5$V96|M2z>!hnAA6 zL;{K_!d~kp6FF5eIIjt3O0hRQJ>$ViKdox#w%aNBqSGy^9A@Ryy}#h7*fpj9jC81I zedu6)7-M}{V|_Ty;n9TP;BV>U6I?;W2V|6Yo#(YTL3+}x|XopUc$1Q zSm_Px%Bk9@gLrj@5FMpv-H~_^+zR^(B~ERUn;P|K_yPfrKnl0FniQ?!wb=?kJo@=a zs1sX`l&zj5dVjxW&SX);L&LYBcp52MYl^59UM7m_EGDfTS&Dq574dr!(v+EDt`z)_ z@t|P|AzJwufe}(2cyFW2lC$l+#F=)Pzq|G$+;?{^GwbXByF@P@R$x!nrQ~c2Rsf z^(|TQ9Yxd+%gP)}ih2}}WZ-jkW9lu;vEixFWmGZ_q3Z92Lmd9xe#JermU`tC;i~Q( z1n4y^c51_KyEl}=t$eB#iv?$1Kk6>^>&SXFuj272qxnk7@+=VtlWBzvx%+;EU!Ia` zoY;v39>LMJ6p?D2=m{Y{?X*FD_$PlxdQ2m5j!lNfjq7APk(fzoMb>`Ahn^xEVwBKN5UVKHR^pAbl zoH%e+@78#f9n8IkUENi#Hp?AmUr(>)mS@OctN&h|_O|N1x^>$AgMh!HQ7QqRRWkAU z8$2sq{y8V$T1VBOkD(?y=`?XtE#6kljYwz73Dq;ANV1G|(zPIr#+EUd$V73>aJ0v> zNoNw2$7)(-5_}-puOEkY^GU%1vTLx}DnF(v=@)V zp4CD*&VCqOU|2ZZuD{oZ?cUrkp1IEH6=azbs$z3%z_z~37@85*%D zlkUdGD78we1P4{8HC?Hj?gk6bs=j|6*BPeXtrT(Y z5BAgI@72qhyir@^nZwe2LNr)sPrG2xBkADN{MUN}|7s=cV>6P8k2!%gckAufJ9X41 zpfVkA`dV)5G~O`%LuGLtZ_UK4xW_&~%CPm$@F9?qr1>3imcsflCY?n&a~z7T)7?he z5}M}Zx1elZW@wa}6>wb&v_+ul!)Y%nXad=qL5_aJ`U<)Ro@iO%TJrJymg=gA^* z-J5~-)s{^IZ=c*Gr$hygB8JiP8&BusWQUzVWCmHc`m%T~5=W0so$(v4)ooysN-79YW7u}NIl8~&P`ni0&iNw8xv=lz7toU9yEMyLxT5f- zEs;Ggm$m=ItoTauo8k060s1Zh?dxO4OS+61vTJevkC_lu|xVUM>vBdrHZBe_k#b+<59-L^S3 z^RQQ>?w?K4bRBWwk+lK46D@0m!YFFEU)P={U(dOHJ=S?=zH#3e+0wClLJ0V_f$r@I z?${8%`^P?Gxl*5Cel!zFe1}mKzDFJpWvPI|Mk?!Hutdh9931DSVpIc@p$-h z_~>_1TuUhDZr5eT;vVqg^|9N?$XLeOHH__J1BSI;SYvf1#+pjZl43BSw||dFzsVJu zNxsiIp#T^>n9g;@bbtLket5cn>J#AWFM%%FDa#< zPyx_xJ9D{tFq`G`y>${s51frEdK9%Sng~P!bR!;kAr3)<9*QC7Q*di=B@Q8@*)h(k z6W!!@cQ1R_zamjg44PH0_kV~OLnE5#V3>Umh6urf!T&@)unWuoMaT8`#oqB+!ujvJ zV|d$66cW%)PdVM`Oj?3@ZmxDFnfcH9|8!ot)2!{VJgim3>T5rvzxXNpgNs6f zRGQumZ0Vx7x9W1(txD$zT1;+E%gJVpJU=9496yjb$3$&wtW~&qKVDo|oCY;MB6WVQ zn|@cun&PMg+y6<}l2OIrJ*Jg1La$t_L7`P0PkDH$(g%CFV8s{leRH~RcMJj_7OP_B za%iBRJJ;qPo^Osy_N`;>ILBh6rFckcwgszfs?W-n%UM1tue+8${kXr|KP_-!S~24b zuR&W15l{^}%Iw9T8-JJmwQgO=3U)r@;l}Vx{ddIx28Adr936p60bl9HTS%AV31^Z= zW!0T3_z!MKW2*XBB_-pNNxna9WJUO|7n9KAiTQpCu#kz*k%_X9_2;_H`C|~Y2Df<7 z?$rBLD3@F;YF!ANEVc(eT=gH*F8E{o>hY{8|sc4dwD)pFnC-2SbV2 zl?0+Xpy%3ODaCrF9630?BWTMYG}{VR6{40IonC7YSdvP$UzCOowKijYe*?BXVwwXX z^kVPj`qvNi-M0C%2>P^2c<6o8ZfMi+`vQ`S2~Y8l{UX927X~O$I5?P$Mkm@qlTYsnyC71E$741-Wx z;t#T)zub>N_1hePfA(?G-K8SfluhV-()5HFd&W6m!`w9?K!ShE-}C20bp2yZ32WJg z@3Gl&{hAO(funK54KIRlfBzpZ___u$=YFF()rNs2D?B;VPrj4I$JHFjw#2(o`Dq3KVU$m$K%ThE*3q$l0j~h&v z*Qg648(O{Obd!GK+vX8GKYj4_D^8QW5XSxGIJq-FJ-%`Hc!y^jN@#vLJka6d^=){7 z+vVqOHWt?}hkDx>`OS&}1wC;mb023`MdY4fk#=03fO@5sg^le3(e3R)F4vWpr`wkw zzdjZW5NnTS@>m2`RYpUOkubpFJDaWt_s^2)FFQin-c*n`GW_cN7*MA@*#6^q?P?yq zianUCAV1*KN7*mOG1sj9MKD{!Q8-Y;ovWcmO-rrZ&#d2fr>{gaf-V~xCKPE{Z(CD` z&!PQVKfQ^yTIVQ+ell@`?Cu|2BfRyZ7+$oPe%}7Lz}T95SweHbDJsfNKc1 z+qEQ8*yd`V$TwW)232lfDEh4;MqhwD+eH@xXq1aE;g@-5MP}Hu3()OowLLMSPw7t1 zZbfsNX1IeJH26KPKfw{^z^feKE-w5JEU2WQc|B|GwdtDWk@i%#1BVe?GLY171Xj8n7gQ zpq++b(}xS3Mn#-9xQrh{S>d z^msp)gAyvwblw6R*-NGZ5y9!YdEWMm!&wez%O5{(=L=LD5>(CBTY?IY?a5DW2oZdy z;erF&g9;z&ducMEv_WTPZt&1=!lDNs!PO^KH{>pFy7&TbrXXBA2_Vp}yDtw6Eg4Wf<*tJK3GEx=~tf5EHb23c? zwxKUwMn?(rz^t{iZwIO-sFv^BL*12v`b(Ac$T%n*IzX8 zg?ThQ7B95C);fM{OCi5Unbv$@Wjz(&z!C}Y5BP1Z`RQm&)_`bb>)rak37j{pU0*R7 zbIm2Vq!!;+w4D9L!ou;Co) zshfq|r3`T<`fNyPVktVe$gJs616sUnUKaZYgT*LXJMR>D-yt7*z~=W|%cnP~l{4{Q@yMFlh|NLiGyeiPk}#Rc}=kh%VQxwI3F^_3Gn;>-+Tq zxKTH*7T&Uemxt$_uS88nb}4dh9{Gi>IbA;XeN?^KJ#caEpxj)y(j_p@dIpU`D0VQw z>Ah&Pi%AwaetE~Yv5Qy2vMajv)UmrRy#CZ7=0J5$bPRV#=U~SYhku&ud8f8z(!{mw z#%>hE0cYquNNYSgk3sX9@Z^s{6u$ZR^}fvig;=u5ZRJ0_c*t`V=tGw&;?V+O>6-`gMWeZ5yd z-JS|< z9i#|)9i&f4vxb=QhL|etU5o0@?T;ml-#L5&RHxI`&}1m7Rdu|?u8Muq+>nW51|m?2 zJJEtv+fDFse)*&DmUsyhR53M$P`EUWkkbJ1@=5yVp$JY>ea@N` zL*goNpt|i6+#zo~F_URB@i(~xydFYSQZ}Cfoue)6K?YyA0U#_~6F{1!2}AGxWLgnu zSnTS1W3Pyy5e-p_4QY1T|LI_tvhSUXbQnhCs&?YKCh9lgR9Qcm+G;!)KUyt}HJB2I z;6?61gmDAMM`W87X0WWHj83bza?IGx}3)i!D9-)dOF@AzxJ8Yz(WQ& znGT!~68Ry8k{ws1-QLH-fbEP0nVJ{}dO5Ivrm0qR9~@f6Y;p8;jW13rTbiF;VN7WyVrC6;p;m95)WhX=zGppdqOh?4}>L4YIO=^mcl~~@R zQLroXw!k7HrX?rSh1pot@o()iR$F|1QuAP5j;I`Ojs7gl5XIqI&aRGC*V^<9VmJWh z9)XA*%C#^Frt_7gIO_a(n7zu|E2#~nmv1?!qLXdiGLN#n)l;Q-{gxG$w3QSJZ_EUS z__sz&X}W0_%CrP17#A6c$Wp3GQ>AjoZ>3vKYiyQv=gS-BTJ;o)cbsI%vxpVHsPzjO zlaou>tIXL;)XjZVhwrc*rBxNxx%gD|Pz zd(lPlkfTzhNgc<8$0`&%+RFI8ncYcUs{V>48;ya_c9jcsiMwShRH&6K5el}g{ZdnKa=>mRm# z@$Pd?#nd5p&60Gw-zRf&%<63kmv2|GtOoroDq=0aplqF1(^O|IGdCM>Fj~;HENji#)bx|n7jJXEY2_5l1!W6oW>KOF=|c8ei6JMlvU)py{-nmu#wkOCOVl#g zQ7Rh~$MKPu!B1na1ZlckVeM`oZxYwZqjhCdiR)y_ka=+>msX^B47|Q*Mynl?<%MeP znjV!HI&vEn#Ut^|k*BE{1;-geFoQyCYIC)U=m@J$&oNnemOP^%S00?Mt~INi5fNi^ zEf6_THw7%xV;dD`;h{cO;c(v;YDIs%D8p{O;M`1@Q=KTEl_r&Trrh}tT7mwwG^uifLU}UOVNR&x zymNb0bW7KoB-Y9(smMp60#VcaK9i8xI&zcn;Mh2lmm~T2*WKOoPCpp_`L)2K+-GtQTUeWOrQq1v z;0_2Bj`lCti4r(4yyvh4M3ESlASg7BcB=Xp@iCb<*Xyfy0v|)v5}WF5`;cJuc_zRx zD1OKh<02?V&=KhM^y0()aIacv;|hsIHStv_yyBi0AA=M77?A1w^;W#o@7Joi2g0ki zLIxJoANVK0SpU6uE(lMMBV&yRNt9g{{IfgA+(`VpD}z471Yk|*U=h{{@KnfvG7LeE zC}1WYv_W&d#HJ#~5a82y10-d!fU4mBaUw}p(@=`Nor3@iPkUEyTxg zEkWXA>E8i;W#Uh-d%_WHJlQ5T*|AN4g@`-j|C-VM1Hho5X zZ1t<{^oE@frh;u$djvt&g7l0*F*AuJr~;@M%Q#G#43L81El}IL6CWVSOtAF}(75d4 zD4=EOLciq#*)f^mvv-^XK#zFi!J!ej_omevo0^tIvCJwyQtz(supXOPAoH{bdySHS zK&ja`N{vlc=Rd>U>UM1=YYQPszAx7z@I;1CubpO{ z9uA0fM9u*fH#rCpt~G?a2VkM)iEor<>5gEM2di3!+bUW>g=Z+NHyL5(8?r8;QfWI;c0|Ix-8v8hfywr+;xIUF4*N@uIKy*ryo6=;1*u5W8B8k-2B z0($LyfaXFYU>Kf74F-3PaOlAj<-`}mnE_?Nd@0nikn94)`^Vz}+Bj!juWn-#VpO%i zyJ%B79r6*8pcVD9^@)luv-)hVQ_@N@U_k?7M{mKnw-SiVi}!3)Z@MG(6L+PoYENln;U0^iYh^0fA^ra!ebW4(Yl9E!QEWIzKIg!Oex;U{W~ta_JRT#yFe`hd;MmYu8D zbOhSb(Asy+rV05T5z%S75aL1u-JtMHK5yc~qYAmZ;tna>}N zzESV;_+L8WeC;j>@)$xg&&!hlFNjmr_lS|{;*NMIp6pKrck;ZRSc;K->xG5SkqQZ! zy-)}asoNX~o7ElIHR~Djr6dsWP=jC zEok1Bl}$*YdiXjY@8=3U-XSCAclqvNBodr^ydnA@&st+cP&=#cZL2?!+}aw7xin&C zo%-dvH*P6vl~?5B!S&FwT1fwBg_o)Gcgc zVh|4WC7l%)@MVNEJ!o{6lf*hpj9Fh~Y+}&hV7Swc6S>+Q7BPqr`L!tkhfsKUqVW;E zxjs%l@TzP`h;qo_;L+ZI2h6L{Jvgt*5p>o$A%GB=K92watW^y$Zd0}D9XEHEr)DUT zr~yM>h5(`X=a2>-?*~o@BSUClTYd0MzP;I1k0O9I!1I-CFw02Z5rrd28xkdwGC9Q#L2h@QFb(#iq{LCN7QgQFG~gR6s*#Y5Jr+ zI9Og%390AO$R5N~xdO&;gn`dVwk6751Tr2fIb7iogCvUW$+lE$X{Lf7$5aR=TM4?C zwH38ED-12$EP?w5SJrS_Wj{(yLa|kNW+Ig3-71#j>8_dJYsDuFpeH~IO@5#@T*%@P;D+hpA-B-L4{I7WZD`~oQV$-0*KX{$^2d~Qi!fP$sUv2$^ zSAzd7UdjJ^ye9sGSAxHIC4a@M_&<2%;Bg0L)%**uz?c7p*WvDe@S4~Jn6EqhFJ1x1 z7U;hGb%L=-0e$_&EBPy4IRLy8{Ke~QtN8zd*NK0}D+hpA0sybE|AE)Py8DY)yptSc z`B~%NfOM~Ty#^W^fLC^DptJFcSDfc?G{%Ylz^mmycuo8lUV-NFiq}l)2>`EHdawk4 z@d}jn7q7#en3n&-E8z6M;x+OAjMs_(4PIj}fP3p-c#Q?{I`I!)WB=kc@gKa#{>AIf z1XxbZ7({E)BlPh(0QJ?iB|zfg`S2tQ_3btRM(FwZkoeW3(ZOiw42g@=aLY&JX*aV_ zq&c{$==x?gI3^Qm<4}+?c1Hntkr455cI;R@XP?al!`4s7g5yy8Xu-h##0M@e@(r7I zQm?L5j_$KDT$S0Y0~`xOloP{;1Vcn5o7u06z*#Gyr>zy~P$6yi9rpceUPBq6O6dQ~ z$nOc~ba1B=qQA91#v0t~3ChWGZ-<#hifv<4moea*ruB3J1E}~Qkgk^nwPXbgl2f$oW30|LXHz;&Q)@?RUu1}raoo&{~?2LI4peLgvP?|NYJYduLd-p~CYgbE(5FMe7! z@x~9`cCHJZGDOFveGYJ1n7oh&%q(hNM<2yq+Dsaof)D@)YS+v{@aq{F2*mYoV|%bf z8_`ESYKc~Wvor8NkFougRFM-5-tDb`c`~^H^bK(f>;%W4LKZqb?o473@mE_2fFo59 z62}brI%-s7OaL(*N?=yOPYuC?%n8xm?FRU!mLD)1i}V>H8;J17a9~&~l3@ddz+eGj zI0ta1gb+3X13Yp9*4xJ*4u5rO0qlVp;5OB#09Dyxd~SjxHCiY#o+Jl`Gw5F3T-2@M zEwREg8Zej(@Du+!--zfyCnc&W*WU*4Cldgd7cL}jb~xx9;k8wqTW>34zPh@vfw=(l z6`c_A^BQ$Ggn8$JMeU&gFU1rvA<|!_713C}GQ#O+=HCbg2cpQwevVtQg2?;EEvtIbQo2asPUR40O?eR+6;Iz!^w%I~!Me)cgWS z_ugHE*%y$tN-jE!5)59Y8`C+8_aBY`imGwaF*Kfydka{dwku_Y9Sn|@>fh!9IxHzz zdCbE~SRjzmJAzuE?;@)X-DqZE0p+Mx(^Rwxbj@fMdALe|jMG`G+kgWdDzzfgU7)9! z3VRcm$B}Hu>0VKjUTLk;F=78d*n8`sID+=y7bkc~kl+M&f;$9vcXxLW4k5U^ySux) zyF0-N?ksvH`BmLh_tdQ`bxzg&Z>>ucL(h>nLx!qWqDtIpa9yBdc!>lI|J>iz00R zXzgCYmQwyBos_(C(eJ7v>AY2-L`t?YbkYczH7HF zP0GP1-Y|=B)W4iv;PnMzfdCc4OAELtX>+2e5q4I=fSqV?uj&WjyL>`IW^JN|LvD0{ z#AMY4_xC@8zX&lpniuYQy)QM=BLMILA92X8r)SWQOVs;h*w+0?hNn7B@tgOkI{_Y# zOyqhPAJ_FrOw`|A&F-Ehd(a1mOxyQyjt73DY52*-lyAta+rg`OC9BTUdDh@PJtBIQ zklz=a%x&u0#p^8mb(S4gAlpWAP1JingISIV!YhB&Dh3WpC}39&$IiYS+57~Qt@N;| z=F-Uvl0Z*SV=f2=&1ePS;cu6;xcJZC?2vGIn+u_k-U0CM=~18<9UewF4Z50>K90-&;5kY_LNG>Hpj^g5vVAOe2f!@iUl;?ddj0()4FC3~0fc^%2asV8 zQ0sQvoc{uz))ZGosK1m8EZ@gWp?sC^5q)>-lup`34xeO-X}^k5LIBX~Tkw7z>mT+Q z+JlZR(5<1$Zz9W5{UC>bg<4&z^YJo8j$i8^?k+(Q<61()t{L)Z9vE~x0P&SCa2|Sg z)yjG98BLf3?JxhzPKBgt6mfgg(-EblC6|wV`3G)>>=+hsu2iu*c|fyd39U(JQQxZ8 z13s!WZ9zrVad+gWe}wqCkuy-}#4}ZF(mc=; z^A=XYtUvvw#p{tK%z!sK6gE7-BeQ<}zY)`6VKu|@Xl;wGgeY=ISMg1Z1TYyaLmHjz zsx$r`*a@B03pk6Cbl_-VIVbvd)eS~YH$XUnD~t)m4d{N?(mvR*nw!`abS^KzRK+(> zws!{`0JVVdkG_4gcp^85@U?(KVt0BGMFTe`!`?0MIe~3jn;3 z>7NRf)elj(zUk}(XbX&1WBkiW6VhWIlF>CBfTZi@4q%i!;OMbOOZ|lAiptz|>;dcz zmcDlse%fXkUj6{+qc5*mFw4Wj5LQ3vz?Y)O%fx)|AU^#+MXkE(|DslEz{|3J2X>6U z>z;Q2;35RbctF$|UZFMcH)Ai;)(!Ycg9GTmFr5K? zh6FH`etob@@!7w1{r_sJ8mWN3Tg5{<{g8SH&~r8)-#DB76QLHb_enM9KZTrs+idI^ z*T@R)?}!Ywvr#ZLvT-o3iLc<*>dD~K3mN|5(!_OPzDN@a{GJ=#-zo`za3s)x?Ex?f z&Yy*8WVO$~O$O9R)?b_r+WrP`>~um8P`m%e76AY3pB>3^x&0IQL;_}}z3KZu)IP#K zhk;P0=N~Mr`3IPUhLS&k@{$VQKjrcdxAp>rcxFJS#7EW={nseiZfrvT_7(qK%s}B_ z)uWl zjHL8_=CxlVmnPZvG(JWuEr(0HL5Rh%RaiyxfY8v=zJCAgf9%2nTDT%`;E>ARLKH+s z)`U1f_GNJ50Zu3}+{POqYM|2w2=<8NI*+G_4T<@kYf{3`u3yfcS(g8aOUuj4$AGv5 zsJ;KhrGISkS6pKKD=q;bi_fKH_78%vuC8|-1^{|xG628;#ZDqQ(KtiYv+qX&;KaXe zD~m`~aol_{!P09_fxz3Rkt{s6Q?;Ae%_$2Sn1 z)gcB9CsVSUh2)0nlU|1})PE%3e+B*@$@hOl$(Qo~jpX}Ijm%2kz{M5NT>e1F8Cg5k zQ>a+>p#G$CX`%d29hRA>OOu2K2>LSTe-EKI_5VkqS25y0LhpZsUhUJ{|FuHz<$r|U z|7oFDmgV|CLhrwR)c***|Eq-F$Nvbu|IJ64S`<_qK>!Ot~^=0T+e(9a4|P)jpVY znI=+1Rdb^#K+pHiyB0Skv{U;TK6F?G+_E8{1QioQqCya~6TfnF&1$TJ9{&Y3XWbmL z<0vh1#~bKfdin7bIETBhC4vUUwM(vhut2GL6Jvqnjlfkwxz#&mQf#0%v>y*q+3*^A zM2N@Akpv1S!;FMz`~{;eaCVZNzIDsc)_fBeG6yF{k%ilG*RRgIy|k%+MT@becLf&~ zrN(V|)sGC1eud%<(!xVZkWjE4O_zh_Y?qgX`07Ye#t-W4b0;eS*Cj|4)!F2&JWYek zFbLG<0Z|1H1|lCU__o|gp>Vl;oK40g1xm0q_YO1j7PG9>7j4f6V8r5YW1c<=br(C>T?zr)+Bm_#1mVA~R@ z`qP(}F|!?H!WnQN7`>WM<-bdD8xeqvuRQ(IzJt+uL-|YQ_9t+rdzq>7$;*IXUBH&%9 zuv}#wUMs!X%mj?VsT;4`F4>;n+R)OrDPSniaUX6O^INkdz^vxH#73 zjtchvLln$qPzj7xH`*6360Z%B#xlk=6V#GZ{Mx%>@&f2T1VOP-n){uSQUI-G=bil zE6Ofa=JS-Sr1K)PXzLDKp{v$!M6gOegTP@;^KRE4zANr!@{ zO5|?$i=Jmy*Y97_Gb5K&XTmzcFKX9E5D67$J1p` zbZ&YQL?li9dRLMbAvh9f=pR?2<;<>+aI}_M7>4*gNjEE=u7jd%!5X??0j!JvV>(T} zK>cgp!R(%DnY2oiq{&9yewk>eH+Uo4&jHLdXbd_c%%@{*n7|1wo_&(8#`klS}|G6O+F1~ za@6SCHk`>#f+#Or2??jM)7vaX@n_Z*R#@vxa0Pwsxxj8%!~?leD`e$~12o~c4+T$u zYT+s~T=z?AnP%WuQp^HQrEYtVlG=-Cl(K3ql!i;?zj~>Nqi1jslgcm|^%$X)hE@-% z&m0QEl^#hiiJZrg@}ml=?OrE5iQ#8lqdwMz=eXxNWFK9fl#ytD{g5fi1{CS`0lJjzG&O|FEZ5n>!N6nv3ylS1(v(am%&#;EHeHZym6$%+F({^z8l?#@)|XHN&<0 zyF?o2;3Rqel)%7uLg-W{U+11$(2&N|lAN1#ln7>-5$Lt+jfOhyZ+xm4pe4av^*`%Z z>Bb3Zli&zAA>bHJ>udDhPg;>uGL5>S&`T2qU*m>fE>U-b-Q;gSeSQYLA+uZea0Tb_ zA6g}NU{Gdl`40QMLP_%$funMk)Vj&_Etaj?$iLoo5Ta+R+m|78D}Q+qpCVN1)+EPj z7v%|yIb<1&@<6+jRk)kHc7wT@LVPl9c~SI!8~Mfw88SAj_=G|b*`VV=+%(}oo8cuIWNMaY+EXK}a5FyMM|8PD3!BW`l^qv2z}cGjra zdx<@#S#(Ca=yE5AHKiHkDh<)yaBrA@Gt1I_fM_wV&6J05k^K5#P~Y~8KtGNZm^Q8h zQ3u=nwEB7l@m+L9hOzm}kpSl*8LO1s_7+srmEC*95=u=93 z?FER>*#JDWM)AFFlA1l0>qs+#F7mcF@^6L0=yoc2lz5nEtus(5c%z!D6Y)3_3!i)hK$D- z3!{+BvKZ3dgA(|fA|UNSD~Mp@CCcValkRgv7rU^r-*cV9M@nn( zJq6&d5!KXi2mbWPX?i0{opHZDg4W=M<+$rC8-~Zv7m1kK^unxQY7JAtj$Nh1*ol7o z{t~<3B5Z?Zbj|?dp3ZG*nP7a3{75E|z+AqB67QNf`k`4N3K_gLM%3}+K!gR0iK(AZOo$-c z9WpYO-~yy4jVOG6H83)x$K8DL19j`|H+BW!{kHa2MIpP(GPr9cz`Xjk zmhXuKxFFOrtRWY|Zg)4has2H+mM9@;V4S1ujh}~Hc9v!02xfAAu{ZWb6WCh~K`ho_ z`brZbRI9xHd;P=z(X57CobE7&Tw05jkE*!J4P}vEgD!;Sy{Y)^tzd`c+QEm%1DA-H za16u7t+HQ(4Y{PU8#Q;ABY#K~;10RO3l#tdYyRGlpE2ZezfSfw7Wk^txpTl8_`ZQG zyzgs6E-=`aoYWzWlp%R|*KC;Cc{RKl~s(v;9GsM;a}! z;3&h8$XSQJTaRV99$r&1)Q)agl7qvLxLAYkZ;iA4g?O#s!2mIhf%S~Rdd=yEw&cD_ zQ51LAhPwAm}*^+=`Q^%`hD}{HiIdDw+`pG ztOmq+E>+SCR7)kRb<*3;D4N#fZ5s2^8}q84$2ur(T;k2S;GdZn!+J5AJ1Dp;+_5#S z238h+KIGTsv5;idW0{JcNWIxJO-5>PpP3yKuh=31dmSw?GPYU^V1e?8h3 zlmEvD3%T1qjw|TT&F}f3^hNmg5eqMU)ySN~y=m1g;j3O5Za)Pq+%{5`d_yb#NP`ti;N$WG* zf-31d+0mRr!FkMyDyk93?AqwIWxbq(@m}w4DxD5|RhZfwC@J-vOJmNWua{<8$Y7=S zORAr)CSI(jUeuQ@uU`i?&nnf}+6-vj$~~NI%KI|KfJd7S+gS+nMTpb-=i_?v*@;ZC zCJ&-B>RqopW7Q4GFdi<0GmFpW;1;6gbD3)9`et}>WQCv5S?+EqO;U5tgA>WJJ#z6} zgeAwmdgN}Lhq=_zuiC5DGe>NGw$5^!Gjf-$&uBfX(_DQ}qZT7yX2`(08Tlgb6vKI0 z#9XIEVj|ju(3B>mRMoR=c*J90|-E6ZK@~M$59BWA5pi+Zy9VCeoSq+DER^ z#Y*cK9%W0>&zlV!b$M_XE8eRO5e<19rz^lEvdrPUk!J6*?6#_ZsI3{tb=q!P#_T-* z_bHADU)J4fms2O3l2B`5{mHSASgI)%w1cg5ijg%NVSVJju-^4Z%m5C0`cdS$j8%PH z@==#Sg{o%s01hkq(Zz4TyYhezn)PRfx2Lr1ma_{PekXmitp@}ay|1zjF z7WSEh<5}Cak&)^)qZ_x?l3sNDGg}>~0Vu5*6mu?KQjs3S64z^*@{P{oI>08jq^*#+ z;B^JXT8hl)Ux0y*9#jTirS1drr5B`E$ra+|7DT(F&e93-!EuVNhgGLQWEh_dXU|P{ zd~nKa#nhks=v3H-=_eELKoo6xCOmxJg5%#V&jtf(w?Vv4+xW8Q(Z(?B<7&8WHQ2ln zUSnSKvck_cSU7NP3cK+o`>n=n8W=bZVFt+v;gv8Q);)RSKPa^}kAwR3YP?Ci89L(w zXD$0cG>!Zy0(E}{6&(FAFw=NIYHPXU=SnT-;}tkyPmV_u$#raI|8j?gF_hs{&3>Nm zKd_Ql$3k5}(u+IJeVm$xa*E7C4vtf4NcK-IP}i9)=mP za2pmH4}(gHoORu5uSV;#TfMkF@Z0e#{bVG?II9kt2@XAcnVSxp$||s!wo{ZJxXG)% z-sOG^+7lL!FlRz`WVK1hgE8Ul4eNak#t8xuvrR@~kG_`Un93q;=$mZ%G~2r*r#zV2 z;2xN6uydV`clliITnRN&Ak? z8wi5}+n84N49bgbr^0ssxfEvtf@7)nC|M`64q)#MS;q9q%X5sEIOF)?%F|?XXpT)x z+mrb97Eb|1R+q^63h6n&DyH>O1XM^L`_~jz0VeQuHn7iKk*xRFAB~`JO5HQL^X*Fi zDSaU5IFEv$Fe=yc2eIL;d_2mWs621GeA%pPs5r!r5m!)u$HO)iqDu_XO!XEcXms#y7eqg zc$R;yax?MbM5S60PmPP$lL$@$mw|Mf!I{S{-C9w>ue09Hwa>>cviWH|F-LPtw$^Ls zjv=?ZH~R=)2Nrut!o0I4B=Wty-d#RkUhX4oF-u6_1+Jx&p_|7Z26?s4eLP!AmWB(r z51*rSk9>%(QoPKhLLey`jH%y#xVyQJYl-8t-*wl(BJ0{P-9N@&H^O*pbs<7BoRm7p7F7b4f;5OW|AL-g z)~SqgX6un~46)-vVR#7b^})lXu{pjur0IM0$os4Y4g1^ZVnM2> zPBC7BP47-#-j;u3jb>te zk#KL~TXg(iGk_!8?M2ZzX8cjg<>O9SwTm`{qNYk7AdFyR!LSQx_%a#6 zll?h}33}blTSL2BGr>4uJhSmB?tY84vJGn-&*M%21bGFn6+%Dk8Ffo8kTKa~Fq5qv66EyX*Uh)lSt2Bd%hvi?^!= ztH}4?47Hv^nZGN!zMmsC1tG(TbZjs<*qWX26V}MOLxdV{I(EhVG^a7(%tsT#`@*w5 z{NCTg6c1>oY6MPX`{V2g(vX5e}JQBhq^Rg zobxt9Y-X$XtQsEQG1Gcp&MGoJtz~Q$0mH}lmVxR-FU@^Dps;LkoxKWP$oZ4kr~Qz{ z6GO)G;UxR|WNr@h^m^^c0QNCbTutZd4s?P;s?7Z2@=AMwBqw%CT`x9eYqjtWqP?AaHom|7^}Jd( zE33@(ji$v_$>q@0jRF#`-H~4qKD%)>#ytdG<~E1XR&;H4eDes=FSY zbzde?g$~ky%^I%Os>o+8cU4#jfhN|}k2|c|-#;aA zGb#@oyYyS@5%*hvA?Xj2z|kCF=C0{Qg@Yxr+RdP1{**Shh=R_P`X)ZA`A)L0FeThP zf57&H+W?<+ET+49s%w(=H!)A9!qo46_O8V*xe@hW5c*A)!MMdydZx!fuJRrVFtfz9 zG8GCddMf6c`o9&_0|yZ0J|G<&915(D^xlsru{)@%j9YSCizlbn-d^6`on7C$dA|v_ zeuqZpu{-q}WKHUug+^E-)7RK&PA(=6cu7sJ{nF$Btsy_|X+m+WvI!N%DqG36|bj$8Bz`+=as_UL5 zcxlo{UpMLy?wcQk`u&!?lB3t1JwEvqA|jcup%5vJhCUsu{C%t#Vl`V6+?uM~mleyn z+ra4M8mc>q9ITTY{Bj@8mqWI@hSrvz)l2#$WGsJXblJotA;;8|FwOTA%m@;g_Tox) zIhv_iDqMDo(JpM(eAGU!Qm`mYR<2>xTl(LPoKf#j;l=Cw z*gwAyr%tBEEU~wmS$e_OIrkPhn=)HjaFh|0Cghxj7F=wd(V!PgA}h9joG#&OE6&Pl z=SgTc!iBOuP&dcqlm?YThX$3RmAVTg5eY6}C|{&4$md8&oehjxSvgr_?=ZvxNNbUUrs3~tF)nnB(->-4 z+VPeyrI3jp3qxf#)ts(rn2H^W)^c|O3+T$yN&$X~feUhNgSVfR1(g!y5(JfRP?R~8 zUb&RbZCQkrk(Ezg7plWo=2FhacjNxVEFrh+*{sb7TtnUI>)2O zh9yMGh6Bf6$Lx+izmRyR@$y=22l4XW!Ucbdk|wzL{E_rNj;>Q`v+ePFma((lQ+b*H z6wc$~Guvs_fzeYRZsdd8u+C8$CqW_oH9#MSH@^)DGM_K!fqV`?(n*KwPcmgx$8$0y zvJJQ+b4Bg1)W_+V1t!q@^Oj&7cZ}c9DW&|m2#aXN35y!Q2#a=`RWd!q30)BrI!lDF zZ!1`UqRx0Z=%I<`0!eRC2)4v+-AXgYdw`rr*)|w*_S!ba*(dbah+2pbzd@QrA@NCjn)_Iu*AjlApA-VylM}4(tCUEeutBxKa6KP7Ps~ zII5tD+O8rt6kVR4=vKgv^2yJsTHJ|)SQLvoGTsBVsIfek^PGs&Y=c`z7MOsp1hM zEJH%N4OKrLV)vVS{Q|g8Qlu@%pw84#s9t`)!nR=4DWVX_>gYZ z$98G5#AjM~X}S&n#~P%q`*sN|zQ^`DWD!35eRmG5yZ4s)X2IHF}`v z-sthm9}YIni#G?@7BPOVw{)@AhOJ{It|jWcvc%Dz-@m1fU^IC6Oc;f!bat8i93JLC zT+gbOB)Hc|V3Gl?A$B@v?rpFiS*vV^Th+oYPYB4c$9h@EzXA6?j-27ouHH z6>L@U*{)3$_ATS%C>rdWx(kC+bhKuzLnh8@T1Z)&&<+xN*Ak(yp0=wNqa#Hm{jF%3q{p6S62PuH$$TH#NOC=Z#SAmgIaI zl4R~MC2M&cO1sqTt8*u>lp2+KJ)|fRV00U%P^inXe@k6N z?z11iM1EbB9_lGNSM{2!R0;#aEKt36QT6ufEOgSL%sRvDs5;`q;}r_Q18;+A{n+t;P!;EZa2;q(%J9y}YWcGB50 zYma*`TH0&wO9G9JJveQxUegcwfCAQMW4uZDubt?RX>ScReDdS6Zw+;PaF+;*?uMjS zGYYHBW_xcB=e#&6y~<h7Ce~yUUMR2nZZ&UckA;x?qn~T9*5jp*$eNe zdiSb{JDa;D%V+Es01Id^*-Ro$Dd%`|03*U6+u(p9j3Uh((3Q9Rp@wHKR zOS3hhy3%D@_{x}130s=Rx0|P*7d`jlM>r1PZ$a*lw0pac#iit=(dJp4c^l9x+CP4w~h4s2<3d zVQ0%tUxB$YV>_0-Va?Y6U`(wV8;gQruZMcEe6g0kW)-F+Hd1gDI*F z2g((06Nl*{S+{v6KN6%Y1B;$2S@zAnmg)<+7Z9UH%K2tF72jk{-eOc^<_IbKU%vc`NgmY-r0`>x-a?`7Xr+mI{=gIIQ%53>m@3jaSqTIPAk0K)ILFb zKmXI;YD0K<4;Dne{C3>L4ev_RCvibWI)GyQJvd5q9DK>;wdtM!Bn)foAuGe4Pqt$Ny&jHHlCS81fqq60+H3*CEq z>);i~4E0NiXgu?Jo2bffB~M_9fVJgEA}uW|${Oc=J=&?+lJbBBlYZyPHIsYm%`KBF zyMpf{8a%n2d5fqT(uKNb*5~bAuSBmF?TsecOQ>RHPyJn;RMRFq)?VMIMjSW)FgH#1 zul?aqTghASZ#3y%LdDj|dQ4J9TP_tYhmYoTS0=ps{Z70D^%Mr?Ik4@5M@_a($bI_+41Z$vmTBLUB0?Gr!JkdBuqJnBQkI)hTWB;EB{)IuZQ#hMf`FOd?%4za)` zXR~)roT3z;my@EDnGf%uwopq=ce#4e!!JIBad#3kUw^5> zbn|h?-$K1l65ZhvfO<%h-r?${dYv2G;*`C@(+_Wtdz8g?%j#_B?&6{G+MTT^5FC)) zz8P9OxdFl|kPS)I@sZA__JUQ$@|-fHnfveX9a~vU!~U=_GMulm5K}08U4=KaCl`Y5 zKJe*TUEZ_|4Mt+7t*Rq8_Ydf_hK=q_m#>ZD~nF7b^I z#3Nb2|2US$8ss#<^E!`DSo65g9v{*-=z0?P*BfIk_Psa9lEQ+>7e^^5f0D1F%$~fRIzBBvpcJ*z z!S%WF8k8*CjA2EhPZG--ufbjWYhyQeS00Bo>bx!=qe7NY#!edUL8mw&yovpz>g^bf zpoyYGi*V+PkNVI3(=)uXG|Bf%L0eTqmj#L`;YO^nd7g8AUB}Zs@qrME`_$DX{_1Bk z4V;Xyb2ZXfPPIqVtgt$vww74IcGSf1MGEM@{l#m-Kd1aEG;2u@D?NNZ;h7DzA&LjR z6uCc6q5WO3LAyzTVw!e) zeH&H@PmrXnfS#smTQ?|P@u+{-pq1>-O2_s7)+)xAsbiu1sXG@{U!f$lgS`526W#Mi zr#Emhog|qC7;%ad&`Jb#G!#F%ngc(Bm6&B;V~-`5Ufajj6*i1}l$_nFTOL{TCBBao zb1(6aSoqzHU07D6TQqpSwFaMD3XF_2j0ojdI=AQI=idp_5u{_Hw}=-FTXNEZ<|8w8 zrPs9f6@CjUs9~+I9z%q>U$jMV~{ngPU~W<#mEWK^mZQShRF2c^)?N=R0L6g*Pus>X$C9MQ99 z`K^E<*%OIO*MDSb716m<#Vv)BX+bK~Z9h`f&HI&fVsKGEX)OST0NblLGM$E5 zl|VbYK^qOWfi+Jxu1?AEhdgbp(zjWudE%nIP|P1=I^UtvASL+^x+&D!Hd9GxB@RB+ zMqBVuDw~>XGMZErl1VFmc9NX%iXE>qFDZGiaaL@Y_tT(ycyEafvtab>OyA1d%1S&= zrpUUTwPlVtJS({?Z|5074jFO!%(Sl}l2Wq%qsYk&FH3>XSyy9z5V8QdBidGZr83iR zgo6Bfz|xk&{n-Ccf$+oR_uj{3y@6uOB&r!OfpF$pDd*Dx=^MmCqB(0kOapgzOd{I^ zK}US$%X~{{7kq0at900)_?zu$2Yg(GNq<4+;k}P7aO%36d};ap>+vd_7H7q=o_r6Q zDGlCUZ6BNJFcxwYKQFW{2`!k<&s)06kv4D@$FA;`?N!s+R;Dl0Fo#YP3N(D&?Ar3- z*5<9U%?c@IKNdxx|EQrVX+B0WQR!A)nAQ0#Nr+${zSyKzY#>gJo~u6f6dHo@S?J5* zPRkxq+UVX-Y+Ff_d}jz${G;&58>_L0KR=^eVv&iI6RQ~c*u9WL6OU0+jbu+%pRZ03oe!tl=H@WO_%DwFG39@n*1p;Lf zwV7scHN4ar&(SMsdvUFc=t(Ma3$vyPi{n~!0|#Fh31YE8nkB>PVySAX$vdMr*g~6} zojjFONs*n&WC3POO19w9EDh6Z#DWTqV?+5r!VqjtoLw{;3@(i)?6hJ z%M*xii3GQ?kP%xmI|RCm(_!EWPubLB7){rut11<#3U7a^G&EGjXF#x^546yEEV~f_ z&q}CDe@vj&t1R)SQ!CXUq|a70FNiUTvz>+YD-6nB;L5E>1@Z^zC=JSp%08U#*Pr#X zpm>&u46&Zfn9~odSJonETF#VdD1;ek8!1u8y0eratu~Ch_?vl+wAD54V<0}3eM2C_`8YL)x$zSMqZqed zP2BLWfd&yN@^%2^`sUzRp;-@c+yBp zGMm+a(;f~lAg7Z1H~qOwX-|gIkzZnR=paY3G_0)Bm&A1*D1g2zh1Y2_r{<%rOgY8< zHR}>f*@spBi*r(vOiR1k3E5Xn|&1Z{I*?T|@%m_529G1R^{59Gxo?Q@5gBo}qxzZ!@? zxgFZS8gpVgQ71xJICjcly580+;=EMDF}DeTOfLR?jKkdlTFP)-un0?zXWkx*q zH4y`k$KkTA34T`sFgM+!WmkMWs7}TwUQ-i}2$qd9Ss$AWFQ_!B!hn^O?|@idv@@ zYA&|9d|>-qrh0h2+X(FI9;z{F{){3LSyscYQ-cx#P29cAy0~IfXPLyQd$D@BPUB;L{5@lTq^pmp>ZXCW9jYGY#rZfhBlXrdl$c+5fnvOh0EGCgWfr-kX*(!b6O!)t&|47 zR)UA~wN>H$!1a7lW%yHm-KHD~?TQCw#cF%Lz49suiW1>uLfQ*M$u{s$U7k|H7!s#j zX42w*mlRvW=fn-7fKp{evn`eF9{Be`O+QZg_7hmu`}cv0?>B|-{B7PpY>^VTw@j9* z&I%hcXkE_HPXpAk!h-G>9|sK2BR3At4c9ES^N`Yq}He4V!3KcwP$dcp6Kx86Pf{hTb5d3~{o36I+8-uS(6&8O7eobB7oD=So; ze1E0Rq@e8y{XOXI)r+@rIkCzC{30;T!_1K8SU?cfwCbds?P9x8&wQfbxzHK&?p}i< zB9+!>WRms*?Ne2*vRZtIv#YJ+=Sp2ZB-TY46nWy zg66cY>z5Rm+V=U)8Qu1WqKApwB+aKY_lqc&Kp$7vr~vjt=3y=$2d-ZMM(YvUyd9E{ zw&zdBJcC^em02KonW6Wp)2EQ?Z&7bTa@tgdyg`a6?HJ$RuRVxTu*s9u#?*cAb>K6E$mAVJS6~>iB*mSd_PDJNV`3-u((pl$@ zlD6|6l|eJ;zdvZs<~u9Ho*WCj*80o427R;0;5{g8(W|6GI5_ar=n9$`q5Ir_9?QWq z+&~5*Be-GVaB*-w-8)~VyvwUP-0|~Be(r>Jyu&RyFo>HG`^?wqH0F(g+?hI`!L__x za#=5ZYUqu6S9gA>e`HXZDVf?~U^kb)!Ax*-vuRnMF~}PocNw~&?05vKov}@7;#G>A z{%V$w#vnq>hCSy1e(H$%`rB!Bj9KN&{ zyw}Ot5~&EmDhE4vFYYn@!>^>Gg78Jzf?yTN zf+HhgC$3Jk8Qv_k4RG3M6UgWp_wx9VK!x0i?N+}PO%u`)#Po4 zDU`w0!+zRw;aqFp4zFQCuh4p!_o=(LwWy|>o2A1d$JNp8YbP-paZMJn*`O=}r~NG| zj~DOvr>9$@)U?ls(HM{_D~u1Ovg=(h>?PHgUZl|SSz%I2n?#=@Jj5m0!$`~Rf8!=r z4^Mo;nAC?$leoM3k>%1^Sg%&KALf;%1?J2>W$p_#@EIddCgR>XBMBe(PB;x}R|I3> zM+^#IR32<_Yg;6eo{AJTwM8&YjN(TflCWR3C(TR2$nDUvVn48AgHMQ6N?_NPC32c4Xs^Lyy@qgB)$=s5PqKZ!54KF5AN!4Q+`DL6TS>P6M7ai_t?lbJ7 z?y8ylLxRTt*|eIQxg4!5W#Y$R#khUJN(qXI zaZ61JT6u$JtlBL#IX8JKDIEZ0Q;T0g@!W4-2L~2^|4jbimufDq&Qvz!X`j61dusoJ zDYUcrxdW+}x7{x^M8?TDlM}*9rjb^Re)cOL*2>@XsX@CBeet7D30aVerbEu6T{Tw} zV<4(RlA z0^O!B0KC9Q_60R5yu={=ds4pNS@+&yp3^fS;N~!DwlfT!2 zKWJNhOP*6?GXc^~eN3|D*Vm$C-Bl*LJQGYiJaC0NgsGViSk5I_$^VV7w*ZTyS=xq! zJ1p+*8f0+^?(QDkA-F?ucNTYB+&vK7-EBi~3l=m$QXyPh66@L7STqbn*D)A)vUw9Eq{P|59G7X1%I~t&Na*>>XXoqUTQC@L zGgHMoHtmKjV(9dYe2G!*S+IGkqu>+^kAB(c&=x#5rJqhoGT{Y}4W}d- zJb37IM`0oX!G)x0I@$reHs>;{{N-@EiOkPYp2cxQwiHat=kur2C5u^7Tl(wS{w`8T zG9ihl+JI~8{&btKDMb}B&0Oq-56Ht0nD+ffmM^A4%S+jrk+=fd%hE)ne)iw0F$y}) zgdempll zTR`hh#X(cxLDd3_a=$oQ-Ji$I3u*#ElN%&eQe8eV?8W&2BXMGC@9k2Gkug=4=^k#r zy$LuYO~Jj1R(wbWvCEl?ftS3#X7&}SrEzkdn{&5;(D0Z$bT2y2hcDCxllC(;Y7m-& z&-Mn|K^XaoH=p^yM?Bw14KLe!qxbwtm2ApBCsq(T3dMg=^VxW~^89i72-(WmwgY~@ zr9@TW`*_mYt4QoM%GMZ4+>5WerBp5Rk-w$?i_m)@PhIw=#*{dd6-S_Qg=L02-(R{* z&14Or95+77>0^P_IWoz|Er;`UZC^z$x!U5rWO+VkLB^T(wEp>@?p>M`m?uG-eR-?) z4ds`Km~j#88y(9*d)*v5E$C}qK6#Mm(L=DLrUq9|O@8munx9ze%mqe3e>km8PlH98 zJ~MV5D8)cNg_R-JjV7D9|F1ep$@ORu^05amMVPv7(pT_y(51sMfbgQ~Tt)q+TE1LT+1W*yN?&aiFY?M&KqBMh+9nUSahX`t{|A*$NQw zoQnpi$eF<6=b%8`Kg8X3fI?|~O;z|~)pIb12-*JHs{BEngIMwx0v${w9&MeP(Ehu*ZR zJ8&8XN3@9oAq zLi+_31*KC`eyBbr-IZ0E{tK!-ir$@Bk!6;DSBiloxfA+DNo`xZX(_yj^2N}W;;LxMdzzRsOq@LAqn>+lFTFu_Tgb*e9xfxC2I2;feC`M8eGJy+ z_{#F@87Xx-CMH|>Ds}X!1k8S%wdBq;PmpPnf0)N9q~np+kBG|S4l{H3_8f0bk%8wH zLktwb$+I~-G1H{#Uw~`=V9aVhs!+8&f5g+mMy8w>I%IIvt;ujtT^AcBp{`VCI5v<`rNovYtrF(idfK4 z75e$j`jqJ`3ygP>>hIP#Dk&Y6O>GQI%mMkjU@rdlTJYOl18I*=gGJ0V|8n{${r*@d z;nv5`T0I%U<9_xexXl$a5zCSI$8=ZB&O)&oyg~A-zE4bTQw-xa>F=t5tXh+xd`nSR zqcj_cLORH|)pRK}S5OOb_bG2#v@Kp3<-n5EyO4QJ&>+VA-E#bUCyidu;F}rcSPN1b zy@v4kc73r8GUHQz9{Q7RPx%k~b7s^PoBT2KCP@MO#z#u4YiSn#rkAIiXGWxtLvoOp zUimd%-O8?Y#;$Gx@ z+$WAyx=^Le3}$b&iVKFTwu}*;o5A75;mb>#JJ$ui5B>U$Lxi+QmUGVL;&f5y*O)$5 z(^AQI)nk=WV!XG^s=+PQVG&wIxz8&)66E=q2d?zI?psO2ZgDBYZVpMq_@0_L9)`O% z2v>2za4H@?r2e_XD;&#RGYi(T96a7RvD3;XHpkq09aGg6aCsz%XKeL+2xaDTTqMV2 z{{Y1nWz>92-WfsN;ruc#O(hA-21oPZ8>aR4rZas!(VFjUQ0c)JbZ)p2%%nC(#)@xP(9zPxscC_#FYBZ3GL39#$|SU3?%CR|F!+*r4oAag~6x8TB~hzIsk;2x|LA2Yz9&@mL4Gg_9BWE0BGh zqYJ@3BgZ*9O<|IYmd2;^^pppcNtM1PjnI8LOd}}Bju2#IFrSN6$o8;ElOX$x zj}U$ODF2_S#P(jC9Ler=5lrKXG5C64RVKUNC-i))D(#6w4;Bu1TvSy8A z{zpE=GOv$Xl3MFZ{_-TxInC#^V8 zjL5q{e}8PuigMeN??_L5;VQVUD7m}m-jEToFnr|1nj<>}_4(F~4zbNX;xT`R^|p}> zM?(u#3&_|k$oY%%X2-|MRsmAz_ zE&c`M083K%&J{jnuv56%)GLT8hX;#PsOnyvpX8WQI(a&y4gr1|HecLMsSSWK!hyElA*vT6Dkiu&fD){!4 zUnClj@x`)scCdCH#5M~T5WZIij*^j~PyUPA<-pC+-Y`87Xp%}DoMF-p+(9%0v z;8pOr&`fpES~v;QjQtw|H!rAXF~(-P^b>Ob>gv@;-dw>!n%xvI3#YY0Uz7a z2%D<|3Z(E{9TKnY@i(xB5<#e9nlZwR97y4{IwUx)Yt8XScwF|kiT=?j|8AR(@z?4( zWd5r{bpKJI)q&rK?b|H+NM5kqfaOgO)DLO5VdEelIlBAs}SolH2b&%>CF2$eTKnblB@=}5dtgw~=NE6@(Z^0T-e!16P@BGiMV zn~{{s(_uuXC^(fj;i8xW1~^|e_MeKIBoyPG05xRtU6Vcwvau)V4K;#DGf-nBIG)a_mo~};?+|8*+$(&nl|&6ST(BDd0jFh3S+_18Y@v_~ zeOQmA9(vKhgvFu`@@UtJOZ$pd3887^#;YXSlW^?!}{D3Ohs4vg_b;p<||b%yWI zrpmXkEH_M$+a4c9k*SVAu+#LlDHAjS!MibCV5+I2idp50E}~WkcXmq#)YzkgQ7ty6 z%%=X47M-rjg+zsr^=1&m~94UFRvA62p%h@gXL!f$Da4*-H^9Shz z*X%LtK$!&Nv8>rU!mpXWZK$1jPUjbOLuJqzqs<7p&G5r~qDLz8sVft(Qy%omqyqHsKp*y>@TB6Jd zW)2uvtJ)@Tzq%k}9u&8T>24c$rtHZSjOLiEd9+WSx=GVd{6!XN4CdLu>`3s*2#V7 zjV1FL{5$C)Wo17BiSK=p`xuq^tGmZ1+=}Yakn=Q% z^uM?qb$X&;<#4RKP(Bmm8r{^*$Jds4$z!VQk9B{^gIwJ6d#HZoIr%pr6hNEeQ(m{G zkFY5<1BpNA0N}oWmc4?se9~}BHo%3blY*zjs#_1SZiG~HwalpQ#I@H)o24YW@kx9igO8SA8 zAvYO}$?nFIKbF#`1vyFrE+>zSwZ2IuNO|-7 zYV%vu7tyk!r-XNBIN3IW?`9QTDg!aph}a8fu+9&Z;E)KJIN#2XyFvgtRN?~KQP^lEe?9na0}RU=0X zXg|ADh!aYhB{bpQmo@*1l&Sd?j4Q3e6_aZLfbe_s@_GYT_w<)1R<$kEwaUtwrI_vh zf>HVDk3DN>mNA}+txiS^GnzWcl!T7xX2lsp8!kacjJ`i%29QGx-`GL7_=t4I4&W7Y zb!zQ6v;`dfFRHKezHIltXdi9{hdMUKN(7jt+L#H6=w>t{;>iVwM>E7lk*EV#LQ2gN zN=vw7qNb?4f#DmA=oWH38yzfTWYg#4YI^YqnfuR+g}~-Zn9&X-JP3ullW^IFH)8W8 zx&?%Iq=Uz<12r*U0_cvoI1zqtlfF>N+mOMZl;I;_=yz%8XR#o+lB9=OhKC_qYk)#`;hAgjk4V?mZkMFLMNgSPjqvp3a) zSB$8{tXAbIC6XkOE2xur&Gt#199%p*o zzEgK~DqOQze^6779%h>3K;OR@qWV7or@T`QB02wvgiPkRl3<3_Uzv z20&d~Qe5LT!WpM%2FMtoVhNSdLb1C-FYRu{oK3U4lJG~9_9*&vEaqiVjX9pn&@jk| zh!MPh??t4!u?{oZhspHlC7y2Tvc?~+SJ>R3iA3j`EyN3_RcT}PZ3P{8u56MKTCK&y z`P_uw7C{MCogJ_O8}QT<@ao2s)XLDds@BRRu9l8DXjryaH5;zzt2NdWtm?)))XKE4 z%2`>Gv>Ae&CFxzAGV=A+ivB^_UWQQdCI4?CGkW=(*h!3Di9334!(nlQ&A#2vsol=% zf6&9?gu^RUUFiYxR6P9K^gpau9?MUM_gr03O8o2Y+N(GtBH%=0mL>t+glFFdN% z%Q);u^tOHHhVvWn5qUkshh$n(iY-^m;nGADRlcu7>vu=?t+s;u%7g<)45dReLaWS> zRtZjS#K8$7I9f~egaj_m7EUXSzXk5Gfr+pM#3<9y)rI>^Lh%+66I30u1fDTc2#J(E1`n4jFC|F)26~9I;PmEn^bG2fifFh8ka4SAtIgS9A+E3{8&D@9dSy6pzs1W z6|u+Pm-X;A+cLHS_UEFc%Z*;Q57^OFc13m=>{S(am;*jRzFpjXaeY zirE$wZ+z=rY`~aH6K)rWp6hNnEW_a^ygCcaCJvXP9 z4ZL8Jo|qtkwlg!keJ;02Ce*Jp5CykBkvdbCIsB@5e4;Ld$Gelf?ZC5lGz8x%vC+^k zj=eh=b6LmUvvv6&dFK9P`*C58WXa#s>~Uv{et=?R#8E#)*y)^edDiv5-q5ed5;{OJ zdqB!(hoAxkt}Vz*Nn!Ak)bIi)RCr?hOhmsHtlh177S(4}G7bl1I9%z}^yyXWHXI^o zGQXUAW;b@$Z{6LbD6;YAJ@2;#e-heZtWTw{%=zRK{1dfESiO_sM`le|;fL^qJ#PLH zZM)!rt?IBAnH#rgeEyh}U==ueg7vx2_b2W_=q~b8BuXNFvT03t&JrsMBU;Gql9JBN#mu+Ay82^3uARiUVKU=G zH*LzMPH!6Oe)s825502uanWKY7wwH6a`}T3JQ%s$S{{B3IRQXKvv3b7DloG@VW5FC zuMjpYO+pk<^Hn%CO}>`w9c_EZR|q*Sr1L&@lkrgeDxBJ7FpA9c zgS!CXf~Ep|XmAx~d3Fvtm=$TGU&&H5rd*pIuVor$+Pg&EYl5WaSjS^vx6-A%PTdZU zEpgbbWdqIdFKU#6QWXujbe3{7ICL|aOWE5D$p|j%SBNKlY5IIy3=eJP7L8Ju5f5vw z(=n;B;sL(h?85Cp9x;=A0yRSZyCDBnuneR+IH3FqI%;4EKx3_myV#~9a6;{1r`=x!IQ$JpmK;S=_X2%kXXCV1RXCybaItGSlc&n zxQ74HR&{^=F5=={dHS9ytK#=xHtoJGU)c# zJRVK!t8Ed)e=r2iFUY))hRkG;i>MKQYSw@fSpUF1Fy|XkT#xeZA1f6we8A$73FI%Kw$xJX+u>VB54FO8e@cpt_8wdtw>gG<>^h-d*OWh7V@9UCp-IKv9Y+Xu_Lg zfKIit(3ojr!?fZ#GE0i_n`!oiOnSr5s1sdAvCjB%Tt>3aoGxsgJ{f+aHdo>8*jLMYSV!ZAhGM+S)PwVy3X3z5?(xQwmkT2yZBR8{vxL>V|wX_d@Ux|idJCG zpJ-E?-%5|@-;SCvB9fJ(&|}PH>c+@>n0*29zh&+0w?~*e;TWt}?ddiaqb~RWhw2ji zW$yhH+-<@vgT=|>Hv9!pRJk{3r|5sA{X_W%|`{d`u}poRgF z-;?Sgn;$A@tI(C@)QPh(SGhUKC9KVyLzm*=zt<3g{Nt7?H{|A&vzHXve4U2> z>DSvaDqXcFocW{OtzJ76m;9q5$epE`H*;96bsnkUkIFlYw2;Wal zqEq-+T!foh7vv4CO3o-Mv~r120-o+qQ_{I&NJwz-5=o5d(W~1UwyL7c`f&tk!6xd*DVbbja%P@ zM`DQR6r~iYVsat-D9}xR8y!$3LoZiV9`tnEB_3mHsl%?w3Z|&xU9pqDAcvQqb+O3X zT|tcerRlxGD{=8Y$+Ie(^&4I>o+^Vf6VSrmExV~LhOSQM+ier%$Nu)x<2IMlo2j4M z6sh*N__Tx4%ZGVo_P4W(z~|#rY0v94bpC#Dh`w?1u0QfRhOyF}fo2xMC3Mx|pM-iwzR=V&_*uxvpK zdN`g_oMXyc2yMxE(;t-p4wSsd#^$@A*99!ovZk0vNAjjgM@Q18LmsxbIEG3d#a&}- zk{{Gv*?mtj;uJ@C?-3CY_DTH95TBWFu-B<5M|t;2>LQ0w-7s!b^YGTG-e=xY9!f=; zNJQ$X@8N6vB4G4=3PVCa3|xlgg~foCf_LR3O2E#BzNfq~5({bQ9*R!6lz)Rz(M$`Y zgM_MxNC$%lQkI9U(&VN=DK58?#SvGSOrd*2{w^}9;vuCAQK@_#cZ8P+^YbZHLv!$H z5;M^;p6t{s9p;4vYB^C(@T>d=^c#oahlKC|ToGaC#0Q9x1;Sr!sdmxf^Fv{6iwB6e zc#@n_u2Bzr6d9IScDpvnG*5|urD7>EMsPUt-h`o6IoR?Yq(!$luscx$B%zGRBC@;K zu&f|jj2V54&;ef9yFUv(Km8ZAsd*yH7y6}I$|5OhezJcfFr*Z4%n^IM^_4kM{Jr)Z zEcIcr;UwRW2$wgAqaf9>;S3=Wm0FGt8#VEb!t6O9X#HPqI3_LS3mFxh^n?LyT3^nm z9j9Z+s`-Pbm%to9f6tNPPGdPEA!bZkpYGDSqJOUH8*Izal*2RHl;beu;;gBp=a)NI zfA3D+Z%tP2)!9)Aq|qcK_I7n8u~A@>T>H~0yTyS4^*?fGid!0^El(ZevWR?qoJ!qV zaCyYpiwPG_K=bxcUPPO=@F)!tq0JAsSYzFfrazQMM0>)Geh*R9?D9DT>_+zT*p8mc1DxDR?6do!D+(m%JkBjTKO6IAIv z>$lSIonMW=_s2qoLr12B!8%ugHFWE{b;h|KNW~X#gD4-32-yY&TAUKzcT}e{4ffku z55=r~;P!V^0zt;hFO&e!f%P_|H^@S}j$24?q^IkyG_}6A=iWA~f_&=1y^g#`Cbi7h zhAMvR4pTk4|COckN1mpwmg*Se#kdTf)3Yp@S5aIvXAM52ieMoaj;e-YtM!d^r?`EA zw`}qDr-UV;%l7sTP3Cot`_S^Vv-WK63#NQ7MYQj@=@(MU&Ku& z3j=pc7TZzKn1_8im24S+i^LL@r%>qtznN{ZWqhKNc_t<2SMCt{^F$}L>{k`2_ng3@ z&ZI8}dlMbm-P6j7iE_L*R1*Gbs^wd_5BVO8J%?&{$odkE35;7~$c0@&s2Kjhd}Z{3 zveIf*`+C8bz@P{AOoK%EMa69C!%$i>qi;RE^%uClxf}F> z>bcy&5ybf3mPYT>+s~^d-R8}S6^u#s!n8j;Egh&DsQB~5q*~~G<~zoh&3XG#b~ePW zT(IsH%0LsFn5zeOmZz7j$=QA*E3_j{B%R=d=6v>09^es#zvD;0Ny5OU|<_xRe`5T!qCjY-r$OuW9G^$0F%d$IGA3 zfZ6w%gcax*I7H!IxNUs;-S);%ZTv$E!h-w!Q$gn&Lk`M*azgQ1nOnK+?h`@Jr+27ex&TcMWHcdsqx#v4tgX)A-)0drRAWo%xi!QYJ%Q@rbMEc?pYUjZv|-p0%byn;RjrO05y+ys z=x6PmNKGOZPifF)eVlADgUe78UGgJ?sRS|GF0!PH%UvGSsqN!yq{dJcj7-RB_V<6! zravD`SK>VfOf@@h52gnnNmU8`(T{=2rC)qU{7wf)qRjwt5r1fPnQ59K^8E%tKDhia zUzI5`G9cf-CbiyYU$0MH$VDz$i8Yv2*dxx?J-?il3qE_lLP%An%^h3U(YESlJk$C4 zvD5%pmbKKm$a48B-cp2@&Lj>ur-3A*X@%6F>v!8ou}MyMyu^Zao5{Nqne>x+jUK0# zL<)?-^8wnC>1Dx1-;o7cZY@W`+w)PS`Ebp&4}$Q2WnO+ zL}`go?;dU;7_+9H_mvUym#C}-ovwn{>bTo&GmsDJaQ1+lr=k4w$M;7Bm4p!iwr8Wj z!I*3YB8BCVSks>$;O1NWY5Hi#vqxl#b2WDzzJR+O zFwJ;v+()TZd4&#!y{N>?Hpu=~~j$Wnce zzfM)8?DWpfqNjoYpmp3?MAiR0;`tJOw<-01@;6z~l;ZNuk`M6eOF{~tL@o~ahb+&} zI?>my{Pu;sB)e1#@EVZzD+$(_gZHL$dh@5IV|&S_r&D{$rl7qr@EPcBq;~wQ5|!t- zMC%2~B`^3TJgIlit(%ktYR&TB29c`_)3X%cMYL{8q)FYD{&9>nk4`eiGx+FMa zokLL_EDgETwRziq-1JLYGR%v$ho3Dn9E1rleLQ^odooK@?Wu(krUl1$^RE2;BOS7g zy`IBw-p_v~Xqm(qOe_5aVJ|;jy*z4s7=xw9jnnl;)m@Xxt^9RvoL|F)=-`f0S7Jr5 z`L?2=Grd<7L?2j8d8XnZ==9@$uMy9_L;R0>brqXIVn})-ihx0R2)V?$X$(PMa9q?=YRHOW%#^Ea{ZA(0`ey)8k= zrFTRdvCjYPA%!w-O}6*U&5<}@bURnu=NsQvc64KdFKZJ35fWq}(dfA>dpLj$=l26Q z4aBewLL}x7&u3`8-qst1{m}-V#H*{%U=ezF|JE zC;mh+eJeJ2KFa9(`3b&}uxR&TAGg;uFl;?u?Ylqpl5fRs&PSo|4e4)ppvC!x+uQqb zKkMhB#4zpQ4;a<&ze8{XuXo{hC8ybzk4Sc@`zatEdBC7uS z9D11X+!OBjO?Sj$?}(P6H*h2(D|usMfB}(@ms3iNTPiX(hq+^TD8bw6T8MtePA>Cf z4rdv;6?ifMHfni}X@+ytoFtvd$z@`{=EYm|;p$aZ>K>Lh0A}0I%W2WQ&}j_E)D#3uq{*Z_{?k z*6dpXI^K@dbrFf!A+s1pp#q(;UKHAT#@?BFM}X_&GEa}3J<8h;cX<21rZ%>oGq~KP zCATe?Njc-bh720~sb23`TDDPp4>~S#Ag#c|Q0XX<4JFH%aEr|cP1wh>@m3@n269h7 zOaZn0bxBw7CemzV>1PuZbBQy6>7UgICLmHm7jwTNTF@z8&SC@!9-{tC5WkeTkiEc+ zcC!~t;f@l&Ej}HU?I1iDvO7fI2aQvy`uzO7aHtiI=~ z`+NAQnI%kRtf_(fV)Ql&Ivyoq&P{zp2FIC0<(g?l26k(Dl?8{?nW}4OZ-=|A53ZhI zGT{YzO5^}}O}C(3hmk!BXlGyD+$McajbUh*rm$oZKZ9goSSpi>2tD-IEhh9wJ<5dv z(da)dYv(3`e+dcw?$5r~!93oinSG`izLQy~j5dJRV}OxS8?34*zK{v4qx)o!IGaY( z?(lKAS-%s@8P}eWB*y!U`C~xwd?Ojzs3V7l=W~NBk|8;6X0p4C!I%`SU`weu(C#Ez%>+>Vgt}$nA&JpbO*bwUNI(b365dc7w{fKLx zFm(QumZr}DtgwbnUE?kp=1SYUvH8?3w3BHtxf9j+Q#m}-h@unNsB$DOLR&9K z$0=De4@tA=*_m z?3~Nk(vHX*Q|>u|>?;Pu#>xD;FNtvZv6==`2$XE7s z^{r|;HyI;$oIQg0Pd}UiqZUGSnve$SpR&R5u9@Kaw{E@vqzYgq%dW#Ko_yx#97m{YPSq{?`cHYJ@{Vsni zh)3R$^&=NEC62{#>eV20b8>bq8yBXQ4f2sQHi@6JzjD7G@DypBb3ww&ey45)i1aH$kRi)^pW#itDCB6V}lbL8fxKr$^qpp9* zM2|i*EF0En1t*dVp`(f()e4nu%;)(}WL&7bCc?+Ckrd+;A{aEB-_-zQI0*?0Dkgb! zc!b!{Vn9_@35~&0is2ecOF4CW9j=ioJ;q{-YF)es_w(0*OP_IE(%yja;(?692nea< z2y8TjRPZ(Ek$nrV9V+NbPVuNPRi74+$h^>IKY%xA(;}<$<6*Q@50kb>h+RU(slcaG zWSuB&6R3fYEmKSpsBw2sFk!f2OI=Qj0@GutD3Ro50)g!Ic7{s}ZRI6ylQ?#ION{ZW zneZf8x!fGg=Ej;kUFA=1)zh*SbKq^MA~t*ZBfZzsPMxtlRcT5!D!%lv*#D`aThys$ z*P~}Q&bar{Nk~PkQ0-wslnCcGLQh4sz9fX3l<@P;A=pd<+f;nb$rLg(tnoJF{%xS} zS8E#noFbf;F1N-@jYO`MpJfG;#UzI6z5G?L6Zh~yGB8`26>+bK__*5 z_?3!q(dQY&2%GLjlfM5!gB*-|6+_i}3&^f1*sukYDNa06Ewkv8d^~iJng1365@leJ7p=?RM3`l< z!VnE{=-JGrP&0UUb9+R!oyt;QxLF^q9BhFXn@j#;g#XR=;w@>Fj2otTCz9r~4YU)9 zX4n&pNYK<<+Qg9-ErU+nS0pY+s9>Py^G~7$$5MS)f+tqtRFuF)9%A4`R53%(9UuaR za;?H&2}gijYY36UOsSA-ir_J$;9<1e5ZaZ^|Mp$k`!nZCscJ!4IB9X9f z;oSvPr-5POZtxN(xbShb$av%IO@;_@mi90Q?h7nq+O@7@=JM^(jVC2)bf(HtH0zfb zX0shaSM@$UIQ0>Ld#5CFa4d_l{SoaVs1}eVim}7QY2iaB?}TtZ;=#Y3TAcs`05e zzo{-Fn^Zr}XhUDBae80@_0`RiRsfs;M8I#)mB!mg^paq#svVbKR_)`}_y7~xsq#ug zOGFF#sgV@w^b3zDt0Er?Sn3Op9*)hEg7FZsbzOET4ao&m*Bc3BLl_P*WC_-RjrTp~ z-oKXed!AvaH-R;Ze2Kr)B(0YB^I>D04D&VKq^c}yDf3rKQQNDqm9{ZNmGg4Z)yGoX z!=NiTTF4vXN-gCQN$zFvaz(I=$5wchBNJDk$IHG2TbapEhioSis33a_8v?0YAofpY zon9d&5e#;1Z=345R=9qRKDO6*73}BG=LQ zzow*eb6SDYxH%(Uw4iLeZ_tIY*{ah+j|twVh4a$^!Fi2!77oog1-1JHb}27oPk{_* zdoJa|JIbn)CxS)qYts6kQ@Mq?gJ49emd|edaDW88g_(mZMAV3zDMZLLV2pKeq}aO* z5XCw*M3hD__9~!HASj_7WXn=74IxVmy1lvK!}VthBqG#A_U8)JH{O8@!$hsm0c`d} z6yr)c+Mq1v>gc&M!ws2N)#XroS|DARMlHmWHw6$6U5)yMJBxqOU2YC_GT(;3q^6TG zlaCu%$k2b`f)^Hpsl}+{YAShM|KenZ3;iDrbV*D(H77$r9Iq{t7747>5`g5AvJ0dk zdJiknLfFM|5W9fJR&x;vUfaURzK9Hw_}~^)gS5)y&`0PW%5{n`R!m5w_1TQ5_8UT& zI3v6Qzhn%z`znem^O*uoSY(};I>FWHB1@(05Mrfd7>NCYHWnv%Y!Gp{bOUjd?Qsv8 z`FAU!+yoJV8OIoxHlv;?F+LpglPX3i9(?F1R)WR=Q3CAPA+A2~%njrB0?%L=vLu=X zJuIy30{IYpj3I!-X6FeyM)A^3#ti?Z;=EF|>WZ!p`e38@rR<1Exm zW+=n~$tQ@dYUFuymV zzj_4F6v@N{5>USw;6@gIK48fPwE4)L*riGwA(spyMbcWGLaHb*g3B<1!SQm{7c_b7Z=`)fsB1V)zM?dn8At!1tZl#8U zT|`b3qV%psh*U5^MEBfuMrDOgTYuv>>k_dvfraH;x-?@&cS`A4EotWr2PRV7=i}jBS1IrU`fGP{Nk>TjHQ)*$_r4z7;*Im9welN?m*v$#hj9r7lq`#8+Z1yp?s5ts8+kU$Nmo+8>1wQLdd&hr=2BqwNUTl;1lR{=Oz zOG`la z2F*J#TolME2@FM@j8~Qx%-?0SQDuoU!UPKxL-qJx@`7Aj4pjZsS+?!O7bM_A2fdeh z3BvbwRQ^b!<_AbJuZUg|0!kgzbctwS76_f|heXWCK#PeBQa}-LTrL1EZr-<6B6Dh} z;k_)WF)JqC!(;!nfEfM)kfcR12?dZCpX#Z%maqZy!uj&TbC;3BPU8?wZ|zK&E&s@P z48-!Hn>*jy0e!2~kUNOf$R)Qm(VW^j-+KNL%o3#-tg&bj)h4~C7L+)(FBGDeX8u_0 zI|nOG4T3AxTp)D&i;^1udv!+H<9fkg8ncK+au4CH2`BLS{k$s}4@4zZc$w7gWZHeK zW()lZgMo9|I+WP}=tq82SUQ^X_vEQHcLIwv%iY_ziN#h{ncx}4@KxPx4fQ|qxD*xR z^e7uN1tZVarA!D3o@=`8i`16|*9<03^V&2sxd9ISq9gvN5$%Jb_U{iAB1dCrFngX3}AC!Ux3dC|WT z{uoWWfD!(nn`E7Vm9eJptCW+c@5`0drtj;N-;0vv(uR#G3%6`Zrnk~BMc;nLslO?x zwes#u8{AZ!>$C~%7&&!{-P^>O-NZS;=N70<+4C#l{lVn!)iLp%qNSX2$SuD7TJw|4 z64qXqjODhMp8-q08P+`nf-2yrcv(Nw-7XwMU!narTya3CwsLL=`2bWVa!OmN-Q5krx)?wQE;OfR0sn@g%41{y%H(}8#)=RT3atx zE}{X07&@f05{ty}jY{W5dYqtJ#!J(G`r`6}T?DJrAEi+`O&cFPQ7xU-F56$KQ#x%( zn%OS<&i_>^YiuF3dnpZw<#4QLex=0TCw!->n`D^<$>WWe7ss#QG=y97&K}3x4*f_r zEq?w{;kK>K1sO zMy-nGE;rf}pKfyT3+N4~Q+dvI!`eCr9pLNUu@@r|k6w)Eb0^oLTNfZt$O6XAGDIa4kbC#n^OR8xR694R@c( z+6L|f?LtpH7GOWB!d#r7ij3xu!s3}`pcxl1|39w2I;@T!=$BHwxVyVs3l#U_?(Xg` z2a3D9yB^&2P@q6@J-EBO>&N@u`_H}mB$M4_lRUdgCcDY}W`wr%+96(+mA~9Kmq4D{ z$Uu9F&|+;0)vEq3YElkx8I(@2tcPGiiG;TFQet+LPipF-!{IKI(*DeyJxj@SF#Bj*+v9J&MFVDbZ`#%zD|$ZQQg9zAMIp4txQ zWBJb_>>13@v^D-x+|1IBU0t={edj#co_5ptF2kFD+ZsiRbvGrT?KL;0 z>6z$4McC8E{0b*YWlFQ(#PWo(^Ryb&wG$srD{`6$orh1;hL9RKMJ&k8#4PRA?hCRwkO5qB`5HxahJ=HqUq_!*~S7 zC4~j_KosLbA@ zy%A`r%9~d=C#K{w-plh6Fx4)5bK?z1uRCDOmKC!OquBw2iIONge!chZjb7ZIxLn){ zop1ekZ>BbUwRW`^_gUuc0#(>$U}qP;aRs3IIqo4__j)aIZFK6*>?)OYoF$*}AsR&- zuFv1U6p3Nu;crO?bN^O~+!kh^%)5OT|Nf=qalJTn<4m*mtvnZvKb4B2x#@p?M9&J} zz_2A37^mKz{S5f8#fcYHA6J8AkX{_(z*r3U%2QL3|@<6uzOWC4_8!7D&1yXrg|z`4`bmI~gzo`9zp2clAU;ajn1- zHtTXB!td6n$b7H^!F&1Xb%dB0sX@kl&Wf^{$dT})!fZx7<-)_lNQFVll@$JoKxP7m z=ZE0gn$B?xSa0_L_t-JQZ*Qg7PQ1BV`D)J2HQrjY*UxP2QD5W^p5zpCaO(7tt6=A_ z7d1&?N%-5AILpT0>pZ@H@VZxAhO^BSL=wO@pXf@z#Egt9UVjY;s*z+ei#&$7Q0sn~z zsv`*LCcEAgcFR2gt=1|T_ed1?t%$nCaEl^<*=&gTl>y%Uv^SZ^hxPMf%L2!D1dHOs zSbk$J!(bWE1q`_qhfgYG(dN;H%cFOtlZ?J(6G}@Am>z%LzZ?nZC&9xt3r37)7lDz$ zBl}tujhhwvgI$Ry!1Zu5nyK}K@LNbTJk`K70L#IcjUc04B3|<;^YI$yyX9N7E;%5NdQyLx3(!a8G~llX;+kn{M^wN z6DA+n3J{ZeH)U?8xrQU>5sypsX$?N)evKmqe4ikCtbPu-Qe8G(JH@I49-p5I?C=}n zlYHAFWQSsd)Ll!_x+QX+^P->$>Ron0ivN69>MVSwTyeA(c_7Isg^U7>w&!AS8HBdV z|5DNar5vC1cf!J*N$tsy{^)%YVT~Xia`aRJ-f6~fgYym@=_dKI8a{o3Q61Z^H-oJ{CAX)}!V* z! zrLrd9u{8_9n>IbK2ef1FJm47Pekg7cQJk0pss9 zU-n-+pzcL(JvH?4$#L;02eXuRCR0Ew#j-!@bP9YX&{Mp!X34Z0x0P-=AU5_p{)Hnz zdO9CHs^e!e;)W_lSNp8)m}B`%r_mRVWnmg<1R0`LlPn~n`*AwnG_IsQ`tyq z0{h6s0}|PidpKb9mkhafQTo9p;yuI)Tr=-v3W;b7{!b<-F+sc+j%MPT)z^rv4g}1j z`=N^y=-v~Z2W#yi?u0R||Hcb~Zz=q0&KARR4ARS@1S zD9M7)%o{I9B18KW6MH`XAdRw{;=~be@PT-kJTRk0h6_Y47bt&Sgd?6lue1*j*4k$G zabnaGbva!Y1jSwYn)o+z6W@1Q07cUtY}dJ*E-Qj~YKw{}Gv+B0^oKh~>SS=^Md z7I&e?AErw~e&{|m9nvfv8(gg~W;%Y=iv6WGQ)!84TR3335wpg9{oJ)2$JEg6~-mh1s9YlBw zz3_i!VH})dyR-dE*U3E#sw)FSf7wyLnv=v=tJ zN_-YPk&4zk9qb+3!vzn=?Nt4Y%0NcW5a|*sRCh%N5{0rE91Qbo>CJ zxqv?qeSU6mJ}{+&!1>>5(mGoh#}P`%KXI0~$QXx);WzH?v-__3yu}S*O8oI(B9*GA z(!r{9-|ZwnGDN4b$cv>bIsy#{#IgRuOlpMn+e^}|{|BY&oLX-3Csu!1+iF@DfJq5) zBPXAMaGfIb=fOuMthdxQ>W&U)ucrJkUKo*UU{OCQ1Mc+~oGz)dHnXP&3k}j|+-_lG zKSzE4_6B=_qVxrt(GJ2D;M0{svSQu0;*J|QpuF^g#s-lvau|7L%w)Kl0Mc4pR2Yu- z_tO+yCdwU0xsBcU6-w?^KYMQ8t;sJ?6)WjS@@Tu{PPkqpB1(d6_GpUihdg+GK$&dk z2|aCcMUQq9mFwoS_QQyh3%c)D7(#G@5EdN89zCi=qB^OrQyB5Ir`Q>)x*st{(|Qwp zZ9ww^viDOTHJ{Vb%9kHx8l4fpGP;6iquk3h?DxZlY`lqd&$ozEZ)Y<4qMdK0b$>M7 zXYJkXlmSCdp8+5Di}DgrOw--pcMxcB3VA5fz!e48A)UWxE5WL1DON+lDNQ)1y(eM} z!|bT~7upfo0r42>d^(du)~{$zLc5;G!%*S>j;JY7%EN|vIH|wUmQSP3=jNsS72y^g zB7}ghSk`h6&VPRFEQ1rilYf8a@OEH&Nl;v(bJn5Wat_JNNDUgbYpj|jT1^^i^fyVD zuliYDpBf$PvuDHK!u=yyKyvgi1T{Visntyb4&S+#3UP~Mh1{)JMfHPN3C;&*iax4J z@=q(dr$-Sv_U6c8dkH3(G~mc8c_L5W{%&8%)?tH#IN9RqXqZc!CGXV@x3n@m60KNrBi<7eRk zetfTsn2!B_9r7~*gfWL`D#K2@#-K}W^npI!WH)=RkJ;hH$l(nzz_ZLxcnBtJVD=IF zU44U<1CxgXpY#87KygGuazkx}T{21E6*Xb@!U%^M1lraUc=C>8+667oRXRzLL~1tTt!E6xzkr-GE}v!ucCwV;{lRHbGRxR&a}_& z@N`Fo7LvcfCB?HCKC-+Tij}!c;e1b;X@SS0#3(}2-Z`Xb7!O#*l^QcAuTkftu5CMi z_(|XJ0kg-`#@%{(nI`wWd{SVs2-riFvd1?r=z!O&F6HBt>r=4`&%`*nz>aM%yM6n9 zTVM}veNml|k?5!R+F0FJlc>lPA1bQogA+Jm-tDZId(VK}7@7~biZEI;yc%Znm@K3O z9|(A^`(@mRDkM`dP4p$tpg!4M|8Rf5w}%|bY@VX41daz+=RBcDSZBA$^03Qg{BI(v zZSWdc%Ags)`pH_*0EWGXFx(pkrS{Pn0C*{%c;ClOWllYjj5C%JTaFClZvYj&-61Zn zUGW4k_WlVaY3Q~w2_yhf!Tx1Zm88J}9DV=rnL3X9pccO-v6Wh#(s`yoU9%?W5D}@E zQ%*Z36Tmq7YuHOJdKDA0L8Q>Spwy*%njcEKRfCbDE*;=!)x2DboI#4sm!FYqc%0Gc z`CChe)B{jg*}3EWl0IVCdI$5lsq5Ooiqw?F0a#L_@-ADX(?Y>rzD_ay-nGaw!aP)5 z;>LSx*{u+xzj210mG%p~q(=C2>p1k^YeQ*U60?f8?MZ{3t6{zdGCl$A)C3HrB_9w^ zv$>^Qt%Ysc$XoMS@w-M8I_D2dPEsHTY)qnw%zGvl>eTm-c^JUx^SL7*zpVwQgiepQ zhbH3xk@etNO`T-du|BT-;il)JRb^KwvS(uGmx0&9I{h(~TKY`>Aa9i7vc2b{HDfd6oC+{T43GQbq~#Rt zFi0|Jl@v9S04iO{#9Ts47(1!UGu9rNPmFV{pG-Bozw1>zK4@iXD@r{_?=rs*G`O0E zXShG=T!(yPVN*ISp>J>L5{%|9cDH6Mlfl-SOg0;wQOdyIwt|IlQvm0b^Hgo6@6}bn z;*Vp$W0LB+BD6|PY|t7fd1SE*(ci{<{x~GF*KB{GnwtNn>r{QQ*~l*GO}y29TXu3l zL$1ms`X6jHRRMoj0Uwh)UeR%?CvXQtBy4u(N(u63dZ4}WR0bB88^=E%r-i$KHhI9` zg*o81cfNJBlX2)JI_s?qW(x8iqm}2ZP|J;3n^(MjXklksN~(Kx>Lp%R)2KAkA)Y4f zQ}EaVkN}JUyN^K-a*JGZvgN+oyiOb32+v=YTS!NULNxR3$8ndOMySM<+kuTsym3BLdz9OVg_7pnHvwYo^Re_F@31ytuD zrf_AP%0q`XBJA`2Z-17Whoy_F z09}w=*Y?7(3ll-)HOCR21`3tAgVWx?LGv(~09Z;^THOy+jKAmJdfQ#hOh%4RRUQ6jvG!qW7Hh z1q63~NiKMU7^z$5bxne^EK)zKRCAcC)N8iZs9pvmiP~y}4W&3!XI3VZK`WVd1EhiV z%#6Ji38^d00~yRe1|rCQfF#%t7ncJ&GJ&wTASEPDEehdsyjz))@mq~aVe{!Qbh|gc8-;1_`Whh`X;VL z4!7ca+}!F1ECr6a@`hb%a->X!zt_ioB>>kC+YH3D1d>p^8pcWkSPJ>++37R2E{NHd)7ySJ#7ezXh@|KGvX^8niUix z_5ES#4UBTymS!t&f<1ChdfdoQo;YI70=2~n3h{vvuU$;;xNOLS1eHxb=g6S3Y-296 zv+v`L!S?Dmk{(U7(>juIj;gY7wb~?S%T6mk=}>nCDuLcBGKv?R&&w}I`I687d9n?P zAbX#rg=kSHa5zv~_b#W-pIxv9*`rJ^%7eFb8WRhAmO7(Oa3Q;!26$VTeCXOp(c2@u za4^&0msIY>s`c)WMD*ei)?KOA98!l;t5Cn(lml~iDUjCKjD%mF%blI;#+NWB3eO?L zY`y7R(A_b3BqQUD&6!sK2G?#i$tXTV3}?94d$oXjAUE_(4D^0DF(w9;qHbXjY1XcRiAd%Y57hgBW;Eoss1BaQ8vRj6Nzo9M99~O zTJ2h1=WtF;+C#2>cqqT-r{k{fpU(U~A8U3=n>}AZ#huZDMS;uUd7-FUij7o4H`W2~^syE3QYVJ=3JYV z1OA$km}ALnE3w`fO^!hegBkWzs?jgV(mt8wt<73amn~)lK5Jb_UG3ql+$BrUN}9p z<)v`HR)!?(roo?J-AVj!8rN@O-iwFuWRNM3!oP!Qxr)9C6p%h=SCDO2B@cInX>SYThQm6Ysi25JQKY#A3qV zwJOY}qJPi7%YFW>FReK9hLs_<3ES~qO$lG&C+BZeaB$`RftmS{()4Ayel(5Vi9}O< ziKxyq=6G`ByV_#fIe}Px%3^%|Cmt(DI`qe?PD=1gMEI6(-0nyR({Zl13^ACWPRsaWby)y<&Nd>XX%Ab&%_j`%Ac(-<*zO_I8Y^ zWm$~MXmn~epRpIx+K3147-~G60KVo**gujQY&18*_>*0UT3otP%;vYO*QggVoRJXl zVe$G?RSNHr)4B$U7^`CU5UvJT7jLiXJh_XxicD5TnDf)(YM=L9d(6jJY`W*zW|uXc zk>&?Q#`QrNOIalCd>X!qIkQ^P)lcvUi_Y4?X}d+|5(^w_5K5vGIDnXBmFF+_MC2@sZM3{;AdZI)TTZw zTT62KH#r@RAxO49O&sEZuVGZtt80AM^TV)**m z46izdjST#^E1&2--Xl3i4&mfkOBa`M6*D*b%JsO8q0;nq7vM|ocP`LBc}EIa-JO=0 zJVoH_`{}8cOW5Ry8CdT}(zjFQ9rL)l3^LIS!sgItab0zb1L(#xgyye*vKZBmtuCXK zMQq{ih(^k=6D?UKe{8s%a;J!4G&&ZEj)j7jrVyOCR=R<)`urtAhcS;EjWV9NBt zD37Q#GSJ%EMBe}XVr2)D*%|8nz_!tY0Pl96#2?{ESuCbONcM(Z?rWO*XTDj=Vbdtn zw6!tBDv{{?>|}YF_*ceuY}%>tGJ2Z2E#*$d_^-9p_(K|%ZjyBm+ehu?+USqS|ACq|EM+=xA#9FI$dIj5Xb z?cGxsmhSxv@*c80K*Jx;j-q(H)OIMEL=);`F1M=8eGYBzXJuKpN`- z!hbG2nV#f#3ByZc#VI9QVuwXpv4rf59D0B5BxycW{!zTZpmS6sPE~Pcw}fzRuG)pm z{JT~SD^=7~XTs3f)5;ke7c*dN6qv?ko5e%H)EYT$*VPd0Dt0b7j~C9=+u5St58O7Q z-H&ac8)7*Ob|XF;6(pR2O$Z8i*4_o`16|#Eiv9$^2!_T%)+@ivO|C9|kj6oRlirDb z-Zf6nj}gD7^W4dlSsj@&jQV_^=;KUHX`Gn9Mu}^uako$)nH@Ixx4$KL)ipJ!MbRxN zRhEEF4|)h9l3l+O72NvP{LbrJTqfMV-{k@V&EW7KoTPS42OqNTjG^J2`gfH1`@PN<(Kd^dlH@2>c!=Aiq1`BTn)?1n~DvIFP zG5I%FO6)5;+z(Pb6@qvo1cG?ad>kTu$;8%84tgkq%Uy+IPJpv8Q33dYZ@*CuU59j#W*Nd&!q5sng8=7RiCK=Q^T>FYG9bN`(<3V<2=xEX)8TG8s2 znI`{*S1hMFt z?q@kcs^?~rpvARt-UZ#)_xy?TRf?(r`WP3>1EFi4riWktonfBJP6z8bbe08<%r9)- zudw?e_WAFNyr_uMXl337NF_x*pH;m~O+Gb@w_MWU>@4kX<+`y-G&s_3XS*?7T~6>} zM;GzPZ**NiDqO^5jPf;evFUOgDf9AfK1#OA)uZ`9?BU8fB z_+N8Vb|#ulW?yg7ZH0Lrhgn2_f;0V&nYbB0TAhz+YC^m(v4kJXal4RVx%@9TLWXlG zV{q53o=j0nB!XtcGOo4pF^QdnBCn#PNirJ$xI5mhJfpyJnv|V%4wY$W+up|q3?Sj) z@*(oRT!l{emo0>)wPC(FwR(q44fmJDA>U-FwHY<*t@CS*rvXKM_#cB8KAt`v9p3km zHeU1n_Hr(~4?6vKx3-xa07EtgH;z}iB#+Y%pqsM`Pk#cR=IMo3y$kPuX%{|^>kI1- z%Rc^x&8>hn3()zFz^ChZdvMCTeE!%&dC%(c>KF8UGtl*Y4&v&%)7!w2|Gw-W$I{c} zeKt$%d)=w;v$9sx?dQVpd*`guttT`NOcT`X-nk+6oi}gZ-AL*2Iq?y=Jbzg5aH!be zt1{^J)dg%a5c?)jU#!0@uT=b5>YW10cB%KOOv!jzhztsw}`{TXBXZW7f79rc|!|O(1p6jPU z0q>{s=Zcp(b`YPs;MsjE&&9T+l0TH<8a&dlnL;Y>GPh}as`<@x-zYm_(epvx- z^l0$!jFMEoKXpsXGyAtaf4zvPP64UEjrxE&x?hFv!u0v8`TZ5{Bul(Aivu3iKaRI$ zh%(vA`~*6l%noY`eWd^!tOGNT_R3WKw^V1Buj17G&*JT9Ko!plETHY)EY9J{f4(b0 z$Aaya#J+bc20jmZyhj2ZCl{UOBNX^+D$P0Le!(_kqN`66>wb3AGAka9r6+4fq71MUIz(!{g{E@=2a z8B1{NtqAr!193XvA{{q3wHN(TemaW>=Ku?ab)Q#CdO^&HFM*&pzJkR2JDKXsD zNknns%LV^JfCJ|8a%C8)HBj9+ov!BVaaVdXiL(!R21gz1Fjg!d9m!O-mlanL`0p2L zYhyK`&DC|Zq_;Hov}t>?Hy=NyL>)&+wmQFEi#OjN-dFUvDgjmw_#P4OG$Sq7_3S{W zy`sf)i;of34)asXa}Vk|KsmeJt9|Q-5EOb9`bY=A_reahC-o+OXQdon5a4oBPgS8? zy;b33De5?b&INduR;eLd`90U|?#y75zp4AC45*>k(L9z{37Rcg8S!uEc=46oZV}#4 z1uX0Z!8Rpa`mNKoz3v}(4#Wz*{LVV|ftrhKs$RdZKoO`2({_0pIo9Ku$^6si?Gf8_ z>?LytC@j2xx~KqY2-%Um3EfSw_+Qo?`ru@xF&X@Ea&`dV9mKGht5 z_)V`=#BAuj8Q>UbFOuNhz&#co`!l2>G#+<7Z?LRCZn8^V3NX89seKF$l<|4;k1MP; z&K`esJxZbo)Pui!YzcOjgARLx{HJEp`~jar_@XhkK0738{(FB%>_R@;o>9Jik!k6^ z8V2{cTU%A{>s0!G2sFzw3syX%WNR;3;DB*F($4ChKl@JF--c?lk1g>#ETW~31b-(bfR)G6{XL1UssZ+B zOX`Cp4p_t8lo#@SPyB8#!FKJqr=uK1uiwn|J|O=6a#ap^Wzbcw&km6GIeF0F@>5J3 zC+zW1dTYfor?SiHsrJrA*-{79mV*Q(waGwT-U_iz9$+xa?k`}K@LdqkjP>CO@L~TK zFih$0ozN=l(hd9~uyyyb_VM8Gv5!>i^9D;k^tBu?c%Ys{Xq9*61-{)1nsmaK_4#B| z)vu6Ef~}SFA&?l$e_mQd0@&>0K&rG;QiuOgVq-B)+PEcAOfls2#IFJ)nXzv1(_NUAwX-Zp2d+Ujw}mkVNa}A9v`LzFiM|i}((D4LrvAW(;nsxSz+t09y6G7~nPA`;Qm&6}Oc-nP2Xr zSXx?WwUUg$3!xFU-SL)f-NeqA%(FO!wEE+pKgIcY3zM`+Oc2KzIjk!zsU5(0z|P+tjb z6UmiY?xqGNv|sa33&wu&Gk%<1f1=f0Gcj)|^u~gZ?aHo06$~+Nv6}N){dXqcP~w%! zoh%@AoT`qLKpDQzp3a-E`FCrLUA-p;?NMJ7{odc7lWRQ1_mDjeo*6|=0iT^91*&45 zCfH6dpF4qoqzsJr*yA@y5{cIwFf5cjalqw8wcoEz&P}+_c{5D#q}MDi$$|6{wlWWO zV7LX|U*nF#>k0_x?|)b1^$ckusQhNApDaPrdr{-Z7*>Ynr*OB!v-}dqV!qO{xDb4roAiDUMoXiI+$HRpC!9-5RWku}$ z6Fq*2xa3-cGV7v^UePtuzg^_|D9hqP7oGBV&fDf=slw$Trz^ugYdJuHqbn~Vt@UxaXKjM zkkFYLAFTc@tc}*x&V@juEN57vxtj+f7#z$LKD|L#NI6K#7;)81XVE3R6}YoYkZ=lI zKDS2>UZCo3pGI_yn8_WJbu65yx`_I6gxI}yw&N-7 zvn-fY(CklM0yxVXpIR2omuQ6sLj)~Tu%z3m+zm9`A_tp!r^ zBk`6Wkooiy%|ck5{oUhH)NAEuUCXp>(YQ${k=m>|Y)sLF@#6G?hgSXGkUCYHgi1ks zgGiOlB0YaW*&Iw9jgA8p+&)nlE4*pg7o7{PqVz4oojTBxebMk<42av*(Kc^Jikao7 z%e}DSgJ0tYN)WX#e)Qa$iERtMOy~w@m;ED>v+Z{(K-B$CSSRQOqFaha<1gojPM_^M zo=tK*2Ca$gpMf?ZXbea-+2eY3A5VQ#B(DHe7I<3|d4=dKi`&$6AJ2>veM^Tyotrgu z{pr3RxhgXWSINrsBkSbYN1C$#CPk!|`wNOU{_+H%Y7~>68cOWaPej?)Zr3$5bpO0P zT?cS@$@#32fmVwAR`C)VU{`k`)D{;Lbmf?4{F zn5x8nxQ(rlOv&(5THa+FT8e`WUR#EnNpVPPMHGaix9jA)T2k-@2E~lfNt@hd=ldn$EXaIADW>yQ{n@L&}u6=t`O`>^m%xU>HxIr9AzuY8Ht~6qY zEb~)ai*qq)CE-turSpvs%5-L*4nLbHbls;s>9R2GD20t?(^x-0 z5ITTfh{1`Avzfu#G2sE=Z8EO3zOn*b;%NMV=Ou_Pvkg!Z+kWFe8u#pB=$(sRN7}ge z=^*y1<-PS@L^W(Kc@VIVzm(x7S8O?bSUW6n>cTAFPRG|AeChQ5o$&BgE$pvP3VIK= zTFBfB%0Qy$MMI{AcWs4F(gpRbf0$lZiu16;jyJ`gG7j~^3SHWBol|$NIwe-JidIAL ztX4xbht|x%(p=y(Hxr`Dx5{I4Bbq&BE}8}2w(>4gQ&Pl*X zr+sQcHO4G%W}9J6LjtZn6?S|?4g9zKV0a?RZW4nAvh}=3giCl~_4TCfhK$}edn-gG z^;PUbEHFw>nB13GCiY}RB=hp{)pu=c-&JeJAQ4K{mmv0uZ*6U)ioN0i^vqY`SHF#r zn>YojBB^p*P4eZq>JMICKfA6iJ^D2;Hos|{uT9&uf9gfbBoVWh`t)~ zWPAB0@Tjd4TUe+~a$86B2QBqS;qZVMP~!Z+JmkR|BU*{*1WRzQTEo{VU&GgBmB-ug zZ-mLH;CLJ%uB+_YWOj9;(GWJsziQIgXNKo~5e^ghCJlpvEK+))aqP7#nRuD=y@bUJ zpCBgs1c$iUW+x#*aE$xOlC#gD-D^U8n1y#Mj_~d*PHONq6E`t0ye|)5doiIUL+r&x z<#Z3%?4gV%$xLM&X_2(NZ;)E&m4C=_urZ106wmG^X*zGOS_Uvdu}Etch4OE19WY69 zcBa*tDYu-G^sbbD)Pi-JH9xA|D}kYMyp)MBu#WtQivqtym>eG$&rVHI9C!s+L5@TA zJsNHL1MUxELht!H+$8GK@*BO#9Q0N64T+-6S13#f2naZca14Ewu$G7AGe!uA!%q+M z8SCoc$n0tV<&Y6)R@2r~osC;ug!J<;W@R`1FJw0{W$hAx8U1a7YxK~2S{aR|Rbz}b zBFdGT2biL~=1#{PX~49(%=BUAf*__CZbWr%Uyr~aGs@T&f_KwA;5nwpTILxclC3{! zlRb9-?NNPWQt+p6yzzI?PAivJlb`)$GGm_A6OX`q34W8$9UUs+uy05hv*VO1?%=JU z6^hoomPYVCF93ZmUdV4<#yQWOOg8;zz7G|007@y`u^5=w`qYI8s;$BQS(5U%xKV+G zRD7$3qxS(Dj(R(|o&AV#fX-f7&AH$12XAg#R)ZgT^W~fW8EfgDJ5G6>Q6rE?7ldc}Uw5%LbUA7y~{;#HG~ECAsL_ z%I+0T#9R~cu=u})--nV9XoHv1+aY166>4)e{VtnX*rUF6`=-Y>2ZO~IH4JVfb)17xdjGXslTU8F7&(pbC{4?pkL%Uk978$05r%$oN`>&`Y4Q!0;PI}uk+IJ!Seb#W8P2V}v>0VH3c zUmxf!n5Hybad+U7k>Venz~H;z;5xe~=L%{sJ9dyjc{+2)$XnmYWcQ_&$d@qMjxP|6#N zT)E(xUwKr2G{To%r07KCAt`dGcve&|M%l9bTY>ZG^-$)Z&*H+Ox}k1)&h=+qaCemJ zb%s?mq@nq}l{o#|N+?j_Cm9TaE!`7y=8A5S4mazMe>$XT@-x$O{|uR{=Dv(zUwk=r zvO`H6u5WFXoIy{U0my0IVDm21x~(S?9aURqZ(cnR8X2IvE@MI=sFT?$^%qn#5z5$b zT}D_3?boNCQ^$31sd>Y8-|G)K zP3G)$6(EPh!B$pAhd(tb#JQXvVcjVILoH&vPV?|9euSRF*}%X{>GDU&0ay9Wop5F6 zDiUT~Ym&$Z>Bg);lcMfpdnxzZ%P#{NV)Q`NfL4K-#Ai%2;`^<0M`RVj=3wq^YA;GA zF0;gcY%mySEBQKc2(h@YNV6*Z-$Ua5$PNr2lJGm5OEE?cZAw(LhGkk)oa7(G^bIr^^)?YxE*F``Rgw zo-`%UWWqZfN3426XQ|LyGz6BaBHO?8x-V>4i)|S@8~FX>utExYd{N)DO^pK2`IyX{ zUw>~<6n7)q)NxsLcpT!Pim)1mAn@Ex7E=9qHEb{_i z#G4J&zqJiyqX;zLsZ1fUjtoI1sxqe>qE2F(-`V&F=}L#e3DUSlvk{yTG~^akYi}t_ zkH;rml`2QVsuJW%)Q?quGUs5|;tF$XNi(*MQxH_(>Rc~_O&PpHJsmm8Mm+0&tvBA| zed^K7>iUL;EfFJ0lSO|=jbc`Z{=0bII2g#xiv!oOK1)XspCo*a%AXoXrq0cH!AtR& z?|~Zup5zFv=87i2w`nix)GV%}3kzGUg9_}2q;50m^f}QGj$LZ@$otyq@5(f&U_&f` zs}fdM-xTFG^a?kP0`iFs`I2gBUUliMw3a|j9^l}>eFF=UY3$|SmtD!s5%sOo$g-F( zS*V(*ne~7F8QWt_ZlhDm$?66eb~Wr=%c$C-aVtjDF99GYaA26Sud4;f9t$<^n$x0A zFEGw|4HsdExKgS5fv*1!EjbU6h=rC$bzP8r+&cTKv>u1XXa&;0qBi5|n8BbM=m(fs zdrCVqGN5}p<`9>1)cwh$*BQHk35&Xm(m<^*@`51n>Zr&Tc6nPFK&q)(Xxn)<#E;>qg)l`GmC2iM{biuhCT{2XT}=A%?vR0Ej2rQFw5Dy}E{;8Jz^aWIX{kjXb83119vLZdn) z>$ytfMp{zHr@Tf!C~(B+`5tOn+>sB??e1%|a3#qe$q&<~atv}*Fx)t8h5(vah~Sd? z2Cio-5B^qP9SWI^wf7-<>ZdM%bz~0~S+=Y6(^(7rBD2gOF_i)1Mcc33X1Eq=Pi5bt zI^7Ry|K?L9sUSb>7e*VqW-t!O@`|kELI#yo<2dVhXK}LuB?C`7`z-}e^3by9XMbFH z<6C_(k4y;~WBNjrLzRc>!EaX3?E96Ok1#SN-iyyc7&{&Q*YiG-=LTbQ?(WXz87ke? zz`olGS{(<>m+07rSZ;g2rshddQl~Vk9LEsvVu13!vzX=^#v+|dW?kD) z#;_N(TglvdP?4*gD(zSMr(BSBpJa~8t`55~Zc8ScMnm*pnqr6g7&#heymc&g*XHq) z1-!H*Z(8(~fOKOX$>_?SM+EC=tM3z6#boxBna9qKR8<#Y$~}UMj-`SPV~=!5G`VR% z@2M}k!|9(k4WM@1XiFIop+7tT=)PRoxoxSsaZL3Cb{wzqC*HLmgr|)&~oeZ(O)YgB!eC|T7PK1G+C>7XmZz9>2Y|I zkfPo=%kak5WZK>ukLCW+a?1Wj*L1Qii^0a@GbQY`TFw39Qn_*ex#;rKpLgSz=CdEx z+&_@jpNOV!e+@GT$veepWSC#7jV0Wk-2I~4Vly{*STVX1d@_y1Bc1Bhub{;h17tfF z*B=x+;PSFx7)-7Yek#3(3vNhCPeWCvIQMXD1^lZG{8L_J(K+vmW@dz}E|uI8ZK)us z2e|wJgGZ-j)Cb856ylte+ZcNtPlkY>pPn%Xw7TGxTxT5VA(~53$=%_Th=Spq#jV20 z-W=s2ZDB92|Fr)azC3(K2!h$pv(a<`z@6dt@_JqvBq})`43it8!~+BgxiPyp8pNpc z=8!u$# zDIK<&FJPK1PC?|zGVzIBeHA1Jy^m6O*q(Ag-?Tbg>f!yBM5o+=a`3sN3)3FXwL&!S z9u=|}^6-hCcGhxLJ|OkMqAJthSvn1e;l^cq%h3@VQT9(CrJvJ%uPB21;Ol{a5lP!5 zQY>XG3nVa~4m~mC_A5|HJUWFy%l$M}!IEcst&rb*R>mO+2 zp17l+Y-RviwoZXnx~2^8MX`UaOg@6=V(cD?0a};rLCS+7EG7g@*Xp^=o(zN91ohC2 z#_uAip?cfD`Lu9hihtkg9ioL`6-YjAqJT`}8mTb_d`bCRTq@4A75})glWS63zOK@s z!SFbx^tmHJ{-sN(*|`=PBeCehv@(fbLg4goLaVb9HJP*~4FBbUo!+IFBH^a^ zjm#F#y}>~&k&&g&ON|u5cO(YFywqTZ==G?nH&ZnaIZDv;!A|=&C1~YaE3R@6T9Ze_ zr$&=t)_z{`*iu;=T8?47pCY+>taz0tvrdnzuOm`}6t}3WTabjO>c)uR5Unpp<#$;P ztFl}HfdRDU&Jv)(FCC!ar+-k-Bq(J>&)VwH=(70BEFHD&$m1idG+YZM(Lt6M%Ov)* zfB~szJ;xw&EE2rkKaj-5oWHnFZvQI&UVOmP(en(#&CC#c&Sxk`w0@?tc91u`7nsE~ zDAITeB4mwfC)`yn$&z$v(b76>y6XWyHnG8GNLcsD2z4Ea8)PIGWEqa#>h`> z#cs;TaL5!xXV5!ht&**>Z5L)%WCO1IwJvdOMT+5tkuk=?kEp%V)Y!k{^%8(I}801q(nH}!cufj0RQ?KE+K7cN!W5ekVx4&y+!T@)*1WBVn6k5;3S z94i+UGx{P$W3(<xpY@BJS%hYYpY{b(na?NZGJ#k>}zsErG1;!FpidL!6$0N>z?6-&F_= z@J#~*g27DF>eVB*e{!2(oVbMCOzn79@j_*Mz8DI!;fHkG>ebPYN2nIOLzW8vc(i#= zS?V6c*%>R}@1Q8LOeTA6TZNzf4HapBZ6npNaP0%JQen2qM0YeVAt^)Vl;->RaE8Wy zcNJ_sjSLJ#MPK7*Kn3oUwKIT}`F0nXPgkh8$zckn1q2Yx5$9Tp1sXWC9lx_}cVA*y zKMpCgX!|1@d5Qn@#!^@X;NE8UglcCZV$IWK-Szgh? z)vq_Blz&hD1^5P(#IZLC?tsM$J=Vr`hqbFv&&z;LVj3;T!x$Vm%Rve}W26H0RNR>mJy{qAR z;k6#}sA!?&B4sOlA}TYgDOo$tIKjJ~%4yyH=Sb!d9{vEwhw0umLZd zvsB=0|V;q=g)X8udgplWcCOs$Aiiog}7yBoH;Wdyikr_ly26%;`Lh zJW>vXQT~`Dn+jGGjr)3UbA;ADvK|jH!@s>slJ?2O%y=qoHn6<%*p>c)R_hI+w;A!= z6$=XkR+L{fB9Ry2`sem~(Wz#Z((*3_zwPZv+?^>6DVoUpM^)WDV8^IVk9zI&Q|2bY zbO|+v{rp$!zRvJ5tP_KcRQ$dBB32GE@iT-m6tO!b!Z?6>Zl zo|Il?X!NrCmwXHS#^+MiF*Tff1ePd)K17Di7>^8TH-84i(hCav`S86FUpDxBPcfkN z)}YMmRqwu|y5__2wGY|>(=CQ_Aaitd?E{5}gqiHCuz)JUSe!2s$P>aN@~$8&WaV<{ zy;AG?;&Pk2<^?EzQvs>YC10d7Z$RtWQ2W#=h?(^vLC}Soc6=Kj8X^ReDxs|va@c-U zgAr6Ng77!*v57hB;_i9;uG$oEWc)rG4urG0qDeA*r1HbS);S(|9Z{7E`hyimp7dZF zsz8nqgA!umP;6e4gNA%C(K$U9!;F;{YJ)uRX|ibo!3JK1hwx0lpV^ajfY-016dr_d zMdpW)ZY^c%VFxO%RThp(Snxs(ikjC-Hc;^#`NnEveR?YBzS1oHD9#sK?QYGs0lDW5 zYyerc-JjHl?IYCdg z)`(hZ@oWi}QJHyg-sKyNuRcDi>~TNkIun_pPp#Cj3ylctI3jg!P9Bq6u)|gWYOjy< zN-A$oGT-tKejdf-i7G>9l|tihby63S-_KL83^Sp@jWY&Xv!8qip;hFIhG-S1Blqe` z7*HZ8MTkYBa`c9!4Ee8?A7&#VTeAl{_NWj#bEE z&NT{at54;^fM!tTHGNKz_d_AS{6rI})YI>ppD)hsXxaSruquha=3S0vZCLlTzYiPd z4CN9Dn@Mf+aE5P5_Syb0$hfbEWj|BB@0s%uaJi@?ffsPW#<%6*bG5wc;xORk9fxT$ z4(ETDWZGr6(vjKw6pnSur7?eQWid=s-}G+1Slc}hI<0a^M04eR&BTvIjKw*=0BkFW zR%nF0GPadIT#wqH%4GidI~?r$z`$E1R|Zep?Tn4p%}1`mHLCHvN!@4Xs9gjX#;Q}b z*wNhBE$ogvzg~99RjuB+a|q;<-?loVIR`Y-KA!%k3=EnZYZ9qOts!f`kyViH4=*Q!qt|Gx1n^(rmnFF5<`6` z!}Hy0p=6X)o6QRcE!I zL)}vwB`4gY?KfonbLZnKDKcbCNqoplTH^ORjQNs7cTWzxA?!oq{Sci~NKkuIS%i#Y z$zx?8Mhl+%*SXa$ve=4V0*)(1-4m#A!q60B%Omjs-|#Kh19nz^M$({`oYi@}Ez6EU>mH-HCCLZZO_MHWHz9RE1j1Dqg#l?Y(X8Gt zUy{yokCcTlZ912BhCr7z{aYasV!5hAgr`GMc)CYMDG{a@T&8hpp~z*_&;eSLu^ljX z`Qz>-Rg11TMHK|*LtL9O5h#luFxa;!Hq0uYHUmINOc-{)CDACW;Nk}7Z3;IU)op1% zxdL4H!}gd66vngUK=0^OcAjBi^2(@Ou{$+hz1pnzHj~LmMo2h`Yg$?A#ddJe-V@bY zTcg)O3Ds2fq~O4NZV|2hmiw=fC8%z;95=OaG&@I48OoCwxD7z*Uzb_6@Bw-&Om0J3Q z!q^Y1qFu!X+~d1(<>pmPKC^cU%XT*CtYf*)eRQCqwr_CA@*IBB;XV!?FMqCR?fUu? zGUOLI6s!T5db@2()+c1)0Hjv-ccgZ1og*1S*%+=+HvIQ$4I5puSqN9;I#z5y~#9*XWT#w_kEhR zS;1N0w&NS0u0^(c$`-=zagwA>m?S!@H|^YMK?InZzp=Wef5F2Ol_g6p2R4fcczgwn zGVh43>k`Rn?U{+f^|t&8KSqT5pgjb$=vukS?xkO#jF9UOBW&i6lXJLZaK6)SwFe-B zqIyybG0eLY2j+gQx_N!6ysKwiQEC;;d@)2W4kWhKO?>Cryto<~l*e58hGTC*o8jn` z;EG<~t}oHMa!A;YvoxX50mY~R3%gwlv_|}<1CiNe_xUhuCA2GvIT%JA^M@LlROB5X zkBxCc2q&$mr3+8fAq1#*R9(0KmSaa6_W&r4uPbc~W`&$9pu&X{G_qXdJ$$0x?e@R8 zN~uy`bU}`Kg6dn(rF;qENS4*w-q7>%T8q@Z2)|R|KIkmYIhL$Ejy@pC}g1YZ)Ua$Rxsx9MO^I5G(_xQLi3*U&(iM zr!rLftq2=qLUk@CA#b7Mxaehv%%rnAE0dNDH>WBTJvJ8g!vcn26|Ho}<6eK$HR-or zg3?#4k%`>6n55iL#!+^r*__U-F=!>EN)q>QobvL5It@fRCc`zPS_!(erIQXuT?%kg z658hzrHEdK5I35RUril!*C|RPw)zjq^#k1*B4i+QD}vq;Zg?zZKig&QI2mFi5Nf%{ zYYoh(ZKl5-qr@w6j8&D!AjE?v0D^bCK#G_tvb6p6k$> zMAOpXsuSJ6HqzmDTMrSl#CiIGdQTzKcjKg^nHJUfk$egDxD8hJx{)>-mNhX`K1Uxutj`xYSB z_r(rx%b#o}sDFjuUQlxlJ*=gH)KosTMei0jMC>;&I zT7XXuvl!<&)Kfc5ya1+eXn?v|Gg1$5&jORWINit{c)W}=~rHxq=h_YpKH!dACOIxMNbuj3z&yM*6 zbwa_eWE9Kq^brm@>sQOg+482WwWcbSAM0BEQ;*&!L_LBZV!{uJtrG13ub6 zZ=p@0R;{&Lp{0lnyr_6Se7x)nw0d)Wk^lKC_^jVv@4l2ch$Z+Z^qYKS6#g~Cy*dw( zYbQzQbrbQ(;GhT|`6c@1B(u6X)P z<_AWA!+{2L!?W4XgEs&HQ6xF9GL&*V&#*I|*dBc|_UY6d4o{*b54W@2Naq<&vah}V zokF^%y;p}`v*!FxtJu~2E>U_}pQ*n*$srz_e$86+{8bGb03P%_=Epq>>#8#;2SJP( zfj~jpS3hJ4u}Hk%lFk;y4g*pMhUZ{F=%#)nK=D}F3W+Vd6) zG@NBdFYrR}Op12OoJ8FT7ia4d70%;buM{`I?jlmO$#RW60el0Igqqv?J?n7tGqw?( zp;cGoCvg3dNZKjbMk<(mAp2L@!+*l$NR1wOy#l=&EhNOYXX7*S07HF{itpglOdiR# zNps772{$1%-Ym`=IA0>Af~(-h8)b(u4-tG+j>=t6}N*=*tKsxQ#C{Qt413& z-UM{57I7+35kbCm6T=Sn>X0#P0$~8mE^S{_a`c{l5K+UxP-3*hB#no#GktWTBh+b& z$cNvPswRx1eTf?Xyo#DOPTd=O=&o1W!&K12IEwEXXV-r44VYbTN?$iJ z_)bAauWT-5tFH)9k1$XCZn)m*R9It4A1 zM>Aa<_BD@H+D?L(P2Xy!)yr8*m|86#%4tbVCZ-LCO-0>XNj)6fnpQeu89UX>tdV}K zIh3<052{S-M-Y66<{T>!%(+L`9s;6Ie;hd#sg5xhaPJn7OjM!{KYRb)A1%1ZY%Geb zi(vf;%ARBj7~}lI^l7*@Y!OLgWEH*281>}t@%QGrO)T8Fs_jI#Vw+R7bE6Py=8>)( zEJ#IfV?!jqcVNa^c1q$>MoIH-opj(w+GJGbhIk*&Mw0fV9VG(K^!p9u2eI$2(hu906={RV;& zqG8(GGgNBBPfBmSCmIBpvKivPDQLHu#Fl!$Ce5v%yA#?N*dn;cirj9bILk79s)DMM zk>ptMxbN%4LUz43=SnS% z`3U8egB5SXd9|g}Z->7I5(gY8?%Ro`ZynhGHYU?<57^OnLRI6trpxOmv%o;Hs7$bK zdmUa?+XO>o92G}L5xa}B=DGi@u~o%Bejq)i7ATUHc{pC?!Lbaf|HTQ!&gZj)g6+I( zVZ*&P&PTQ3c)6Z6{tki6cr%vakd4ro?N5XwCvpENn4>KE8$@I)W5qqbi$<%@MO6(Z z3k&yOQeC;FQC$207aesk8Q13>&d!|KvfvOxZm! zA{$5G4BXi&)~^akn}SnIbs=gNwT-HKRY9Wmq|$cqxh zf^4l*Q=4NjhRcc6F`xxgs(+c3@Q6Vvs#G!`y2j=rxfH%6qOSZ9ca&%ryhh?gNz&$g z^>MLFM2Op;zA?}DfF~%DUBaC1EZnOd9kPbenWt3tq~i))&r|oi$E$-giSaB#+JJ&m@Jw2H5YJBx zP-7A2gBE66G&1Nh*HpgxSifYWb6(JpONkQ^TuhJ8^=5Tjqsz|LW-Y~O`t`qK-irJ_ z&*D+q-M~uuyk(oCF_?#c92mU8Lz^l}u}wgVvPd5=_nTY7_Q6=OQCu9-Ure6ndMbyT zCD#w+Gv#n?6MONwf_#1?135M zQoEavR67$EV`7A)f`vTBr|XI3c3W6i!)m*XrKDG#^Vuqu;9y&!?-dP z#Iq$~n!cx!A3q^bLfJEK#1bq$c15E6d@SR1fX}8!?Ta%X&%>C^`6EIKH$&Z z&EOFS8uy4AbhGcYmn=7p6w!Rh%5Ui#g)@K>0#tWeME4RPW&x6KBk6GigPvy4Zf-KkVoH<{%@bI0B&XNcL+ON$%`ws$i6uH%{3HO6w&GN}bM1 z`adrjdOOIUU?@&(Hd>p%b}XPgdqxP6fl%OO2xWWPy#BdulCv=POt}y z*;2*5`wV4*U!jvvfMEvNIGu~Z{9<5uv9uN3nPlz%HsBv7Cn~flb=5&e1-E&5|K0?} zs3bOPPKCYK`zRB|Gdc7MIx-mUu*(!(s`>F-kn|g8<%d#O+v|9j@=pz8Vv3Tt7Tumb zV@M?Y>Euv4%sJT{ZG>DzoCIW%_5-P=UC4 z1LLfq?)TDg`MIc{9%;TwE$VLYYBjM#a##0|`HL}}92~TyNwBV%9Oq2_1nV;*n=!D* zvFUg+wqo8h@G`MUrBg`!db9lO`BV)^?;3Sw)+nV>6f{}{)txYV70qL+Xj=e)9 zTKdAmOxKN6>a(&2?xBf8EeN7VDon9Y8v(?F*@B*L$fiV-|7n+_ouJFRDmb|<$JXuc zI_@VVFezJL(C&R8?5G6Jo=GTW3~3-jL%RVZbalE6_RAN+;2V9Dm`~A*CM|ucK&;@&t!7vAE=9uLDS*X#D)d8#vZT97n^SqiJWXV8e^68Ucz9kBY&uK5&vgf8rxt3AMoM}_m4caxfoYmqLA+F{ zSe$BNTta?$N)bx-n>=ugUXh_*j-k4qiKUi_Q56V}AP*=(kA;$Zje_;OQkG+GVKAn?tl%UinMx?-t zNA!#0>T@gD(A7AX;|ernL7w~(3wD-?07I3w_h0$6AxXaM*PZvVm3`DhoGq^uYCODr z>$J~CQHTfH9IR@PbK3*%7G;D6QYo|SD=Hdi4k;ByGyTKkVJXHtWtth}{01k@?YkpoF*)=)ewYsp#!Utk*CD|?(k}=4nYSz2 zW!?|-kP0QW#%5RWqMB4NI}}r^N}N^gt7z-eeX$PbXA$2Eo9&M4(58$J<$xaDlTF7} zz9LcfraRAit^zGb19u-$?D|hdHmA9pUO$vb{7?k`KNK-Fw6Kg6H1`)k3%Gcu-d`3# zhqpAnMJq=W6tBs-X>4v~l}glB&$4{E*A6U%-eqe~Vc!G;VOo=wafJN(@>2hiTEx{` zG&`DpE}u-+uVSub|6PC-@~G1Yhp8fN-BcUrXts(|c?0ykXeoi#1-arFvnw zgit71^jQuBM7z3Jv%ji9UX*w?++@~~opYuzJ1(uJcN6g|&I|F>UE8ShV3g@u6k!+? zHNGzGgOjnla@&5kI2#MsPhU}x=UetNGCRre-G~vJoRj_GKwj7QYL&6oe2kd7iRae6 z4*Zk{z9MPolvcW{V(^sTWa2VvH3k|0$fo++lnmY<%Fa;lZwO~mtC=1J z09arG06y6NAMd_k6n`LlQ$3rHUHzY0m@4tor+oM#Bk{K_4X%G-4*zAV_53y>>qCSa z&3{9GZVOZG59IvcT6CtvezY4^x&> zf16sh`xo`!kb|{cX6_~kKne-@|7HJUO2qB|Afx~Kvi`35_&*8Xec?4g|5X9to+vkf1=vo+~2$X-&}XdAH#pRkG(G^1^!P73jpEc z^$z+`)AAD(KnDaA4E7R^>1@mlxL1U=VgRQJ1o_W0zDkQ8N%>a};-y JcQE+I`#9jHBZG&XZA`LETow~r$m(=$on~{C zkw1`?gp@dN5<~>hKC_fY0&3O>O+OSI`A<($s9T;It-}rXPCKGZuAqAwUQ$H)Gz5wFoxEYJ|nPp z$mV(7XAKbBS?_}h_lVu-YF#g`rP!G`dXgMSonyap!pkE^=Uh^ptADJw%+IqNd% zy!BdN9>0P@Av307s|9O*qwy9t~LjBGG>D7b4DOGoG+2wc3tPIx%`09Y`0Try36F!xp@L;rqy zA&9hHc}I>HU!7*1=1td%_iW#e&i5;WEr^*O!(Yf^+@ZbGD}ix0JGuSpQw#2ARI}5+ zr820jT{TMou8O3u>Yz|d4nc*S-}&u^eBZhnT^X*fDn>Wjp6ZZRIJ{~*`AvCo1gpuO z5d|~iEzXJJnB!vGK1#yNea?B@pA>thc<%!G-!G=Y2Mh%!+T2aWp(fh{5H?N(-tSIE z>rWbqGG$tU07IE&QQzDNA4}Tz=+o#yAIpwfk)8W1?giBvs9&@ap2!|PWi1xZ*D*cde8;j>8T)i5Mkkh=^9SX8=XMEZN@0xcPwIKq~P>nd2}Rq zXuN^WG!xpS1x|Ig0F;9L#Qsjt^elcv^y~QXnkaYLR!-&WlVD{qqtY!B#HkvK3NybJ zL9m6zEk2%V0>i4>oOIrviTd$*heG-W=D=?v)ff01(1^o~ zfj2&TkH{f%F5Lty?(ZbS1V5i=1_cr|5P*<00g*T3A#?-K1KjmX!WGKlV!V-QlA<_J zMD6^=eR-0WJs5`}1@GvLA}c7(6~t+azACkPoEOAYTD({iz!D&pXQmP&y4eeLka9Sy z_GS=!rkUV8KKRh=k#7ejfw!xecuMyppgRAxPOICNxD|WTsJL*cwdJu7+Qd5lLy)b7 zgv?N1)8wl`QAD2MZGC8e=-8q^&mupitBZ)_d~)1SpYDgcR)cexR?WW8?KZ8vhQ4)J zFNM2+JF^rBm^)vw(SkgJ_%ch28uIr=Dv-=F1SbDlLK-UA&X$_PU(`%)BbVyT9ns@~ z+gKcC^md!s;Kh>V^_pglWGumO>NB$@3{;oK?~f8W)D^kj?5;HWZ7y=^(L=L6Gw=X78zT_bPC(kIh(_?9ucKT@S zA0nniJ`*|!CZ7a#&Vy3}H#SxStB;1`;`K~VAn6|cO%eNBa^yj-9bv_TqmtiSH%
F4ZYnc{E#|W_B6@=bOdV{WPKHfd+%zH(njTv942K?2BgVc0%-(4spVCr(A z+UiS!)l7`+T*imRztR(SwhC&!KQ`tSQcpc6Z2Yy{MH1A=*?&H`p zizc?QZTf3keo*ho7`!zu5T(b}hCB1wgfK|R=`m@IOAalBf{YQ|6%)hnW{cNZ?3Z6* z;g) zBS&D-z}3tS>?8-nzvg-1NP6aoYMFgPeriu6#~6=V9|ml$lOe&H}2^uo?pEp3Ht6+0UmkMdY4~= zSR9J1tEHky=VxLa79G=+rW|nSOFEQaYUMXmdWC(Zh8&lkg0qm%N96%Ykh(ovf7^wH zY|R1X_R7C6OLs6~Mt!fU^3d&7SPwS#=E7$;WnBv{XJF^&+Tu}4HXL{zmPJI<)QF-e zLJ`kf@Vj%dj(Hc_icBqF?0eaLRtcG`P@q=EfDr>WO&WAweA;AujietWKbWl=YIne1 zY45FDJc*I0;D)G}kBP>j6s6I0mQ?;Ufk-DqKO!2ZTzgPeC+EYd+&2)#L9hK6aq+RRyBGy2WLFx@UHIoKmnYoZ#3=Tn1rkkX6(Y zy$|heicHe}<2}vEnbeJ#&g|Wf(wr~=Z44vFK@D`;GJX~&+9L@}Wh$zf*3%w~hpAd5 zEaw@OYucWa`Y}CJ{}!pv&@PCE^d&5^OC=krngB;H28GJcgZa|Ef*}Yx){#cO`BTe? zY>3qYZ8n}uLmRj`RzzgFucRhi0sH-sU*W)2=UPE|;)T}KYKkrNgJ$U=Iwg&m@Y=1+ zZBZBMsR~9H^nsQ%3IoL5kkr1vW;cQDwE~HE)t3E}9?xZ1DT_K?QEA*0 zrQDLYIUBLiE=ltaj!Dvmx4G1g1b!FIhBt$Rb=|IaMe$oo6}5KxAJ?Kbua&t299!!xx8UvFSScku}D^}jLQPNZgnb-o3e3+TsSbf{37mA2a zfAV9B{0pwlhs{CL>L8jn=mb)wIY$YZFBG+kmbylje+y_%o+1g)rQW;zy*%W4-yr41 z51))8dwX-BeBx^gR2@kt_q)?JszvVQrM{yy*(mxZ?yVjPeGvH#maHNaSjoQyK5JYmq{1!yc+xb zn8ooK1T|~0gAwH@Se8j=d2xk>FPaj zqA9Uoj(-pMU+nVUOjoWy-fs{VU!fKcm&0(_;sVo^1GX9~$QvQ6%z71;#G-(>(ZD^{ zJNvkPGKU~Qgj;m!po&O#?rq!^`THJi(kfPspg7NqEh@sb&4rIv^PbE+S$Jwp55xIr z;nLgyqBZf-1J<^#`x#}#6d06#`tu$Mj#yV*W9+0%tS>o6lH?`;ZZpBUG*I<=)nOHj zseov2n2fCu>`fW}uT7v?HRuM(%Sek?icZ=~P1X;V<)+*Z)sp%_D+ zK>g+;6^};+rbZCPHNRxms}4M%1}}hkc`hUf%;vBj9$HtCFcm(2!6P?T90m@)cE7<` zBkgZYZ5UiN$Lat1K1?9Qw4JsTNM{!+CD^iia+CMc#nCSK(Nvq$LGSEX$Jim##22&| z#Wka3`lx-XuC2A<|MTpYdg)co|E6q@Pw$CaZAlezjGH5ezYYmuD`3!z*^=EZZ$D_a z6d>3H8G`uil}Y)>tHoX>XYyhQ9NoZJvS@xjA-m`0dHJfjL$7r_o7W)4H;I0X4*0r* z*&J2df`pr%htE!2Z!h7L4&e!#xN02om>vt}ISdrt!Cd0Bs6t7jjT}VIApJqwCDhgK zi@~B&PP&xy;UcO1`6dW}F&2UQN?2ch>I^PAI+V8`o9RPEcb>8c^W0rw%GroJNP76X z6CLidR8>}1_TtUo-oGP9(O%5^b<#ccGn?!{BeWdsq->6`?SG1_4A)Th@SP9MR)-a| zl+?BrZu(aGnVc3O1~X)^eU_%Ytov`LCE4OKs6)|s`PZf`H5s1if$)&E)Of3$@4JCEpb+?)A zUgp;9T0(y%WYDOSJpl5oqD{R4z=HL)ZV6nHfI!(FfY8 zSFFCH3)i%xEl#YBF{1rv7jX_0kdI4s&o%D(t5&3E%w;bg=+I7^5}2tD_o4YAqO!bz z&7fpU6ofND^84C;vyW(n>mdyPrIa_?;*}PA{v75rjmU|^i>FU3AkASV&c8HPZ5j!* zXrqx@^`xf%P9)FiiNbQvR-0MblN2W-JdD6lcPn0dD^FS;+Pe0}WcvzJF{+|ZujrX2 z0LsBNJ3N*bM?{wBt%#$X2ML3rXuzRQ+ummfFTq>I#{jp*GOFt1U5ZTg_P6YFVKgzgc-<%UT<{9-e&Kt3?#v#l&xKv(yd+R=jmTd5p${O{@^x} zyZ`EYI7kQ1h&)-1hXbDX1aFfJJl>Ckj_!O5d}aGv-QUztE~8K(xj;lTnjv4#Uc62QgDH1wiZJ~4XuXd(V0?cJ zA(1=`yH$ibo6?QTg6?Qr1zY@s-L&RD$v6&umk#p3;aje}Z0KYK4x{e=wM*=33?vP+ z_$R$pOT`TGK2iRgRP)fn4OJzZwxyT>-W0GBh-nx_(qld1R*@I(Ukbg}-meH-Wwk!H z*O<50yd;EoADFKoly4xV42-uQ<3E1dT-}eCf?Kh=?gdbhmyLx`;dD)fw^5&(;ulHN zpKM7EP?t4u(&0MZ0-k$cle<6f4LZPYqTe6?4E>)pi2Eu2w2*NKb;h-jz>Bl4!Z9V& zEsYomO#B!4g5TAfoMBsX&U`%iI!-C0HT-cKPYOXlhSgws-f1101@+MiT{t%+7PpJo zA0xN~%{$qZCxcbw;)`-epJdOkWSN69V#b&Pzk4|!c5im-XkhtiPH&G{Q>d6zZk_d) zgH93CjbEp@rPvh0IX~tYZjKEAx+lSoLoS{&!Bv0luh|En*e{P6ZlVJU7Jy5(mh@1v zQPC&OPWD4HqfWLI4A>;9w@NKIjm_Qj>&q`}(kdhT%%8KGOD;tOV(81v8MvlDw$--u zAc4xIILyFr?dhLep*aU%buDkh2j-jJMC&W=1_wr&nWR^$q;$`6e~ro`$Dr7Zm=w#KmKnQg+XQLA6If3tflZ#I#>XB=~ODsMJo zkd#%y(1Mj#!O($~Ua>9gkOfM#cf#;_C{$KfB#QB&Py|E~)i!y-H>H3BF+8ffj|Hfi zya&})40ShoLt`NlrpdSbWHi0S0w|EmWRGD7#Cz~9B=IJhP<^i- z5gk0=n*2R6u;DQdJWS5unH59rTA?~&gl!fPIR3B$gpf%f(cBt&kXSku`%t3MAQmGM zJVHvh|0Cdpe2TX2qqn}`8Zrojb#u( z<_LTH29EZY9$p&zu}6_VZk#fnXn%64HEw(~Zbv1X3n82PM>ZGH+YI;<gGka}@zC;>dNiiZ&yy<)pu?;2Z3Wp_>G3G=dQTPb#obNQ}(lnMS zW>fII-B)2jUezsOPMtNJpK+fSx&nbUc}~2oAhyj=A8iIK7HU?W&O(Arw~$y{48DwKld8okhK{G=y~O{ zYV9Knvax0KVv3q=DHM1}=ZE##(gQ$0re0Unb<%Beb<7J$>KXVECz8k$2Y$P?YV06* zD>Pf;)oDPF+0a-?J}(%~3_=;(gR_BH1E-{b+tc+T&IJYfSK}hS=e(x83m-&7%)X#n zW?yK*Z!f3k>aG`l=3u-JrVeRMs9T+1s3&iG4C_2 z-o*shj8h_9hYv#TaH7cbID(Kbc;TOfi?j`A9sRMt@b3;EWPjsCF=*Nwu;SA8k&}{W zGJU$Eb?zYUf?0}2wq^JWvx)57k&XwmdF8ARf35O~k*0`h#qR4allGZ6Od(bFJI3Py z3hcGMZ33K8*mvb4S5w=Gql9?E z#;k9QEe0q`*K<02Fe+gSaxE&fibPl>WiEN=7kk{vElehgB!+wDQ8Y_M4shQLDN^jH=_UNe0_+y06h8wm| zDe)&f))Fiie#=Khb=Ev_Rdq9R&OR(A$Ori?LK|koUY;51ghM;ZNxLDKbDq`H1x!bsg=NRY=>FNI{fLyn)y~kc~)w`QlM}GzRE>X-AadOuy!p;3gR$ zXutPu@#I4|&DoH$Q>c2)7=BCkWlt|j3D;8&n9*jh8hX@n3!0&k1|+)K6N#L^hR1p} z59^}O(=(b(s0l6Oy=~d5(G%VkQ_o;d=EAjpnyBSl{`#(6pfD4xy7z#~5C6G0QYDY{ zDTe9ZQ>egd`q~pcB7>-wezXkGsz?V?5Dm|wqZ|(W`t$5F z>+FrjiV$S3;eIb#OSNWoRwJZ@FZMjetR-d(VKrYxqXu(XY+IyDBQj+07!~ZY05#TQ zD+=pqE!Gg1H;VCwAy=AKTK{`DsrZMeWu9?=L28gvjxbZI5~V>&g_F*LcvY6&&A+Vt z;q*M->fA-46dI%z)@TMMt3+u?a9?X(6jngVnx}BzgSM+=UOn8Tv2@v&Tv@wLz0b0o z!dnq(r6ME%S?s+7Ym_STQG$dsF`RU3Eh&uXP#jX@^NcKfg(D~SU;HUByW1XY;V2)S#h!<+C}EM zX)Koh=J0&}>Hf-p^ZDu~W**2$AHM|_PA{X z+FCzFg>TKt`6Pvkvw+nkIme9W?(p79RU)Qan!~07mk&SS|4M@YQFQHuJTYGXDY+&8 zR9&cl_CJ!KmA#3xUA&yaAQN2Z%_q!`QX!XBrmMz;D5DF_k*=5?6|z-igA0)_zgDF^ zRGk^2zF?{#4lCAbYY0rmR!k4Q-pNy*o}!z@Xk5~>B$fm#<8U679+`mDR07C#8eNPyB`GB%l8*`J-6{QDA4`E|<54o*qv3A!V# z+;L*JIHa70c#xtD`*@&>@nPBtiupPf1TK90x31qR{ z$zptD-&FAN-b=3*DepuCx2i(tC5OWpV4;MX+NlY>2?mf;>Kv zYew7^Wz{XyEp6Fyzr8*z)*>{+NkFq236Tg`SleSCoVcI2R#FlQ7!eA(pY;>=6WQ@P zr{3peP+pg^cvCXQVy68hd*>$G`#MZTSyzl9t3{WVKF#&v)_<*i7_DPJGqo%Uv;fvX z>lB0!TJQ~Mns+pGlfi~(U72U7e|9c8dEm)()G^7rq3D$CO_Wzrl~rp#`daCRI7hk^ zV^IoSmmG1UBG={8yurp2zt}2kJZ0m)M0aORyS8dPYqGNWN^GuQp|2^p(!#JqslwS! z5WLgsZ~D~r`SXmrNi~H=OPWmfRjDCwkd1{&XFX0scFxlMhKZ-EcVma{f#>!wl{2($ zf=QNo_AOiR7{)Z|r<{~rqfdG$t}sBf83&4^XJHq8np-MuWy;mEgzj7?Qd981wk1D< zW$h!x(=T|LH)i_&6>mEl2o3hTRFx7Sdlq%mVt>ja>E9Int!b z%~R=z2uJ=|%T*e5G3&JZa3b&zY`e2WyL8(bYxcUGdEKlzxQ*_yECQ#PZLuXR)Lq>5 zRsw!lh3nP!7IPn^4Ki>d-*8N#yr}Fs2_tON=y`>%*+p`?!}iE&zzLBG#Uz!3Fw&A9 zU&*OCCDmFCYtH)3^S*d<@4Qcp%Q|EV_)8;y32idHt6aZq*k`P*)0UOwa^=nxi;ExmYZx| z(Y%8!YnD-YOEq3lL~M{W7W3lo>4s@Z4i4U)Sxh#HnjN0*4>=>yepDv|`rln${4 z=a;P4cS&XF^F&FFyO-6X^vPlTP~AC?%}1APtL+7WhaUNQsNayHf#m3|W9I7NJXuk7 z{3YwS2I{*}@#p>=mKiyr3bnSvs1D%Zm{a8l*-Q!+icO9!4l?55G?TFs(9pgmqo+61 zbi>EQqiLBiUx1N5yyeu7?@!5b2KD$rv79^@lrk3Jx62l?M+W`t2T7H5`yllfAeu3M zB{a`&Hu|Kw`77cx-P?aGwD={!=0-3NT(fT{3vA*nBN`)5!V>qQ&l2ZG0cAugy5n$c zSvIsI040PO5geICq?5YtU1h=y`RDd$qdN2bcYARgSVgk@<Hh2Ozi!E0u!TWY!zuWBIO^(D04CGe~| zW{}AZR;vW7;HNujGEWt7=>CGDi(*#PIRh_4j6;kxHstLcN_t6l4GyTK^t9AkAIfOG zXm=8p9g0)~>k4pG4Gg48-Kqvpz#U}83+t*dz36k*NXrTE<)xHUh3owIMAhjMxFbE( zpRXjDWh&%OMMtTnPi5kmqW~oOi?EBFwjV9R=1LOy&4UpE!8q$7~jZ zmK#MPa2oSxLQE<_R6eY$^R|U{sA8@|H6p5TI*qgQVq9=s9u~l{;I;)3`++-n#Hl{h zL?oZe9UUKcqX6s@T3!q*>kk|yK}^ik<~+$Cow~fA$bF^8JX7cEo=xeKd)UNqhdgYe zB#?)FdHNFN0mjlfIfAOc#0*ti2tXZOrOiZ~j=8$=b^iAD$gAtif1gX-M3)+jg*snM zfxz#_Oyi*HsXAWfyJL@C&|23i&KoLLI=vMQ6+ue6E6eRSaiJhJw)p<4#`4)*Zs9vF zU0q^vSXm*^;fYpfa&UnZB8jLtjisKYc6RibrSdVX)m5Itv@Je$RC~8LkY4s#;HbuM z4OOSpSC5l96za(1s!odtNgPcw2yI_<1V<3d*~P479ogMdk3nUmZdL|ME=1;6^<0v&a@`y{3yC;;OdJl zh1cL!<1pZV03a<_0=3CXV-e3AYWh_nB02qa^VIf@d!p=BvnaA!??dz3GYf8TVl}8= zF*>#}2X5sa{Po%UfW0yFxWKBdPVYT4djqt!Sl=-WCm;fT@Nq;^ARMB47_T~EE}h{% zAv#)&xN5fS7Dm;0n!6u+fqjS77X7zDyTQsV1F};fgjN21aFSDn3F}{sM_}iIfMu_R z?*rtNDdiRw*I%>pK*YGyQX4{SnUiLZ%NfA-y+H+yL$=#hj&Ln~n>$dOh(3t}82D=< zbz&B{1}O$Jabnp!HSu68Z%e_1k^BmW8tw+%Mt~S|*gf`Uq1g_M3{zf|vvb*11_V(~ zQ>kh$j&ln>9zZ)Rn&ES9)Z+M4ZR%Rw48Ha-eNrld8A@ekN?ulLEz+ARxW`pVz z{PoA0|&3lMiQZyIdhP-j&O18>-*d7oO4j{|ftKeBn7YKu<&7Nv#lB-uW@ z@dp49V_}0#x<^R`Z65AN-9M)yuNdF#K{fS7W1!SMi1Yo}E%brYsUBt66_zT|udWl6 zUlMBPjvxfZAQI>7!%jzDxVG?*QzCa*xw1OLkFyp6LN`nXq|3^xF>ko#siAmNN&sUC zZeyNH@_2j%(U;prm6sU3g|w$I;24q)DRNSv1zHFOml=zIiB}KE23ZIcwww9Mn7r}q z7cBU$?vT3DN<1VTD`Y`Fua4ndFHNDS0z!8}N~FPUh;UC-Jqz0qIX)rb^g2zDZSmG1 zv|k)$o|!SC81gHeT&$q8Rvd|?40u4{p3ejOFLV41Rw7+HQPB2^G}zj?BGI?t;HpDb zVr8#LTQT$pT*GU^u~ge4?!rk-(Kqsz5D-T%m*wQP@+3fmXQ565%0kNb**KZ0USK^Ixq@3Yyd*CY}zK zVF$Zif?fSi>t22yeg{o?5x=P~Mj>n4RbTXBd!_Q)W1AUuIFy=8Y&OscWJTJCTYQ`m z#XkdqC$X1ZE%|(wc~2v)$A=WnGz-krVAfRuE1i}QqOq0!9h6fRF#LP?F5Ok2Fftl& zBCOgj>jkvh%#@m^70Uu{rq?Sh9)3R9dXDg3o0uI90(aMrjtW?9cc?XUuqu$RTo~W9 zd0Mz-yk8+?bH*;b+av3}3DGafDQk)dV^xr*nM$E2^XSv-%<1kl@CB&fAXytYDZ_s` z#MON^%F8Uq_8$YA(kJJR`UzJyP3Q6>j6Q%m-h(+9ALAIpF7=OrpxQc`;^i4J*1_2% z=63IuX<&YOqU~AHx-YbRv-V!p>l?b88MKG&7aRx-z1lP7@i>eg9!p#CV&*320KSL> z`BXQLPzz;Uirdx(QG;{Kq&X>)_}`X#?_Fkyjv zJC<$rvfFSCczqpFU&i(oR+W;^Zna=;f&8w!(naZn*3xJi2W-aoQ z?MqhLv;Q4&Uj)a1T>TmO>zrgvq}F}z3j4{`u+CW=f0bs!s(;6P(H~A|ERneqaNqvXyoiC;^UwyG2?wrSWUbNC=39JlcAGj zg6Y@alMnPO!EnU&v<)W`b<$sxKKj81vW4zJN#1sTJ2r-&Y+>}z6qjF;3LH$g4`3Zl z`s?~yHBc|}YzJ6P4$5{s0!Uvrsv*_Rq^hDW2@t&6K`cDN2dyfnB;d4sXCY18qA$bd zTUL&2fACU>aTt@OjYdZWP-fTY6<)PKfP5PCY`TP{J`Z-CqZk&p2e|*_@N8vz@w&<7 zOf>qe?@phJxt`jIJ1U`nrwX~th-$=&fj`$hog6ZGY$D-09pkwHhb)5gC2v)ceG+gK zTy7kqs%Q*1xUmVpi5kY&{)P~LvFfR8BK!2h(R=8?JCVB8koOB4$+6SQxMj)lmGWKs zh!iB@e*OvH4Q&mILjXx=eIJS}D13(~EaXEL?dR!WG?Gyj>m+WEJ9jz;q=S{t<%PN9{mv{Og z!i^N$N&kT5>j`Z-tKk|`TfBPYmOhwB;LR?Of9>t4p%*CN$;DSx980p5kTN~6@ul>*VfKd&S(y=`(ZabHq_@W zx#wH|di(l;yZNa3_1H?B+zv|;y&NF3$M^kkYQ^^MSm&CD+54bgW&Mvsji63?)?}g= zi?{Ld;Z($?MXbHSG6obF$G}g39r0_5w;BFKKws`S0X^FGn2k}o*lS3uK^Kz2_|NLM z5sMm)EE-O+_K}ch6|?4c+95D@CAv7SM6BE_0cQ625Lx*mWt=Qwj?{f#bjta`M)tlP zi+(u*2hFmwXOUxBPFRr`PdPOK$FWztI?bvIAIX6ly+~3&T-=1J$|?YR#%y8?0pqvF zG3y}xu2n0C!hM_VY7IUWaJ(FD`E@1QA|G`UM^rqVgZn$nmrQQrl|9v<*ra2#+&$ae zWj?kfh$#MM72E7sQ2<**w)e?*^i1``YO!XI$D5N!TO&2ox2Y3xCIQu=9) zfGxNHq<#$%0E1zSY!l0dr@Hp^8Dhz+b~G?+)VU$A0pu};Yj*^eHSrt7-+-4|$LIGX5( z-4paVJ9ayblI*-)orQ0KLD+!(v35uYfn*U}A7o4+LLx>i^97V@G6Xtai2_tf(HG#B5Lbd9dfiHjvdiQ$#bHCE$b zSF)K2`G_#V&XJkoRW1=UbJLYcL*cf)1f=p=NoPFhsIB@j$hol^&fgi9+Q;*Jcclab zKf4b7a<{135VF+PEYJRK(=<4F9;|n3cg{U<=hsAy@A>P@Kh%yHt*7NTvvp6UAKT1L zsuDc{*&Dwq=HWf)9N)O$wPifb4LN-;DrL?gz>#fj)KmO?22Y-9TyVTkL#B)RM8*dH6PQZFh3rfbjCsZ{c061FDDj8yxBM@*y_17{OsEP)qz+HY7mmNXx~Xw|5XJBk_vw>twWA zy0wUaclOEGTGn+Xe1N6uNZ$dNM_~LUduS+_A6TmNjfcHPImg_%;B*m{>O{<4!iZ&| zW1Vss`t@NPkL8v)_zxUgXf964gyijxAqx+8i(i%3w5DP`kp}Yj?TLOH65_pN?kqsy zYcWrgLST3ZJG6JlY=2SqFMY1jKCEmN3L}$KyJVz9x<_inq$<$>;h$G>Tf9R)A`qH* zc*_7YP-0pv&0CFl$i8LXUc{P}$ku$qJ0FVJa7Gp-l(d4%!hOaJF1epCdJ%x%u(odV zdQCdL|3jV|K}(*b`6I5`NRutn)oYw%Y-?on8tt~x{-|uxkV3j9eb4Za19QDr*TfJZ zi<2N~Ez_ND<-zoZ0h5h5QVS(+OBl*XzGVQ{6a{NmG)RIH5ev)^^u}SHoOr+(6%sc1 ze$)CP$A2U1hw=Xt3AS@yqbL+IMGKDxaU$X1Hct8vTU0!NODPMldHf$cWEaDx(YN<= ziqLdmy8-ZJW98#p2SA(&_TQYD{X!IfUronC0T>~|^b}7(f2# z*<@QZjs9RdZCjajMIi`=_XuKqw%`0{VTBZ59i4n1?FbN}IIu9!4n@iGjX3JevW%Je z^$j67#ijS7&qPoo4`1EOCF~8WkeQx9`5jC}tu>JjoDgRDmC+9fey}~7-Mw+n`huzu zfZpu@fD#fsfQN}9Pkh6Taq}Gtu}B{EY%wVAAj8EaKRF_m_P=Q(v*&IKu}B~DA@vbq zqK0mWaVQ6Ls3;^qaU!Ki{$LKf3HL@CM-KUkDH!XGaY zQ$-t%^LT4ISQ5FIIbyB+{;sm2p2_`zU=Ty<>Utn=V@wAj4Kjl|v+t}9nFMhb3PZ(f zdIIxa7wY5k=vwY?x4?sp^LvmO0j~M|3d)t|jer;IwV{p2x95DDey}@U64XM@1J#Mg zccxvy$d3PcfGP)>PtFtGhR1j0>{xQP`=}xZx%Y3N1CQ_OAB2b15l=Mr)c+sj!1;Dm z4)Q^P6JIp#l<3&`)@&8Ur#~a$z@KqG;K=#5YBB(|q%=n^mVW-%-=kgbw4l-)a-K~W z!+-w3(JpNbzsG_W$>^^?5eSJ4kbdWx0SAWL+~X1CJeKaARXA2|nE)1`>>|Fy+H82HvtH2(7dVG>(5j@aNFqw*exo9drN* zX35h=s`JS&YP6@LZyt`tv=4`$NA}gEe<2rk0Gs1bprE69>E|An+Q zYUoF_DGN$aSPA(Z)V3x1-v;T(hVqNshY{|L+LuPz5p_aG_KluaM}5Z^T}66_h}04` z5OJc9Fc=}Rz>heoU-#Na=?EBVq_QU)FfeJ9i$Z63od~wO4jc%%7y!S8`uRKgxFUeQ zck&IJZI}~w`w4o}b4;cA7o1yK^U(^fl8-U;C>OG@V|H>7WNva$dM?UH>U6k{ngEDaeCamVCPfAFQr{?ee4TdDjn?kl)Z zKQPzdr($``f2dF2xG#+Bh~hlCR8MW-2!U`01OJAAw;$~(e$_BnT|9B+xIw_)w}pwA zwU5;4uBM|h{&R!Vf|grG>R>p1*f+81#*zpS(C2Xm_q9!CId9aPcI=ESZZ9su5TN0BhIXpv5Agdf^(`2kn;rb$KURO$ly08sJHx|1llMM|yY$6zPG?D(ZBG(Egs2R%Y zB>!KI!d5B(dBwzHz!~X=W56BA(OF^ibtYI~ zwq0rLH+I3xQAPqe%cOB&^*bxJG;eFvsZIL#g`iFPvowoiz-v9pV**|MC$MlZU@8Ww zG@Z)iMFtZ^U9n+T=qfff>*kaus5G_8%|b(q)3ojBG_%UlM*@elw5`ypu9s*O1EKXo z%a_Iby`-w=VbD2fZ0Ixt%9_Rdm48*UvUM#kdzti4YS=NstUOzjQrSW4%~Z;b1iH3v z*rL(ttHmkR?W^fbq1{qdM9D&W?z49n|M+dHp z>VZge<=?QnQd1=a_n`s4=n0W?0WrrMs&! zSFXk+Ct3w%=%&EK*CMltD+a~E?3mBuqi+PTl#PbifTT%=6u;w zoe@3t+FF)Yb+fWU={CP;q>-nhjz>iU7dF+Q!kmYwI99q2Q~7ZcQJ7_f@`&{$$-6rH z&CST^eT;b)6Xu~C);GV76$=VXeXrL>^tBS;bNwe#`SSrYSImmfhMtf*4d)=`&iP<$ zx)onA#YXl7htk}h?{8Wb3b7`?fw1pOGSr~*8>*XJ1QZ=W(C8#Nd?4{1^jxssilB3-aGHYx-8?3I^eh`eW?L)>j8TE_wgdozO;JXTLNde; z=4pd6GL$YN4*Z0!TT+%|5cW@*Dg*r&BIjLV|KDagUks`)QSW?%eT9V(gwC{;=h7ie zisp&fk5|?xx!vA<$TP`CMB-huY!4VEJDqC1i+fdUAYX8 zTeU2Jw`NaLt;ES7yiqKrz65Nwsu2K#D3vy0T1C-?*yW$h`*ac2(*S_6n{R;UG$7t~(MwL=s4?zD* z#ilM7f$k8aMr)@dA7;2g{`F~tDC#I_^li#H0Vci((p_LjV@l6xU(k6?bV_iTs*EAV za&@&T9~o5I(x~>{76e0Or;d^LyU6)LbshhQ&-u3cTJC4vyQn*??QD0!u@=(_G z6sEm`b8kl+y@yr0%L1eL(jE9>1indO#hybL6uyeew;NZBnrn^&4Rg5%UM?tXj8Yhl z=+d&H&O6Gz16l=47$+9#tEU;)oWDwxq zFshBFImQB_U4n?Q|F^63pA!W+6bEQ&_&`ANQvcP=f4dkp>sX}YEvH<;Y<=-mAklTC z{<1bAh1gtUE%c8EHtE9(1E=TEpQ=&EAi`Z?xd$2XSnaIDmYd-|$-`5c;f^7hAfZeM z8pD3>(y5hZXU+Vci_3}oedF_zjd{Mcx~w*M8f&oaJo;+z^*;KhO}GE>1_1!%X6Cwy zX`iDPDx;R49W0K<5VD(F?sC5H^S$L-rGW{R_zLoyiCk;be--%UIxFm_S|L&{jF2nW z;s8>OH{63d!QI**QZpZZe+8xgMSF>JZb9s)Ay9sZ!aWd;J4nxs5@X1;A$JwrN zc=lR!9J;g>(p0WJ9TyIl{G@WY&U&P*{sCr3*-XJBvmgGTokpTNt;f$Q-x`H-JR2AnyqwP{NMd^``9?vLU4 z2Gmedjq{6o_ZHk0J|@2qi{&!2OJa|p>NR0PbH$iw4H`> zB6(~H4WT}uB*hez#cRU9y$Z&z_24JZt1B)uIFTgNh>S zHLpsgGJasc% z@VFN{iXvU8I4IS|K&~Y#uws_4r8=}fj+un{Qe5z~j!%+kMuhL2l|$%uai!1?{uf{G z9G~g0G!ECc-K}liHMiY*YumQ%t-G~t+qi4n*0$Z+*4ytn&vVZ2`RDy7xvnHLlSwkc zXJ(SxHMjWcrEaw-6t*bi{Snq9$w48LWG$^s>t6~tPMW`!e}@h(eNl^Svco-wWuaPC z6d%>nV(tAKzKtbaw6g~a=_rq8=vA*Uq@SK`99V}!@Po1yEs$&!V!w7KVHC>}n~6kM zo^wnEy@y6lx)-u~CjeT9hh88q^Ew0H_9^t~XK2u6_RFxOV8_Qp1|_Iqmh<*IvVe2I zlR7I)%I{ITaCwuPd_kEuKeS&Nlv%jGW0%CKH#=Ra2EWveM2uv!9H$GlW`Fl+&ZG?R zqzO(>mb49o3Itbjqi4LJ+$2v7Bnu2shpjAy#krUmN)U?(Soq_Ry58$nrF;GQdz_`F zgpBItb+kAkI`FaO!Eue^Q0ump_4xC$v(wM%7zwvA zQL`8iv57~m9K1Jz929uK#Uoz@k?K4XYNUpJvR7uiS9HX$qzCt>0YuCS01=hZ#^p&2XD`y=K4d{|`v=#xVK;Qr{-Z2y3h zWU`=TsyhvA^p+iY-Y^3CB}Lva5_%4KUJU_dF*H>1Fd}-JwsXm41( z2&P4@DYq;Sr%=~*9lw|Hg|LebuQl*Td5>=nvW9E#+tP2ACyhOniXZIHzXx)t1f4H~ zMm}uDg1$12yI;G)$9qy*EpCF4eGqr|+gFZS();g1v(?cC{;UB`U;Ks*760nT{H|3j zrcx}C@3HMHx_@r-eLC3GY}vA@Wbb%)0^jVloh3X$a+8n~Lmt8!8i#)G)Js{fyo@5? zcH9`bj7b#ic`qSG_{h3^DHCZyGfR_J%PQ>gePS4IBI+6*>D=-E6x$K9?@`BGBinHQyG-`!JXouy{eFq_{L$@%FT)_sEN$|Y%nY4h71<;T5a&pG z4{Uv3u4?!A41RZOloN2d92GRAJWIU{$n#ZP52+w^RL35Q3l@9;WrirAl}ky_3U6^xXB_i~&aG8lb}BK1!9 zTy@^Wu|F##d7ZDdbT-N&{bmPyP7L#DK4g(NC1Y-REhwd(Qd~-CE|LfM>$$(DZ;xwy z=tp>&ya=Q{yIFit&nwAZQ>At5nHEdlnx%ap>+t19Lc3hYF@EGnhPhm;>G0)8_8jjC zx?JnEKXovEXkmXAM1FD%I8UPB3Q;nP-bT_#JNJw%{doG0w65Lk{@Ojybd$!gBSW%I zst(Sy?W@qIO=C8`lFX(CS{>g;JEhuCWl)XPumpN91U>`94mv3WKLghYdM*S#1M3XB z36#;tV#ZGm;y%0~xOKmKw#KdUvca;UvO%*Uv%#~WbwP9?U=WV=6ALXE_9~4haY?n1wbB2oQNGBjGA+pA8AZK5S|F0z@E^a z;8Lsi8$r{62;bmGpd9_Rzafu6!}*IuMXA|lwj1h9Ddvw~ORpv$J0?3C)1_AYvBq4t zJhY6fGO3PfxVOenwGwL%Z!?{0uC+0#MqG70UYHb=JER$5Xr!>1xnfF6R~`ac7~ z^hs)A)Dh@Gi6E1~#{Ca~a8XfQm+S9_nA7#?!+QO$4Ab>UtM?N&J7?m}8L8`0XIO{L zj;{FY=M(2bu2fDPfQ}5CuV!Mt)zv4iB?f>HKoEf=frbT;0sn6!4UEV;@Mj-tWba<* zn7k59-|*ElF+Y-;$=CUWx262{329T~e#Ki~PV)j^NGQ)LDwib=a>E~RIYxpDlds-XR z41N`hMGc`kxJ_{?xsJiC8cdtQNhPz&RI6W`!L%CuBmgQG)f_B604o>M9DFSRDHr`O zSbIPkkY1m2D5sw;XS`uz|N5vw2iQO#Y>4U<$=wlrUNFHh2=lLo(x<3}Q%9@>T>?Ax zzXw9;lhwkmAXtMogRT3Yry4;|*Cj}754v(q&;EBDHAA}}K&Sacv4yP=9cD|++)_IY z1H3ZNVGrdDmxcqV{HX#;{7VAN{LKQ6{Eq^#{jmd-fYQKmARVv*Xazh?-ABycmnC{< zXtuj0DCzC$-{{Eni9LU{zQ&5Zj6!DVlK>6_ses;s0{cJ_ebibUbud0SddOtZ@c>Mq zlztL%j$IFdU35C6BoIrVt`>0x=?ugbtiyj(2)Pz|1^x{D z0UX*t2xtT(*=)eS^5^x^K25bO20dIluz(^p?4#kei4(5)^ z4#$qm4&sjN4#SSk4*M0-72_4rmB1C~70DIq70VUu70ngy755e76~z_i1B@L?%5DN4 zkP0&u)EIPC2q_N!59qTH=ua37&_N&`dI`>dBo%0?4_}M90%Z;D3c?$p1GLSBUI9OY zd;on700#>1u1JHqzI}Y@HcV^w}l$sIA2AHL7o4ujUD7{ zaJX^v%S)+@km|GltCUJ;o-v5?(K&K?qH}V>ewmt>lmN z)OZBaaWZas$-OxQ)p+>7#C-AaNq@X8LhZ?ZVM|NV%z-Vzl)B&s#d`C5-7m2TB3h7C62B=lL__`5P)9%R5dkwS!ok-^yq0mYo%B9_)y?elTP(S_# zLaS>l!1Fk0V7dw+i}hYizD8#;OULA^YIPMn2Pa!PF3zjgw}d)*a^H1z>I&%ewG6q) zu!tU{T{7L;pk3NG@+fuAqizt#mK%oUMnNl8n;EP#T-pY0cowffnxv@1L_M6#Rmt38 zF98N^5wyswZm9262aHLQ?SRvZ?L}RtlM1C0x3G5Sl5XpcbIPwjBHG0WW~~y#U+5ncLnMJXOQzJ8pgU1q=Geg z7|bB2xvqA}c-s=CESE{uH3xRHX= z<-D8kQi_I(b&20p%1c=f#*+%?3Tfw^78i@#PvDf+@H%jtPh`p;Pw)tI7*6pBbs8iY zbag5UtPFKB3Qn2J<||GeozE*zn=?qP@+8@01!5!BaT}9qKTB_<6ZNcPjjIyD)#+9k z%{nF$p^b|q;al5g5{Czf7^8O0yHAcOI`?7PB0PxdFG*kI$8-)UkM}A&{M5X<0A`dC zsI{!J7`;HK{X-CEa1Gy}n*g+2G@vN|E=jhOzf>;L2plPNB1n=@vJgHsC~ZI`%9;@D zPgvuSS*SIC+i!G%oHJOk>K>E_h7^O82mLCk%CN#vSc)J7u~3zo82pwqaJ90OHX^MA z1|5})rbPy@E3NrW98Io4G7%_h}X&)J63^HHchKLa>l&sm%4 zwu`+hZWzwqRa*#vCx&0!jee$S2`&Nl4eOh6B|~KFA^U|~PtULMEK;4nCHkNv_9@vW ztrO2U)&A<%v>dtrw?w1VlxyslT2dQK2g=^0F;bsgusdp6xINoU0Oc0{8aQZ$+yzC9 z621Q7_&Jw3rqtFqI@1};;OP1h0Q0Oc>Eam7Pt$L8t;?`50IF}_Y?3zzU}1jR0=>Zg z&4`YHf5d+nQNzmp4EwT;R8GqOa2I9b`+Wm=BcuT` zGxTI2h|9Ok=vsE6qMK%{AxYSuy!8!nRmeY;nDsfJYpb9Rc4itOezI)Xpfp4MH0Hx4 zv4-E#-l5~*{Gn}X0zt!;n!0#8v%>TXz%Klc%p%FgId--4Nu*4+drPR{6q43dugqfB zRu9Z3L6&V)RM!r>Cx2zJ{-kHSek`#B(!hp|~w4_0V@M&`c1LfAteeB3&mH@ZXa;CLHa} zNd%z|=&2NePTGIF$#xF{rX1}77R8b($xf+TfcJ$vq6H30^yAB zQB`3r;qqRg8&>mnSb`Q!{5*8ol9%p_qieybY23Q5Gcss<_y_ zXd?cN>h}LsJoG=Skb|=c3GL$vErAZrDebxG$O)2y(Jw!?S&Y3dgtGjQ(t!Rg2u`Jk zmUa>C0F*_qAId_{&Ax_b-oGCC#6ldW>rz%pXnUiZLAllfQIf{aC7Llb+TLMKMb(>y zCH^cs!l+r!7(6!-^ikqDBj}9_HnH|4;>w+!HT4^qh4pv#yQ1?F6mQhJg%SQE!sn&~ zhG#~tn@Y2oYs0O(Km}@YIToV(T*S$0XF>W?X?@(L(ECHAediEPyf1K>evE| zZXd6ldUgK7|H`W5|H>+UvLELB-e%rX?OGlsO&@sc+Gq^3i>HfDOm&varYm~W(0u{$ zp4y(X8rLAJ(j}Gl>aQ-EI3$8*a71#b0(;N{8NDdhAisYt0grbAk=P1GvB+~$lun`**~+9vY<$tnJ;YQ32(dg(!u&MQu3kcJ55%4hxs=XI&$)xe27i}P*lsIur{KQ@tB|JeLTUCsG2bK&jT_)WeAZlj%qtD{V37ICc=HEa>A!}(U zq@&7eXp!})+9o>Y)1-`S3;p?ChrwWtDMllh9zWI-6-V<#dJvh!q|lw{vrrzP_?`XW zTzps8s_4Dr^_z-xcowFrN#a<6`Ni4o&;#y*%s6y758_nnhwM&I`W>M+t*tCLg_06qv3Zz0hQ-?kSJZ966 zDx10+Y2^=vMH7w0+{IDt{gCc9bGL4@y;L%G&RJSKf?1I2nLvmP?NV4P{}aH+xMR|KCV9? zAwsxu0r&<;KVcFKZfz~=!Ap?%rXd$of0>`DyUNy?J++Hrqw8CCD>ag5iIO?#%ULgu=n2!rc z@$lJyJKvYu$-zgFY)^-6bNvf4usFU4? zp;FY?3(=}5fXNU#Viys^-c-SNZCyRpjRtIPhp}icVkQC`POIClwLSo*N7Gm0zP zPsPCV*^sD2!=Aw*9ef$L#KXRU1!5x*kge_LIP!C;hqL9^|h&zmiXFgwFl6H z+vGz0<#a|vWtmGC_*I`Do+iv!fRG<@-TE|_*h8<2D(ju}Wx^L8K1>yqELN}=ncG=( z_#IvEZN%v1cJdd=?T1l+Rv7C`8RvPn z#wgNm#q+)&am%N+39^culWV=8J`c?xF7mOLF1W+lNT*)xvl&SE{Z=i~$}hEgb4{?j zMa=;ujF?+9*Z!LCYL-G3pbRFa(`Ad2Q3Y z?n0~RmGih;hsSlgB+cL~j|&*v=zp8zTJm;;B6@!MwwY(4b#O9$0-bSldD+v=Nz~Pg%jR{ z6%i#f!f4Pk@a{*yLJ@wFuF{wBn8!&@sE>F2eV6=ck0rKWwGOm|}XaT2! z>CM0JTrYFc-Hrta7JxD50$kg~195I!;w#M1&g+gL(xm^vpigy zm*V&v<^}jRjs=spjf>IEZL?ThYZv0Yo8~$A=WYeyw$3xrNNuwQTs(W?5}SWh@d@1u z&~2UPqKTXer~)02UaVb?kqsjJsCNziY^O#e-u5WqI#Dtx<}$<+!WHgP>!piu4J!zQ zjz1s_zt@u|&>%|S&P~`m5t!s|r|iGd*GgrF;AMuePHQkTeVMnzCs4_D6B_)rnl@)l zs~~wDfJiOi0?e{-@mz?faq-OlKF#_|n8JT9Zr3quWqVhS-?b;s)G;e<>pT{%>s)}n z@%I@Y;d&wVMSCkS%pkpJ*ZiTsbhh7zlj;&RHB-Xg_rsFyBu1joEbCc3@A$+lLA*6B zCut|6?44t$gPH)f`*wrZTFm^YO3Ux<51Ze%6XLV?O$|Cn&&UjY4i>`e^J_}T%VmFA ztIsJcA5BrL7?oMD9iSJ+ER~oy&7SAesKeN&nrPPHHP0e!`mPQO+MQI^R~})e>{Cn* z`JBMZ{D?2iHOA@cag+NIu*^Mm&tn{0BN(P5&M=yEM^{iXEp&^pVYX8txpbs`B&nKa z>@($)?bMTPe1E(Q%>~cL9rj%C>i2)W!P_tHqjSD4_P*2lukO~(*z`c_JiY*c@a88E z{t*|@l17qe`MIIGsj_*i*Ma~`Zjd|)mpNwbYKq2eDajz+2l&kTe106B?&)}8`i*fA zrIy$|-(qt!H%5a*Lr&F{d97g#d`(!O+1dDZv{C{mK`L(3U5p;q3v%YYse<`I9C>lw zySw-Tg4juy7X%|eJO!Uf9ef$C7aS0rcjp6p#wnqv7W&woy6F=^1G4t&__wqUAgDz+U8{Y_K6eo3fFrb?yNV-S8!7duD0IRXWlBbmg9g)DrXaR8}qk8qwH_XA?Du zO5X+(WNR*FEXxj(gi=?#ZuUq4TCvK>b zW49GX(0nSIyNUdxYVtM2z<1{ff|0XKN4)P)2awF55>H5<_M=)FHJzSVXsHE(H*s8z z@z8R1*j>?A?9jry3!P~$91uk;;_oKn-7S+P)}f8RvqYHQ-nkLI*|fk_Vyt!2T(sbf zD1DWzvYJn=H+n+4{vP+FbP=V&j+OtqG^hg_?w()pYEal^yJ&nz&51GGswU2-X~)U$ z^MVO$n(IkRBH0eFGn&?!oqwon$>%v?@=3uV+*}Lao|~thQ&p~;RdC`g+O*;d;!#8| z-63C&w;24j+us}OxjBBD#6c0&2(Hix_&q7Lk~W>pd)ep-X=)akkIcT%w^10>DKY;$ zy8TIGg1EKyC8(na6Vuwc-@rMdCY=n0a&i1boJ82mCK;;+84>{KD(w#TVkg!U;zEF3 zKwgXpTvJ;_gNE_()escewyOgA<*6B(4LSzHo;-t=|5VV$6t&+)W_`o1H`2{2bC}53 zgDKn#Zl^Ji{w{Hh?j79{ZBCq4TvBdD%4NiIn$OCz)MgWWZoHom?Hmf>Y&YP=4!`Ba z*thqf9`7dL=}2Ju(QddhG7TCjw758u-n+SKQKM78MO2#-xP|Gr z@%(b;`h7zD*)u_S*(o=(l^cAPeLby#(zL)^?jC*l+H|YU7(Qw#Ek=9^N|yKMuRqpz zAj4T$#LSiz)?h+`ImeY!XWH%=nURB#+?sjU49G|~ztx!nIKI;z zX#|JNs#L>nHBtWR^B()K^2YLxR87mDJS+HYopaDY{b`*Hzku=_*M1B76wMW}uBrBI zNV8}uy7^wXZ*)W;`XikszwUaKC8xI1ekB5Vovq_A=WfNUgxHUG|zE=@T52@n>$1Hw)-zqjVS8x zkdmaiAQWuQda#{fd{kVPCHG3U0-rD=rt1~y1Nw}Tye>n;}gLGAKpf8&^><`rKyVM^pnX^B$j|%#$ocb`x{={;5eGc#paLKTOaQo zL^pZ0mE2k;DNC|Pjf>E}h>E1}_uIeUoiK)V?an;iu}PO;Dl1-`TR?9&TpYV}jX(%7 zd@VPy@fTNs-|dFMGxg^SV5DLLfWtJ@R>ZdLm$My`9@mO_}&f z%m*|`e)^^lYl&Z_TpCn{uTlz5vQBM;!m*rJEg@=!X}V-Fg}Y&iTN!9u2x(@UG{S~a z!vZ+#l6rjdbU2}5)sH!{JseYF&Y)25C9dyYp>T$}%-ShgR>x(A)6M!<(R|XLm)O6x zXzQ^?OccFGrZl*M3OWajOm29%F^Z~fHdq1ZdrrQm? zQ+P`$_MnCyMYqSEeDSP}ys^E>qrHaQCQi@|X@)SnsP|Vt;-dsp_l><6;FCGSNzITxCmoAYn} zk6Gm}Xe|h@@Pu$fz&Y$9(g5mb?uj7k6+$N^2z#Fy)B97bo^P*e#MZ`JQ}DH%G{u&V z2)Ayj8L8XIJrK7oJk}<@$cTzF2FR%`)lY7zgQ;JmA&uC_9&oEP`MZ{L|FQguY>!EU zYs;)nh^hBE`W+vqADj0~8#^S|NWZA%$VfUDk@Nc%U&LI`l&3Y=xtM`+PNFt zG)C7^{{48^k5v78p3+-g(>5n{r&&U`ZNVZ6 z``dJsHAV$l8>(ONw^;g=F*otRYi>IpUB)g3vx=nOE5+I}RMJ`pm3;MyUsijpAqL8Z zfJ_ZSjdktmC}ZhCsiw?PYy%7OLin^rcGP)CTOB#GvBkHp-BIaBM~$CDEKE9hvv2F( zvdL!Io3tqhh8$T5bkFyD45QlpMO7!i(v1th9evwXt)oqmcw^;br^0UVXk(3M zNUV3Wyjq#fet6VkvPR%4U?J!MkiY+oTdNP@MH;_8uVHMR2F@CDH@q*(>xd>R7!+$^ z5K_DS1liF{w(OAN&X~epmfA76|0w1|M+TkvxI(4=6L~XH3qSJ6DSdDvLye~=6Cib1 zt^I1HG4;n34YVdl7^9_9eXG9H-xKs6>;!TFz7+c>Q?9O1m;Z|&%)QUlL7T8#yhlteKCgGy=*96jh(`Zb!1CjI&sT8_~;bim< zi4ugd$kh#4Bm?@LG?ItB%tJK0?-B1-VjpUetbh zGfdYA?IJ3Z$_3}-qNyo_r(e0L=@06L;UGX7RhNO3J-Myt0mjjM=x3+V!0A~=(2msi z_@oi)2CV2E0w_W?41+1eH4LLDhBWqEl2i4=C6iMP!@uejPQlnPfSK$bMA1EpW>-I8 zS2v(Vf4xr6A}cm6Ci|9zMBP1z#zyauL}9977(+2e?+{H<-7xS~U>a@PG~7+yFo43g zc7RlxzHUG^`3XsyzF`1Py0U(NA=y2J!nR?+i~d?b+8c;QTt9GGJ0L-S-O(|qd+mj^ zerCuV5aMwB>#2IDcKE1kREU%?qImz7%YJIUgZY%dtJ3${r`G`f`Mof`#w_=ym+_!q zXUr&?^_wp_pgk_$^|cRP-Pap;jJV-Ie&wcwzD@59K|FG?z~_laSzwvEYY$ymW8$v& zPD!PmxVYzM5prX=Af~5So*qsk7`%3_R;A&viN)G6MA`Z?oUT9k(>8*7N~9yV5{P@4 zTa_}@;yNqL(-9aj(lxx7T%EyWj9W1$sr=I9n7X_MqM5d~o^}M=z$e2v(kql;Mx2nD z(PIa+|C`p@iodi60o*2-axNJ5W74D|OA1qU5N%~W4BceFq<5W&WxWE2N}-#*>{ZOS z29o`VA0F=b3ZSBKVpGO;`(sRj6PhZFq6JsU5GFa`Y4lNQvB5D_$(+K%-E`O>?JfRQ z0hU$YM5$X$!|fZD2vkQ!{vb((Ztg+|G=}R|#9^E$WAn^KUufntF6Tln(B|FnzccG0 zFg=sj6%MnRa}UxQchIl8MFr5ebK_g{E)qVzV0AhLIP+ofQ-m0fCUTuTQobE2wBGW% zJcNI0eL^E*L_)`6!Uu;pD+VqT~sN*T9EVN*Q*h({-H zxm`T7X4{6P>}qn#T^4gorPu&jF({0=29KN74!d&ea=>$3Dst z>zg2hYaTCJPFWUK*)rx3-Om~3qI(cG_K>^o94cCl(w|q6*Z-`_>r8_rZ%(kLPh3vP zopdg|j)V}VOcE;UCK|T7nh~aR?vAw4zR3gY;0GtJX;rJJ5+rL5gqY2x!&g``v z+@n zl?k$!0ExN%4ud5B)VTa#HSRAPLK^-;E9KxWzF)g;5M7}v^bcNiwtwL*!RLv(tb^He z1XKaMRrYI#4P<3#k;<(9)`lI#ef2mk;X<$zhwcJ76hUWfA*q#qiAfo zZee|%1n)SR%CCdE>w3yM39cItjHXS#o>$nr z!V!PMR;8kdlxE+6m`8jxj6BQyt+FS%GoK!Z}1k2t%7D zt%_X&n(#w_QzE@2DWCmmFL;|wz35p%wZe_R^9*eKHOiHjk3RKYWUOq5&e4xi>W)Ah zihZv;4?l7-R<)Jkja=i-<=yV1bP$7_9E=eKNJGT|*ajg(W88DcyvbJUGWEm;74ER+ zqNVYoDnq2oEog2Jh6a^V-H2o%1Br2-jQY zzTq+_L{2{NW|nvKlRhflE_UIQoYeq(V#Rml7KMAyp6cz9KOHVIl6#~k`hL?jDTC^! zZo`~(B~usaCqx`*ibj74wl7WoiJbOqi*o<_O)&qqqlhu_5;U6vndWME0?bICF(Rx- z=goBL({DZ}GcV7S1P-`EYaWKzc`$(km?SSt85m zGkocGj)y(;@C0C;9HIddYF|#@&*q2~zegi&>SQyoY4;I`mVRdPoK@wGOZ3b2O*$k~ zn{w41e~$5kjqoG1@vJcWFYeSqgUS_3DWezg5)X1L33-9(%Le|ZULWnjgg8&ac+z$D zjtSa@9-!~JICa*!KQF|ZVWa0JIK!5aKvQX{?;T$PV3%+)b5o6VvF*{vag0>eV7dDd zM7Cj_usai9f^{Lt-RF@(kY*lMgmr;Uuxpxu5Z285RV0!wv!cx2{4x*gqNlB|Edwr$ z6YMKF4C%4BaSo8#GHU-++=+E*732OvNk!BxTs_!d@nX8q!Hsz}Y00qFarYU+fiVqV zqgUzQeywbl{Mfn|&4DfNV(F1XmR+;zUhXswO>k|R5naO5<~@RG*V*P?-Y{+yE;yo)qVHt>K%CsA|A-HmfjU5K1~SU zhk0J)Q+Ygx#>+>>exXE1qPH%D_D*Qyk-4v)btTJGv0V4uUrgL3Q71R}}7s z0iFrqAJ-XbCBfUM5R;rmz+3e={e((VD89UE+2>PzHNrN17oecqcfyMAn4!T6!c>-m zF%f#Isv$0Mu65<>6kc*Cfy*V%a*W0o(&tYoWm$!5oHv76502^t%$c=coAK}dv-*+h z_bU&UsGRGxdk(hqq`vb*&ma~l zuKVxX`k5`Kb?1wu*3JE21-3s4hkQ73jtDgYzBx=56>B}yQ&_RLatwQ1HkruruBg$E zenAe5C;x^Z`xk`HzaVt}fsklYIo~c>*mGdCK<%;Ef5WjzvHcefxBsD$@>QVc(<|!L znt95r(f&;!*6NFfyjSFKn@;4DEdCMIkB&RM%TK8NPPJH@8Z3i`uKX&?&-ZP!4YMGJ zt&))aN7%+M8fHCfX73M;yMH~=W2yzp7=Fue^YpQ7ivR^%tx6|xaV+H~R7Yz1)^9}e zz%Eoq)v75aTy>u)8>zHHIqX1A8yS;tkhphwOj51I77IoS-^_880~X98o$nS8D|~jTSA`K*-^ioLkI+E%zBOW84U@$!Xive1 zayQLq?I9Ez>TU zB^AOx|8h9L1p0PNP2jrm#(2!V5V%?)-tbQ>m2+)c);hS+}> zZyW3QwsUAe%o4KhTBx&gENy=^T_T9M00<*GD9L3x8p(D{gC0hsC{)Vxs-c*fK zLYfb^y#2pI$A7|MrX{D}U$NdFO14+W7`^2q(yzFCLe})*zAAFR2BS^Y*6|Fd<-S4Gah?8Y&S`*6ljN8 zWixNI!xv}sxrFv{$`mR>6y?w0MGHA&uw`|UdxgXOP)5W~<4%zZu};dE$UF!UNj{)t zGm|Wi+nqkN|DDzlr7~jtRW$hnZWWI!jk`q}ZnfQ@UMB<6v|xAI;W{s^;YwlVDy8FT zW5m8n7GdeD(V>Du6W>i;Gx(WhOdn#>`tD|!6OMUJ;TGHwL>D_|HVD~5&VC!z zn>890=lU!&Yrf}k4xQq@dO5?t;}8ctXB(t?^6nBJ&3h#|_JS;F=+K|IecHmFD`q?~ z`qC_->==NVKkCU3VJX$=_u*$5bIJ!yir!@sF2tpMG^}e6;(8X_+fQ39hQi06&60b+2mXyD{8Zyu!58^ zYxg~JxCI0*-A&`2a4Q>}C)u7s8jimKh_VoaW}lwGi2A>0UXgiG6cVlqNVmRoOxm99 zkA`E*)ZZZ!jcs(RJE2a@k;1q6;F{IzON#pCI}BBy4SJ5AF=Mt(sM9Z$+y{I!Q0qO^ z2NqBut5fd34)uQcV2G$%UR4Tp6uA(ezQ=ESeS z#$p(dkWX9ZR7jIC_N5-VrWWo_Vs)R*`ni67Hdh4hszQ=Y_kF{P#2`B8Pbc=dh5k7Q zh#L_3+<81@Pmn0M{xeSC=sMogW19J0Vm|Na@@Fl5s@|~2Dq3j{LbCQ%6vaLTN{7K65S(dxBQuPsup@#A=<072^EOP zm&<+`)c<-$jBx744y{mZa6~+STvDC6 zBhtiu(joSj^ms}6N{$s{;^cMq4} zn}~Q$a;i#a4uS_EyR}x#CT`x$fHE{D{YexR#K^qG&+5`!vFBUi4uRq9Uz_ z4%-$|dJ3m^*&aVflpXe@R&3IjM&uD>Qram&YAXiU4*Bb=n08CDNhPH-%zVr9&BjxI z=rk9xS|zqu77_d`m#|tVwto_lZADm1yMrh)BOR=O|0`*DGOL(`W$j??zIEem1_j5) z$tHbrm)(5HxVLbmCQt828eF1&Sn^*0qNc4Tblj~8_W|1AGmil>KqVbMkG-AUY{+8f z#Xwk)rd3ACBRZZpH_0*+is4u}B_c=ABXmAL2{#j}idCICBMSHkRqG~@+K8-kL?)Na zY1?Zt6N-oBeKRdVU9^RNS1fTJ?RDwAYrRvjkV$#2QJ;t+b6(>~q#@rQR))ft$TyeJ zG)~VT2BC(Gat%p`)+Q(?d^IVVe2T?x?(t5J_4>nbEg>9(J1Hc1^B!(L&BNB->iKNcxMN1qc)3*?wXBg+z=uOBa9XY|c+ z>+DfWKBv}{!?=#aIMkujh(u;UZu2cJ&|^t+pb$p41Nf%U|+PuCA@#idH{VG>tXGdQ!l-+eXg(bKwhfjBUH)**k5sNl_T-(_GhVjBR(H*(Qfau%tnf|KVKUNRwO~tJJR@Sg@ca%wE=T7D zbvDv^+Js2YTbHg{|M>gNGwmz6@j=QJS;OpZOd!5X)N8fW^pb4#o>nk9%k&rJ8E?x{ z=Sa2MTiuyh4u}4BTh-oo*RGdXlbUfgesZribP#yGl)9G@Fue;nVBhjVr9iz@GA~5! zRg*?VgVWLc_!Wd5MRiO%u*KmD!ihreO{E{X_6owSNRC>y@3HO*!s~p=tcJH`s1rf{ zYS~ujI zB4)}P(tdTI=3(al%u3{=?%_m6q`)Xa1x&u1Ho!*Tc+D8(%Wae`VOcZ>4o{ZFe0*w5 zrTb;Mj!RcqXp$^R2b}!qTVQJ@VG1oQKL2`!{eO)`T%>r)hCV?-(!Ejt z^K^i_v5Dzfmqt|4a1x~}lXRifVAYSRNn1%t#YAd_#EYH{SxW}46k5tOX%_7GA_mED zjPK!S6!Ds#IoU+hjqCf@ckUb6*+j9!{6>N}w!m70SA#Py!KXYuYkiO&8GL4aaHuFK zYakJjX&*pE`T=lAezH?lsjrAoquqMj=e-^d2RM-Kd0*Qxbw0dU3BC-D9@5!$J)FeK zHTzy?U3T3SsOfRH-3?_3dLN&+>w29gap`#--!J>V7q#el?MvuA^-0_L9(mQgpCvU} zc5-T3EtQ+vtX1+qu1)KDK1Vm@EEag)wRxUjOTPa3f_RFHdGqC9tI z)%ZeVHQjS_v$lmVs8wNVRq%{m{sMpQZ1Z?!jyTm-t6DWlS-sPAy>?e*`u4D9eBNQ# zqZtc|@1gMK$Db}^dhX3bR3*EN)e%M&If)3ZW+ktBE2%VH?ukZ`0Ux(uwLe6Y7PE=F z-*n#}JxIivS61F!F453rxi_0o5{s20@A~-IY?+d$<5gdMbgRKexXf7R>wSEKhjC@h zk-^c>W~I@^DeAueSARI1(yC##F?}Nadg2(mcXa9nZ^2|^YPg}<0WlloV_^u3eJqK+ zOlxGza4?3+=91T2uHJ~H13IcJ9^Vh?zy+30gpktKPdTUF#COkE{av9KSazBn_Hp}KEb(+|gp=cj< z{&??6QV~R(HCz+m)p@uVW!b2FOAlI-)QQzvK6_qUD%$U_O4t`Q_d1W_b&Ix2U!7Py zntLdEAIniuvzm9+m8HBVu!M^@-J_VIo^)TE$kL&#?v#d(+^kBdLcB{1b1DB8u58C^ays${@G%neH>u=U^EIuk zuY*07QdLLaK0C8knL7Q=h%@k8_8=H3nTpWw9(7lJ1*`r8=|4Q79dv|lX08Wz_*cq) zP<7scEh{!_u{IdH9&JNos!98Os9-H8_Qu^8yqdji=(adpE-!(R*k^L|kt+tvy}4n- znjMlWqv_k0tLiErUz>OE{-DMmNv=%o&o;lE-1RB+FNf4W+NR1)Q{$P~c6PSs^wpul z^MDdZsztWt4%^h}z=ae*01JPHNP?AfJT+fiV^>SaaNHzf?eRid_xIS%t<|2+u3dPL z7QD9V>h$(BDh=>@?x$X3?GCKztYMqWEdLZhIDYih)V?LeCM^2kg8;&QGZ=AJtoZF( z+bzz|)UhQ^F5ZRn0gnrqLy%xMP`8Ivl}Tg1tTv?}5OJOLcGQc*^5>OBTj$rBwhxnbs8O0@2YigdC|y*5sX2l~pSY=_~MvTtl*333@4+=3j_ zrWtFAf$b_dI+T*lx^UdtVolKU9$v?q(}^*faSrd37p{QGMj30W%G88cgsqFIvFBl) zp#Q!pteC6T&v2v#Eb$SPH##^~(#xZCUv6>DelSAbrUNf|5PI0|_&BQ7Zw|nRntciC z_+~p>!>Z$sI?U=%wBlZ%jUdp2|zS2Ep~b{ z=lT|x!0Dw;#}aoi3~wg8?Q%d*fuqtc^GvW6JRyq+q#h_v`v!4f8@#seA5 zF0@%=1`G(4nxWgO(jk8fVcv~hU>OFnY21Kg z@sXtZnEgl7DVOM7anNNCztrX)l|RZDI3@>6Z5BA#uG50&C~Z|`Bk$46SnL*26PLr; z*3hc(>qoq_e%9J;bv7WaUKc`ynEjpwpnYxoV4%JER#)bm>NYoMB$I(x!>8@bq}KDn zH0v~`mdD9@eUHP0v5Jq)r*LB)H8EJ3ye7!Ng*p;{3Mnn?%UJVXq-S3fB z6xDXzuUxyonI>IbWcR%y05@NWWO?n`+FT8wjm%hG%#R-yD2<8F>dzn z$eQWMmH=R582;}T&T#zq7R_+{f3`@5d#=5= z0)!g)$d-U^Tq4C9kj**7_6}!-A;tCtLJ9)!A36%(t&oG?-39hhpSg((lEy)#w%D+5 zWyLeYF5RgTAAUuGy92*n3`Bx^1F!D`$8;Zr`MFQPg1)DLrxX;qk>n9bFvUh+O2eiJ zgkFLLUx0mHlkww%n}$!Kk=lNPQ{6mpAa)!3a%G196Y{sT+%TR}q_6Z&EowM=p5~rX ze4XlbT+kThuJe95MAYZl`#s&^jro6~13f@b6-HkrvW0KUzVqQH3v#6Wo-X~yocOI! z^tP-q&y>a}dm`I^qQ5t^_>s4Vjd-dxM&Hy~;}50uIGTM=cmEAYojKW1N}uBnu=h{& z-tn0C`QGf2(>QMXLuakBM@ynzBL`KwDQ3| zw@%d0d5G8|plDmU+3u;N^*M!F2kR5t(?Exwq&7SHHahw?JBW9@2)|T3#jg2Vcjkuq z!hWfE8@ujrJxX`lUc0B9ynd=NTDaL^zR`hmx>lWhF8%QM^2vwHSL#OFkkdS!_zT8U z-RTkwE!_=Y&nnT==;<}u&Ar6Kqw2%sizgo<-?o4@{hn2c=^rrz7!S+ct8X7#g4&W! zuU|hb_pTP6UK2emcdY6@EJt!I^=;ujc;owmq1RZxvCwNm->k0H-P3D?hZbVATVmR_ zn6}mSRlALodgwL0ul_~K3GZ{?GfmUl+NR)*xAj~2HiD)#S0BEjih9@(Xk626wnsp$48B;2~Ca8uGAQ>|BFJRm{(Kdv2Ip#^i? zNcQBs9&Q~%tv>mnSPQNe@Dr)|U+z%FK*BrAuPkwELjtc zrPjr+4cxufIaKG>G$SSQJr6(lCbD*gc_e^0%>Lp1bAR|ekOI09o{JgeaKpow0jRRm z$QOzk+|xCK>AJ}C`fCOEmZa~Ly=(xcm;}4poe1}Tj5vboN z(YfIt79Oh6vh5n?{j~V{4Ov*Sn*wTB7b%YK4+B@(sEW~*qthzH)gnLko`v1zgVNpw zc`P_{PDN)?^lERFBbXPeo_^vppqnBOyxR|Mc()Z)`uq)7{jL;LtLqGB7)Yi4u6Vas z60KtC>Y7l#ZcV)7Pu=bpuw?vRa*EKkx_MNx&&jS~#O37%OsZWg9Y=WQcY$`@fp)!t z+gw3HHGP~xbn2ydEN*p}wVAmvRoMHOE;s3zZHsUQ7_G${lepPpza^+I_#Sl?3522J zq$Ju{Ncql~Awh6?iyl|>HMD3!jO zodG^)^>xrVMAeN;9T}t=W|@OEB~DqOxc>HKe%4{mg^-@a{?kR;s}Ikj=+14)otGq7 z^5Yhvag;?3CLrRvM!KVKhzuDQ-&W^zKwsL?1A(I0?J(Y z*pbBKLrcbw4HnI;)OoPscVi{<8YO=tg&{}|uJ}S7GAh~T9MbR9Z)k{SoMrV|U%a`e$UF<5!U{cEvy&nZX+Z3Z*e0NFBfH(E zv(58y9y32C&H;yKVOK&WMJ6KjgHOt!u*a=NLiA^8*#gv{&duKYfQ_w*CbG% zsYmM@&ww`d)9W5Sif)7H&F8z+JTlcBvJ*|kSkS7^G_}MU*0*Bp8v%Y;BtOZuWLj+Q z0%`ad%cyoXB{-4H5~yAU7O3Q(3luIYE29K=nq2}F{6A?d1tn0QztdI^@^%Cmm8z*Y z7?n1`wp2=NI&rCZ#hRJZUON|gJK=1!99aT1#Y9vzjS}wBmCc2mSp&r*=J!P-IOftH z1?h@Lb`ffcP^^@f6|9`bO5+!5!WWjl=WxQbJ{CnIF1p_56_IvQa#*$X=Y9fNOvhNh zs5MR%KT1wyUx$%dhtr`1sZbUG{ly7RZk@60BxOvk%0XdFT?4w3>W6f~F4rE2C)a>r zDeCQ!I-X7=N0=T@jc%(Mz#R7b8|`m{aVd<{>O92qZ-~Ys7^5gw_QxSgf%mH>(+1A9 z#Fd%cpP|t?h_5%i$0e}N!UGM)xjXzC$9dnq_(ZoghVKT-67>7B8rptzZR1}E3+EZ% z6ufyi^96~^TE|x`#|?eur@hbRR);0^p{u2EG!mEMA4c`f=X*mhMwEg_Slk){e{w}8 zPuSSYVe$UoGRfkvG1Aq?UN-N6#gQM{9`VuqN!)h6q4`&vW9q;xh(6hZ{goB&pCT8G zYdXX&unFp0g#=!qdGW$-ZL^b6F!5c3P+Va4Jkg9xW|4G|$F<)kg*UU&T_C5#r>pfl z4k67Cydif!uW8yphQBS~136ETPMH7{Y6-CVy8=&-H3Pi#Rl$y11)J}iFhS#8B&6w6 zcTK zguTN~kK_nT!7RfC>vxq4>vt5s+FJw?4T7)uma45$7rYTF=xoVz^y)%&BL#jt$($J8 z!`eBkkgw!9J%L44vR3lMS_NuQ53myr?JdFyj{}u%nAVgNe#$;PQ6{K02w#f*T6E_H zHA)oL1rDf72w=4_958NfsQLW_3GF{KZ-RwC7{TcplFJW^)Lru9qicF~)Z9YNo4VWxtp3{sbp0{8JGG!%pE?Qs-?{9An6a*&@4B(R}toO#Io=<5CI%j#Ah>8P`x(AqcEbE}_wOic4wp@O(->;HlrgxLZxL=wOqagQ$U87xsLayBVBgV)#PI*A= zf_?1aV=QtTPO?KtVZOhVZifEWibM$FLqtAQy+IUI^pBd*qOy3GvVFb)oksXCjiSSY zN4GF@@ZiFc=0=4>xQO70R!q?0rF(t=If(`oSje9VyQE+*GCVDv1#>5BFrn}x12b>v`ZgStmGoWA} zPud~<34!hx8~4E4F!I3j8H9$?@&#q%`6rrJg;W@vF4B~nQcT22Xo9Kl;GCOylb1ek z`QPaI>IY&SggHxr2ib~l~0?EGIcNhtms|**@}-cHRnJ? zW)!vLP(Ud;Sd||h=h&AXLdc{Kb|-EQ9JUNgEZo{hJLR*`A8HHS0rLmniTW(gMcyJ! zw0ke@8xw#K1Vh{M=SB;l!Ua;GTlBaYJ-4mZQLy2ogeu_xAiUpMQ`;)O+ z*|P@fl6TpO|BZnJ(q~2vvar|~Pi1H3#yB*;Rt=#U=of(mRZOZ$!M*J@4q5e5=i!Zm^^#??< z0k($Tu*a1joiXdvKu=G6mh_w~e;U-!&11Q1>rsO&OkLk=NY?@ddiH7;C31^D4mqMS z5eXRsz^7{nZYw?#7?JeID5B8)(mrolRDGf`w&&9;eV>)05qw$iq#?vzT}1}===9(R z_RTb60rU4S<1HiqOyi0LcgJNc{H&%!wi*NT2mzwigyYZrZMLV8gd@+y?(y9;z5;qR zHyE2aJqG*SK2^?GLT={NH*@F6PhKnmLRnJK%1C6NDi6(%QA5wO&%+yMy!T%h4;W{V z?-_mJjCJlkFi-qV_p`5G`m{XJ!sq*7#XysE4M{G%6T0t9$whq#g(GFQgxd>C9bL>+ z>%s&5AnMx_DXPB;z~P6&@S=^Qfj;Nku(QW8(I3B2TK28!1)VG{g=m2v@)E01zcX}M zbsK!4G{i8{HhMXrn&5plDeS#L<8&jt2S0RPz!6IrK2h0)Yc$+!FFxom?o-F zA0DhQ-ak`n#V||vqy6*5&M~K8kV0@WFq#9C?K`!$tC~BLyO9q{V|UtNZdfaww3SrS z3k=0atm3nG_#2-;t3)nLGOA_vVamtD z4vhupuOzxdy>;8U!W4;q7TblD9n#3&4e52!=+!ZcCtiJ&y+Z?b!p^WXGj<18J61!n z9!93xSQo6l2+>|E?*a>5<t*Cp~#|zuNGmuk57aANc}Ilsz6_J$b0L z90e*o>{?z=orbiVCpJ*pS9Qg;GPA0bMWTeSrUvTAF>6^>}av(+_@ zw4}Bx~nf3Xu?1zO*k0l4_tD14@xRor9Rim z-i^u=TBzFpJ?ZAd+kf|KM!v_YaHC+pxE&*6Mqc7szQ?{+85?uOn-5RFtso=fM1M-Y z2fQuc(|GRt(Ji$+VwHbHtq1GZ8S78HCyK=?Zr3N*P@leyrQ0^a3vK+}(dU)%6U}tK zXRZ+MG<^NoG<>0mH2l>+A%CD;B&Am2Vm-2I5cdq1segQQ1(AMFO{?d6+C$7oeJ@=b zeJ_0*{Rahr_A(Y)-AX{B^t|Ux~H)G5(#zOK}ZjK@qwzVzqss6y-imduny4*^r zy-1+IXL=87(CUrN()2IIMKOmh5)?BcIown6Y*EZ6|mpl z|D#c>dm|%BH3u6o*7bbRhR z?LL)BWPpuXwjU!QdVNnlt1o*WBilHl?g`q20QS67W%s->(cpVJ(BM1K4HQc6c?#wN zFSq;gisl_G{tzCJoQtV6T3BfJ<7_h~A&iZ{h?2B1@Dc^+EMuYlhMWn#2T`(ip*@WM z5{-0ZXa!Nv&Jy<`9C|PtS*W@3^uvgEh@rY!uylu+KOaV$HA%uHA{(WCH>-te= zd1X-$7ITM-1+w~r*;^dWWB3oy#i6bo?+#u z!CH%iS>cO>klv3`bQ_$#=3{0gaBtwTS!O}7I{M1U>fVv~$?mzTi&~G`tg?xr4EeNH~2tR|FM0j+>b9T+%vQR zSr($&-c#_oF7SJdr1~U!a7RKJFCh02xoJpYxAOIN@uj+o)zJ<7*TN+d5Od)Sc5V%? z(N{I8sL2DBBaytB0iD42rOI3wROOgh`7;`Vxqp?gQi+>704ZvbQhX?db2hfDMKs^K zF2(0~U?>a$DOiPBiej+H6;T$@-0Ete68x8VZ@DfjHH`i>tn6!NJQS$Yl&$Q#I>oK( zmGCvFl`vYCS4ex9`t?G zUy_F@>n!IsUwLx4u%GYYW#lbim$e7^wLMA<55@Eg3+hC08MZYj4_g(^ygUBU^n~s- z>4)SPCX+-fcNF@W<5naD?>1*{Q5Sl;pxSzq3Scr zRyv52*NC|}Byy}!*|Dyfg`P)yh45>7BA2a3Gxnge8+Cg+mt@pgZJ|*yBqt_+o5A^N5eFIZ)UmtRUnbY$>R;{ zH%TXpa~EVOn#KH38v-xBKS-S+>YNO|NhEXMdefA<@NWaWeW4qs%Fj!VqPW3SoR0P0T{1 zF&}~i#!sD16~6I7-G2RmYcL9-mb|s>rWcAP+%7C$2<(S^X+(_6DzGIM_eotc#=3o- zICk@&eC*r@T-B`ycHysCh+$__pbR{r<+}c9PC8|f0&B3PXaTcaKW+hykoSVp&3{dv zfuvrg8}z@25gMW8@3_bIe@%ltdLZf#>@Ul1_9L?H0`Y`eyl?lp%P#YYM7tP;ITa*rhQ{ zeREI$1Z2JifWx}ozo!;z0q}$Kez*wkhqU3{?pw!Y`BVeQQS26;oNN{nPzwcfYCl}~ z_d}Qf*q-_!LiRvmf0~

wv6Qikp2d1fj=2G8ZT|04c>2iViybMorOGy;&$S@at^q z+m_9(rGlfDkB7mjGCgFy5ajeXEOIksfS?U?ggvmkOaUNnkpUw3-K+O+u`Ht6{U6u> zvD*X0BU_>XlP3k%FaCdE1H|s_?S5Q7H0*DcjL#y|MveV1V|Kgml-RlZw|2K|6aq?u zsrCoJM*zT;)`ttv!mYx}%=oO<`17eYE`AIl1kRuMwE|H+`~hvT8+0ZILk)n*`h?FG;X z03E~4K4U9LtgHUl5a)BpA+tXBH&g(`bAZ|3{oCySkvL0kK;rO(E|D00Jnqk?R=H_}9xs*8 zrpUJ*F8+TtHVHwfMd)m*9>B|TtI+Q9bV@(=;bI#Alo~h+fbSlF?-Thyx#MP$S*?If zLI9lk&Zh1w0jz7`g&r|FAilcLDRN|=yECG*saQa9LxnIOE=2nvyNn*hx3gTlPb~a= z9>ibM-wOO0`Z5t|3iAtBtM*=hEgV<&;}M7^%m0;c;v`I1qS%7E#f0x5bBQ+$BHIjdb;P9dYm0T`(JD!)))5U6eN_5Ob4 z_Qk~s7$OGoAFh!22+UAp*G_V8829&?;00QkCZ~Q<3$)NpPI=z%?WJWAvh>c?DM z%-!wnd-Dji{EW?9!P$RZ^DC$DTGGP9eQ}G3`jxR#>=tfe7*}~;8`kEsd zr8FI;7?DcM7KY1_VuSVC>YzjJgW?I`5=oG5bidX-AdSoSapOt6J5~NM9f~4DnjVr3o246> z3QRl6|1l@5)3GQa9_l7Vu96{lnf(e(pPAwW!-L<)-<`f!62g~0(0Wx2zK)yz=@{=} zPA=^Yc~v}a6Gl4`q2J?#e}m>vHA|8s#@}&1%|{JsIlOZ_2&Qp6NL?!?C*Z#{>`)f^ z6vBUrJ*NCT*ROn{E7a=j4m=LGyA?b!Ghw_-rAqoq#br7 z4vWdh|47t>e6p1vo#WU~<5iTD>rU)dQvFuT+IXyJl5qP()|4I;stdkZ?(=vI$y?yR>=HM+@55 zyiwDC{q}y!sOK*I*cS-gKWB4jS#E6DjLV4p`DDOF^%MKoxw%UQ6sCFA+piu09ZDMr zv*N_uPO^dI=KBD;mPh=abY<^k>URy_fPHgAE2(0%3bvbn4_;`_KDr{#$zqgI>XE$L&+?LOR=2ZVJ6}^P>WY~eT(trse z1WFaRb@3ZIlV(E2hH!j}{_PhNkVS_8aoZ>6UvEWo^`{#Sr1l!-6TNf|q;3Y2W;gM+ zl0k0bwo!zX1Yjl-=+>AngCM(^&{zPEee3HW&0`e(2hSy(3Ue2?uCpMn|Lx@|(0*V5 z9ly^}Ci94Z;o*rBq#-lxv@enFLE{o$Ts_mTZ5pQ+IF-!+x~R%!9NI>@-_O|BL5GHM zLf`q<=}PD*n$F-K@`0`cHFX8hc^xbWP};H}LK^PC4RktVqmyX>#Kr%fiYfqJ-WtkG zt*7Xc5qc&x!?#~x-J^o9+0?yL``_A_2L;xJ7~DGd{$FJ`>p~lu_5yRHD|P(qAOH7K zcpanoYC(g>^ncQIzwHIC$#dTlPQlzdicl^8df~s-K^uY2S$5zEx*la>)e{ENJsbOaknJ+Yq$VSCvOUIa~V3$?jHX185863+bF;~0?_R&GM8L#yk&PUW&JhqR9RiAoEiz9IO{qf_u&~tU$&6=)lQ0I~DURkzhh_0mTMdh;# z|K090LmBS%)3$y*+gxgGN%8E##KK*N(Y1-^3G&k-Z$r)eJnt{fQpxICkGfuivZbff ziS+?_j-$Bi3?Zf=-JZd-5-Gi;ZPl#iqc|?>%Nhzh5BZZ~9}WfrA*M)k)6<$anO}Q0 ze>9BQZ<5{Sy{Ys>*H7wE)#TT53Zx>~yx|t)6`be$wIbk?{qe4P`cbpw=}j&N*ZZ?K z_A)lUcxmo-iFHR~tjA-lx}|RV<@znGii$KRy`yZ@crLRj4K&kz) zNxLGm@Pn&+)u|J8xi)={M@*G#K80nw<)+o9%e?yBW&7P+iZPa>A?E!d=HsF9E7QGl zljWrBgCS;MI~-y@sl6=Xl6r10yVNXQWm@#nC%oR-V=`VyGoDS$or`y=q&d`+X+oBi zjZVV%{CMIX?XmJASC0tkknf&k>}xvv*tZ7vOc5e_cf=n1veZW&+^MsIs~rmuCwkHj zNBZNz|Dubr9p*F7AJdOA8p~}#GmkQaQBkdL9%q7C7LxLPQ38MEFv^q7)( z%C+YDC#W|g>Vr)L4k3z!n>rj*S(z6pP@hkQ$y9%l#8vLMnb7@Fb4j`OEE6YagvPh2CEPS(*}G5(n;V6kRz+Ss!;XrA&= zKDXAs)=-J%k2N4p&k{aT0HYpIR7TinQUS|n=aLu zO*sG1@Iw2p2OCW8;aJUZCqfx;3arYA%s*FT+mG(p-d5Ygv;jG?MWC0JK+Y%FOyDF^ zTAj`hyOmoqYOVN5yP5+AdUkd??#_{*yM(*+F-`lrVwcU_`Y0+hb%BO?!Yom^d$JO{ zRb(hNognSb1kBAG+I7iL?Q*I)~UveF&?*%9}$ zv~vxv-S4)e#s8SCt(%BJh6S9t%`W;#xzAI*Z&vv!hBPH?Z3t5>RUnKU>7PCY1U<{w5 z7CW8pzC@J8=uytiQO-4F6FV6m=VQAVMQ;J3OXGZ8=;|XF2w{hGdo(k(ib|#%%I8Ia>K3l*JAu&`p zC4yBF=Tzo#n%axr$wN}xi8~WGlo+jT)VXE$U|YHrgChhXQ)*>=2VC`%iG|Maby{2P| zw9CN9t^TVc1R;7w+K{)i*atN=8Ak$#DX+*-pv7QTScwa^-s2}9x1B4}E-^{%FKoHr zK{@Vl)Tt!YIqrR*5}1iC+RKbDvnM)+lP&-*n=fd@oBWI2#eMk|VX@BE#BX#XJB(55 zsgAPH@BxnGUpm+An4IVaxJHD2+ZE0U*kzi+w|~$lDPg~y zH3sW1wAP#~`4~Gie4k%(ggNb;>BFrMGnM}RO^V@Fnc}#X1PPvL`K0kUrdh~zV8tK4 z8S){Eo_D@~MCE|Z9;sUGpxP5?@^0`Ce69VTjA_(04~?5=ttUGqkDF;p)93Oyt#ZIS zz?ojUSN`e4%ArAS!k><1U0eOx`4izyE}l*b)B2ytZVTq9RO$MPhu;ct0y`{opj^G)nCsS~&`m zlTPjrrkbWrwt)GF|2w^aqV!`5FH6sPO*r%5hs$Z&PI|_D#tSNRg&_-W(T|4N1eYue zC}=HVfhx$Ga!gjiP1%(x?=p*B9Mr8u3S1n%TEfu{*1U`Pp(IfIE{3%{GFSF+gFbC> zs(LmwLo-6OJdiJYwj)D{fml{o>CPx z^+XcuL;g2+ZU}Nhz|I14hSIoBnmpM>se%cbw8&-MKF!ub|5ex4O^iE{|9OiqNR}i}(A0i!@|?1%2#i?l-W;^FSz(Xr|gmc z<#o&CfDJ>?_xK6;7Yq(EMyfZK8N>K^qe>p^5x>daqN9~d<-Xsap#QV~mFy^0hZQd^ zY|_~)`bzjDt8MRGmBeNPCJ{B!v#IgDU#AQ54nCpsJ*oqr-Z5Bf zYUhPBd!zd;<2#viQ5`F!3ckb^cfKOqIjr<8e_;>s!R4}&F*!Z6ubW29@H!43yYc2E zn_2C1ul5~U(KGjvF!NPmETv zKiJbTv{H!C#)Ej`XM5E|&ZI9zvzPN^#xjlQ@MI2xJpvm`Ms;AoJ4z*)bqe%at_2tf z-z?~AT^J1zT8C3vLz$0odzQddP$ZG zUQ&Eqxvqd5OU44_upvoXR~Js1294*LUvJi_OjOO;7CcDn%M!*WQ3wnT-T+@MS2VCp zcCw{Z*M@gLm8F2qv{l6OM%WxIiehEFMS+MYW4%{wfj8CDZf`D_6J^qTqYyS(Pc*TV z*m#a1){W;hv|<8lcwE8dqI082P`VfWqT^d19s_2TXb+595qJ9sFZe%E{L{iviHChd zY~PTpJt%#eQHE;N>$)#N%ggqY{wJE-d9v|#C`fTVj7`a3)Va^#Io)lUIO`MKU#=^< z#xc#BSO0uTxih|7Kby5`^^?u0dWvW>;LWl*ttqQc$KZeq$gq*0dI=ZztI573=WCqN zC|WeXw8faYk8t1`2y1F8I`LYWZNwWLUTLml>0jdIeG^x+%D`=qclL2N-1+G3rP6ww)6DyKf|K~u+Tmo}>jRl9bgkKuYhC0V{yiKj1%%Qw33)1hD_c#8NjY^stka)amf zauLNX@wrTM$}JdKz?7-89-1-4*I^0LfbCA?I~)hUZvLW1KjL(7M`)725Sc>!G23k= zVDtbtfzRA;2U}k}L52jGfPk#=CxIE_cDe+rwaxl_JVZMs^Ei53WoEnxjL>)K;|_0q zTVb~w3_}y=P>edthX`NT>h4Sxf^p~ED~-8|@S|HkN6}`45k{j1H{xZlC}wLUs3I6f z1SQ;kmHc4oq9hO)G+3vgsW7*1k(i)zJINY7b(E4m{zkPjm$hVWMzN(L#>&1U?uT1m z92xUT?4burh#lh)eY>L+>=MB-#b~|5&v~Q1uP+ZJ2IPo>ws!!07~u_bQytR~*1s$D zAxPRwl5S^$I~|4K3>_R8YUr~*zQ`PtM@jN@A|oJ3gI)PlPm7q2&UL5pjcSD2oOZbI zC9SMe4wdXwI@PeI0FJy&XF5D{w&|n81h1;17D2w8;sUDqIe}Q+b$9J&`5*6IO^CSG zyylSOT_T;kjDxRYjR&bozGxqO536BoNA-qF3|lP(yM2%uR)gr>{7W?T5bTXXW>Fm? z$*@T4W|+Cf4=bZt9T77bBn+-3RAsoZRf=JgE+9r-q^du`2#m%)uV3WmL{Wj@3kO;y zhkQ6}bzZFh1TLJY#-U!~ut5z~RueqN9Js1-xRL7StMsz#F;psrJM@1DcZ=ybWU;Ww z$q^Tyq-bZgW7=bNBFu(F~#o?Y|aUGmCJeNxX*K@^r9|b#j|-o z4$V(EXve!7STrF9I2>MeN1ua1rTf z#ww+=6>a%zH7X9?Di(PF$E>^vE~ytc{9ZTMiE0>p0Q}2k29i_7UOY)x$zFUwm!Wd` zMZV-tnhr1WBrdIp^Libp57zg|fV|bVY(tC3FH%Bijp{ve12O|_CKDX?>O9{}(*!~S4OX^1R@gZG+Qz56asjT0x~o^e(T@#Ua2@K6EvjdcKI5=VYODPmHK^}F zVAvQcp>b@8o#?4COh$$ug=#2G=Vgms=;@ZnE*X!a@0h8A&X6jb5)3x_r9GWxv8}reLl%M{qV41~3Y!E* z$g4X62g@D$s!@eZesowC%Ai|WehOl!akw${CtQSiWNc!a6M>dm2iy}brQp+Sgr?HN zpdhlm5rWq^e4&|qzxS#v6q4103_HyN2*=3?Ft-g^YTY#4@dX#5T8y8&$mdYi-wo+=Mk5LA`~$M)G)9=NcLQ z@}}WL3K>4xy5Tx74TyQMklRJws=06Qo;PIh=*9ceDs_tXPIB0kI(v0_z3eE{69WW| z`U!L^4xP(9Ui}v1@|u`$yVM!I7QtW6Gy{7*JMy5d;D;Lq_h%_I^4dYRX@0Q`Q$L8x z1iP0!L(+N8`1}^g>+@T~do^8MWjzPcJA1oA=MY6jXcnIxDvxJ|sV6ysh zEX$M=N6#bGI)*+FwWp%!8x4Wns2LETEd_5vL1$Lkx7E%*q8M3QgCMRath#zrFAbL45zmeb0 z6K*xxSXd9`VM_}!>}7NDjiMlMOmh;nI*_PcE{42i2xRN{OjP$05WDltK6NOo-|Kbn z0P^7>Pk3a5TLXXNQU5JoU8QQ`n8%hft^TU{3DyBm z8$|HrweKVX_T6ne$`FwoMnj0DK8yFN zQ2D!P$5H{s(Z#Oq92xPD5+*Ug{kmUlJf$uy^D*XxnyKkExmj~_-R?u{S^1U5-R-US zU7xO#u1SoYqq=VVJlCi#i4+pw&5xTi6icmZy1ZMQ$p(2d%hHbT!ahD)v|g$A$wdko z^$igH1atENY&j!iiyxK0%NXWyQ)`+yGne_`w7j!qH51QUw_EAV6!iSImrR)Px0gsH z5bkiJ?oroPs8}yl9R`g-N3lSd zxFzDX~(AVTj zC9?S^?cBt5b77=g8bqw49#H(qvBn(3-e>id)P`NSuZ5FmjLNU$eT6Egk(bU{?(W9qmyU*DBOA17V=qSHE#vv!>}6 ziHwlMnfVgMYVdR6P}h7&5pH~R0wVUO+Rc(WQ~sWxGLP8g_B%WWU zE|&Db$d&l#vdHW@wu1eMe>mF`=m}og&9B8|sVs)Fc6QGhrY(-;53%5GFIsuAt1Qh~ zw^sV=ry7HXCC$4885;bjFd2uiDZ zp_beT_d;x0{DKvm37|jq(2HgRtY4O?Zdx{_B!)x}s3W5i+~KK=Ef!gn=2(&T7h2J$ zlFemXc<6-Y?5C1RP`;X7(+VXaRnvxjP!+)l_Ar~Vx4+a7QSIuGFhWqpK#Gz8;uB~q zL}i@d;s(UhtGuM(^H|v(46q3C5^3%?cO2?e&yV6xmC+Wq4`Bx`{n6x#GdGgnPMcEf zTt0IVOE;wHB)|e>)79Sg0aJ~nKbF^Gd@moE*! zNdlZ1HE2PIACaSS3`p7GCHW}MW^Irs{DHrp)dtNA;|aqX09h0PobXK(aoqhmv)_^=1?*_i#?Q}`h^g}ZlcfIF~f&QRQ0*|A5&o^T5>a6U?8zpY&Mm` zAWS&uGT9(nG+eHmg|TtG46O^urDkm4$j}r+>&uBaJnEUK*zh2rF6M&wAlNK_k&60q z8Z~Ame{C;@p@NI(QLD$LrGc?vjoV%YaQKQTcR1M7H*j1z{7?Yu)w5Iv_^35%3{G~$ zp~pz^tQNDqOefZ!U=UXzA?z~b)E2wEu1K^edT`^t0)&~^5aV@q33s6-Z2Gjbzad@v zth2wOcKSR!%&1K&sE|y~U%?Y8&GCU1^5pG&plk3m8Dz1 zNv}7d|M7$}P!Fp}Cf6#R)Bs+^a6SvbMdWFn>$_Sz(blohQMP-j#@YLRybW=2rn`uO zQM=v%soLYqShkWzy({2ZivAHTs)2C1vM&k8sO?%e8c>GysN4|!^m+-?%=Akvt2I!a zFJk?KP~alqaC{;Hk{Jff?K#kht05IXCY{uqtUoa`ij%(re49UkzNveh{PC(@%m4Ab zo~Zoy-rd@9Q^y`@fs#HeZ*oF8lhhwiuZ%8G)P#t}`kiJTZjI7}mc+S>zJ7+afJX6! zYK+e!w=W`E#plpU)GFQ=2&g5yBa696@^{4gL`M_qahPVLlI&JMgQ0kT>N1p=1OIWR zf*E;}@p3B7Nr$zHnIaNehyOUvn4JC;8Pefe0sO9{$AGI<|IS(x!Hq6Y-%xRb$riQ^`W=@*xKJWW|_s3mJvq#dAZ5^#++1ew` zfZjE>kh=^-{TA`Q{`vzNQ=z?oo%5Bq6a{g=H=Q)=|B=Z?H8WoSdjAhKPUqBXq^thR z*!&yU=Cvtk1@kDaJ=ZlMMW*xwY-qwASsDfG4upaGPGZS)qo*Rc1zQ6Q6CVjZw8TH{ zR{zX)(etG=_6Sr2nBO%kDoVt%f~wuN+DYWELQ)#$y&L{bcsap|bNr0y|!G%R`zyw!b4qo|wc z;Z!Lu@3R~-hFH5-GG@ifM}2C|x0Ic3u6A`azS`r z)=vSZJy-v)hJj9y4c8DnD4zl77H&r9u^5= zcgH;b;r&#F)^_$Oli*=?b#Tl^wOtO3hm+EO51O?xEdG=U-9t1MVRjI8z-F<;AufT^H)zjpsLTAMv$~|M;J(mZGbT~34Ev#~BcL85S)_urTBJg` zr&^wPL!%-7NW)O0DK)kwyA(|T>PLLM1P8-@De}M-0)=eq=wU&@! zA5}R=O!>=hznNlyvT6lH)#h^|t)}s&SX3t@5ivgh#@gx{$+w(okO9GLhte_3iKW{K z!{ga7r5twdf|rg8*)U!yYTj8j)52CUv)d@C^g%i46e(8C%1PEBW!PPJquf+(N)DLY zzkMiI@0GenQxcQR%fcX3ZiPgm*o z^VW`^=9?d|2v#`jIeojwwWshCRo21zK*y$y|DWAuqqJz@V7v~rYa#u&HZf|%haxXJ zF@scIu!}7_VI5s?%iL=%7{ZtTzy-FH5n@ox68fW}?2!3Bq8sPLRC1BV~wLX|BPF~By0UWRc$F@N50(#-rwzKy|@k3UF6p*o(2uldPl5Fep z%z4l_(&4b=xE==u&Mdwf*tyy=W8-bW-SxQ2x33-;(lo8|wT8J3jaFY$evJ zs;t{7YZ{Zu-@_qE9JYp;vnlBFy=amPwJ4Gc$f_1_n3!CbsrW74atF%=gPa;aa4=Pd zht6y~tBY1KG+2i_ZKB+lFlG^p<~r^?JCO8oI_?6O`h9BdUQkt!EBz9Wcl>BP>kH9# zI9LgXI>?6v>==j%i8|5^6yS)kz6OO4hwPXDazd2s1kYi@c$n(Q;IGq3Xvd&U|NbKW zp;DD`_}rb0@p#~sIp-o!L&^)XNH50|v~|+)+Qi0r1$fp+5_gcadgfbcY*D`irC$4i zD?F#uKiHy051CC#o-=i&!VigUy4S?cc!@fnqSH4X4-;S~1pF^bF0(<-bd<5*n_7B) zUXRrw?dI@jP<-=5?v@|YRJ!88#Tar%vmn=#%iL^g)a00|#!zemk=`59a~xgj95s{} zinj2Q3wmO6yq{p0c6=dasBU{D;|#$Ii)sDVg_AoRyq5Lza>7^i<)$ZgNJan9zS!z= zCxvbEKq<*%%QgF=8&z~zoPw8>vSmPf$vN@b&w$cE!T7XYvE3YomDzaa#u2^j{iRfN zAavEVD`d4$B%M*AqW>p~AMt!YvE?rX3Jb(PFc-xN#W~4R6G3gP_svS)<3BXZ({;>T z3>e}!@rw3L!7nR|_9~)X1l(0)lbB3{7A12;a)kakpU&Hni&3IP_e~6vA>P#w0Kv3Y zDEg!ne@&|gu?MKjNaD+Sxmuz+(n2cZYk<#il|x{?b1>%@`SLOODb0=(V84h%r&tR? zo#Rzc{sqGirJ+aYd%e$-L6uCAbRfhU^1@aX8Q1D%fE7w%#Ksm0-5#V)&mDCnk?#kB z^=dI^LTzs+I-vD7a>`)?B_vM>;nYCZNKILqDFnq7zZlK#V6$OOgKD+iH&4AEeN|%0 zCH&{b)r*5Cu|l*-#kiRnC?8hnp?qWvkyHadb1uqYD&yVYDGY=v<1ye%Eh(9`Y2=;+ zU{mmB600!gumDD|5TrD<>M!+((|whEbXe2-{*5oYa(c2{KvC$I3DUZN&rDKcA(pkBU)>*BQ~Xb$<;KVBZn{kW;T#TGO8Qf1>5Jg+5u1NXs+}BW0B&_}Jg=MN@r*a43^1=$qL3y*#y4cFQ-1>iXFvOrzyss7I4E zl?}COyw00Ot3t(sH(_P_|szs10HnI zP7O8r*d@OfQk|*TuG3LQSDZz`B^N6g!Ug?5VzAfOtLi`&L7Zi=I@p%&ikx|!-9%+z zQ0)9%k~4g8A^1bAJg%Jr-N{*&Vh5Ng(>F|seGlfh$&G8+E4J?Y(jI4ZOBQu_XCd+6 za!`u5Eap&2%Fq_+pM#l2lB=8?F^ZBHeM^X3C;#VF?|j-@=llR9@7_Ar=;auf2PPey zk@Xvy5;_fJePME{qA1b$mj*p3Tu&%ve$i{Y4vMS)F@jgNo(OXdWH2W7Hk7PakN?EE1W>0aTRe^A_%4tkG^3Z_;xi} zmR={PZ?c;|cetcloLIq!|FT>+D~sLiIJzKLU$=-dWTvV6xY| zZVEDA46P%`UD1|M+LtSI2n$FSzfD4bYf}-Im!)%kWEY#m3jBsTtAZ4w5t~Os<5mzJLlyH3JTjIcA|S$TVf{6G9n`70h=V=AXOgD= ztkid~80@w3nxc-9f~SefbyHuTAKuas!k)N>F0Hwk%41+J+jir>;cupybV)<__0WPf z;nk+i?=LtEnuo|3xk!{sExQKDvd?PbqoIy=NRbGChlEds;Yy2%g};V@pP8i2#0W1P z>XOioQ4H+V-9V_8aWi8 z10j!Hjh@P+L#c4y9BQv;6IrWzqn9%egzo4~>wnKQ#%Mf?!0nFsLv&YZQrbvE?sassanqGijitzbNd%sGJPS3&fW`q zIs^NV_*D;P)%G6EUXhLpmv|QL3&uz#93CXHo?DxLQh?vc(L}sy2DydOMH(&zAn2iO))~9JHlou~&NRsvpgp+Fx6&*T zj$bo(a2}ZW5C5UAUMWt5#7j`8);zkPVMOBZxY@I{f#L~j_SKoiL5cRBN;9miCi0gq z=){vK=!^wf0#K~JMs9FErYvWbGyAGqtLm6<(>lETDZD9C)a6d zgGW=11kcc~1Y=cmebVENY|q$x_{fXh4M>joy^ImylW%hkR=C}#NS@F)_D+9q?(u}h zmBGEZRkf(P^=Sd5z3wx=L$;iPm#(KIN>e$Zdxb{v06yTo-03+3zg@RzYRvVngB_ZQ zHtcT(OK`#Rk^o9*p|>~F>^u0$jcmJL!*tO$gr0GAV4NtuVcuyRJz)57cp*oXQY{!m zXI>6)bqGV$UDr7Vvb=DgTc$R|Gm`esGqcjXu|2upW#|EjFy40wPJ{q%xhU30din ztXUBv0!dw*p02Ng=(TLN{+za!1z5**&(Q4=dFTD8(w6z9nXI3Se^8;$6^ra~om8y* zmj{DE`RG`6IzpA^=->z^-Hx?&inouYQf+J6fy-wK`@<}s(D&czSPj`R; zIC5fr$8I_8avW8bfbPNgxq*R}6&pV`=0dT~2u{Fuv{u#{w?}pFzROmcfkOFI3# zLzVXRQta@#U+m#jU`KIw!3lsQ^~5O0pR0AmHnRobB4pH|T76?R_0wSbEgj%Z?CP!f zhPpkVJ2_n@&eV3U_$S&0rYf!r(fu1t?_xBfRvxvqIXEhUE$b^Fmw3T3j?k=W z0>;jgO*=k^z?LJgy7kRR+kp?O8JzU4!)(5LBU;jWkaSzj%64B*fdDMzGmJEOD-zVp zZkEOK!$Wu6ZP*U%CVPN3Y%-haHJGcIX|RTxpe%cDT!zu)w7@rK z3{-|@=C(ftW^&?HD^@Ho? zEWoN@p5pN~S*m3WZMoX=Y`e~gFb;Y&?i5wCMVdI$j^4f$Dt0e%KI!;bazWmjkaEqm z>t0{1;gYNk8+M`(2E_%1IVxV;nDjKcIp7H)z{ebu>cT9qo2uLh-iJYQUJ*AiU9;Kt z#*A1VXY#vDo#~nZWY7dite=mqy--?B3l0|~0o-YNm%2z^;MEi}0`3qynYOS7w*mX( zbIXB%7SDYV90KI^72UE(OBqw_Mokt21Dm_+iE(6k;^N7)IHQ2py|+C7+wYE_JI58l zHS%MVv2_NkeDy;qWI`LlN|smW!j92ZG%yRWto*78P%&brX{AeSwnf$E%Tlz{YcvQm zYsbU=YqP;jTO%iH)XK;xl{Gz6e4`!=)vEP!{Zdh}PDf&Wj~ zXaoTuW_A}*x4;R-B2{-G8lt4M07E_>1M^o6T(`TqVz3KklWtV$AI~VY?ki|$fG_8Y zQH9(%xY3|2WIu9X|wG z?fUdo_}osuHZiEmE##FL*&YfYP_#Xn)gCc@+gjP~0cNgzTWc2v6bl2~;PIK}n$0^+ znA_X|{0bT1^wn?(iEc})=#)M7#sF~Qq?P=d5Ov-^_dZMv!uF$-fSD5?l!d7Di z?*6xGFC@%MDEl~4y0`UtR*{=0MFS`8Stik&Cku^UYFKdqU@L>e1hCLBAOh>0?Sz#+ zWJ%I_&NA?F)$~Z6vWeGu{8&kVJ~~?csd)4owcuYq(Vv`4dz`7aC;lI5e8gd9dEG1Q zNrm<~?ThS625wfyDU#0C#o{WejnzrmZ#}e+{K-Zf1`7+UigDWeMlnnI8?+AG1o&xfNF(ud^&DoyVE4r!x!NXB>uq*!yC~&wCBx+eHD@7Hl{a z8XWxHulRo-C)vj-w+bd9*)6XCJI^`X;{YxGK($>ZgL|2FA`Of*Jgxpi7gdA%G1b*d zFI!zz1DlMmY3INp$|^o*XJn#&0)d91`VyVQ*e~Ow`@9IG zcZ2#hRYhX^F{+by4Gj}-)#rS%uKS7&jV9sMBYl+o#0NIJJWy@$#-q8gz3i|gVQ1){ z3@4}rI;>aTxo|+-&J%#&CJqL5@7I$sKfZQvoN*dBgI@WewRfaqf3V;}5`irf1uRtV zPl+vTUt#OM{Dz+PrEYi4QPtqQ*?PuB_#$$5C&=sNzSn{Fb-&pXISe4&x{_mrr=ny) z5W8?|oSRw((LSkRwOVbb!@VLuk<+f>PR+!*5gFZGN;gA6!u)_YCf|d6o2VYt{XNSo zx_~k_B^uVd!iR*J6J?+BL*=8;axyAsZ>Xf!9hhjwbJLD<%VDdib+>t;*lKyE*3QVQ z%XgG~Q6{F;kY}S!=SR1Z+zL%wJ6eiiZ!SkVd0g{sXHB0>2f->^S4lZJ#@&~~;D>KG zKF_pSdY~_Sr44A?OksGKf0c_S+Ui!pc*-{X1wCOm%}i0Pvi;-KI?$j=0C7Z%zB=Tm_N#<&{_6zsVk$ z+jxf?`Bc_MZ-(kaQ##MhO{`;hshfcwltC9bqj6LMh5C**o{q+!P9aa;2Wg`vp<@R( zVr3@nJ;e=K2d-G!=!pGk3>8Lva^<`iJ9o6dkEaf@t6X@uXZKwEenTcWIx^J$QUY0G zhZmlYk8Y)yJ&FgV9E2pDCt{7QlFlc@~$Fp z`VKG=zGb|33{O8&WufY%YF&9bwEwTq`k0uc!7AUgb!i7BqKwi<3Kdb&VkxAUmIr7Q`2Dk<_Y$x}_9x6DK%BK{FX^&GEwdM~2eamtt=&0sQ<|~{ zZN#d-@O_I{7p8jHU-wn|yqkNpntQaFd$gDv7A4@h7`Ez6TlQ?e{a%caOgRSND^Rb=&+q zF!w>_+}XujlMQkE3Q)tvDEE?U$iz4eG<_payVVs)!#c9Iau8j!tS-c!k{hs=<)MWi zr8Ln;#|rnakzidK|BIOWn#i)ExPyA3gy?6pqxTXp<4!QZ8z}D2L2#?>)AS2y>$j9M zS&N1UyNB;WX@^lb9GNnWPq%|aXV+{w)amiz1esgmF;KJ@%-GW)BB-%ZU$S(>@GwX4_=`608&bZ!Mu6PJv@Fr}MYN zeJ6z9EYr{z@KWv9$*CCjM0Ns2-fCF_v_FN0tN40>7K4mEc+y?rnfZ?oNf4eRhpnUm z6XRGNr9v(KCo*+U{SET{{97gUvSKwV3kosTrBoTRsXC)J6QxVN*7MEo{mm`*dd>OO zMmd$#`kJ9y<5Ajk7>z@887j*~jRy6NjH=%9q@`y(kbw!K(>Yu zK0Hx68-$$2*ELzd5+$HT%S_)EvAI=N|2!;PyuX0Nx8B3DVRm;8xO4pcWz3V6U?x+v zm$Qsb|cRqjZwql)UW`C5`WAw??f;g~60s$7zX+ru@OY zmKdBQPtDZK*5-DI_KvN5Efz`4y^{@8gT=IfE9ZSdlbAg+SDvsA^I^M7cZcdUI3rNs zmMwgD9oP%+aR{6Z9A;SeU6(#v2i&K_Io6#Ewe|e|(KvG$0XK+%Z>I{7n0u)suoLW> zbeL09g6X39khCtK^)hXm9A5w(plbQ#G@?@PQYbB<>s{3GAncV;C2tMwXUkx3#oL6K z7G(UTTUGR{LgIiRfSFTJpY+-#=`E43RQ@27A;q@h0E}szF#&Lj69Wz2Yk(9ZJ4wdK zNzG{T3icC#rIfd=JfbK2oN;aENIA_l8V;NF=BqCqKB8vVaoLJyXNT_#vwlhhxwDoF zwWDtP!$3r(RO|6}H_(K|XfQs5HikZ%W+Kub}`63@la0k=QL+Iuj)7xKkT4HLL>3~fl4Jmu5VbEj$m5O znzS1tH3OORSj6>Mp@U^<9LmrJlK`SWr7>E>$$!ne8lB8kAZoQngxi^%&3IZ~Nk-Ce z0BSq#%~-Wd=uS1M0k%}OJAp>DwJcm0IZ92e8j|SA<0FFDXJx z)pjjSKe-mLX5K2b8ud6C^FFlSXxthOlU$})%b6mhIe%}pDW}+664$m3^L(TZm4rPA z0}XA`f9gueZm8dNQZNY<9)4R@L&sh>`~lVYY0f_EvDcL2S6ZTKb+Tw$1eobwIyQ-2O3=$Fk9 z`m*L2Fux6Ox~XQC|E)Y=J`Or9x*XbN{Mx8t_jpF6y|IDaCQnoLbhyJUK1T3POO#Rc zQ={20E=kDEI)bb1sk)Wej*)9sP(FuYgE3|5XZ`p68E*@1VG%@GXC%x{?~ZJiIL3@5 zVI7B~eP#Z2-j||052e4?zjKm=neC1=bn`^xUhXC=Dv*A%EKhg1Ww21C0ceeiO%6j= zO~1-pCl?e(3aYsGL5Qb8-$%!N@&e>XeFUoE1Q*a+f(3bi+7q1^(ew~qqql|-&{#j zXG5Z;2uVuUUj{|{?;s!6)~Z=A8OUR5-hzIIQD8F`F5j5RX4cwdA8x4{umU)(L}#H2 zt#at~%A&X$gSg7^oXG@i74bC=Y;;}E3{H5ME60<(4<(ImgL3%Cld9ccI?k%`I<_8C zIlN#8V->P(^=3Hj>mQFYxm*@6X|5f#-mQ!AS^l1kPXIH%Q; z7+NGN_m+|<*wOux!hfY#^X))Uq#R9x!ghi7^;b<>Ebk0LW}5m#gwB6=u%Jn$x^uk? z>W7u3vxW0wmNiELx1_A7Cf%tlKFxq}(ny@(2*^s*CMN4C0-3lVzzaxn)#A|BY;c>J|1M$-HaKLKnp=OLTw=*ERS63+FIX%EYtpPC6>d#N zbtp#m7)ISg`u4$TujFTNdixQe+g7tnRm1 z=@2(h9x|RKr5;)OuQlH4AEN&&=$4rHuhk1V>U`j7{SI3y+r2PVyI84K3Nb-`8hgw0w!VRNgJ!k8$JIXp@A?`Z|>m=|Ot zh!=$b#0#=HM0-t*8po1W9I9-qx}0gF9MLYn7?^2Jr_w+|_<|h?$Wgo%8{?Y56qr-N zr5DQP4e3N$#X-qyisZKl6hiuGBCpFP+LkOa71WvqKYUqWV}e1}J`X)_=ydu39~CXs zrtB%X;(QRplY`cBEi?U6DZ)U5v#yc@yb)8)aBZEWjiSu~QFdU<e!?{C3#YZY#FN(v<=)zeup%$*7k?a!yc z>ftz3>f^XQi=FDiG zNJ(p`U$myac;IGqZGtDZc+N#Ig>h2TG-j;{Z1%;|~Urg79?5gyv;#(h!S1nfV z3I!vDOK;sJP*&F5yw>y*)SA}xO>?p*s>5+29lvPAQfWDk*-%J#TiB(+lOOM8hdv*F zCc97G=)>#?O@ODP`3EkL8OMmt6^`Ateo5rv9$sh}tsmp8An;?0AQS`eIKV4sp;llNjp>MSTO_lqsbF)y|7i60cKihEg_QP*pWxon{Bzo| z=j3o%A}jQ8G(hMmrj=$G+wi& z;A?|_pk-g?@h@41t_4jtUR0O0syZxrSp4w_YYAOf3mrqEsZP{0{o{S$OfNT ztY!HRj6)?uEuA*eQu<(Qe0=Iqlj4a1ff{5OHbv}%)IV>sYv{TyNb1eBO*ZmWR+|D^ z?54(C^EuOb)-s6F4`z$;I7iQ_HZs3l-+4GGMtFY|QVFOT)nbyA6eXCnC9dxmusJ`n9@jq;<+|xIWWQd_0gpRIiA>9OV z|1A%_rjgZau?Q{D87IWM5}OZj^z@c2{_Mxor!6;=<0TJYpTFbwhA{CbOcH0Z-wstIZ^L->jC*>w|4_kGE5+0e0)pPY0RQhxmNeT+p~zp9%%`SE?0d z?^OZzCOH>9uk;vp;@jU2XGK))fSu>z`gDiF3ET?zp)n++6!=nh<0K4S zU4UGMiXn8e`QOj;o=wwzx@j&SdVhHHE;`|GSxq0s$1Ei_S)YftSeH-F2F5^9;kXb> zpTiu-$7#_rfDaT5X-FT5MN|$#gphKSL|g=kaufqX1j!73@)6QR(()R(^VFT(*N!*7 zH7F|~#-BUv9GCmCtvq#2p%GjZ^6t+*PpHQq#PUr|NF&P~MHq|3jHdbNjPHjE zY_6rHO&;<{>9P?_FwyGT_z(>eYhQ%1mXCo{zWrmuajf1PS*}1P~K!`?Br*I zq{ZNKaX;!M>{1AelqV0IIfRFttO=%$MpI`&LtqC>2+9)m7QMWCP48Isf>Z4WD_Xg* z7Fdsg?sS5?Kz25yRGt8B8*L85PK@Yb$S9jSNFYI*SM>91?EP3-PW%%;sj#;g5$CqP z$vV;rlc%}#ojo!?n~Sf-{-cwKPY3zcg~`X&I!9W>PL!S8D7Jw#t>54GfmCl=jxq~pplqT9*XQ`r_Vvpxy2dC%22X=Ia@vfffPQ`dn3OCA) zNXwi_Q#Oh<`Pkq~rUfhAaJ(6wN2|Batoh1n*Z>Zo$s>7>+ea_FUT8j|t z#>h8!=7LqxYD-h54xC;?RHs_GO9M0K)eIR#_1xr7JNdlJH{~*7^QZ%slB)pTzwQkE z4=FC_N_CW)`_PIwsKsvUj!!X$*^F{pSSVit8-9)7{W4eRbzm^Ntu@2V6EdSstjo(k zGk_lVGFg1k?EEYgQCfoIlf3(7Dj2MX3VxQp9IVFyVb&7?{FR7O#1V`S z&Zhya$NAXQv$a6Hw#a?#L^?cs{35=|kZl>X<(k?4zATWV-_D z_$?XiBcD`6m^Z5b zzT^|jq2>%B%q*2izp4Zs+Znr2C7dC0`={RFp>bG`RvtYu^8wII!%4n5k0|=VXhO>) z<1V!wYJO3l8K+vQ7-Jn8xc$5BACJ)5Uw$#H%U7;F*u=-8?Yu@eT!go@S3ruD(n_!$ zonPKubQg5MK*gXSSc+q+Dhj9=gNyn?7i&`LrLpGY!ZlPlPerYC_ULK+G*LhVMnSDG zRH6Ia>H`E_`H~zghK7+MW#_GYQ{HSf*ad2w+VcUb(juVhgNafgb4YMuK}c;P@(&25 zrKTdIp)2DlOaQ7zHWraS$iOqG@kXMHs#*`fq+-O$>4y@Ts#-_O!rEgJ8T~@h{rJ2v z#uA%|90TizU?dBuVM2Zk2>DDIl>t|o-Y^9#drr$E8%|~5kK>F%2{lDI|K`z03p2<@ zUDg4I0C;ESXTe#`uOAw>?(i`{#g@_e%qC@UZuw-zN#*5@meJ9%V1?O7+|6oIOF0!` zC#Qm@buf3w8f}>TVFF3SK8vLrbd!+3&HH)ySW{r=^|^za{Oo_jTC|(ci7G!sTWXwY zyB5YbZ-|M%0vYj0JHRe>&7tacId&8|!&b0|G510fPrdO87dKvDU*w0!f?j6`zNk|z zA|rQwZ0II~vIuAI7!wNzn(3L0{6x?$fHBB|{}qI=vEk2z-I+MR_mten?6!X{ILrKz zXja!-&iqZKR!IB+6{^TuK=k*w7gXO>`k@(k?4hSZ5u4KSF{DsoXf>)mmWV_=b+Qnj zlIR;A>YR3VlN-l3=jquw5!?j$0z;$-xI}VDE>d;rEK*doeR$;pQuqF@%Y=`&&n;RQ zzhy{o$xqNIE~Dlp0-lxQwXX~jX{EffWe+|%T<3VyL-ppW(d zEpJC%V!V-_m2=~w0N#7gt2jKFGoGJwPI=o~3ByzA8iY}3dN?4#&!rgqZaodeV@WzF zp83RMsp`u3f}^4gjhMc)pa4Satc)*K*N^(T=`Vk;onAavxK2MVE)KBmH;Q6>%DnYC zPc`JGGjguBW|+h6VU34aPQ7L(@j_y+X|gU~Vi2!rsksYlL!QjTn>$XL?G zkTC)>bx=UEfnii$ax{!GGHHq+Q`{OlJTYxnx2OU$L`GJQQ56Ox7b=K|?oha>DurAz zs9{YiX3`)aT~auzs8Ydl?kl&?5B`t{^^-836o-FG!7UMhdD%d~ar%erzu}MD)|Fty%f6Tzi}BV_@K`p!0zSe!+0X->O?gYn(tTFB(#eIAr)h!ZlScLwL zJ?KlJ=T3=EN2Y^ir9wmW8)80Q#pwrhF=@fh`xhB;v3^xcL{QD*5jpJcjki*E^yras zinsXJHgn0ZX_k^-J*_3bYTHVF<+hjnitQ-*^~otk3aD3WMK^9dm z<(ZE4vW{qIEnnRQB;1Q$*Xp*8aeu%V5Al;DIh)yt12=%^Ay+qMp5n-->fl#_1ws;g zjd@;81LeTfT`OM%SIJ3&&f*_ajTJb-=&IZ5EuRxuM`j1-%+}l1>bj}5zk5>fV ziwFp!rxw5hxxb0?8~(I%yw_J)CceSvEw@FGEgfeJ$e?O-vXr_`7cg^K7oPmSRz&ZS z%8i#J`p|r^P8P1&=K70pffQgp>2hE+9d1itKe}E!%D`=ws{eG0D-*$$AJ?dtVmHPX zqkBk-fD~O@G76F9sbM0`v?pbBu^4Z@;pNJ2K4(L##09q&%eIZIo7zhL`+z|S`ODg~ zJ-v|ZjN?T{vRpbz^2C#o^JU@O&^WE=-K&o{$1a8w^HJ?pWHcia;intL=&+QT3hf2< z%^Q!aGQkn&+LHo+PYY3+1dk7k!$xfFyPzqq=Ye(eX4WA%dFSxgB6JpgL>GTTr=TQYgI~IeG)RCr3qy`OO%^VGxfaCErUw2gr1jsKzHIPDVq!*McHxiYp%2#G*NG4vPd>$b7KI!#s9C;_}Oq0 zHrHVoXODF+mUZ56QmV+ol(CYTJqeMT!eANT@UD|l{-ZME>d8pQtDB``0B=E1@ z;>l~1YT4P0R8Flhek3;R{m*B=(wT$cxOV86ud(OX`c|SF?{&WPq%I;5pEQHa2FgE2&)rrEiEkRjK-k|PSt%modHDq);+5zn7A?8hg?$&fBZruf>?Ldk!}5e` zkkF;-E1}EXK61y4N%)3FzF!3{IpJKg)POjx&6 z>^x~N+0m5gVVpeJ@+{DHPKCoo{+0cmGhwB?uxCQ}nfEAL>IwN2Q&5WM;Eu6lvqQ)? zTVaTb=8_W``5heD!cXGo8GrT$!`|;KMInZ2fE+_F1xU_Sl1R?<3P|v0a^fxlJlieW zoD$iiv)}RLV?V0ebKax;<#FU@nEALUlD9q&;lse3kzwB8-4BuK4f&JUQ3}s})7B}i z&=apzKi?&sh_nW~m z;idy<6r;FC23_Hu5CpgEP}&amE-sz)h1b$hW{9DrF=w%U`27WQ*xmlA5ftYRMt3M_ z?jI}-8UMa*tgBkHrSh>Iud=ODBw;D3qTbWb*Wr9&bpD1C&l7_$j1L^yKw|Y!A=~vG zTZ56Q2fTf24mPOu;$&+9H~oWPDVRo^Pt1~IKr8bHAt>hu;p~H$N!eNGfRZrGy$GVK z$~JHL6~ST}l|VevqUV=Wd381!l+!nqym+`%$yUgU!QcB767g+YLT8>oZ;sDANC#ua zU%1%texFo?mQcmh=6}KY5XsV3Ca3dgKWk&%Iur zC7ZT&`@20I zIilc(;;FTka&{5 zT}^R@5}fEu=o*11Qg1{#gO1vA)+CbT7gwr=wls{3uW=M!FFYsGWr~t#VH3D?rWf$p zZ*O_T-x?Ddt)YGWI;dqu!Q(4}!LB&P0@`63_In~n<}Buf6uxd|@3aQV-*BZ>C zjsYK!#UshM42qv>CDGVbC(^o$2{TY2T^hdQbJ&=HyH|}39PnxlmMXap#sKI62ZgE{ z(zb@(5m1*OG2TcnlYmyT?yQ2M&of7pYAdBu9Po^k-eI&Bg}Su|vZjCGvPSQH=ZE>q zxl;Ry+$ZtSdX|93WBHKXaW`yiFvH8v!tVJSN8vt^Q6c|}Tg?%vq#5pwWFG05nLHxZ z>ik6re0ncC+DCTek7)^E>Qv^Xsr6!AEfxnXwrJ-jR6a_|C|G>07t}p#g8)Vo>vGm6 z?vX(Z{RLF{Un(}eFm(83`}7W9S(fE`hxs7n)nS&tQ^KJuWvD4-@G0v`@6d~WWvQ`X z$S+I^jE%2};f(8&jTn9%4EBo{c0lgJBu!0WcQ{~ci4kcfVNKV8_n;%INX<>lcXqw8 zZ-7q+?VH)RxSp0^Oj9UGtEOkja7$C@l&9LHOu0<7=9qdeN+V)NBV;#oR(KyWn-6b2 zt7FA_DlnUWvPuyg@G>GacH4COWsV+mxBrOJup&J@;@;XLy1o#BotDfL9-H|@WxJJC z?CqF%*dtr%oTTwdWo`8O3(Ks5Z;o+=73%E6P<}Egc2+2)^?@fk&Xw=`@ z#^sG4T{8VEEWfp_g0dIfZ!U9xq~vc8^gy5ft#&xg_U1rug6tGUs7u9qQJo>?wYNwy z0A^n8$+P*^T4zBiAZ$XrSKA7Yh8P!Ip1jGzdeNN_X|`v=ZIP5KM?7&w zQc>W$1C^0rTJzK0<{d)b(}0L>0jx+mCe1eE|D^IO#<44yeb2p?moMc@NMo0H-#w9{ z{!6#wS0${ga{+w8fsZ4ehUn##FRw{FjN|%Y_>RI3-6M#vNqV_ zosZC6|6eMiYNcRiu`veSRVOr7xD=#e6*9lfV20`&Nz->Hm=S7O-(NO{1=vF=n=7W@ct)W@g41 z6Eib2Gcz;Onq$Xy%*^(h?btc{zTf$-j(%NT9qCF_T|L^K>e23MM&0#PRqSsZH%~(3 zvPY^*2&ra7+&d-{i{3!=2Qi`Mk|JPHYoY%jeODm*Cxk!{;w>)IU`r<$>LG+c8se=D z)8M`7RTBrW2K1%EIzZXCmjt~*YoyxqR9{@D^kc=PHd7X@h znT8dpLcHx{I?Tdj*XE={5c3+#yV?c70}0dfg^+5d)bW7Fa1p%8y~cM1G+Tim`=tP zIwh6KIpjxbt0Lc zPx)$>QlKq`^!@ujvi}2XVS8mElXk&TWtC-r(25(xjM`a9;BVy4BLyL1Gv|_O(hSs^ z{D7hal0>8~pXc-KitYd@2&3nM7-0AdIbqkQop@ zMHN2bp$a8=CW=p*X##-=k4tzlX)-E)iUntz{%BpBb~t*eo?rjU_p4}RwJ z0S;RWiZ(THWfZH;d!(cNwA{leji2RrBgM-~Yet;N2>EskNvDPPn=E)~C|;Joj1&(v zB+j~?Nq)T+=B)C!z$_Tum#3s}H~he1YFDCHnfEJFys5**xR=J z37>Ni=HIR2P7s_*5;YP|1^oNRph)s=r|B6L5QFxi;>>*DLjkOhrPGp|aG?Om}AXDae+ zESHTq8v{*2s)Pj%)CLF26!$s9+UA;Wy*IT{xADgX!y2gH&|>gAQ&(_c&JBx&e;eqT z9y8Rb+dt=4CPl+(44D?E{yp!=?B6#hXk?X!`2m1l8a`7e3Ur30Q2OqfesRH|9cX#* z2C@Ye^YiRqt4xZ(Bj6?^$jl??z2TpCl--y62j&)ZO*YI@aNfMJbyp+|5RyL;G%Y&7 zp8Xdh$k0p@XuM}KbHBsAy%(f~93R zjt|f6N8Zt}g~l+*j_OC!ILH8-2E`fZEIIlxocr?rKYzvBa^LL`sHsV^BhVdA zb%W*?v!F-;jVvDh$I|NsLk2bZ#hqt5Vv6b2oyn(XdQD;xT5^lQm{#BC%l&3h{S*Cw zaKY(#J;b78YHP;DnqI@Z^Mt3BUXLAla@4zjfcN`$_drBBt{j_#R20Hpvl?^4k7#5& zgVy#b2gM)CUJT%yPP$O-Tg`9|{Ksg&+H?I9pHC$VdEP??SGC(Wl>eUGr=PI*S#lLs z9@`}c@5>`l{LxA)sF&bqo$W^^D#wwJ8o27(z)Y2jwCca2=OT_yS9Zmia*AdOIJ|%gPVoQ{jO`MeBnE z$nEgwSZXA((`K!|H#^3M2zB9h_6f+kX)*(t8LBYk_sBrblJ zf=mIB7^Uu|Zl)`)<>yu>{b54JM8*W;4`@{`EXIx61y6;l!l^WT#z{~6`RH`DmM^`Z zR}J?Qo69Hf#`D%{p#=?D{UB{${$=otF*+y#(W z+98(9?_5|~8k{;@)%ZFDSSK~(FH(xNg*;6WgBFRjYhltQ=NjoveFDitsSPai3l=Pl zdyrpRUys3#M)b(!TAGjm7Ng`UG$n4qUuA1OvffGB{Iun$Xk!L3IlWjU3w!Z_-s!YA?dV2U24n;Veo7~+)JVRuc_&7 zy@!ovg|tHTT+ggt>!=j2{VAVtDn}0Y#t10IjYw^5Gmbn$h0DY6O1Nn7#nM>}<|#^> za&5w0atYfuD)9UBo-QVJLuJB#xw5 zslXfuQl;=j43N=tQVkOhU9F9uL8{*GX>SHKNc1xivWJAe83J|JiH z-QTHFPVYotQJfT%C#kqjSj3}FSvTxUnVEFKlSdt8iK(EqjynyL-6+do1ZAca(tV(5 z9s#rEeYvF$^xaD@u(w~xpq&`WTaMP1k8Z}I1PZX$%tW9D&=z{-`hp1i}3FJZA zl!4Rd4F+$Y#>#FgBwIut1X_QtfNL_88#*?4Mmx;R z^Qb-(1JirzpnWn?M#viWbLI-w1mSq!Kb69HQXwO@96Y(lqfb8ue(X31&xQJ|8eEfy zUoncS#wpC$(nKc(3B@5j2$=E2W!r0n)~LE0#${jFS&TNMi{drFz5u`m;@(ClKwJFQ zVEYGns95)(;@2}SHJtVnD+PSQx^GmWtxu6Sn~t}oH1j8e%)|2>GY zOo?TEiKF&-k{?`Y&Wfh34rb4dgF__W~8_5A4&ztuv_@3l7X--D4Hk$7E3N922t zHNbfD=`{Q+wS5D`z|t;DqdU`C%y_FdKu+@x4q|djxV_f=+ z3w~YZ+`EhSgZo#YOFYubMMK9-!%1b`_O>t(fym8!0UiiljYU#M;_>zTomS{n!opq6 ze<8}olxhq%FEZVd?T(AOlEZTSYh(Nyz4piN0Zx9aU)xNJ6DL@;^3^$9V*dO{lk$zA zJPvpDs?z-68xS_q@&^mkKz53|MQSN|2!nI^vn;RrU;j86dqOn`15q@j-GA}|o$SO*;=bh{-@`T4!z#&Jx? zoFud@%_^`8n0LlATe@u^Gch=u(PuS;yU0~6Nv^QI7mhfQHViGi7CiB5Z&PiUY?m9T zb!B7VkOMqi#Yz`*x3K%tmv#-j{PI9+OpsO8vGhDO;5x?2S8=MLa_8!P@bcodwN@b) zw@uvt!^r5n;F`2uD*DJrM_F!6AQD3n87y;Wa_Z?2UZvw6g}F%wrTK1KPHa$}Jx0=J zq@uZG?6E$klsG!kIhiWA>)Z3?t87mfrtr=MjL z@rg;|NQA0u&p_p`hd&L)-p~OiIEX@x+B7s%SI1qL>C58RT}-ZSZP;Q)`m!{7L8Kd{ZR1ztJM;x=_Rh zw11+Qew@z~Ajuy!p3Fv{s0M`6Rwp>TK`5sWo4eXEY(z%w;a{o{=lLrj9p8>xnqfpx z3t~)!q9m2u>}Mj)xy5@0TbJ!$UBGXY_dGL`2+ZhPf0WK9%#-L=D08=?qJmR!iT(~a z6n!EvXQ5@DcmJALLBKkB3?8y?Mgc{2%=mN34T4-LTSoLZ-;t=RJT^qiH(Q()Ov^%Cz7~!V>npx0dL%X+e2QP;`>84fL=i zeP(mBdiYGYk2Q21in{37IoVh4=jx;jd`b%bYsVneWOnlPS^K&X#5&}yyNpI0FUHzS ztwfS`#%bAet6yZ<1M6P3kARGKLz9aJI0r$ZAb=WOBdoA1Mw9%{xrmCM0U2z%HVc=& zBDiL$6Br-OvEtG$GdlC^htR#<&7Yhz*+HC_CSOM{9qJ9PJ% zThNnjWTpVsd8feWyQ97`=fvyL^;O^fiX<-RLiOc!GS;ipM{+JJu?O0l-K++>z`Qio>+*USs48?y?#1v zjI0PK|8&ZU%^`n^Lm zS|6OQefv_S*#%bfw~gw@>!;WApNOl8xP$k%x0o|)=+-#)W8UjsU9a0#b24~key+^h zU)RQbs^5~qaC@|gpyG95>l8H#8C4v&o``n5Ugb#&f>_aQ^lgJGIq&eH58_3xc8eB6 zw0*6`@`KIsP>@}=#dVEmDcE1Hv^F(B+z8JMU^>(_~|ROjL4$pl>~{g%sKdG zV&Qs||JORe&(^uz3n~e&y#i z)8F~|Z+s@zv-O98Y0+5Q`#QOO13{yGj8r6H1@H1a)4D+SJERDG6`ih+d9*%-9YKtSJ^nfCF+NcM#MA~|{ z*icU%x*-~{S6{;5$b}M|D_|S7zl}tL`Uu^i6%gzjfk8j#6S8e#dpGdZwt5-HA%F!e+G=wHqJNK zBxS^@ZR0Aan?-bbwxjjPHeNvW$Tg}56Ja>WHfpfx4u`Bo%Ri%&Y8W8a*g}m37#0>b zAYwjv1_k#ssTBT&Xlqw2S!J^G*zn5HPQ4smN5R|9pAx2Z(9Z( z(Acx9>F>FB;Qx?8hHAuAYD^l`nw&X5PJ#ZP-CuPFWI?Hs&Pi3mQ6MaBNO8*uV5H8% zgH*dsO;Vk3Khctuf|+xIM2U@{tKj?AG==~pkc=fbKSVlYe)mRye!fBI&vdgJsLnEwX(_qlE>{J;j<3iTP!-uU+ z)w5LjQWc_jAy7SzbqT{KT376RYE_K>YdjTK;&X6JkMHn`hw8u5D)$>9Hr>6fEmE{~ zmEK`3KDg|rov8(a`XOoS$hprrPqL5%3$U!$pkFfS?hz*bnQz|wng4wr-rEd^{r6DD zFHsDul0RZL%c16HR^Z)qhjLwy_uF_gr}>BIa}>Fwm$>zm=|NtZ^`sAq1mjF~#!FPQ zjJEvfU$jYfOsG5q#mk(?4WQhb@>J$M>mWF#eZ)p2EzwHgJ(}>kUAdanoo8Q(45dJ3DNxe7+Ica z5ES@Lv$tq|4Nfa0HxNiS3H=vTVR>h851kMY;Ve>wB{G=+9eS$sf`3sQK@fM-?kO7( z3WwHa-v8Jdc4NZ9(a*SC=6RDTx`2gw8qA!|!GSWjZ^NVq8L{L->ADW^f3KODOT!%p z?1uQ&PhR&SO1Ham6p%!eyWHGzsB}O_B{1`PagbKqD+9|8kF6h z#K}FRR-m$quaJcJYuB?IF1oTi+pc?FdO}aZHE|*RtjR<65Dj+-jT$@dkrfNvJgEde z3)Jr@hN(y21y5eF*Z}I&ZVcQb>IFsW-5Ldd_|Bq59|dK1%ROAG{NrtY$h3k$-RvZ} zpeNe3bK5)D6y0PDw%cqIqd5i00t*O6)(}(s z<7ol15U6nX_?ZLSdIrLfiRIt?Rw6lx=wL9mQa51XE--y2FrM_BrA5d0!7`NgH zgM*5LTLpuK{7pkNF$fa;?xiv{rL|D$hi_otEacttBGQJF4W1k<7^W=ek7cp)ds2#K z(VAwbzF4`#6A-d*>RB?d!fA-Q3Vi=U^u2Dpa!POAAkP_KZZDvQ5Bd z1@)8vCK97i5Rah)XA27Mjg_m$4V2s@_D2j+H~WJ(UwNX zh1RvVw?lKBIYo1<@dN`j=mAD5kM`oFigq@v;S-W)5-A-?7)i4$0CXONa3xph!8Tfk z@gJZ)j{9Sr1h?5ioy3j96s_x?tjB`BaxNyD@B9a+Qp2^Xe*=bYr1q{*Hs1tA8h|lm z4Qhr5BA`tXP1*$Ki6-I^F%s#pIn1<@?oeIS2IaX!)^0V8SGd~f*B&+$7UG1gRH2$h5m5^uspE@&~&QVpalGFAO0T((jO$wClT}P0iMxAA$RXGu68RX z2dhaj8qC#tU2>QvkMIe&bN)U0BW2D~*)KluW`Z6J(HS}_iATIs%_gep(2}fwZ88(U}t@$6H^%Q{kdaS$f8YRAf{*EncEYJ}}_5Kry`u(~@wdD9?*< zN8?eR@*;=1Bi}S4E)WEaVz9WnOhd1zs`bzC!lbw)UUH9_?Dw~GpJ()YY21US_w-(=4-b{~!#<^Aw*@&N zY)RaZMehD?H*2QH3&-UJ&#o;+7;oBeuB@q&CWmLxDTXQpmDvkGrdrk2+UW*Lv6}C% zv^i^yV$@~MT2*+^oTY?>s3f8Uq>^L|9M;AGAltyFJk)*bSO6<6#jYAazxDI};o#%o zYH#9V!uYK%uPBi2{1h6NZ)+0v2VsO2gPoBDq+1>@@!IA5SgM`(TiH_GAH=*PROBPf zh0Pk|zBqg3T8PIBG#?L4{WfG4G{ai|c5jQs%d#klA*aJB#4M`#szhdnLufGl71J^w zijU@?kFkkeHB$a=7I~*gHh-JgYBh4*s-?u0j=`2{P^PoW-uf(3jdZ;Hx4j6)9Uj*f ze}v1T`Qk4`W-_(;x~FvEwU+1$tl^`kH$rOz2W2hVB=H>@^~ARU81_-I{R`0%QKKgs z_2sCzcGwPEUeF7k{P*zwsKJL?y%C%(VLhqj!Lp>5+BFc4&ElUIji? zY>KO~C=#2QP^v|cV21P4tqIGI^t?Sy6`|7JyOutv_&0gPq z-X+k?04HfWc&KNc3&yvdjXcVu6E))kPnR(JvI!y+q6dV^z7##cU+g}Lg_N;IGz~44 zkvbNCUQLBKkbIsF$)Uag2PNm%+?y~TXT~DMZOVTJ-3S-ttI#6&K0h2BeT4XkF+wnD zr+M9QR(FkRqh&Hgni`2Xjt10ipqcLIy%XS_a^#&>fI*j3Uyj1VNxh*^kzaW3z)^bs ztUQR69A$~pIc7y{AofjnoY07bub4Jtg9#l;_IL4boVwa1a%p=GGLdn{;G-%r|F*`yWV8`+Kg1BSGv{JS<2i zs$ut=&J(-`e_eZD{xaI^e(i*Fi1(ptTrNc2%`#5_*c*02^uysW`MtA7z-Dutuy{c7 z`{S+<5{-PjTIyGar(#3j(*&0kf>wA-#OjfY9*l{cxD=uD(kV{=62wS}P_tXQi0!5L zwATlvr~%$tr*Y-Yjo`hP3A{Dx6OPYP;X$1kEx_?4h*9bx4>9EI*b}5zXM1iSw6jAE zmto;u(X%szj4K|#zqLgW29VLnHeuB zO6r%3a#8-JdRRwSxhee{VhHr1tP6Y*R^W;T%Kqc9i9@gO=VGkYj+?Xdq zHDNf%*1mm^fFv%?g6Irn^pcuOc3HZ&1#6_DIJEfk!X3Q7qM75f2mWxR6G1sjylRdX zZab}Mv0@$6JRMqm-NgFnVv|@gF#O9UX`%^i6BHJc7Z#%b0%|lvjwCo6qNDv-p<^9x za~&3f1AS4IBV~BPuXe3WM|-x9^PnXW@IyZISqZk@ZX3O8Nt(b4dO1G7EA-KDfwRlD zuvz?jLh*IrLaIiV3&LM${LdxxuMm#IMK5&NzSKmcL^O{|m_nk0^;$nQUzUDX*Tv4$f9MhpO9D2Fj1ss@(19TbLkXE?&<$^eg7}bB%6QZ7C z#lt=zOhEdE9e~^O#ZSPTsSBu{bXEoyVGDNL1WbBjoRUcfIg_gF#=&8BT0=n7M?gT= z#6v(k6^R6~`=SS>iAH=3FkgDa5@B2(HpN6XG(5PxPw$6#Ol#0=yTS%>o{~0_6G5rj z?>Yv9r>wF3zRo#{Y*MGmsxbTw2C%vBajx2aYBYuRZ1i%;D%G8PItMI(;mOg3bc}|wOzq~ z<2sySsc!1Ll7c67Vt=k8(46e-LS|?f*k&5Y?@;^$u?tRl`b7*8nJo+i%*#iP9wRu# z>+Q`fV+7EN+o)@2wilV{fLH2FfK}pJfYW*WEQwjr3>+>g!15&60P%;gEXaqdgFo3b z{#tTME4o_1+@P9qZ3VIQlqeJ=wKa2BdX#cFzG9~o7Vkh{UK`m|o_2s?eP9==IOAuZ zBkP8CN>>!VtelAQa5)w>Ruf}t{S2upm#aZg`NfAER=2EjVNKJMg^0_gB!1s&Tamw{ zBv@9Qt*#2Fsyx=vVE>Zlfr|bs-7wXUOvIbke5_a=58eyCmQ34&w#21TwQ4gFBV=_( zqwrA~3pcWf(TJ=uPK1@_tqeA)V_D?ziUZAu-w zu)FFdlh%cO%ff#)v_FTJ>S1e^wdlqw9^PUt=6O)OwXtm-eEJpT2cEo3Pwl*?H7{JLT2SO@ThHO?k_H9#(xd!Gyayx1gh@2 zWf`1*!_uh!WulchK~!OGNQ%Ma!UaSVAohu*$M?ZSl**6EbekZ>_rZ^p%7-aVstB4a zZ}#w;j-*Z(UI!o=hgWk{D9tHXfeN2z%6LyVU0xh9z{j2D@lWuswmu|xgg zWTWe%TD-4fbX*eR{;d*?4DZBWM!?r4H3dXKr%I#b;OVx&Vvx;kpAPgpQFjS3Yn4gQuO zq9y9`L)+Mc6Q(;eTwKOiD7BylHug?I^}7II%I*e>gb-{o9n~-t>;V&$Dr%1!($tVmp6WvFy_ZpBxw7RC^Qk+#!)CqNc3|J>aGaiPgXru zPM;UW0X{MXxe>_;b8m8MEE(fWA*e-Dpmg}qjMKfObPE5k6J6~<}l&sZzNGdkIBBZ#|!;IFZqVXG%y39 zW4_3=Ym1AKiV~hnrC)?_+bihEsekFY2Lp?85e`cEgZ#u7+Bi?^5j@_-qd<<2U8``? z^8tE}w0W%V-&-YRsJ`r-*-#JMF7BFLu#?SQzKjC+I$R+41T?dGdWGiq9aont!+R)h zve(u^^ZCI)ZvF)70xo}lq3hV<|IJG)m*@639h{7E8ldUW`!&piH*3-wIn{!<+$6%0pHU9MSy~hu+HZyU?zozdYY&^CNtiW$S zTI$K-6sQOr@&fyUf!fUsuUqrp*7@v2U zg09p`K&wCTFEUBmL1wAsa#S|y_dl-bQrc0$iydi+ivwaH19@k?GGDAxU+850$D-If zuV%q+Z?K1(Br802y?P?&0_R9oK-H z@f>EWPBpTDID56s~EWs>W=v z9e^1W;n?5=uD$>Ku8H6-Y;jbk&g2(onbG%SF`I1e8IU-euo=PJWNzU!={uX9YcUz;sNUwQfa!Qz7Tu) zrl5jjgzfmsQ?-@C)uH70%AAXZf+MQa_{vuS3k80*?59ZE$4f7kHo-e&rVK>tBYydHtQClZrq%C{$0kHLIDDnu% z7Pv{4049WVtNP{>$PD?1XV3@MEw*NFeZ+6YSuMqF#=}Q7#T*qC;aBh&X=l1g0`0na zN_qR8u=z&XGSf_(bhmboQHM3A&Jz;fgdp_5#)!x7Wja5Y@*}RbM8S58b@RlGi$9X* zh#?WrvEmbgbzPTs0K?+V64ROdRRcHvC#ejV)Q>-K4MX!0<=uHc(Yo>G>4N+G*GaQK ziLthqYn1#v4qBz9mHk>jT5A;iMm;u4EGqwmx9<9cFTjX6^s%6>innMnf&&mYH#mb2OAXFI_W# zg-s)W8705yc>ri|vnyLs7e-wm!9Ek8y=>q=$sT9yiQiH>B5P`k!#u{oPthXXYItF2KLx*Bd!f#6K>eJ)&2pH!?$pi5~D$OvKkD&BdQ;)?IW{ z(D3HI1#0SjC0(>pymGErkz8HN56qQ_W zLb&pG$GUuuZ?5K{Pgq?;%bCPQ^JUv{1a1}#dyjk3R`wWp{S%f!EZX&_q5nl%?-8!S~ z{5Od+b#GNqBaJFb;dUhx2so(_}Um20ugJf#F6_{vu^m_sZGh>GRb z^37f@@0)0t5ptY3v8|kC82(JkbAaLtHOulwY$+n#(5|u~d;_e2*>y-rCfXxzG(f!q z%9KYN`ptp2>WUeVwhB%7NZEClIo~+eQcp+4*6Fa)Hro)KHxpuFBvl%I0-e1$EcA4n z#eO>%C^HYNYH$DG&(~WdNSf$rli10?l~Zd&@P>0TksFw!dgogTICW4RF7?QzfVx`y z0oiO%cA?U)H56dk*zYou-keXs`oV$xtyuKy?@5@B-XXc)!&|nnGCy;WHKKf$i64YJu} zgIyG2bN1ZdFBGJ?N}fk%d{npD=?|tiev04l2RvOZnSTGn^Y^7SrMRF$$?ZFA@lM(4 zH@51yQ3chUxUAy2Z>-RcYDnX}bfCHm;azwmTFcsJjl6K5Z4cpSIT#Ldjpa1NJ#j?@ zIyn*+|3<2>vI}B*(?C&MN;3|y7aJ8$@SB^}aN-sh$&wVL1c<3@%y?cFa#aM0 zGam#B(p>K-2Fq#<$g1joDZJqjud!enr}Q2R!E*9iMzhuAd{5Ga);JR6Jcp=aFI7sc zK50qACp<*B;6D+x^%!NmOOBAfXlCN%Tgq6eB&0;@Aty;mz}T_kikcT5H!-TTu)a}< zp}@=5`PegEER70}&`6=mULGktI(1lq(?@rQbH2 zsn!l7De7e&uvpn>Q5p@j3R!8TE)G3Pni*xwE<`CY zBvw4_&uMg-Udc>Ud6$#ny^`XV&VvUaoZ8X0^#U=moTCC8#S~GLr*Rx%IdX~f1Y~`n z!dAT4BOSV&9?mYqxEU+FH*3*%E5Nt=U7=st1{3s0OTh{@zNyZ*90!QjP#B%1zZDk- zQm2T9ZPvBpqDpFjShb|k4Wm4;0peY5$Uj~bd!WsDd{z!bJG}Gn08t<)iq&q^MbNAh zkM+0Hvh9pY`)US!`2-5quahb*_-@`Z41fK`$L^;~m*G*YSoMkbiz=gqO|qkw<&M%pV4kU{!5@;d&L} z2ozV_^gMaX8ZmOF6Ereq5Y*uQLKOk)E0)eOChfZidA8A#`ClrH zWRQz9v~#OlrymR-A1r7`V^wbFMnE+B>tEJu45;J z0OtEeHkJ#!qzg{Q4_LUDxNB7Uj$odymp_5U#aqLar+rRB@#J-~{xKO;chLIT8p8d1 z*hejNWYe$)Vy(H+i{7sM3*HSRo|*k9|>goKs| z3cl-qaAIK{txY(xQxzjNi&$e<*_FL}?fmdvAKNhy$X3fSMHq1HD~QEvUpcVcCx)w8 z-WC)BQn=DT<0PXNc_lM~qw8o0CMG&A`ujByv9~qN+^YN*=~W<>ypgc$hak8(J$Z*wTlLL#)xg_wcx#pEYB}=bOkSbwc>KCFiRbu zLjuT29=L}eTRPEmq5<^Cc7`*ZTX7xpr_cE}TGM`JJo8i7XzeV*B-Bd1jwk#7P{8L* zYX4DcqgArFoeiqpa$s$vWlX#)mii1S3n{x`=eJ|iX03Sparch60rNt#17{#*seT-B zQaZV|IavNBOSWj_9M>@1H6qyUca@v>`WGgPix~=-@7h#H+?{Ni1tU%@fCr~m0^Vi* z_@V7S))16bAR6`2;4N!sCxTUZQi2rz=MS%nhO)|%3WcB^zdpL{(Z>UVQQzolgzgas ziODLySt)^C_a9NYXHSi2goKV7UMBQn*@pK+=$VyrzJ+!!nDkW;o13@_)x(IqhR~J3 zuslI4->(CTe&MW>AM_BqR_K-GyaotAA}2{WfDuV*yfh!yUdBH2C2w%*8YJrv(8MR9 zu)=%ji=!^c?F0!*np80E-r4L;Q6uW5QRZSNoa!8|3NR-U(wp5aYH?gS9k!<*GqCf( z)mH)9`-6Mw)w5;8^AY5aC`A)IY0~#N*34AyKF(+mYxYaX&pYvv0|w(h-OiR*5uIAG zbkG+&$V1W+g6f9wH&0?K9-|NCe^E2k=zmbNfbV@teH#WHW}X~O2Etu7r2XhN192&; z^$}O{DNxlTyZ0N|6&mQ*YufjLDshFbOjv}Jj?Sa9Gy0mMF)LhISZ!)(S>~7oMnANg z)Vi#z6#B8QVe3RXZJ^b)yrx}rxo>Lov(xkaj9o$wkBvBi?QfBj@qYT=A&0XvT=#kx zw_^6<-~P~EN;*j0H0z3f|K&wTU6o@RVe@IV*|f@SeElt`Zs2R z^J9emIWwQa|E11)871in)Y$)gzWlibo{VfhS7aD(ri%kyzjyih-3aurYaZ~@u61zJ zZf=9PBQY(JxuUR2+;nMsUiy!=&YXvw60pT%=;7}6#Fn?VlZ=9Sl2$4IZ?E^)Rbw51 zOud!8*ed9T|J{)!nggL{_5Y~sld28J6j%2{(tp7-_@&A=wlx+C7?)z4Pt*)3z?QWCEm6q#)aFW0bGUJ(Mbyfr8q_Y*=DA7juzAEB;14q{QRr9(UA#JKj~UAk z(H0URg=%z`2k(h;Fil1zMV-|0;&Kz7=>ED7hOa`|251c8r`S3^E>9ZvCx9zey}zOw zd|Fo9uPwli-%y^wE(H{Nkez2<@&VRSx(iGAi5C`$Q2!4O4gL-5v0HlMFJ6eKANGQm z2eckW(O~;@*kC(b=pcQAxV`5Z`mO)-15m0Ps+=f;PoZDXe?mPpZEp_<3yYE&?5$sjv|f>hq>!AIx`evzcy1mJpmjkN(%9T8vul3IBg7 zG@Z)-8wyP?(R=jn{~beXjWPL}UwHg1tX8Ffg%9K+h|ia*OQ}@7FtNkrsVMF?*^@0iQ^+WHhtD2ZOV=$qd&$FDkJc^e zRK|IjtMBznOhwhBgXn1CFC5^GfW)dorkM}`oPihAk7-~L)0(*sK z>ZOdhp165oZYs=9wpZ~+4 zEv!K!ymgBNeIl-GaK-8MR4#gz$VpBqozz; zUQKDH7iMV5*1}jTZ|;$%#!YyMX!hD0w7U35u*VfWjjV9f@+OA^^*Z|lPim5%HB8)3 z#zd&M|3fk*J%fOu5J#q-%RUX^6CHZm6c^mIXt9z+P}2*dg-FOyB_1P#G+Qhza@ zqivq_&(7d25$a0K2jndU4v5XnFCmj8ojURny+jkC{}rt${h&p+`c`b#$W`C4s}0r0 zhpkmht=&+e=AJ)w&owP@4<*GudKaGdm_G6KE|WX%3adNIH?}zE=L{<@u*PPvikWdh z#3DtE__7@6)9OU}qt$soYlafPWNDrhmoF{mo#{F-8>ZdC3*3&lJ+0qmb67e`uOws) z0{la=R=`oxy5n5XC&#?R1lQUSRtS&ak#W*QW2tBYdif0S4!uL7iGH9|?Uu*Oq1%XC z-eyVX-WcxK_w-8Dg;Xuh_q=BalUxo%^!Y;D(w`8DuYO4KD!T$jhptKTjlN&)?McBW z5WAzw+^N1nYlt$vP|F&oLSnv}fP-_GtU#gdnuCM$VsF%42TK%czwDxtN=^7**dlhO zd3HTY>MnPGn8 zm;!)%aK*z!xcuWKpseT&Z0*=Kjio=+B2aY!ZvOw0JOfkxFL~zh|3RMBa{M*oOE~+7 z6B`*_{_SU72*hBKXIG z;ihFpaEpuQ5wwlgHB7{EfZQ&+`rmXnHrIU^1hLJPBCYJw=B;wmawf9%s4mj&6l*>@wEqBSQse)y zXZad?i=MYFCBNueWVtatUp0NQ6vxwlst6zqc3TwK8FlaRkcJ|LyxWJPr+LP$S%8%j7&CV1C)6>D@BbAAmj8 z{QkVBS#3{PNh{hycXW5fvudt$dQiELRqUpb!E!OgnCCxc9c%^MrDQMpmpF^7x1Q4o z7MMb6GZ$d}>D?Hh`L|*Ieg+h-IHQjDIUj-cb)GbMU*j-DA`ujZM0zF{iEIcyA#jLB zT>*)r^A>SME)7LA`&?hpP;jyEesJ~Y)~8%qm=$hEd(^p)*-hiTPTl@JO{e!<9G^A! zwYm*?jU*1PvsFB@!1PpnpG*@)oWv-&5t%4Pj077q^`f`LU^FHDA~&_hM70Yc+6;`? z5cN5AOm*c?ajd88UyF)Pjxztpf!!}IBE3?h^b#8CU+YKVn;0W`$cusZ+-B^VV|1D) z1(;c4Cmbnos=p3?LP6;vkFm}{>LK%^BKY1x>%~D(ibJFBlN#hF?@A#ROSKaT2>E6I zpf=fGP0dLwDfOp!N1_rXUDuBu8nv}HriICQlq5}cO(x=3X3bo=5ym=X17G%~G9!Rm zls})cx7;dC9IT3z&hBl`s>#QVz`glEVabmL0cJ;m@`cn?VTT89)lu*uz)a>pfEf=6 zFl+q}U`7Z6%=SwE0nA3GK!BObe*rVye*m+6`+otm6L=6{hGC^g@GoGtkT;cUs$}l) z`Tf^C2r%<;C5H6$^!f)dL;M#oTh{moFk>$B9C&H(1OaAT&ei_`%o_gz%oP6vn0@(w z0JDg~e*v?^{{UvcE_i1D2Vgd$@-JZaQuTiTX5=hA-fDzO)w&}Hxtp14=26(Rw5_no znwtdkmeV0-iP$oSbQRSayV~7uCubAy6PqImYY77ea{@AJt=AsV*4OjPx%z)9=Gi{d zG|!mpm|G{9XgqyvWgW)8S>3HG*4OdiVE%586paw?dytV_Fj4{!Ksk*8d`F~#nM$D? zk*O!pRRDOTRkm}DVRJ8V&5UuyqzSNwCRfM}lQw9iUtJ1Hd$~=`^)5l8KDA=gA4H5- z@^J>HX$EIwYAlV0EN@k&rnRpRyvUd$w91##m2iLX*D=)+jZ1tKC-VrL2P85-=E zwKFj;AT`W6RQ?$ve;!YT+7GrcMK)J#@s*K@Xcpdv@m+}g3DOZ#Jh4Q63uXE0% zs`u0=CVc5o3^+u|Y-rBf*oGf%ecS%RLc>dR!mP6?P}@T99-e=97}qSAR=HvaVzni5 zQX|ZXn*%(sEpbg2v^t_rQ(Jk0(+S(MZpBE3Cq%`Uml>HFQY^8l;PmuIn`ualorpdm z*#7qT>VY!qGEr^ko45RZ_`J+oX%qFUW3RAK-w|XF%AY+5hh&#pv>sC^tWGkb+`{!z zAInX4uFlxpJQT{6t!I8qcXR-UrvC@1a)_DQ^s_yZUvZ% zB_b25>Cte?dk3wHHw@Fo_3K}qe=A<|TigWv8P3w4d20Ib1KX$>^Lx}i20on_OYWZR zj6jqJ!}L6!7;7>Lj8=}n0@&nYQpWk(A5ei5#fQ{KT#H4!t8luDU)j7thF&=0M6!5i z7SM^-U@L_8q9ue?)bv5-#-gpuj33x|__(7XQz@oma5Lb4YFyJjn+>M;uX>ZH=NcJA z$V4pLSxy$YF}11}FZ+=gRS~cl9T7P5m2|wd=eE&0&2sZzCNL={sB7Rr(zhz+rP|DG zo(w>NE_G!_)tbAd<;=MX$81=7Qw`Fm+R^@RH#i^mJO#a5#3BpfoyR$FgAS>BYf z<0(G#=V*2Nz64s?bpEZ3$RK}-SSq4-jw6C&Jy*qkUWb~*n&s^Q1(sIp0Py1%&lFsY$O9nyLBM5T9DILkO z#)GvQw?%aIx&|_S1ejIP4Rj>wbH9Vh<)hU_}U|vQy9ri!#;25pXrPJt&%`A39K* ztmWuCVMpsNxF?dLcTf?v$k`+5`)GB5Kn?{z2I~r_0)Rou7bB)(At>}$qqG34`ixJi ztu<6Py4aV4Y!-EuV|9_M=1i$g&H~g|L{aCwWWQEYRfxEW$1h(GdbS4>Pvsl|0!@+_2RFn$CTTO9Z6@GPt{n=7O`iU9<+95Dp8?CG2& zE#24O_)Sr5^&d_z_FcmYxA^QB1aFQ`gqcWP}pjRQ!-Is2$w){!?2n!iO zhGJFbarqlBuv3br>+@HpvICSKTy^YYtyU#scB{nHIA;&vn1d5;4l3D6=b9x9Dy=?R z@Zo8iqV-c`lqqN9;Gi^6z7?eZ?bZtf+mr6ex-5iuLXb<$DYg|RYvxnl)yEc$0Z8j&ZM2?jANZdrQd zVQ?gn9|A0xN=+s{hKW228mHJUY%Dm_z}95uVy2m<|C4kqkw%-Mbx~%(=5i*DE>Qiy ze}zIR@u6(qz=@~#6gu+HD)XjV(dLA4rtqLh2jZ>fn6YZ{gs35++bao~X3D9HX7{CS|jo8ylLV^6@bCR7xuEwTs9hYsSt zts1o2%ypB{bToPgE8)=kY#dhB3|82smg6vWQT4Wifemck0Uwlk0Iq?PcNWwdD4t+0 z9lgTBQ6Ww3p5hp@-%YpfVyD&k>4i0)WOiU@jos)|L8f#;rt9zI1$lD*X+fyzkn~ze zFvx7Quo;|899~r29ZCqu`1&@1I($#*X0_XwEYr<7RVG5LxCeCxfMF?)I(CIfa5CI z`gItd`IKx7qG>MiPThq${a&5E5L3v{Mjq2Nz-f#pi~iQao~eRQgRcUC3fcinx&g4L z_NA^&(mZCsG>RezzK7#j_#e<6KE8o0KnNqwj?4wzPqm}ybLseul?C2nbLK()!#c)z9;xx6Gws``}+$*z*H;Nr3pGkNC;jolJ<`$&R zrR(=%m$_pm8@w29@+>bJ7t~^fM@QwTx8vc2l;BY%V z)&Fd(TEE=xH8T?QN~{zlsG}muECt9^!O359b^J(aGr+>u!^g4EI*g#3AY>aZSN$)u z)F3oM$W_HI9a~kFPH_KY-LcfRS@Xbzd3*axge2edXHgejaL-tJG`n#AwvL{7N-LMd zDigvSG*K*MHe_0WF#H`jSZWVb1(P>8Fu7&jM}pmVZc<}&Dz7zNsHHigDt@4Ns`SBZ zTdqQ}rRG9gHGW`aP`MD$j#BQZ!L0vh;@XRe2>&xsr+RC*)az+= zdIP0?Tf%o^Evcgw!LG>C{M5dAlzInmh^_2R5}nWz@L-pUt-KsyY`4Vg%4DNi$5!-v(TNQWRH)0wy!o_~K$JFMOvn*0xsuKQbp4 zpB9KCXPD6B2U^NETmxLDS^(ZZ$QI%;`Mh#wmh!@WuBjDO3r)DTN;4^W5BaJxl%$>9 zIA;&qsquy_*n8A{P83AuI=gdRzl||z<=r>oYQAg8Lic{+U&!S!!YRVZV46HAg>?~i zv@6WMSVY>@k@UgaxfqiVXg6j5WYu}lZa0s2D^`0h7G6Nx8fE-B(QNrG>k%~Osio+>X1BFq zUoDq<4{Dbazzq5GMa*r~HqMmq%6PTdY*a{9_#$!oBxB+*l(0f2(%o$d>=?FId|fWI z_iU|{nI@zvcsaMUM{FQ;X&t{;cerh88s|;vw?T2eXEj7{GF!)gkT+BRV;DKe_BgYD z_V!7zx)foOA}*Tj>88WXyko^*T2Y9Z@?uF4n_m^*SRCM4q zQ(<|>LsOyFL_hJ3)4}WeUL2Z2nfaJYYw(d5{EVY>y z=5k5*h)n%PvKcgtBzV5v!qtB!YW}+cl;UhxR*{SSb*1>tB|=3FIU|hL3^{bJ>#u`q z7O$C>(y{a7UMAK@?WSq#CMpk{duVP2T*G8D^3`kgFVWlohpk!vA!?wnPTCt-`Jm@W@cl z#nJW;o`Q0Ryv{yhhxIObO0`eoUiWwZ8bAD7_e8}73O+*~+m2*R6iLsT|MMXu2Tjf> zE#wyVx6etzv4EEM^iU2uPGfFM{x7DZVl@DQi5kL_`%41gtafcUvFX$|v; zHgv>^XU)})hc5Y(lrg9P(5_dZxXpZ3pRcrCcHqUdI;2!@sVl70Q%e*`e06eN&{upY zly|qMBEtOQv>c!8x5%Pvf4>*&*R>p91_xA^V^|^*`bBMNP$ijeGgr!P4bJJL+box6 zxm8*)RqIUt-&upIC6-F25$vv_TzU{*nuLpSCi`*Dk+- zY^IgKXz_m`124WsM&S^q4%c^@`;b>?q9h4dVJ9le^_%apk@GvkLr21-21ix-x&}{( zhw=p1q(aB7gu#dlg+51pQM1?C0dd;|-^y~zAnyt^#=23DpSrt7G3Kwq(as}GRmr?q zms2-G63`Tg^A{z}tk$1=*vZ9zj?+{NWyUj*v-?ny1WK4aO^k8uEI0-HDo-aRl1-$@ zH0B3T#f1f(sC;w?uJn7;5yF-2J>Dpae;d6j^?=MkBVcd_9V=s%?X7IVJu6qh%8xFU zLZD@!aU~xWMxZcETAE|v+gO-6)ckae#&m%oQaYq~i9nI-`z?u%zpNPJpkK%Bb+f=F zJAh$6VWkg;eNi^AeewDFPSn}@DmBbMg?0>iIe~)K!@1-(Fb694cK~Swie3zb1Q|Oc z+34L@vkac_E>W`#MEH3yI{0kLU|p=`%&^+{SN~<^-golhC%x4mS_`>`Uwd}EmSwy0KEPuw zsRCb)!Q&qDzPSQ)Xi{ZKmT_8sYDor~9%aJjTYSS2FE~I|;MEP1n=fg`pqAqWt!(KI z#DW8F2QdJd=%#J%Z4EH>RI|8jgP2at8E7aU&7HPl0H$fm_iA%_f*7=`SEQ`Cwhbz9*mcFnESwyjx0=pqiVqoQwlLsvR)TP*>8B*Rz9 zPrg)sM(!pzj4D0iUEVl%I$&^>H~iD``trZ*<$H6Fcdc>tW945gsqIonm_p%DQmh*E z5G0%+<#{o58-0YP0kKy+ETQOpCVN;P^Sn^c14|RXXPpd7Kb*s#SarBqU`8Ci5(q3 zmPOxrFF?L@w-UYM*v;R?_(gMDd&%@lW`EunJHd_ZFBO~@#s2ayl7-iV(8=y zF#m%>EczKlBVzJH$DVY+s5bbUgEESk#T%p9$c&gpsl?%`O@2-{R?w0#pEn&$wXgGo zD#G&5OG5zFo#(QYBO!OZaP~UFvUqv?W)|s)(;lJ&Y_m(`rGf*Dmg=a9+* zv(BBrAI^L4w|+rn17<4r8L{-|=Z4yV7F~N}3gCBeytNr^1kL9hD7l#ybU?D~RU3NE z&3#>)brPO))?e}7Vb*0I$`_`v#zVEat4rHCgN%#zjMrJa?sxac(c_OvAR3TH?RHn#A^`NFwuPFP&ATg zR|Wb;bO;ibn32%WJM5%v!2ZOwD7Bu~E7IeYM&`&d(`>a_vL3h%2LV7RIi~FEBQ9)RZ zMyrF41Qqu58Ti=S{uuwWr$SCiNl77^yVUYVX&Eu|kD*ZR&$Ri^mAN4-21?sj+wGGV z;a*;LYUu(LM5nt4ds4p3@y&kv|K8?VHFbA;t$tl|@lwamTRF^+0QYKFppa+}>GkLa%K(rl_ky0VtxpO)d0<+BJ%kzN zCiRPzerhbKq49sPp_4w0l-g`MmL4uv-;&$vrZMy41~m1<6JYUE(B5w-P(Nx}Z1eFq z{KYG4<*V==$cNBNSr`q&FJe18-PM?-vir5*ZMg@yoYN})=(k+rTU+NT5`Otk2z+!o z-kK@&7Fl!^OP8oW+xqm51ElmK>Ku4)*TwnWZ!>r)Vbt;2_jjE`IkUCIs}s(PN(+fl z)I;$ocU$Tx_j#IhA?+O~Z1d*7RO+%anxk97UAAP&mbquiwhB)8`zCPG_wg&#_;5F9SK5FvFKPK7@&Xm2nrZ)&@Uy8$7HqR_Y^YKpX(>531 z&2K+u$+~R%Zy_71ENoR@de;N5FuSh0PYv5D7f0$=AJtaQy-n(_DpA{Y+^ZGFJx(3+ zygbVcCo0o@i^{A;+9wp$y|cT&IbZZquS;Phht-BIHovga=yiY}ptnZhT5r_%97es{E|qmm2pBkre@qMTNb+usLdD__|0V{_iy<+rLg6~H$xT~cTJ z5x|~ERi^1p(Ld`<@jtJY@gPxKw!Q(drnn0=$dRLEm_)L770Ns~%hNFd#44VgHy2e) zmT`AKd1UfS!7sq=_2QWyC+-gM!UAR6-9j%Y>Bqb6%Avxe;8D>n_UT1G(vRd7N04jq zLN3-`v_f8DSPgt&xmxz#GGRMk9gWy8ZgXo16j-vh5YZe z{2TV&z~}p+W&a-wYf|%(VY<1o%Em&nE0&4vp&>Un&B}&;ZB#fDax-8ttI)CtAvGed zuh6XC>Cv`=Fqde~z?rOuR^K(H-q@35oyg^kp=z3I8xxzE&BZqJ=tvxnD$>(^l_xsE zb}T;>5#*Vue5T$%wof`wTyKD_m2~g3=9e(4f9TKK(`rgR%j5DJV=tYN(Piz-_e@FV z$26Mu4ZU#-Y#HXq8#TR4CZ+G4>4@JICYT=CPr{igb-eh>GKZmmYc3RI$&6`0RE8#%%2=u7U%qfYQk(mvy@70 zpf*a8dzk}?>e~y;zZnZkWkaoYbrjsTU4Ld>YU#Go!m;Vm5$rf?~xT2vx;o+wHC<9%=@u+ z>1f8}viIm8jUgH}78Kfi|u!=%u!3>DiXlQ=Oc>U^;$YEfhE zB>6i=+Bk{4PioGXps&?v@O;YM?@!1J?JndsPC6;{4#g9%6#x#K_0>Bw?bP9l37ZlY z2MI4-BWuQ7kr!gw9sV9hfu=fy$v4_piz>AT;TJ}^9d`da(G&zZ-xIlQ&78Xfyic-c zDof}lnZPRDyWv`t$-9cfs6V7e^{GoHnS#E^LotO;FK99$twytck_2kIYapPb>z;C` z9LerYLlt3WY<6hsM`omc$ic1bw$3VzOKo(6ypl%U6gl$%yU;;6hf4>-AlW#+<5s;(XHi+0SD zGgXlbR*)mNg2LnYXp_ioZwtQ3u4mOO4J6aQ%G_TkpYAPS+tV`=WuETQd{K=6w|)OR z`Ld+7$7YPZvMsgFUd<#k&qgo#L8!{E^mRd9yR2LQZL=+xyMYi$3nBmO0&mC zvxiX)H@ab2x~zOud(EA0MWnjUMAKlj2AHN3D_c={TTn4kRai|eQ8wAnd99j@rqP8{ z;x!&j&c0+rjAf%tw8x^_|Ai0SaR5TDN+E|%GQ6Z&6V)fpT$eu$it@*B%`zcjTz7w7 z1zIx+U%dWKFrRCSnSIAe()Jz1EXo=eyZ6P~tyCs5yV_$L7nJ9SygnM^W*jn2GS`l< zt+m19!y?|lI>Ie^`%r9+5A~3@z(>+hNJno*3H6|#Vh7*KDxi`jh;6}zBvb22KV>5q zp%W|iU-?>H+fG+(zttuJ9OQT$IGj)6Faf@DQ^Gi;M#eI+#hg_)#mq;ElZ2-f29&|s zolvG32VY;3oF_67tO5IR{2?1GM*2k0xS%yi16-F6GxxH2l5o$yZa7VX1}b@w-KY5N6jzlS_q`>&2(w zI?+Uv%&af|v-b>wH6tXhxzS(+)c&c{VtV0~L55<4I z#!6vHwp9o~g?4sK>Q{k-#}`bAW@jb6yK+dhp+C0t&7YKZ_9RMTnodeomyaQH--ho zF08@`RU}t$&!$!PWDqnq+*e{cRnJSsfxno z$Q%$8&8{KJ_rv*AUOy#rXb(8Ry8j*gU9|F)cGa$V3hf}H=^27rNT$gIuSQs=$qL)0 zmmq6751puf3LR|la*EM-3ast|XKNxmjJh>XZ53Gh=Gb5B576f^#B zs8-q~xcYl5Uro>&;j(l~K)MBvm4c_WMc{JEf7xVHJq1}P%@VA*Xm)=vVX~aMbH(N|nngj6%o{$3kQal9~*?Ra3N()Z55T)wInnyM;9 zs;5e0p6KEPTuhtYn@~?eeGblKM9vs*3iuyX;Pr>xn7Wvi13xr#oVEr&;sli(su^6E zrkw($ajBXZyn>a1$~e~<2Twr(rPi8YsUFOk)1^D#ba;lUxUR^mpAJQ9bpIocYzDB- zDD)X(;hKZ{&B%yT@|3tOucmJzsFELy{$QaAOv!=>xi^nx1MI8e3Rq zsXVZms~K0|(WaIWYfC#d!iA;zuk`Hf0M^j~AH{DbrFv&&@S9kgKrqWsXq5Pw zC%|fMu8dvFX%4P7xjd3?jtlP0|9WwC_0%ZtIjNL+_=B&W`hh`G6nLm;m;I}BcZVnU zTGv6#!8|cl_#%vStq$fL@optps!T&_k%Tr$tjHFElF8-4c|P%5PNRtgmKBV$JuqRri?#3!mfMd?aapp}-9QrrNc%3e{F6lSW(=O77_~eT|YWa$OY_rpbXuIaA z_K(i!EY&=fU-%=mHhAozJFht;v?2>-z5Z-*#2ISnqmPzYM##8J8hpKB{5})eu4b@Lb!jl~a@lVL38^Z0gmL#Ha>{M*6Vf<13O6>i-B!L+ zGxVko=TZCM?0lc>vS5$rLIN(Lp-R%=GjX_H;#q|pv|W)sb)Hi$jITYI(25R5K_zHUNHv8Iou!M07b)eg^4xxqPP!g zPMD&37(~{$?|m%(M^rtA&06WcgHwhaGofLaF7O4-T9X#_X)2$BA+|?5SjeP#v_DoL6_$zCv^NXJK*yd~U%(CEs|MuRl;kgo?v^c1N6o{cBjo7{sl9%Yp_S!Jc;yKi{6b{5s`@b`p_G zL;>>iy^r9p8Rzwne?7>tER&uU5gQVtVL*TMq3XyowGtuDU@3QaSRXH@R%QC^UN+Y0 zhulP<&qA;V_ys~63WLS;-Pt;D@bud`JL&+AcC0{d?V8RfsmK~+Rii5s=9&1hol>eZ zN)h6#1+Ii0b67|?76eug(SUwrC=|nlVmqppZDK#a_l_+if4_jR$=QDHURxUzqx7`b z>&=(7>W9|lob9|zmQN171~@EF-Y>#3d-Y?mzHc8{mm6Yh`@5e0Z(k$3^+-AqdReF* zF1Q%1IR1X*ZX^|dyvDyOYB|4OIQ#ofWN5Vh-I-Zg3@75pJH}#w+wdL!D(y{`Y+=yS z$&ohI9pmaM)8+ry!_)8Ybz8!PU5fu!}=mq++5&smkxVFy!X3LuZV*%zx_xC zx9L>HH?xJt)9z%ivMNJcXzp7#ysW5Zz=b}{9ZYP zow)u#_LC_{h@N-G0)y-KZZP)WuJ>-PC;5&x;1fj|c=arAE+*X9IM;|3mg<6u?T|hG zet6qMZNdloo6`P?MS=B8Eey}2y7by%g1}8r6-@!a_79P*Ko*>~Gi;A?T5H+?Nsm1U zK(&$;I+}lA`qpj|#IOkg>3{BC)u0yoyfTG)42-=y7=yoph%dpRQvX62zUq5rs789R zvVN2b{4uxsyE+%=gM0UQwr~rNN;sb&VVg$5IJkw?KcMea@FjRPOOONfd~@^g6z>Nt z&|!OOi{5gbOZH{M-nDhMmHQiYp6bWuy?Q-Bp#i0f06~@ex#Hnm*bme71-^L_T$oP`t!}|@;$$Q@a*mD zYrOmH3)0=g5N`=^vwYykjy)t20A7653#WGd)2Z#wcF|At`jlb zo`F`!$jw=^=20ni8_;RfpY^L1xS+K8=?)?GaKt2 z(no1@Jr}o3`?u5H-n)Fd4DyWp1Enf34uw&Cki$oXQS_n1Kh@ER4)z{KwPg(XBGrUo z@8gs`J~Xv7FdUoOn(Q4-sH1jYyg%<<5Xn<$UDPXGk<9#kM4VF5h_U8?%ZRGNGu|lt z9&r7T%Jj7UmdLe99l-661XVo{|9Z5diwz*~_j~_%OZYJhCp_9 zGg+IAHO5u}?+b&1Uh`k5Pd#rRF8IeEFHv3UH)2Y1d|kqQ(vt%H+G$J_`~_EBxPM;P zCs*Uf5C;@}Ac_6_d;dNK95ftfcCmWY>_f;m)tfh(L*y}BKS4aRT3jf&7lYxpY;KMJ{3EhJaFTl3c^}obQuISGK9zb;{9Mo z4a=1aHaVK#>3@hZ*L3R^^nZN_IZ{8e{4p;&_S^`Gh(gTj1yON_pCPnkHR%nRh7&o| z3+1kMljoaQrwz+!hmZy9>NW`w6#8mVx9ruQMhzjK25H=c0+DPEk--SvXNC_^ZGaCk z8G>(I&PNHsjm!Z71P<#y9=X`yfAM^Kna0Osv;$^Lihg~}I7BnbPd6d{;d8bK6=xb9 zx8n;7*&G!V!_3|}c1~_A-mH#p#KRiHfMBRdg$(ih3?7K^(!%`CmY%nsKlXp!oHRFY zy!e=|8*VY)O5ut`96|2aq0Hf{RycdO>u`!)0jP?yRgT z6?i%EwNZKepP+aWqoH~0Q6SvYA$LgY&kW-(yK#1IhRW>LA~}36i;Oda3LVu|Re0$u zX{}mTw*CB!Y1F^)J95E7)|HX=En&fQWCaBrJiv~78za!`{lf9`^!E1e@RvufA%=ld zXMhPeS(PY&q+FjD-1Y=y?vzU!>rs;Q`n!fDVqdgMC-k}z!^l!Ax#bxNAby`|5On){ zlSJIY^m}jRXvKkh*mldeq*Y~;DNX3oJmE3vkl|&n=`>DgA}a8(`BGrVL_j>S8$as2 zy9fKZ3LE;8*1TnEzpEL-8md$Ap+%MIWHDH{eY`=VRxHjQyCK2bb# z1byuL1>}pl*a%0g&pP9k3n=n*2uvf(tv`c$0WueW5tLR|Urhz`R0w137(NnCHVYTJ z`iqYQMm@Xcxv_U~j8M-ZAB4}ck;r7W|2_uiavZ;QjWE2R`S4d_2DmV!lRu$9n+#Cl zZ4{wJ`MA+5fGNxC!TG~?q)e|M)kC?Jwx(q+hgyZ8WpMb{*xBtB_zTWkv1(Lhl$?!F zrnvxVt75CASwhL5CB;_!!&Tfh;_*k(raO}kNA*g*?`XceK|(@rZx0{02hhY#eEInc zrrgkvN3V~ZpA^v^e=rQ>8vIDbNb8)@L}_rX{vL2(gbmG!wN}Oy){N@Mb_(^eQ0tGJ zx0wuvE!<5#PWH<2O=*Yo5sAM%wLUFfV9Rj`;SOTcnl{|y0D`#5&ks&;N3Gcj?=V{{d}&qDZV{1~glqHh{F`|8 zxuO=Cdc^r5S1u;KKJSs)u`cP)>eahtZ@Q&|f)Y|W4dmVW#h{}HsO~7d7Qt9oy-kby zj&_@YsEr)ZLx|?|94GPDiI?*9To%0p2iEbY$5~*2+gV}#Y!~+L4(#7%*#t!Q7TF>| zD3;=*#})wMfib1njaexC9dnfyUwdC8f)A)K@C^OQ?98oSjjivzk22G1buf*!!y#(j9!S*r5eT=&{LrV%6b|SFO=F&*>7*G|AuP3KTg80v3hO? zvKSsvn z0BLIgn<=!^wm} z`ig}GUeyTK2#Q(B2od@d>Xvx$<1E!Q_3U-`n>kV)Ek5*c9;EU)KKKv$#Zr=)3d5s| zVR)9_dF%fEwEodso|nEv#$se2d_)#(bD_)lg}W5TY$VYBx34+}q1LgH4s4SPzG<1$ zfpYAfBUyl?l4##M@or1FIrJw-i0PZ|)jZc(MtXo$658 z6!%$rwdWa^zVk_X=5RnMB@5C`-7?iY4gM2VGO}^P&-!93yJH~>)6DeSK!oD~U3|%O zZUdznV)g?EY|UZLvTV^7iEIXgL`o|4v;lD7mUgM66Kx6R`BXOD??&ACk-zY&{OJkQ zfND~p@VcKG<5srH1iO3+Fk)vKOsr>IpSJQ)8@@ zOp|y@{d}kF%3Gyih2?Ra^))$ANpJa!MjMv6ps9M{WL4?c*HG8og0y2BdqEwGX;mk6 z;>za=+O=I*lNO(`4$IO%Ryl(Arh>8-SLm1vt{i#^3CeVIk*Xft%UKJkJw^P~b)Pg= z*nK0szNKCe8Kr|FcLO0WY`!#i zG8+*il5)wjppt^j!(`6>IW`h5Lbga(Z8xF`>GU#&oy?^ah{ti>3fKCjd~&`;0ym~| zI4*+DOGU6w1g)(Vi*Ay+~y-xfY?Ru@L6(%b0d20}!cH*7yp&W`f-y7M^eB``ZK(x2+#RD|h!c`45)vg92eu;qJn$mjH z(-OgTT}zk-)7HBbHZi$Gs1~YR8Idc-)RvhDGT-D$GOQ?CSQhxWQmt4yGWw^CZ`>a2 zb7wWtQ^}K2s+h-XLd@u`@FkZvTs=EHsOy6xHmY*anZnaRyqdV?K0pg2IqfuOVmmBT zxOeL6#!95j6df7$%DSPIDa!T!?JKx|E2JvnUS4APChm1l}mKr^o&b(1M$@J4h=+MwM}u zOfkTxDz*)Fde3at-=DjyGNY$c?vd%Zd$Y)nsOtL8#+vOdM5$tM{`+?H_WAbU5A-+;EQ>CWAWc5q-^d&{yF86;O^RyW2@kldTdOI}KzK8dIw~z;8r6Gk}(d38i>B zGZHgUiwgR+gVglam^TdE53~)w8$D^ig$4K1z|EYXYqjOq<6|f1eZ#laM5Q<%*Zp7$ zc(k6lN$G@JA5^Pfou9~qH67c2QI3HaJ&^lIO{`q~{m&IkLr9G`ZwFgf3B|D#lnV+^#@S`PDK zcuQyofVevff2LL>37H&qAkqx~k6Q?YcAojcF-(yE-?MYDgsjbeU^Eo!&_~o0;EiCz zu#_jk1_+LU_(_j%gJNn05jMaAc;*0$X%C$+3>KdN$j&b7O*^XKM&c$c_A&k=xpHyv7XSoZ;@ z&dF7n$rDU#<+rE|@-fgd?TF@K6nOdpepI!+rk=rcEDc!d)SbyoY-6ApiQX;FZm_yw z<}!)PW10crSyQdj|vVxgAxzQl6*S8mr06g|k zUE{l1!c$_4`L~ z002I&8GB|r<%Y)oaQ;ngFj|2jAb`msL}NZl6^KRn_mEqg-bqqy0HA*)EYaB11w%T} z*mUpzwL6E}L;7EXlU5ClPJIr>m+P6$$pPzko$VSCXMS+YhzucaKafns;X^wvp?odC zgORClh7oyp4FX(9fb<1Eg8PDr%FW%*fXLnEjEl={1Q{HAf#eWlcDyLrukcu9W=w($ z01%^$I`)r@1PVy|osBtoy(kJbWUI0IO?Z(I2`R>HM2iUAj3>s&`8e+P^{+TRBRJ<> zK~D2Miki(L{aVh1A;^YxfW!j;S`WxV=@IIdk-53i$v_|&LI6No|2;T1mU_Rr_RQ@A zuF%+2Z_Wo7sR_`~h=})ug9ct%cfg^M=&J>V`J?{himf#q0YTSe%GYh%yx>^WfR8s; zqv4Za$LFV7@iX*)+=2@%X1a1|Xr%hLXf|$TfHux#g+AvDhv~C0f%~fEj>gR$JH-se z%_#?a?FK#A5wvrl-UN{VPoM`6<7?Q^mr}ZJ7Q6V;ET*BCU_pMPgX)|2 z_Z;t+^cmiXLLxJ+ttM?Q{{r%+jekPW)?h(+lCL1GLzG&9DYgy5h-(dsy=cs1a$z&> zG&Y6)+R%v23IbKBbpKk9{$%L)AMY6c&2b%rdpZ*r^_NKi0KvFl<>v8VTCru=)L;nK zzYK#WHX0qC8iHc&mZoj0t2?jFz*JDWH}S&}WG5c4WS4<;LA9vaJVPcZHdc-?Sfiow zgw_TE%qjT-R2wet6oUSdvj1j0;EH?;Yu>N~*$EYE(vRRXR+@}^8r7uO?ZPfymoS&6 zPH=RXGJ|7lhv(AT7d*&QW-;wPaj+LgXS7P_@q<8${~87&WebC;P*()EHavR|&gz2S z#$b-e6-9%&9QF0NOe8jz3ArkoC@8p=)G#b4I5zh=5klaW0&?cVj}IPR&#?h}h~aX_ zxOg$g^5c*YfVN8y@$21inE@5P9NniV92JiHz0Aw5)bwn}7#XdtCNMdF$Jhm8bfg6a zk-+V=A$Q>1)wI7K&ge5C0Nv4Tc?=X zW(dL8B&(sPA8K7F;(W5_{a2T%xW9h{vNy2P!Sa_Pl>NfdmL^% z)-DkF&dFRru_2n}yE zdO$Je+4Iiv|LnC6@PY!eZQ{#pRz&05U}4}10z1(lG!{xbr*EjIt5Cg6Ce1&cFOx4a zGI&54+j)K~L<&N_4+=(=KtSV$goj_p(E1BzFyb@d%qzE7l$IBy$+;a6#7IC0fP(7@ z9W*6@s|y^2Ur8zWZ4?~L#0$~|+m^yP2vE&FW*zMqL;njqfa~}|sxRz!mcR$|PiCUv z9kN$KLI5HJ^(2J$#RP;l^%R7_G#O-rP6p)cQf_dt0!4Fl0P3`=sLy5}YaOWvUn(QS zet-Wmq-Z`aA>crChZ-!C{6;WS!gp91c|o%Z{r&x#Y&&tPQsA3|3*xxI;pWj+W#Q(! z+Q0Vq;}8OlA1=Utvn>7nWsU!-!j7?=hhu}2e9XbQKya{~FIWnkc!U6AG=1rRLf7ND zwU07R6@vbkte#^a8Yc1}9evVla#xP^w-H;ZVCW>Y<^@5Z69WDT+eW?q_F*?T z{eO5DwXc~8xsmN~Nyeph2MK1MiFTM|}wZbLz8Iux>2soRnh& zU1VD!{soxGUo8)Y{r%LGRS$FTL%Kzb84l+uiSpTn0tT%TTrNOKO}WDzV_T<|gA2|) z1gaK^^}!hVV{!|oximDiHZ^d=k#z%QpHR@aQll4&di#bH9yX~7S+3|x~XFC+Vp)r_fxfZ+n(%(?vQ5AOb=QHfBIMkgRD*kptr9W z1O<9~(H_OT2_B-JbDRKXJy9^0Q)HFA`6%-<`4suN-?AjM(Dp+Re}j$`CmZ+x0L1U8 zVk{H>A(F-gD)`8~tQPqsuQ-3@b>~T5pRfp!S4m<3J_G=HC4QDy08;*~yz&vFJrgv5 zV*jzcKCv!cR>fa=HF}cQ#w!5MFi@GE@PCq5rYCtde3n;Y13^{bu9|C+8QILmu7%K<4IoMM*wxj5`V1-i}Nh6U#hHkD}6%$RbGKwJj*N2 zKjhW$SzZIheL?|w{iYoGS6&VOA+Mo$0HFSrSHr*Zit|5~*U*1oUi%?3PbYqs*ZwDY z4Skl^{=f2y^DM9Zf8{kIGz@m8$CwE`bXI>n_k^|y0Hhfdc#(I=Jc@PHwCjvM6Aj$& zU~UM6l65CZ*8rIftn``BD|tv800Tc~@Fl0&7a|N02yG3Lh)t%KBSQdIOiZ()g+SIU ze8Ny)$ev6f!f*Y z=+7>~WWS%imhYwv@A!>cBf<$OM1S>U5Zr9` zi;D7b*siS|G`PcZj$L_gr~V;kGKDiakn#x|@u`Ob@b5YM2&;MkgbINGqP_r-ovi!; z2oU}yw+F-lWu|S&aO!LT6#v^C8xUqxR@Jcpu)E?W0i?9X0L1;|<(XKqelF19em9%t z@Ek7qc1*-yaY7&%Pg$eNg*fO+sYGbUWWg7@H6LLzOSlp6Qbdg3LgOXp`CK=B)B7TD zVoR+K)ejIDj1M0J1TyH`Ar0^=KXCQf&^q;im?R63y|6O<4uZT;psC;m2rSk*;RqJu zP@d8m&zL*TyMzi`tnCvfZSdR)I(y?!fc8p!H#F}AkGFUWL?vbbwDI6Kgg^HRtL^1C zolmF>EaRs*&`;92c1=6ORWZ@nhG{N|20^*FBf3c9C+YW1>j0vVe zV0B5%oc;ivjg3%rXR2BhsB3U;A{BtTVfrAWUl4Y8wa#AfzH!~m%#w38(8Z&<)d_sV zM#Rn!q^E#3p_-Uy8Yb`T?R_8LNezM6zhQ*}_I?-#EJ3`%FkJ&h3`;@g1)%GvnjN(Q zEh46Ll=CO3PDuUZDujwGiA~U{$vnt>+diZ;ez>!DcHMMn(TwM{glP~s04Hf&cvvHw zjsOHI08Wgd@4o z_(!jbSH^1omBodx=43)9*P4Gm<5MVb3WPiO?Y1;d?w1YH8E%?D3~HjAR{2@Yq~H~s zy?34pCCY?9e*8O8f>NM3Zd&Ngy5- z;9;26A3v+mVdgr1`dUbgpHw_I7v0F3u5W!B#;)6l-PcO!@eHDEWDbv4^hE6r{rEt5 zzyTrtTD#=|Fca)kwzt&L2#OZd*gI`I5(+{)47IyI3Seo}yl}^N<09fVE8TahuUb+i zu%S-ZbPv2U#{iBxCH{&Dw8|I|Y=jAZefPw(`D<&sCGWn9QUSq7zJOdGuJc!c0ML71 z(-qCk=gVpT#}VdRal)GJl-e`Veyx1Z!vW!V&ZYq<$%l&%!UfO`9ig1;DTpacabXvJ zqTHvY)?EZj&1ejYgHN(<)WW{}*lz*DCzccnfL^s*1_sWCz>0TY5o6ERK8a7&ej;w!_xv66ABRx`~n_9leKux#qD?J(BHNb zwk;Wk`ZdCS6`4$P3w$H>P2AL`z6Pp9O2ropP;SmU&7_nIRAk^#Wlidq4y^3y0PiPm z%Bb|M=`v&7yH1@0cr0NLMj;Xhcs^*W3<-^mKp3?HXsMUA0%g75O@Zpg3N4O@UILfG zM8mCOO&9ZjV5S3Cu#RKl)Dc(K4?`pSNKfR0{p*@|Q%N8aq5@7Vq^dWC0FRI|2%N3z zT@xWtAxRPT_BGuhd3zup(R@w0dR|~txm7l?uirODG`2IAP*5=k-~*tn#0CZdfU*MA z`>d?bF!Q9W{m;ruY~Xe%mjzh9kyS?BLlKf#n1-OyK!EgkI;iwC;v5Knqi!g-5gT*? zcdI?a@=|R}Jz(eh0!x7^fKj4=QAa1jDK^5dVi!Yut0x+E)D>wE`RP=UXelUntJf{}i?MLk5+fqgEy$ zYW?^ewZ2_`j#>d;dx~0d{+FUwc$R;SS^=L9y9ExwzM1%dH^sya>VQ}G$&c6o^J`=k zfz1VAi6%#mfy?J^@caPa5?a2-r<-)Z#)!mM~3%IIf}Q@50uI&d<>`* ze;g>SWlq43Wokx*jMHLu&!M7hAo(OH4!rn8Xb2*Bb?yShGlZ~KfbDtrbn$Eu(Yky9 z9~TDz^yhmEfTm(W+*gA^r{AqCH+(hXhWzF^Fe(3OMJ#s#R1_Ea2{3^dKLi}SzHYVA zHo%#l6$OlIUxD83pB)acPsep&KF&vc$`u2Pcl;P4RLLO@RG&%FtV`JFMVg%E=ST%u zAax8S`MC8S;q7lMUm9vZ@49lI;dFD|<1C(aGF|0X;x*~smMY46QlL3!jJZLnY;SA)X70`jBP4SW^fDdj-SsVjl+vjX^qGj3A_k%t+y)rlhwKz>v%92XV z1)7F+hCs}QEvmWl-=wgB48YTgcd0?QKrIwGfwN%?&UFD%&%o~XTY#v6qz&+Y_+l&N zfrp6=L+NeG0EB*QwM_@WpeJ)FEG+E-FqZ(g_t{)};<6`mN!Q@XTzazKy8Hoo1|aA) z13$g0RAm(>*>}Llg(~nfQ=fI|W)D@p0dN;aCJ$gjz|oyLU3r3HU`f{oOkP63f&E@> zPCSvfmF&{<9s(g|n?J_d3jv@T_GyVTGdjDzk_Q?F7HG1JQ{%Q0+WvD zN#QRMM|PF>uQjMio4otIGUx_(vd+Yt0|Q0{TCQ@b@&cT3?_RHStk-=KGk#gTL0HUL zM3}qX&p$ET!4=$f`pvS%_-1mTeZqwQE;i6-Y3>XL<;B;GH9=wMldLo-9=D4?MSRI^ z$$Nrs8pe#k?7oAa1>2@+I2kIKUxi+!i!D}mS=_yBH?uFs;O2jSetLFX!+Rq|PS2f{ z83@9vRMAYtwb9F(=89#INeZL4R@_z=2zvc`FwJoyq-~G#SKnFvSVVy}$B6u>k&r+o zv*)RicA70KQ4#oOedSd0Dcv3DJ2kxH7a6KGjm3&Uv`M`fyQDEw+n}G_vAv)WpnFjW3Vl0oXQw76I0=13fk4xMor`%JFhfA z*r>4$>->?BV7V`PJz-gX8|5(}06a*!p^E8=Spt+Nafk=Z;<;C1|<(9q~ud+3K>P#6Sq*)U@EltDi5 z4e7ytE(dkd+y>IKK4`q-@h~u!FPX-h-oq~hcXp83V!c0}S61U^{>Tr5a;1`*Tqnmh zDyBTxml;6?fplgb)4d}U^vL}~lc_l>w?ieTH_M>GE!$}(@*VQ-^JlVyC9EItd`Nn} zi2jwpxNl!jy8~We{3LoS=BMLIh7uc4wvffM(mwv%N*VLfg`59be@oTEW7!6L$lVqlDE(&5l*nWCQ@*^XK*heKb@fu z5u2bgB>@}v{UkyQ^+V%|zCZMz{TFIR$htMEP!^Fd)G)E3&=^{*NIe=uV{Aeh^?&>I zI0xQ_BGisKU;ja2j}U6nOlu@PZMzAR0A{4P@;e5Tlc z6<>Z3TXKe}et9;b%grtwoG(=N;M>-bO-faE^#}Q}yHtOZ|2`aY)H3DVEwCi;8Fz)F zKWbS#Hp+Ug;7^3bo-{vyIe)8){+~54LmQGmX$9ziY$dO{})+j)(_UW~a#6m&`!yn|U<1?4a$po5Dl%bX_(bmrc7EiEKrY z9fmL;F1;8_yK#6TVyszKOGNh$U9`O6ZMhkcjQnPVTyX_`%G!d9YC1JHW?5ufkV^lk z5JRZvfZO2b3#t+k|59A6Ns~CGi6mx)brwNvsmsh_M2l1`55CyU;1zqzO+IyuHv)dF z^g2Zmn-f$---w1FHmqY2J7+sXQ*#FidyX;)!fh0auOEPUZ08I#nq#wtb!hOufuNYU zLP(A5B%b7TDwv9tq@iFod>%2z0&%0&ynd%o zW?qFZ%57gP(q7}?wL`)NQ9;zkn)Vf`tJrDp7rZ;eZDl4*U#Qh<3l|@GAc2QSb^j9H zH)>~M>9qwr{!q-O)%T`56Z57+5$})zm8@zkeYVZwg~)*5TA~_CVig-6qI_F8K?kay zNh3lImmCoN=lH;7#2)c*b+B1%iy{E8Sd^vFKM^ze4qE2s61MlW!$2aY8 zUuDHmry#I`T8odcXLMGP6`+%`>i0Vo{cSSNQ9i@9I7D~4P1n40(XP2`5S4!@JzC*!beB-?H~60zrpwqYv)fbS5ueiaFzl zEEfYWf`N)p%aU~#O-64i4A?qtBfI#NFj8M#&i#tfDBYdxf>%Ovz-wr}LPID)lPv?&D|-?|nc>g?kksbdKbt;oy-{2?^rW{e8)Zk+Si4nuL>R%_XtP zKQ+?bnJRwsCp{2aJt20drQbx?g`b(3&Y0rUGmazdxKcCvLs^_Ivz#xNG&{i@&Ym;ilM?AsqD~_lCqn zuPDveBQUei3rJfg)NIvTZ)sxWN)-?1&&OWfKVg@#N0V@-g2pOD;t|_%)k#6=#jo53 zi->bl9w$Z~celOl&)VOF9SncUsQ;Wfrb#lsQ#NksTJGd>-Ip%;v5(SKel`br|Nf50 zA3;6m7pHkvN)CtlgfN0(a4Q0BY=*@6Y!KNspC|E4a*4jgQrv@1idf3ocg1lPH9N#{4Q?Jc z#giuvc?*7RzqXcqE7m%nDs>YgJ1O>Ukd;r2Oo5fc>tR2JrMT81?4u=P#%#$(fbCk& zWzp7f;4j0QDD1f8Ns?n2mk64R-B+1-E-VQ0ONA;1j@V*vk~r)YkJlm@m3TY)Y;!;D zC!=*XAkBjgIVV}>efdaBf6u4$V)RY}18(g@jjXB)PZp&;-3zk?3FkCnhMq?>gyFi< z7b2cD6^_9gQL(Qj{ThjYe+3=jGn^P8^R98U6lMbSrR>ci&tXk`3`FmaYzNfT=LngW zCIK1$h;ldY17=FBJd?v;?1a*+i1$t_=&nlR0B^KVgdZWY?>0fn_5fSN>7DBknd%00 z6G`BcStJp_Fbt&$+o6@&lIXr^{e2j_@Pkm#K*e8q<&sZ#tuhs*-I$2ro(# z5`Y0i%G)j@#h^2DLJhcWK)}ISZe9~e1R8Lo+`R5{q}3&GgNDFXLs`s3JiQ+Pj8FZK zZUqumwYC8jglPCbG<9)ZZjO6hYroklzpFSoM1{l^b($X{!+6#f8i88E!mql8NYuEB^>t41;Sw3O%2OWZQh;VZ}{_XM6 z0_SnLGv(2c^O_}kZW!Y8a!Ac`-cf}x=@@}9`S2ZqN#h01d)A#d21U%8j4~;Vy(8}y zU57Op<8hl_8<-IQk2->(!x^ikX(@UuaSWyir@+$etI_6BSQ!Cx1G zKlRDFzTPfFoKo?#q4`mXrB^c?Fw(?s4bE|?8QvLDVX_8CyJ$|0EO1)Swz^E|PZ>+5 zuxTFDnoZdN2hEo*IAUS5gS(b}iL-15Q#m2FA zbd!jE&#qp@KCA z3^M6X&8Cm)$#Kn;i#+g@Bc)ogX`mX)#l#w2ZR4-<8Yo}o!6b-s$<@u2+}0)&zbwu) zyh9x0221ehB{1#KjVL(i#Ax6M`@?B9A_>%Mb?bGTKjij6p&u@o?$6dmsn~p}eFW8e zgf3q)I%=*=m-Q;vPZzYYHq}|~gBOY{N{TGP{{A#tg#FteO}l8-Hk*VBJ|Y>9M47{z zlPdJpi=U`7R&;lf;Gf7+ntKmZ_T*gwW*I91>=^vxH?+`Rt+K0OFZQH}el&>Z@!Ev( z-#vKxOybcjG4DILkxLf>|ASNV>YQtfU`bTH@8*K|5MI_ z%msh;)inl|F)cG9q%6jPBQhV4(o=@P0w*_FVZmN{O@|5(tXJ(-8QeRLS&gNqr9#pc z18WS9T*rQW*%zo^Kb%hsNn@uNY)h-BJNq?1zEX!;m1BOifIY8R=g9I?Ymt{b`6T_Q zKxJZqzIwO>wRj$0Q)FfWUF3j3el7q&7x0cC%zb>@@Bgw zm9pp*B&_!^5)|EX1nl_OLOFcoET4FGntk|jxrm_GCL3fzc@eBBpV)Po4YEjS>Mo;P zjF(JXR*CJ(SBI!mQS>@`tRrZqQ7&%TErl{#KaHRQvfu*sLMGtrEfh}uHRZuHnx`v& zi)B3d>&(Rt^7d9NBh7@(I`-_`s&kQ87V_2L>a;x;0Z4GQ7L?hSIxM4R zrcnD=>Vr2(MhhewNJVc+jy|8qWZHGNBBoG{t8Z(=a-lAVeA+2;d(DO_VAACFdRNJr z;YH)Dw%=iTGh;uVgF)ojK`(Lpe3(F6J)ZBK6MOH7ap>a6yA2R%d>+7slo$clIvUm3h;Ezy%Ak> z@GUL0Pr)Auo$Fs*%$ca+J56@3H^hWv_vvKl*(`}8glx!gsipFAHODZ;hjY6;?IR7T z$)UY-AjCEib-A(NpbKyQK9$`7tOWmiD_uzL+(>wp&hl!~?S*1yucFpZs4DORX7t(7 zEKs%a`QDzc9|ryaZ3ydue`i-F?+EMV9SQ3>^oIN}Pk-w_qr-q?C#D@coyfNF(PRVo z($d`~YoT0Vz1Mo}&l3cvDd-XhL!)m%l@BSb#py`yJ zuZMCUG9Oir)ph~1fc!b>kzgNvDiqmA!(IE|+AVW%gZkfQ{w=!y7Q4>{JIWG=m8vwO z>1#*PH%^f8>;{+y>>i@sN{(ON(LFW@F0|p?y5=_5ND*)Q2>NO%e{tjs+w?I?B^?2) z-;Lb32hm`T7pmvw5#1aq9_y{#q`4<=rogR^n;vuVv*Fc{nx5@3R}FkG_`%8ftQvkr z1Dng;mafqUsrW4m(Z$~;v%{lqlk@T!S0LU;)b?HcxdUDXVwGF$qL8ViQ#2WqL2iyT z^{d}equqb5g-j-at-a$l`~20u`a|Z)Bu}C-lWh+k58vq?_DR`Ql8ck`_X=-UD`jtS zi$bhpt#3)63a?vgpnxz~a+I99+wUG5jG6k(|V*fOOk1xIb&HftR<$w;g z!wKr2ya>1EYn#5E7w4_7!sFgvvA4H4L$J&iFwK@Q%^;X&8<@4I&KrNgHW6Bidlwi< zT*knV@$^KiH*1}etk&5LiGRMVaM@H%rT>5^qdR$=2y5CcRyjVE{=gUSVX(sjro~)= zb*+38*=#M%Y#aNpY7Y$w2>oF*ZM@|?`C)F!>+A|zJwIlFc6Yw+vBzW0|iFLd_Zq3hqrU0-Bq%5evh2MTY$2a-A5Kq&>XR|V!rmc3j@3Z+@ zc83rOK{KURF7bGerMqV<&pq=plx4;ipzbADqUb?fx!K1dn`b|db`CaTke`OK=^*#M z7|Ez0VyP|c=o+iw$98jXe{^OFt_s++ct?z702PLlIwwZ-39{b6teS=ubD&Jc+ur62 z5qB@Nil;ce7Lsjv#rc3l*HsB8Eg;LsaXRtzT(9G%sGiEDwwX1&bMngb6Tln&cuqo5 zn7r2=xU2(KQw|H#=hvyhvf>~9KtRHxH0Dd&%F_)aC52U=Sfmk%@xFzYbG#j#G}`*i zM7|J1t*)hRo8^@4V?#dTm=<7I_9n1&=tcB}u0IA0Fg_lr`w)kn@#^KC);k~)ES*4k z8dJ}LiHNhYe?r*!?QdjD`zYU#Ol&8Y?lzJE`4Ab~O}FSTw}kshgh58~(}9P+8JHUc zCcGabOXFYQdWg*;PyTFzc*j=erHZUn{(Aq(k@#h%{NU*Z6{a_5BJ(LpX+nMpV(G!l zNP`Z9{cj3H;kh9&`u=CpqEicYtTHLo5`+W&kvq5`+fRP!u-bQ{fFXf+z2enX*A?w@ zhaAxFEAH5{_OXH6ShoE!#lVnT`U_w}oKe-f{YTLY5+*FCZr1^pp0@L3nV8ZWY@p%Mq2BO>qwWsw8 z*3wJ@`Hfz8sS^n3o6z;ByL2MsJPs=K4}|*vTw4iEsLN$9wTG8Y%W^R3ra@qQ5$*d9 zx)ZHEw2Tp|56uYb$grP)viXxW>`}|+tnkAUNv)1m8#Me|n~_l5{R|$TOL(wXkJvn3 z*}^7mQOle3Lg9D%FJZZaKOf4UFo#RR&=WV>g5ZrWWYKWiP^(WrsBUDGO7 z8TjAAK>bYg+rrYxXm68O5bm;`TRmNY^6zf@9CCiwt8$;QiqXzy#}Yz}KHru%-leG# z5-@&obiXTTS>N#~4OY{GIkMpAi@GMu*ejMV#Ijv*r_*Z0vSD!M1{<)v?RnT4NM3h5 z3^N_j0ff%G-xT33^cdFGU#7>fm0fL2g5x^!${sf-!LNZ5VSAG8kZN*&M(z+i(wS$; z(wXPvKum0Jk@J$oYN`iR*k?;n8Z?iq90u9;P-fAP$9qXGKR~AF|HW8z37+lq(szN+ z?uE5g%2HjJH26_pKmA}^Df&!S)d;$mW6ash$ZffhRiMB4;wNe8nKLF|a*`B&o;UJf z1ik?V=GmaSz?TUJ-lS41U=E~~RjFPpKmqmzrQv^EaYM`#MNI;>s?B3FXQxM0n@$S)L+zf2JU!#B9etdVnj$z2jv_Sq(9xc`()PfIOyj zC)-u5?dGOpB`Qo_%ZO#(UA{)Nk`59(dR1xV4Z{Zzs?SSJ(J4QMgjt!!+B!vg%cEGy z)|NUuSf%bFlYYDRl<_^9J(kmw>x?iinZD3>LWD88pB!T^X0+$)FJ4etAHXT38<)R( z*Y*be;`Du58-0{%tx)m%Er^gjG@UwJZ76jnY7^FY{tqP67<)@FYvk)b&7dfYDp_8sh|24x?L%(E`aF*&Sl{1IvldSqrDQtWwfo@V z6uTB`WI7j0WEE4`Q!y!7%3rjp6I}S?JJ>u{0mU z!BV>lhMyBir$+hig^p(|N$z1Ul(y^p<#@)84Lw6pYH`eVNMS6$#Z3q|8W(WsHmZ8G z?8BGX)w?jxaL>RiGbm}v&j=~M<1kV~t+SBgmuD4HeyPl<#QG=~Cpgot7$>Heb*op`gPBY| z|L{-ejDSP`wxTn=Thg(BJvTlgzRRDD=&~Nbcn*R@A1D}p))q(e9SNN(4Mp*I3@)}u zkGja4tH{Z;!bd7Hz-*@fU}=D{U5JLY)j)=_8Zrre%DnuV7a7q_3llx`=)=UY0EB&E%)_O~uDSX1zYcbfm3Q{y(i>KFP zFQOjI;NtA1us)kRNtx@5rgc6psMzT^UanFU$!)4rQWTJHJ}D5PW&bPt{>t$v^%g

G? z1%YujB-yuEBHdD8@ilV-2Nf>FMEXSqBkHGAcdFbGKFKkVYt6E{?%$m+wBQ8wZ}CuH z3BHP>QbmV<@|$o|JV-7u9j;^0B*Nbmr8hr62^%NeLTwn5)a=M)oC(**beoEUyxv$qM*Sv761j%!B9>P z{jb&jF=e@_ucuJSunbNxmivMgfetg}8mtz`yabJ5v^b4eaFA7zX&J*rIE^@AkX4W* zr0#X+@kaI*#&c?v%v68jmfLkN0_(?z9Dc68M>3!SA!Y;lay6wb)9U>l#R)9FJIi!r zU`LyNX|nVgjia>x#8P@+-9Qt$I)ZqV zdvaz#UV3XNPV#XNd%%e^xE3o&(J!j{kgpngfl61bZM-ybv}xab1N3GRyeV)bU&{bT z`1~2tHm}iubHy3>Zzp>%LeZqYT%rB^}^G3f6qP7gDac4{Eph%V3AK`mveM3wmbhlI_Sh14}5qOY?;d-ugG?S^*d%(b;)Y3w99{hi_y>(O^Pq!|N zyK8VKxO;H7Ai>>z2<{RzxCEEr?t>F7NN{%x65L&aC11nuJ?}c_-t*nF?jLup>8|cI z)m62n=Xv(7>Yg9C(P20Wmq>xF1o7NQF*N-2Q1%czVGHBmdZyiwG>iCUiOE}SyN`If zW4LxKC1qU3RI2A)xfUGo*nVku{lGW8iumXP)2ZWVU&_k4-+TT?$ru~YxDn4-ELe}; zU}Oq4y?Q5nUoTe3dn<4ig2l*aBZ{R9ANAwzb)Uo$yX3V6oC3pswu)=Iu_Jqq54!;y zLPRx}Og1-=FhFh5U}$ll9O7>2pIivBk}IApU5fj89J`AYQ*DlVYJVLHH;nNU{~+lB zVcs_Kym8KS33&P}kx5m-*&S;w`QVs3EtAFa&9<6-OGbh#3Vc0bPP(T?pad< z&0D`L^=4_ie#Dr=vwqg9SaXLLEb_jy20uvTdX*qDCsR*`5F7$7`$(LRRl9vA3hD%FpvOWm! z%;5w)A&#AUhnP$z;2+W>GRg%BW9z(k=!Ppfq|yzGnPT%%c+_ZR_`CGDEoHOFHBfQB z?s1pzo*8}r6(J)TBA$(@>O+>iC|ry+)nM#@zj&sv!_~^hTr1zunR!z<9opOe>GAa2 ze&4kl$0sM+>g(#JpA1WlW}3by%1UndrlZyf7XQF)oKOy_=8IZQQe=-W1w4itx|Gas8p$D}cu|)0dcX~e zW0#33A5|?VKiHA(Smn__SgzFYN*lSmdz=`sTC1jW7|vzA+{urg*z=g5$FQ(RRdq)e zzZR7->#_`>$(?}oL)s5>K(-w*d>q(IuMjcMOhZM`)``e&N)dH)4J(g5RoPMHZ?LzT z*-xKqII)#BF5j?;`f5A!+c*w`6V;I!Qm33*q%w=v_Mf|s zD>$*g8i=xwB&jFF#{`6a-U^y&GoyKFk1+{V(*7Xr9CzItO${xDwH$Hwvgy{x(AV3y zeAgJ{sfkGj=lPBUdxKdUrN@ro_IjwHs`<1jxCFLX&QsSoBg85WQn1lF0da=(vHAEg zzRh{LuVDa!#fKVvOrV$|G;hCTwz{wWr*xtr_>O&~`tq0{VONO9c`bP++dcEHVde0H zh0yKEbSw--`)8Hai;OMp@psy{bW2WgNyYD}zZgHQ1m1a*i6Q2Id zYQ+|DIB`C%H!U(+aX!miEfx27i)mY=5oC9=Pke+I(gF5jq7~bO=~HQb+XO1>!h2V9Qbr2yVYg-QwG}HrW-At!U7gcy?v|@i-)i zAhJ95oc8Dy=c3y*Kz}De=E8dG6fbaRN~T8ACb)YQJg_1*=6rVTw2>t|{Xs60720>7 zzPbanpX>|{^VPri|Jza^k!VA3seiHo{AUs8SN%%CX0z;z5Z@iS)z+T+x0!io-!G4C zrbtX_wLpXQ5+U1Sv7XlMFH6*N_{fJ;M&IdDx`FL%`k8Fv=$J~_6_$~#kXCR1oF^^$ z?=GnpxYikJFW;Y}?YL_v35~T#kXXNW8swZQzpePQ`CrzWX@R|UWtDzibV0vj~FZ! zR6>SlxOd0lN+hq9v0{MY(H}HcDzo;mZ+#jv(nEF!F=fvg8r^<3!G@P%A_yfd3;h@m z?Zw||{I+)OK_c{*gIo60$)%L9V~tDQ{dY!xIn>*Y2dGQndE4!YqGGQ0Pt(%@2qUV> zS-(Ua^|6WRkYZc!rvuD18hB^E!+f1#AQFv26g5CQZ%dt$CjZN!n7I>+!GUn?1?F8y z=VjER72dD`7M-D1B)1v`pys-lsKR`Uncd&OUp_X9ZRF3ed+SPPEH*&Y#d7CN*xdnr z!H+7fJH`wrImLnyMNYSJf>%D%Jo0$7H`8qM%}{~oV&*74MLEU6P9}H2$6Ju@ywof1 z?X%}>T$KOUo2^2!o2V4MXU_t%nwx^MK$f{_c{iE3`XQZE+o>X5*D}Rr;Ap-m!>!EH zpj>CfcE0XkhpDzV3bs*Bur=0um_iZgvkmrNlsPb-2PR75?gm7LvrOZYdEY?_IJiT( zu5s<`x931jI|;j5Ld`h+X$Rgg7-QS$ZgQ`vOGdlOqGmS})FjOmN6XmzbGE=**RJ?- zQC@>o4?A_B7-DCN&YscSYqsbxQj1ittOZ_Kn_@77O5m&_)U^_~ogZg<2?+~$IqL20 z!u@HMJofozKeoai=b!L)+v2e6$8rwxf5gGW-_T zx|)gcwx!uDVZ3qupe%D!ICkGIgnQM5TU^@NWMptNQgHBg+5bj2RmT3ich!hl1-D3( z?v)x)H2z1CYKX=ig>Hh(V(?c^=+__7Flx*!WqrhDKwdl&(*-xm$N|3G^j45JJ|t&JNH5{;X$CA+Aqr#!Q=O>fxl( zZWg>0g%XJaZ{08nmU)D8q6a5GUJltR?`}ngqZkdzkYf^ba$KVPb|uceI-rpa`>-ub zn}((rQIKcsog~6C;dX9_6c%{!yQ6&uWBS5}-Vma=w?C4YM*t`E;CExQm@tkl z7-Sd1AlMzFaKIq2>QBzXH`a-E)uB zb{?H>ZT&jA|8seM;rM4!etAFnr@Z^INa`OKzxBH3YNTZ_bd_xK`pNFr+!8YmKT&oQ zwQc`2l3|DBGZRCTSK6TtLh(b{gARiGm6L)L8u#};3~Mh5?AVlz1g-;6$PgCVZDVta zqZ#nx?G0d^x_rIvvu^5-lqAcs3}Xd>rG)89ePD9Z#lsgSE7`>~Z15dlXm<^=)!$wTqF<$Y+F=?`mpOM`=Hijs z-zZ{z!5~dgA?Xa`EF0Dj?<~8o&FbP*r`_lE(C2UEnIYriq2HlM=#&RNu~?}x1p+K1 zOkPkZc~S#L2qAt@C3sR8PHJM)DoPL1DBa4$@Lng)$RBSvJty>@CG;qic`FS=j$ofy zY_Jp~BpT^I6(Igrf}cq9t-aFLt0oGjh;`h^-6`r#mK2K#!}ClqdLKAInX>8R8A&+~ z-dC)3%Hiu#`(#lnsZ!T*|LKEnLo(=E%7J)Hx8{d)CLHNO*4GxdxhivUTHxu?oTs<) zbKcOCyr%e^B*Y+ZU9a<6?-I0=yRLV?e>cC1Zoa!bG4`9Jw5>TO6O+$%#*tjBVvm-O zXCZR%+3KneZG1$)n$?a7X=%j!m$0>5{oD814UMB@6Zj8Fjkr=?4pngPb4pG1hJz>h zA36>wpVwRi{2lCNzDs3t2^Fm8zt1q7c9^1_9$RJtvF3W-GaYR1j4jSM93+I}H$jH@ zM@2S4hWST%$laCRXiLQ#>QIDFeZv4@9VFuhy~#rE?$G>=8A|>XE{@?ZNr51ej{%Y^ z!q<>rX7Z=ua#7F8q%WPY4=MXE+pV8{$dbHub~E1Nl`n148rYs|=8#HK6CqY2yb>d1 z5J~KxB2VXB9)ohrih{!*4=9evr-^Wa;|WBN(=Y1db?Co%H7&ojVhuHJR30}(>bA}K z+JO^vQ{9HIhv=8xh)EnaRxq*46Ld3qVAf0Ki_ADs5^PqX)(dQ#-D02 zVY*aP(KSo#yW@!V2hQ!R*-^i@jbbKPYQ?RVj$k{uv6P{}9|Ip`)U1`4TB$sjub7i>5 z7sp^_fVrd0g9@G0nqct@JwE0*^gp`)#U!u??V-$|JEcSG*Di#3yYT1n;1kUAwAQb2 z^rCsF=c$pok}~`0n{k^q$ z;>7F_?#E#ni9qkp)9163=dEhi!|9nBwVC|)2kB3VZsN4kRg&SqpgC;?5*{y1;D+%0Rdx3^gdO~sDI-zZpz}0=h9H0 z)|S=x^^|GX+ln1tFzVYcol$}bQx>m}W_ZtdXSilRb)x;O*m(t`E-nZSPJDSD(1GXolo`J>R$ zB@rbLIncKVj!?^jDjfgK>mF1cNfC~y<5CbQMzxTRn&AtwY!l?EHz3ViVu{Nnb0Sa8 zZ&bPS`z`h;ta#XoF2q9?Mw%2S5kj_kw@X8^s9<|0O!vL9rkdO8WU?cN+s3HEZfzpZ zMZtWj;(I7dgrQ8eYQMciq{rhQhbOj|>Q_Iiu5oy8XK(IdJh=QMbS6zspSil(dgA<~x> zcmn2qqYdf>;?9owgDM@X5YkSOOkVpnT~aD(=;d^QSB;9H_wk38)Zu<9tl{p7e45`n zbgUxCJ3CgHIVy(!coM#UZyirt-GL9Dbmh@<#~kX6pZUsp{ekc*P31)KV|@40vCEAq zS}X_u@G)J-^<78twEkVrU69sn!(I8~TE%G_%$ZM3YpOj%W3Aoo^DOtHVcL<8ZLj_O zs;wGF_CscgVRl)n^Pxpfsm=04l{5C-nk8$3{yXqclj@H`6FZ8VO5xEVylTLqa=8nI z@A@cD(Tgf3n+>?R)v~~Xkv1ekk#b~5t^UUf2HBG`G5`SYZujdA9#&ThCSngf9O8s~XCtn!%SW?g9JQqlozW_d0~CNt&{|bhd9h5AL|(AD9an zZsD%EAs>Kd(3*G*e?;cc{EGR^Oc zW(XJX!tqC%U=Ahgo#?6Gv>IW3BJ{`eM-|DuyV+TLsO&Tu0tzNU5r3YYqmd47kr9XC zg;bxTdTq-uBONy)26p~DNaQbjD7;7|Y?7FCEB?3JR%%Vcrof%TbKrVaPoL@9dcQmm-W@ zhbO64culCSPXq_HMrk*T^e z4m`S9&Lpj&N#*B7H9hknZ+7rBb*BQgaAC3Z#Z5|kS7wPJHtj1hE>qiUiVi|6&5cqrVs!Ftx?E^h>OO%)y4_V5}XW-$f zAh0g#U+GR#p!q^WjW4p_0D+dRGL7YS#7Xh~gyN-%|!O_Wtm-?_gZ(fC$t-hpO9+#s|mQ(GhW^yp7 zP9`!HFaFXudXDScsv8Z76uHJKSGP>0k!$spjD|B+d&bqA;vNp6Rj2)?BK@68ztBsX z`b+NmOR4%xjrvQA^j7VVMqv&iq5kPkg>Qd!+)(Qe1uW+pM{U)`-)F%~X=tJva8qy? zpcO}?9=eupHj>XNkm7tP)4a-62|j%D=?l{QAU|D#sl^RIS)(Dbt)?S8&-{njOAT+cEdH$pMK+R`>aF{f ztA+azMQ!{KPmbC5br@Qo1>}J5OIZ?55w=WrHV;0d6Od|ns+gpA5(-bPT5>SWsP(>W zU5O6UNaZU1g}rJ|+sh#|M>9q8`jx?l&K1QM^A=rgCW8;B4t7xqQfkzwFj_ApI+cn` zq{&_LqEK8M@?%Q+$y`w*QATEGe+O3^{W9VHlY69Zu3bE^JeE&lSE3;6tu}8<|0Bn& z${n#*Qvn#QT_Ye8SW}^O@GIN8Je_hFw;s}kt9E@6#=_^9L-kUES&XaVB%`X#hB`vn z9fc}M?UW2c*r`63mr)nw`ljpKL4n@H2PdM$a_|jq)2G&lZc(MvXBZkfuE3b7`GH*FbRkrnN8Q=1*i6OC~^V+EDa4*;P?ewQc z{k`04BjyEuZI}1m8Fol>`f8=S8RFavIOX5QtBB_-Tj;PKW?Sg$hcA4xI%!yjqoX+F zVs9d%IHHKHcv*&%OiKe@AkFoY%8-U@6V4cc}f52rekR-4q z(344{97R#Qci-7T>#-8b;M7Ug@_uZM1m7ZCJ?)zo66c+Q$!<3N=uZ#LYWmDa zcFTmHQv{Ips(5Tf7XPWR7Rn&f$E_GM!JXX&3+KbObJdI54J&XWHx$1fW)QE~e!v1# z7CGuC-E*=GtLINe!ZGS9&>AFp0n#q4ABQ%Y@q^LcKF3CJXohuER3 z^)!aj|61-%0{a`Cm}sJEcrw?zs_qt<*g4QvpmXZrrM($7*XdGBf&Eu87mN?dNf4So zL^rA^Z6YM9=zxKlHbyrJk~Xodul#~^w2Y5$!2o%bRNrN7Yij*|rPLqS`n_6B@mZUo zwyN9qd-YX6>^UWhS92`s)3XeNplun{zqJ{W5N-21#O6_N6=)664M9WLlhg zH^s(4!1yrpOCbFu0(^^Uhhhi7t}uOh7shTY{MxJTXqwGg!A`2QM9+oml`OTIK!3w* znWL&{?{DcwX@ha_qNLgeBnuVJ~lKn@ien_Ps^E6#&cBJxO16_pb z>=c2O;xr7A2BgxDCIDFidEqLXs<3!$v=wAS`ud4ke_>fBLg`4zw=m=NNu^T`UdogY zmrWC zxT+hc(<9F-yk88SW@Z^y6!Dq{d{IA%KDgYvh#sh>)5O!s)CLUZ^M3%>jfERwTogy& zBk-;29kBbN4%{j|934QV;*tUFWe-3Spb@mWJKzTr_yxGcPzG)?5Jk2;(C$C=LU)cf z^GCUH@GY7q|7zh|pv|N@EEH}1_5b_ua4l{_w#T}aR;XOip16H_wN36?|0P(FrLH|} zt@=w}2>e|h5&k!~FYn;Hy z=}ThC6syy(53ZL{fgf+3F<2{H+fsu)bvoqcCdJw}JDrFIV{#~3IrP;#6u{SxuQ%dc zC5_NLV@pUe5&Ti{=_9&AMK0;4-3FH{11HR+Bo?&){X5o+n~aXuV7+m%Qi(1^vf zcN*jv)!d=8DtCZTNkGTO3K<@FKKIXCm)94rLf<=t^TKirvq^I%Se3`*c#a=up6}_? zmxAHQ2){sbNJ_oB7;RQ^$nSyQ9IVEbpgzv*t!YwJKN4uat;CpLq7OkO!P#t$>Tc}XXf5h?vk0~e zo@(qi9qZ$jH#dlX@c!%Qt)a z_)ne1!pD={q9xkw8>ftL0?Ul)Tu1q1S+(Y;7KH^0o6nB}BUg`c&3%#%Wk)mL+MjN3 zYp+EYePQ(v=PhcdQ-^qpgN)Wl>u}#zwS?Evh8R-oGEdfN5~)dipnbe6lG?t##z=Zr^d~+jT-)1F^K=ev*+W( z#kDeDiY*&)uLk^qSXPOs%cvpBo*Dc5i+NCXUC`dh<300D=^r)Y@c0}k=p>XDW#Z|R zM2Hrb?dI60;*zsj=r(@Xt&+;Ssj02)!H(bcR5`@=`-AW@XvDrGsd40cab&4+M&tZ@ z!r>^o-Ae+A4qBZv#Z$M|jvP7BZukS#5h zDEa1$2()P5&>%Fv8{7*Q%hlV?z={p5bbVwNAFB&`3o)M(hEu*_+!j)@o`aPJH$QTG zaRRl{Ye4|hbL;rY>(6ia^X0wi-X!_i;J^#k)j(E>$B9_??Y7+SMmgCc)*JDksdej# zO$cs_5%6{+q37O=&Tpr8kEf?&_BLv49l+fVBudAP~ zbB@2yO{Gqsbhv^7%TxM$`E42Rx7s_i7m0xc)yyzR#B% zul&9`y4wqzeIu5ktSqwjo$BOD>OOT{CFnH!2U4JkzFp6xw#{xE>cS$7-_f6#U^T)^M0eGe`sfq2-ZZjHq z;{-qEC}!w$eH*e#U4CxaufOK`A=S7i$!=%*m`E_*w=g24#;sdJN!%&jsIWy^?nCOq z&#(58`6)Cve^c3`XN%f6!HPEE_dV_fyV$TD>l329ONB#kvSO+)KiaupX?`nMA|O(q zh$cQBhbn7)9xw$hS~Ssh3@M|xh74|yrT&B4l9_OK9Oc@_U~D5X$&_26XSsC%dFb3Y zkKDusX| zz}EnOa={JK&j25zWg5m(%FTq<+(v3#1-$567MMG|M-}OrTx$xyLS%7#!94-FQUB*b zXYGk9F1OZww%hcEe}kS8?oykbO! z^=mQ>DAL1)X8K$HCO2=A$DaMG{dtrs{&~*FXT9^|Y|yh_ZsV7m7?)u0q(-h(>1fyS zHt*7pHQE^m-`-S<5(HN@8)G+Mny@N==Tl1j8+%IqnZ9Ye?@hZ$pi6Sd zg#VeyV~3!ft@j5$A;jGEk7S>Fd)45}tNw6nHhyZ|jp!Mc8Nu2u*4h7BDIY79nF=5TDj4 zpPX|dwHLbKb^imKXA|b%nMuyIgm`3a^`QixLM+}3db~)oh7pz2f$5NXVO*!zxp0D|;h@2@{7tHl>qXYz%DM-D6fK@B0L&(iThO+k4 zO&u;`9y!d{r+A95r^{5_VjbqdY2(%cF zDPemUiw#d;?AZ>hnxoXv8@gMR0Mm)C?#^PCXHV%H0S8uL&--L0WV9v};q32I@Ui9u z1{))?o@I1LPM^LOmxQdSchSl~4KuJR+9~=*>13bQkAl$j6Nb3w%@e5&jtj^HsLN?+ z^D;xs3tfG(<@d}Dxew`Nuy;xee#(orR($AF338n%!dfIQTli^fG2nWZnDUc>bCTPe z1NvZ|Bl)s&S}qj{QEoSF1FIEJhW6`6ch&oODN+3SVY=3a18>utc=}HjV1{D$Rg`?1 zW&MLlYWlo79Jq%2cJ2hl0ZQjD)zMQ}k99+`uKg;{YD;O;SsXpWuB%n@(t5$m7!x*> z7`inHRs3^OiugN7kJ@(T*|cSG9MZ*+399O=dF7Sas&iele--n76igb-e#iw07cuT# zM>W(=TWCJeS7h629^7)gl(-b&HmEkF%JWXyMA&o5Cy?a(CXZ{h?kewy2}yV; zM&VESE!WML))l?kBq%^K&}4>PW-UB|X_VA**&5=oONlJFlpT|in!+nVF4&Ri7h(*R z+1f56+fwE535!oF&Rhx#36YnROX{hwo&Wmr9Cwc0$*;6EL29u$IKq`m#*jP4=Su?w5)WQXr>%^ zsp1u5Y3xglva92!v9j1!C2Odc1zo8+z%DEwFe8T5ZAxi0r3h{5Bbwk;@#!KCI}*7Y zVwd=%_M)k02XqOJQ+;{A0 zNU@ieP>n7^!^mn1EowBL3A=V^K37m;^TSM^sq_Ih>ac~tg9g{U7FHE`45tN0z53gA zGl5y$veZv$7v!rqWi;|8t!1hTN&c=@g(;=WGI!W^5p~9$wQABF_McB(=dk8R5AbxD z)05egmJZ4_>Djlc6j`aLi$e~0J~2=2vIoyAhEPSDtZo~BhD#@tk#hwiMY(qLOAB{G z1xKbv$5$lKd?&a@Q3q{9BM-{)oWmg2Oapb}drlz*@*cz4+ zrV$iiMaAgs=}i~(NvD4&!WAw%R}Hl=C^~w$h%!fR7Ff3ZNK5FtQaQ?$psBB{QE8x^?p^N1qi&=fsKBzq!t@EP^}PM}*4l1WyU_~N7(MRl8~kq+JuH-&x1 zk)RfymlJbQqtgypf=tQa=&=*|py(?7zR)qPE^@QB9%L#40XJ30sSXB$#Q~rSd@s9x ze67>Q_?LBo%gpRfHT2_MoamgoH5r+>M5?>ZiCACf?E)$?Vt&VSH+|utuX}c)F5`X} z1Pwv;ZnR?kmfd;~a0GhxUb1;eL76u}(WsIL96XTl1S^WctBSbTKm$64~2AwM+GRo`pK&~(B ze*_kQdC`{GhV{rJu{j9wzh~!J!O`!j>Z1Zs$3BSpu6D6ilY80$Q1GZ-0i2Wc9Tpauli9|b+hns3|78UCU%(qW?3J0aZ zD%M|IOKyhD(L`>h;0fqUF?#3tU2wF$AJ^a_*Bf&XDVn~_tND5VAFv5>GoMPU5q%VG zmcc~`408}H3P+Qzdd=1CW^n31x{KV_Oo!CZbzq_9_PBv3Ac`xvvKa!L?Y-28A2?$D zP15X599qXj0+r-udT#a0hqfODb>eH;hq5YT0TWU`+!P-GzL2yJA}~!LH`~HeFvc|p z2}BYG0Gh(K4%Ur9-I9*41%BxB2u=XU9dEcb6ziv@F29|6{-K-Cl;&`p^hGf<@0~%T zB)3bel%~QixtX0)!_Em;HUdq95}yXF<2PxOhN@2%ZtaIzK*3 zbphAH66pb{R5TtQ`7tlr#l<=|-`;PU=k?nfFsUfLR=<#VnvBgx%DmiN4tPo@f}N%qTu27$PE>|C*h%Ifr$6?NB;6 zCI;Fc+GTzGYZlDU;)#wbas4|^u#z@KWxW~#kpSHfH~f80;AZ@Y=x3>eu>kjSg0er; z(QIs%XbrCYW*`TyO>S!e-y^*NfwVl$s3l5RkUq9*(Lg`87@J)g^L+-!f%cRCQcuQz zX2#<%aP@1@h1&e%{=Sp*w&By#7v)%A*X>{`c(l&g5t;ZaUv%s7Z|Ic4nvPB5)QgKu zp2%IsW);ln^y*@cjfUXbK!omo+6`l~cbkV~AP^Ttj=e$66)yrT(MsfQn@YSzaLoIz zu4YHiayy1;tbeBK!mlaL);tX$yn+Gb|BND<|LLA_Lq{)nPzYHW;(DaHRT^c?Lt|8FzSq z{Q>i>_O6QN9?~o>a^ZnM-TlB05uJx8Hlp^cpB1>aDh`e)6D~MrtT*ro;l=z%mTT`Z zE9-PKelv4^>x$Sx4{knnK8n5JcrMAyWQ~D4?-yGQw%8BS6|2z@`h$ zb*)Kw{gCM@_ZI825{Gqq&(=!&#sE9ou@V;qin{@&M?sDNBZdIv2a^by=hzn)qb0wuadiOhf|bSGtB3^x>6;Q%2F1LWQH2H@o0&n`t5nqH{Y2p5`G@fU zKAt|m#<;i`A~`4Anxn0d1bY>e#gSmc=~_~eQfjHxJZL)vSdclksXeP7J1-=~+9&M` zqQQ?!n&s*4es?6G<0*~B>%i{*VrQT?rq9)3-Tm_kQ)8@)i_SO(fR;63hIyZDKp-6z zgJTS05bOm94l(F>G!AAloea_Q_HKlqZ!sviirml%9-gRZSMZ8=&)5Y+3)1oQuFlYg zk1uosTL{DkKH#0RVHFEFnThRf`^-Hk^KGCc9{DhLW@=38XLA_lQ+(g9;2Hu+;nF$zX9(NLwR&cZ9|_UL`B zOMr^TgZ3MB)bE{(JCK{yxB@~?PMXQPxNxa zk&Ax278LwGzq@+(V`Y?R`~W~fj=hHP)cSOJ1f2d>Lj3$Ba9 z1a8p=4KZM>2PL5Tl$aPqm~H?AvQ9zTJc9e|1lv7QW)4Y?j@E>R@X)?t%!m%fi%AUn z6Ac)L4<^i{yZiG;Bs8?9NJx()4qyy~Fm9EB@c6ESnjGP~9k57fO&i7%%?`X6rQV2W zJTb5@t^n+Mf`ensOqs0K35Y>Q1>N1n-5bVNjvE56MsH2`P=l#B27Y3Wffut`!31ak zLF`t&`cb>|-h)ypGleWYRQv~+fTF*b3BggnBfD{N#k+L1#4MG$!FMX87ntC3LyYru z6)}D6=xRji1sEz~J6QM?GojZQyt^AN0FVPPwnl+>Rx>_X`_rrLG^v}1Q8w0mUC_(E z<%{qwdJ<E~3fR5uEkX zu`4c*A0S29SD?43ydx7waHF~F6%g4IOW^}r#szrqCqj6=HNq+u_uo$!zJGkZ9=uUG znu0tDkT`hUf6G7}pU1(x%y9xV3t&bVH7&u>F&|vA5nq`P≫mw`~ite(7*4yPSmn z8w#=hU5MBi8)ht?!&#Gep-TX~Mg#CV_z%4DzrbreK(}7t6{_roKmqVd@CvU4|2w=w zI=$4@1E|>l46iR-7l2obS9k?ZQ1(YUn#lopjemjH_}b)(S9moC;ML?6URnNuSMq=0 zmE->kUQz!Oybiv?EBSxGYrSDS0IvWU+8}?0SM&b_uLJ{VO;z-#pXFTBS8_wYLS54=Xd!fX5syaKH51zrh=T7gwH|AJTG z;(x)b$-m%ra1k({!9VZ{5LbdG<)Yy1nm5&-ZT{R*!yUh)3~Ud{gvuLJgh zKp5c+^!5U;KvePquY<4f3P|??uU_UL>QWY-#9D*w?|$FmUZ^b!z%SlCQ$e~v$UtR3 zKRctnFdD{it)Jcf(q;S;FhqLb+9IsW`x*V)I%6}cAN7qBa$67a#%3-M1N{we5Q*Fl z!C5`Wu4di+a7Nf55Qd{OUrV9ZDHlLNf?~!ho>_v`!2kg!k_OJCh+%_bVyH&f8AAv( zDJmHlel*VHbNvni2w6}Jb3u21|Nk)ai+r69;AwM+a6MDF9rViy-1Wg4Fhn`7#l@f1 z05=^r&<7?^QFxjd+u0NH)bL?>S1W*dQGIZ&7ckukj066Z_`Ztj`@zAxo34*a-M{IN z{9Ld3_?=n*91p&g5f-@Y{sIkeG_XT8=+z0&wf7VLix?xHo)th^fdBe62G`EL%s!I0 z=mNw=G{o2p+Yww#;&cP>!;Jr!+Y5@Zm%1{b8>|CJvGM;p$Mzy=RS^LQbiSqr3SaSG~TtCFngnTg_-y60h2V0f^K@cYg{5Fl!VrSB(;2(Srh>A;yBp3?+atSmg(} zDMuT^;v^I9j|EheEu^4SwIL``QV@s)n9k|$*Cd7c2pHgr08ot+0$T42sRdvUgbRI2 zX91{+3*k^38l7c-vf3O2n9jg?p}C(=e|;oZxd8+vM1FAsU>5>UL^SY2d%P2%>GLuG zix2MZ-~H9)_UaXGoM*)dFH{%U0#YUrUZ4|Vz^ZKCD`xyAOGQr{z)OJnLMZ&*p@GN0 zzzt?(2nlGc4jRq2H9pG|+@vzr=pf(V%nNFH<-7oD^34O`)PQ%>XkWk%Fk%Qmj+Z#& zKT^m*hz96R_G2OMtG5XcV4jL~JF^H(p>lm>4_ zRrPLvB4G8zpF@X0EF_(8s z;tCByqk|zVrt?_#2S7OV((yh6=mC&IjUVU@Phz3h*vxKde-|GF;*Vr`xz(U{GXHS# zG%=O3xTB!G0wo%c`z`>K0QG$Z$`_LL3Y5VwKzYAD&<%&0HAHMHqwQTg;=PimCc_Jm z)(tzLW55|9L|!4d7(sJjq5ux zuVkrL))D+Iyg<|u>=bhE6Ux@h5TYR!+TyO81hdBNiP9)ZHuOyNf(^ zn^D(6u;cyjDv4bnYyj9^U;*=)izArkWwzJ2krCQBoelb!D-5Q~(gaRa%L5IdrK2oR zJX{K}7jDqKEkPSQ>U9wDfU4nP{0tqz$?$=h2xvT?`hmcNIH(s4@L671;M=}{ck(a6 z2WpVOd{;2CfH@<9rh0J0gR{4-$Z}D3eAfyUR4jPW&13Fs>KvRmjsJ7fZ zl0*Qtr(XVS*#K1JpIP>Sh0l!tKP1zGgRApE?EoI)H@b z3$hXry?X+X6=1!u$ofKNUXT@_w=c*_uwoX51Y~Q2qM4}-{q@V!$jw}&Q2@dVsf0LF zUU?Pn@TTSxXaiWSM)1Ouc8hm9Xa*OFft0Rb2SB5Q0H8;;m=n!En9&fbX@Mai`a%2n zjneNN$mqhr6X^Zdlv^HNMv1SLi=c>;6AcYxHZ>3gnspFQQiN+4C_o&%Y6fKxJ$k(&iCWMl37jJd?uLQdw8?Pl`%VX%;Wche>T)D1)rjtx70K&}wx zWkp7%y9ERjwq-!pZal;y(G{M6KuVvm-|Y!T~R%t1Its% z&1SS;31)mPjUb>e+J5KTEFgs{4491&NLix8S5v(>MOCI3uhh$2@ypiWD-EG-F#*9R ztk5`a=UROhvWyj!L*9cw?Zvhuft*SyhJrGTk)!!^HEhxS3=!6bvMIE01FLB##> zI%(|so~@@=Grm@6zTScbjtR(CLm+T8K>?o1UB2MubJ0EWkM5+LD@Gw$CVBA_GTI)1 zKxts=zF`{^5Q&oBG!n?}7QE3*XS_uv0h+36)vfdhxMX83Y+_y;Z#`~#PuiEJzpW7EG$P?QJQvE3M&z2dPz z69Ysp3fYN&N_RB@Ma|2%!DbaEjoM0T_aI$$*bD6o2p&Jh491>HmQJ5AywIhjaV~`TjRV zzEIfvzem1rScsX4YtXu+?vnQ>B8k-_Lty#h6TL5;M>8!Klr%Dw9ik3mBc^f~n&#)!cYkBGfKH8CO56y=;|@@23@ zTV+%~+ZpmGIYInmuvL{(+s*IQ?7Jgk+;PBXq8|k!QeVFRzEdTi z)TvG0z_V_^b~*mpLWpZA6Zdn8fn`=56@fUulG<~orXQ026O3or*1Ee;6+G`KUZE_2 z;49lVjE3NA-*<(3O15e6Zqq4*N8u-Oqu<<`C$c;n>)`OG&-hwLHJ>=Hl#mESse?Y^ zVeMLd75EZ|^w#!+^m(4ZD38x(5$)jbYjBKvWya%-vnQ>8>v8`!RtpV_Vau{>&9P~Cn}1I6(l_r8mR)9&3c9dHaIKH>+l?t zZa+U}$_Z{U?|Z2T=5$-U22Avu@x%*yp7e>3gk&$~``Fl!6wTd%$1*uEvU(gfnmL7b zhY8~3(qa+T+X2eoDXi{DZsD=9qyXL929H$cSY&S}?t^wm*~4ULyJ(;1uZD$U&g2WC zd^!a-{dHyRAz;UsljhIstrpxlMDuzXiUPdXg#gPVpZJpGSIENDaK7n4eczaB4Nq1b z{H?7VPz)h&qEk}-cg66j*vVdiMh_0!?buX%qSt& zsKFt1xL-5>rNw>i+1%|IAq4`}OSAwulY(N7MrRLKX9Z5SP%2%W^G*el2g^fW%wT?h z@#i&XOMr6;H=14C`I`FjkS<()Rg=uNlK>hCZ6}_NqzhCyaybGL7l*I?ucErg;Q89B zR5;pUBnqMr-u?g_coc>R2=PRaRTDQnl~YhACCvP<-Zz5B$#OGQKWDS@_yfKye8{xP z4CHu(DX*&mi2Q*=aHcln2(2)8jv)09QucLSeZM^2d9AvdZW(+g+a&Bp>1A{)v!#Mv zp`gW1Yr0q#Cs0`wF`X6vxfHL#umMp`Omna5_$L3o+HHw7*~3^u9ujGlBUsv(JXRiz z^sG4?Q|al)mn&$H)1%)j+v?0iea)X9ape!SGbaYF6@OuXXs;rf!o|&LeW57~R91Ge z7IWDbkC0b?@5HP%XDJqlHP0Kk|t{Dbkl~EnkHl zl70Tfi(cQ?B79YmNBq6Qm;X(ScsFgOi#)A(E3U< zX3l3b3^;#naJ~v~!KhcAF#aaD#v4s0mMmoLM6E>6;jU%34 z>ZS?DB|7OJ}7pxyBO%ZracVphu^qQSX5=azB)$fOzjAN#$2zbJEo0Z7@Zt9)ff zAT`_8vR8pP;&4x7-C|u~cG?Jms?W-<#bQS6K#L#yV?@2!}m}4u#c* zFDeuQ!(*=B?*;$R2XK)WXtV(WyiN4 zL{UdOiujJi1bCfz4`-m4w5_-QNFgBv^Hi%l`ANBBgE~SU47w8o*|7JB76)tXy0xwR zn`Uage{Ou&nxTHzSsdrhvZmJ`TPKwXy=|Q7=PI18@A<38ySzdhDm-0Omv7n5n3<&v z9TwlkNiuvaIrtL<{CE2fZX;?E4rWm*M8ajIS0A2pdFo+?R?6SBj<5))vLBg61|3Ty z?QGpv_~&v2l1w;nbGL`+Ly@9Fhb@R-?~iDc$V{jtI;iLrjkfVLt~mvu()g{}7+-$h z!^79bbi>%dY6Uc(4q$q{k)cr?w>V5srpf#Gu{Vf5E{=XSx={^YQGv=HY(B@@y-IMt z6=uE_Tk2XMK)SGCeYcQ*3fKoz0-d`j1_bYQe{qY?MK%!YDPA5O(eRDpyUr+~Y!VJB zMKbbyRXO7~%zsw>)gn*W_wW}^>Z=mZu<4>Exvs0h%M*VHxAPz1a2F! zeG_K6VQCcC%MT$24!07O#t+w1Jr4%%2?$xQEEZ&EU^oZL;UZM|#5ChN3zyeFQurp( zdQ^b36KZFK1N59CmLv1uS|r~5<=mO@^2KSo&k_prX=||Z^SBdlR0{J^=9k>AoUtA{ zP&4+aL(_2sp9FDa)tbLT`0*9o;2VwHBBkPL>mWcRxJjQ#FbR&NS%JYq+=Xf)wyA6( z3C)va)LXY)A{1tGw2(V&SG*a&vGGU2=wN=P7h-5s{^>h`6f$__Wf!DjwNZ1EnfQ`1 z;#&-(=$ZSoc;*tciAPmL%hw*-1o?AaXtu&M;x@^h`C(N2E5U3#4jF8{I9*1SWlKRJ z*3Uq`KW*q-UrdMjT>3{{&56Ry_pFKykBoAgvj)-jNVqM4{4E0|cF0C-m@)e*yz0Ta zKeI!3SI`hvyqe#i@lnK_57rYM@jKc`v7@FeI`2~IDM^EU5 zu7=&;ErPK0yl@H22kWz2xmtjOjy6;==c>^A>d$q*PN2s;hb=~#@P0Dv?s{*I?r_%} zDvAt4WJb6iZo=zmQ#uxVtSC&lZZsOp)nf7vw1V=RG7es|((m8p@BimvWy0Ix6=}k& zw?KQlOte%_75X~xP(Ur1oX6DyXG*;VV`@GCJwl@E#mqTo{h%98skmMZ-E_WU4JIa%5?Lz=ci40z3mb|jX^h+a_$5==4w$Hz|Va@hx;hbBu-_3pppXQA)t~Kw^`r9v0d%6#a01SrV z?7hF*ihBI>G}Oq?M~vZ*Yw_lbyXWMKoBKRUUCv#KE0et%;kXvXVmG|9WU>`ezc3q( zD+5}I=xh1t5EXB0%*_%qg`0Vw)?(WMPEmbYE+>m|Y{lAfqwAki4+5&c=kc45WVRPe zYaxx&!3e2F$}|(OS?cy|B$|S@>{}8%8?ywM*qPW1w%! zVasD%S`PpMfF-~ZxqoMJ5OLb_*sZ%X<}kiYHK7&!h`Iqzyj+%>a_mL%?xOPU61MD- z&-CIQxk+SY5;@BA?w-{donmtC$^O%FKDCExu@QUFP0!OUQfM+wZ@ML|pHp+LE6J}b zt-KuQCwcOYHxUK<;)BGDP&V|Fh}ij~8CrJFtopp?SLg5(6xN~|3tcJS+VCtS7;@a2 zLiYc1?wwg?mp`mVH4cQ7*KYh9wzvKX0MvRsi_nii4|BF@G=h@)MRURoB5%j*u641e znfa;>vDHJC9{}_7f-}=hvDM)$_#4*1$a&*{$cB$X0rN?lVym9Kk!}W=%domlm8$xi z4oVqm-qgH(03mIfs~>KrbHC0;3*bB9*V(@@Ab1!qi>tKOL^nn36}(t*>hpSY7 zTk7WFTryH9f)0AMnfIa@528F5;Vx^KC*}%8>-_Qe$$xtw4V4$gLpenCZq4CMFwJC3 z0EMb1=B5}aOqKA63<&rO+mxcas0`*T{~TIpLGeKx|D4@}Sm!Fvc^jQ}hWKT8%S;!5 zwVP^9e)E2n;oQ3ls|eFJ*Hy)K9n;nTO__J)pQ%LuP3Y9Yfqp(T1nv-tQmyr9R`t0X zvmDKZ4-qO+VLMx8YgVCL4jS7vVOr-N;XW)50}R+@WFoww&Q0ckd}oEGZ0=2snR)4p z9LpykQ|-Vl=o@>wy9oiv+o;wAZc6I^#8?8Dq3syCSRzoXx10C<+5X_ghIkf{^|H6y zkurJ*mc`74?pYVN(*1dtZMyqR$bF4%7?GOwa?5B=MRVbY%e9Nuxu6Fd!Sl7@wK>9j z8|W!=mep}F#l?HZcV2f>Q$0rHw$-SX!E^f0tSs>#nU0#gZal53LoEf&M+c%($rg0T zH}>*L`?jqG%%MACMs_2S{aL9vW}zqY=gcvfX1pTh8XFP)ge^E`I_nD*c4Dh7x~FF? z1%w<^{nOIiEG-~R!Ie|dRmR*s^C-`M6;yP9Mr^||9Bq1u2}~Oi4SK5y4_p6+%dN0} z#7+4mK&JrZNH+!r_`I5Wy@R|K+KevdEGYU0R!=&YE&b3B4X~1X70{*0Mn!?6%F`Ou zK(sk!$!;V7PC@SbY_bcn71^KDtPiBKfMfmlJPMnUbpRwD;AZ5H(tdA@(dK(n(}#^{ zp{+84Kp%Zh1n`s{>UH-f?tX74iyeo_2DJZc(tJJ3BQOCPgBt_wP!-=+1S})!vEDVk z!~5AIeJo~p1Qs$Wu|17OdU<8N#I_$g?hR@w^~SJ7^dWF)``DurHwso|{}%*qx4=)>pe%?Qu93 z5?{;-n2;Nzae^25n2_mgnUE{l^uMUzbWvBmdMTxeTo)8UMQuX42{@ZgBBVPCl`5qTMvwWf8d@ZVP%iJ{lL}SZo;O0z!RD zi0;$fRzjK7I~@NBInb~m(vt=nUNsWwfjR#-e7*QL{J8u#82uS`?6isyimc-;Xd4K@ z9wLH@3qYL$h8z)-=wo3b3=Pys0&^tyawG?GBnfi!Y=0AMw?=!PWk}bijxMltKK*n7KTac} z>sNSU4h?M_T`z@4X5W7quJLLE1v0H=tj9F}6KOXvK;{}%z#Pp^0pG&td7}Cfz54rZ z$JBG6a=)9=_IbEVC)NB^j^ynLsI|rRpD}(_5$N8} z90ehK{RXC-gxxssHX&hr5bW7lur^h>x_KF4x)qFnoD}$8GWafOtpUrQubYqGyoMY- z%j?eyTqsj3p0|&brw*K8jX}syT(>2M4hddx$V_pCkmE$sCm>>hx-5n9pu3_MO7X2w zW4!W5=6SNDX+<8P6Qp9eq=W(N`G?e0s*c5^V|$-CyAQwrEQcN~?|Z>R_D1$%GpZt$ zNZ!?FmB9ipQ%j#}a|O506H%L1tN!41CH_RroVp}Qop~r06U0`A!-1zXK3)+!A%*|R zXt#F*IylKaL9l$Hmw1}duIBQRT=<6NYe1fhZZBv+lB@f#lnv#(D*IQTm%My2cw#@l zm->}GsJc#~QWQVA!2nD-E}Z4$pDu9-Oh2?+Xg{q=)2)?00pAe~%I}J}HuA-fP^-T_P?vDHQvk#*dMxKt+PmRSR| zD;POa^^s;>9Wkfl(N@~ys4W<_)fbC~F97hsK>y9V47Dq!Fw)^9e4EQr9XlOQKkc(yPlGoNV-ZK>$HF8G?zo=C%2PYV0p1NUS>H5l+ch zPBVYYQHQb1f%Ej7t#{)P1;d>K|bqh4Lc@<17Pf z)H!kOD0pKw8NL3K?8|QBqrbga} zGKB5)bcfA^U~*YGEiVrU#LW}JC-_FHmj@e4%ys|6By+;(Wtb5>N~*O2zPfU}rHQgjTKjPFnl{<+;Yup9LJBb%mdGvbrAcH|xxZ|2sSj-J z=ngm+6N(_pZJuFf!BhS$M%JHXS9mfgecGGc#*3@7odDLQx~B)#*<^X7^86w>bVBv? zTeNnC`k!O*M&XE1|4?10y@zWktg5{q@2SR-^weRByZ-Jx^!uvVqb!x_bm@o!8Fpt;oe`itsSxITd$?Pe) z8#J4@G_zrA9G`7ui4P}e; zyRM7Ad8IOl6jPmn)oAg51!`8KK2mE>Z>hVho1?p<1NLb94g4J#J0d6RY#oKojOYsZ z3iC3a0;|qeo(Ncw>d2Bk-@SEg4b4eujZ!h=`um~GC#;Q8#YK@t*dY-$WHtVLnfQFG z$cl%l(=w+0vdE{@#XQ#+<*g138ha766X*4~ALHGR%rAVrq1PNP@z+byGW`793pW|+ zys{s=0y6yHu|r_B2jGhlwI%UKaq%oa0zLsvi?5MiB4m%1O@&iQF+0AbyWO8qdDYsX zoKTgOvi6FF?Ed5+yU{Vto080(yZ>E8V%Xew9@93is=!M{3!I|~N2yNtv&y?v_E*l+)<0&A! zeFMI#N1yTaIECstKt(6HJwKGHCEo(55=ymW_D@u{UXxv>Bb6I}Ii0cxWO?CzefUz+ zM-&H4A&&!U!^8m{b?Iih$>VrKq_-DHJt0f@&|NL>cVmb>n*Cg2pOn8bW)Gpn8}EMR zImo<7n|0D>x>=Ta!QC^*mpNaHsDHuMF1;MW<*3aeY^kl?=z(>9!k;>?TuJhB_Jb$x`3NrX;{&G3D_1pqzWD^@rQ-96YUGOZ+#CXy& zczD0E@i|im*;&TOj%JcZ;bxeGf4~ zhFXYua=wr3e_>}?*Jpn&51yEl7RXc^Ow0FbIS_33JZwy zohK4rm@ksjv$6P8-I;zx?!eevJBTCMmP;LzpwBC$DJ-I*2{8SIOqQDCdU7KfN!R#> z^$Mx=Fka^h$@aJw!)}s{^8mw6z4DYkkdxIzy3e!_B2G+b>X6hYg>WLq;E^QcrQ}^J ztm6MLvA1_rJCj;^aMZf&{3>O4d~zqtcmQ}wHXD*lF{u4mY!H-U5E;CZMCn>imqJkN zBjOln-4WEnsdz)K<){d1ycP9sX(Rnx$uD#ge<8vH>1*c2ccmh$*D6?}AVWjuE7kSZ^t;*9vp=4l=O{-E46&`Cfpd>3Cd$nv3Tq`X*4{P1V`=AF$K@NCMWz+4z zDVqDdkYG|xqFTr}0Ex6hK8UdrJ4qR@H8|05d_2%-5suX0k^1}I74eP;jKg24Xa$F* z$HV%!(&ZOuy@LL&Af|Hs8hXGHmKzia`I5fTW?w=o1?pYG#ad9q)bSd%6An01{SMT- z$DLv_NGuih8Ci;DGv;g05Y@lGk*){(2u~xtCc+3XOYPylBeKhR4;|kOl}cxkRpx^7>p|+X#Y}XFeZ3YNF#ah2xdw8 z3b+RI6xo-B&Z9M)C^Jjwf61(>Ay4Q~)<|YM(sXV@S0YJnRBWC+Z#j)8xIG%toFz=3 z?OgjvvU-{Ra_HFbYo9gZmk5A_d&O9pDvLmA+9uGWDf712lVFxPs3Dn7Y78-wt1is_ z?7?>0wndD#pljDLA1fAfige^Vf{b9vcRsSQO~{_xOZ;>WF!nocA<5m}?}mjW03?Z% zy1RHmi^Za6-Q+a&mqWF1Pc>`(y~#@Ln~J5bLudIy1&9(`mvjmHfQs*O#&uJVwYEx* zRmh0x5(yxi=8cN&O_I(JET}Uqi2$wsMY+agy{f*>90)Slyhu(Mf&KBHO5Z9sL)iBQ zG8{9UXJgq_1~R6MrXK4uj5VX#st)n3qNUi?Cvz91MNdMOxUqDMG>?AN^9cQQtC2ru z51(IMv{*Lq-#i`c=&vh~Q}Md2agFeIcQw}IjC1yeit7Nij`n@T0wDHYIS8B-lsaN% zY><|N2I^!RFF}?I3Aa;=U2rZC~6@)B- z4^vd#!TqA3&tSh(MTce!PkQ+{2>_5X2=bLok=7%}D{F2HeSQq)kz#Qta`wMtPAzvp zSr2EvD`ZG>(GeE$J}&#Lr9rN}LRnD)9KrThRUnxFT6-w(U=;cNnLCI4PHOxi5>AgnxCxvpJU5a%fhtdBFf;)p#j@gJI+cIMS0Ou z2>(aRA3a*u5(G6{>=L)p{z7DxZo?g|;PQidW;G1#9;F=}EOkin9UKO9%#MV?$k>v! z(&1E>VsWCn)NjSM%X4JQ_QY{%-{{e%oM&21tdy+;LaL?&*4}ftZ*BX<`?2fmu+4&y ziqw2}4Ggd>+5WZ&TZXSMVS$NidwwMIV2 zNk+|msQsZ`O&=Hop-a~BbeuMx#>5&tPd#dNf&G>JD=ZdikF1|@L+}$03C6HQkoE;G z?KA}r_)31cL;l0{1V*x5orIap-I1KKpNRn`evqh-&V~bVdUw1z+!gla@jL z;g?8@jem)DkcZua#E7>s@I9lJBfA9oV=s}OCdmF0ef#w^LHU=+DESqzXP;gA7{e^S zCG|`d-KAilpR-Mz(&KotG@rjqaRuHxzXLXL}N&P$u%{2gmQ|=zVbqm5z&lvQkq-nDjv29lFgASJ!UV>fGB5L8AqOwd~G zXX3F52g-eYdv1I6p+0qNZp3Q{v9GL1XW@B)i~xa8Dx|eKSVFrZ9Zg)}Dr5BauWkMw zW35NlP}WnUmP;~IyRA&9XVVOuG){`Fr}|OPo+A=1NxoH4^8<`ESX>zIG9|J+btEbI zsQ6?v$EhS&@Z|d0_}6DzIjT^Nxk2nZG1N!K$7S`ypAMg5Q1H*;gpfK*yrtN z;|kiylI9Ui{Io#X)LPZ=Y=3Eg8-1^J?ua(Q>0@z$Il8qPV?D`aC~&<26AUK2Hbmz z6h;WCTK&WSE4leB;&o`WBI7pO<5ewsdQ8D#>Kz43e~XCUFtU*BHNUzfp0c!3ND^w% zXa$Caoz(pPQ2XtHu-sroIrn}LmS-fE{NnV{$WWGbs;Mcv*u_LZ9GI1n(CMvR6{sxn z%1!x8C?SpvUx37*ws)iVS(aYS!Ykc9|E1(#WUQ)>U@olEpw@`WuHI;ml~vx^X0PQtOR39 zJtx1nU5*WrbR26NrR3f6E5b-GAe3)F$M4Tc( zzltu5S4C`1xthPgwoeLel=Je0h-n1JPSBP|OjWjO?G>p%*Fm%yW%&tGv;F<`ibxcg znrS~6&V@0Ts}5~pYWiFv3-B2TLQkfIx=FTNM)ZrJH^LJVy3plw#KRa>KjcJs-3O#Xp0j2tuB#vbz`%Xs+8+%CHdm5 zE{^&Kc0?L4nFX&?+gqWQIMq~DiY9qd^4p=V*)lf*_L$@}pDel7q)Ka6wVGk&*E%m) z8hwIKiAxBBri;8)*LI1oKrKvhB>JEJm|adwR{B2YVXu5wJPg1f5>TZ$GJKzSOk;xvTPWybXN z*VNDAug->Fs`TvT60j+p-)l)W=Ok4$HZkBes;eZHlz-?bJ`|8TTy9!ecw6Zx*FOEh zko@$fJ0`}CCtxsrIdiEn;Ub%);B~^8F?@5s;HAEmV;VYW+7X`aL{~VeYS*R0(-fmn zp4-91V72#CIaGhNwZcYY=C^4QCV01*^Go-w(A|82x5ZZ@AK5zNg=U##OPFus_*x}A z_q!#Y2rBU>Eiou8{8=dRt>gLJv9uoZ&9J<&9JCzMfg4=)$RHb@ypQ=l9gbJ3HJQS@Qg|6lfhB)8xKJpF^ymzWAzG3ELh-KDb}MUPPqI8o;^@NZg$Zcc2dOUtBr`L8!V^%XM`PDHwZA33Nrgi=S#`T z7^wjNt)JBW{f*)3S5HR2sFWGJHy(ei^=iX-Oq~vgEpp$9TM#8cd}BIMy**30InF4& zQaMePMz-P$R+{T*$P!ktH~i7)VU-(5c(ZT@#7Nd2#AZ-j9<- zK5E6oFHVYi<5Xo2%K2&(S_(};nz_l-zN2Sy*HU_*2pPIEtCL1)Yjeg_OcHn41)ycf=1j%b4oyu6vtbEUiF#Ud?f~S6K06 z@8>2oK(uweQSytdf`J^=!#v7xGp~P(y-9eFwH^gQ&#lt4yX`6aiE{Q<(it}SCKb^^ zT{YF@WcvME`X?oe%E>E+#Im4=Y&pvA)Vv4cM@rQ-I65X>pcNgGby8D<((?s=shnjW zAJ()*AM}DpR>Dbg!|W!dv zsw<0*p96h@Qhv>4{2lK1tw5r6ZTwZW%#Lm;w_Np9;&xvB5Fd##+fh)bUa$80eu=~E z+q`k=mwL5$wfFZCYg7~O*s4X8mqz8&BtmCnv5I^pnm z3>6cGatk_|^Nv&kDa91{O)CK@&iht~Q;B^#cMw^9S=ib#5MKLMVn{Q~@^T$TOvmp0 zFE2It+D)iEF7~pl8=5eHcPz;$8rY^Hm!j!pH6}DQaJ!(R@N&1HGs2XZzR|s(Ow6G- zcca7KyKtk#@4tAX$1k{*mUJ*gj;((4)D<${@5w!qsnr0>dBedyljN*u=2&c^?_>ql z#Y-^i{#Bev)_whGJnjnFyM8q5NWY_x4?cD2n8x#Ts8b>GsEYpH+W$^=;p+Bd&ek(% zy8V=MhppR2c{KPdWtN6FqO@4P?`yQe;O^c`on|&gfd5+(oj&95(6+>$S0C`D11xs- zst<6>|Q>ILzFo(fhdXY^SePyAZ#VQSexzPhG_!r^E+R^!^{AozP_d;-}I3DaoQ z?_^FNJ8C>jy(_O`bIk5ixfg^mdie4cysuY%d5qVzXs%{>DkyM%zVrpwcL%o9f?&ze zR~yPSvQpMs)H?WyAEqWnm;Z_NSs-7?9i@2Pm%Jbx+p5w z*;b=)<6oek1py;Fr}1#!3M0IZxJ?>Wbx&t$6{%LyrlmM~-g{i-FR?Q(s=zN4S0)$3 zwQDC_o>InFz4PDqu+knp%Bi($EwfzIQe*SdV!n{!?9K3Ad{%F_@~gJEQi!bSD3u>) z2<|9o=P>ZusL$3?TO78tOB=62w))Zah<)~MO?AKn2JNH3ZRkwH zxO60{ihP^-z^#1El7MX0jkOXH%=IQ*wwEntc4FnC*fWKN)&xXI`>KGO9ewxCzoKqv z0YNhHJnOaBCk2b5Jx2l_>cm1C_!`JvIFj%0$W}#2k1vY6&z0($q%j`}- zS|;zAA*X_+Mah6(Gv3YP$)WOO?0Kr9A?`b`Wlx=ua@L)sZmU1vMtkn_D=GNyutWf* zf>Y#fRjFe903n-iMh0|kP?EX!VZtt6c(H<}$)4`YFxY>u`bReP)?Fao)7!3ko+t1v zPfxI`6+!08z7e$S23(uQ=6yiE@2`p)67jZv-eqwgv2%W?KYu;|^4+Rj;uv#l6+seP z2*QhYdr0(tk9EF2@%nHtzV+#NsUw|cwa#c@z7#(BfK*#m^PAG^>xYV$1UEN(-{3QS z&4zXLEow*W56SGuCTG`yAfs;I0YQ7FS!s@kt3T7ZYebXY#)cY~v6nk1w-z^f?UE(7 z8RZlr4_q%8XKyc=PaY5~tB2%!(fnu#QS^17K);_soyD)|N7zXeJ z)qnYwwH}O!TD?m!HGk)nTmdiHov%T-g-mHLoox0><&+8<}0PIDY)aMQ{W+vjH2L))FQ?ojPjbs-BTX16<=3$;j{gNmVM0psnO zEn4l&;;pOt<@D!?C+`y2HyLmD3+$!7!6o10(;prr+WhnW?wAVrcA)k27%ZNr27lnW zpjvkm0q(0G!LLBVj(&icnaKr4vqA^1;rO(m^n77M_1$$nyugRN`j7oV7T~u)2 z`m7$ePUw)(N*sUst{j0|7@rv$PvB6e(<@A$rsE+pLz~1O#gK@^IR)?RI;O&a13Ksq zZ3i%>RZjeB_x##Ggie<0UADrckP13tdJy#YHaHy9ln2@U@RO7gQU&rrF;l8sOke)(#w`sD#b&6Qj9Z-!RX5BIDeE z*5x#2ww(R8fU{qc54|g}9@FcA@zLFAaR?8k5ez0XPd8xJcX$l_H4Pvj)b8#1QJi2; zlK#{cvlL>foCBV{e-?L%yH|oF%|~RfG)Yx%k*x_wdi5JaY}AW?G^Uo6C;p)}DG&X^ zGnUr?e=gqiM+(8-bhiG})b})=??6MN=vZ3WQf*BSb)$}k<`5u!i{eM6YbhW3_x%$< zWpPlcvS?+ovP%PL2a!R9Wv)WRMF6&BN9=bG!-Qu|y0Cfx8B`LMC>q;5*44th!)J;FrHJNP)vF@`uG zcF`z?t?X6W5u(Yt^Dg!h=^RJymVjxTfS7jR+mYAX`N%pL47vew+nPCe@{p$#RpXPN z49uW+T-zt}`}O+!`g&nZO@%v4M!u`GNq>41yx%(0NuVr8-zWXxCEeuG{1x`Sa{Xl- zM!SAEgT1-BnzAQbBio+3uNVlZ7Wo>0Ef?59aQ=iKDQqbw{FS_3vauF_ikt0dav+QQ zhS_48Z)$SD<}G--OFpe#qHTR zf4V1RG3vs=4D76w;flP#_uL`uu%64f(B=xg(8gQzY%WTyWwBi%+d6UI`@A&RSPU~3 zG{RvcXXR4^;&a&#V;U~P;4~LY#^HXlwc!(9u_GZ!lT#|BkNAclS|;QX5A(t3sZB$u z(C0lXc^4{~aT05P>0j6#pvO&_5@s&B8# za%i^q`@+>bhVH~>sANUNz1qa7;zA@S7G2(r&`}TQb{upPO_47tT?Np^k4P{!|eGo<~EJA-Z zOrV2V%EQQA!vVU&Ep@GNGB0T$LeW?}`_O&PEv<|7vQB9kR{JMLJ|aQcu+gwj@fZxd zn%{D)Twh2fHEWMQ@qu`yM@BoH$eFA?X;PW@zud@pBiJpR!Pb!duyWhs#{X8x$PNcw zus~)NGRb2a!Gkwz4NjN;<_0qt*0cAk;1bvI))X8T=V|`?%d>fhe>Gwt(c^bcFlqHFpti*y2i&WIct}Vzbf|4_IG0yx@TgWU2J4p{LT454&PG0ik;Ds zv#XsC#>yt5L1!d5KVX&Sl$6^@ydngzNQCL52 ziUv(#P1*rFYhY`?ls8TD@pkkXQ|ol=6e^v$TN3Lhe!a!0Z zl$P^bO^+IxWcon%i_JqfKbH3LI8{~I5?U(zc2|9QayIXQt;;`Qt2LErA|^X>CIji;^^r`@XQ$e~L)cL=u~1o+emron17fjqds~JUZrm5}tpr zO}|cRo{Y&5mSzb(7bL`NRHetkSYyYH=SaCaIKU*u2z-xk@TF*G3cl+ubV`Ns)D$&h z-dU>jEMru9T!6AAq1B&_Dhw+;)VvOq74VU5q{fElZ8F#oC_!PL} z$$ZU4Q3ghMYkz}c8y-H*JXv-8kwpz^a%Y|QqE1Eq_6nO2ARK|QRASaV@84v~noKRq z+HZefPxjS#APvK{pJtmqEc+9yc3$47`3Kgpd(<69;R615_?2tX*zzpFCSw+zl(bzR zroOIX`SS~J8m*d+-ZlIg4oNPaGrF>%ybQu9ZZ#M)u?4s!wZrONSH=guGtXZzEm1qh z3w4625kMns^E+LP(~uOm5WWr_x?wz^F_|Z6!QT`7C|5s~#rNhV}Wg zU2t5G&Nr$Z^)Tr+tohG1hkf5h81EduH3spl;I5cpW~Y^%8=t)&Zx3gbRc)q6vH=rL ze+{W0!3$THT6Y6bYt`9yHrsY4^_ljyl%MvPwACNjSv3VDX=Y8G_d@W(ceNSMsrkpp zy&4F1*%dzyCH33wV$xZ&Qzotlae*enhsg$oOytwhWA*8QvX2-KJM+3PV6AqK%B!PX zVMa1i;|mW_?7h;=mNWzDiF`gCO*h8``~G9qDXCX{n#oak=EUAA6-~PI$x+Tnm(Tr^ zrkrGK13V|L@2--(6#s*Q~(}J+nQ%Rc+Hf z#c{Q+L~Rc=TRKh-YCTFk1~_ahDtFW;4&+v490UxSD%ELUDd;>DA3Bmrk4sh0{LrSH zU3G3b;Olo`chNt?@B%TDL=)^Gc`62PQ;FlDx7vt>G;qHs|9m3dRNp8t(ktQ|DA%o(si$x48wl zYokvZlaFTJ>MS_ac$2bqvue$+Gx*V4ll+L0P9ug>P0f<_GVR@}LZp{x6M`TH`Ia8; z_bh48VmH1~Os!>)Xlf+Grdp*&KSoPlmx?;-{OH7dmHf*qukMPHdY`csPwYM*f3~Y5 z3JfL4;-|AOxdEwP?ptmV?)$3fw=OrS%DB|IFUx}!j>unk-GPxzRmn@9#0eT<5OyQ3 zFHB9z`~lrg@63BkWRvZ;y0AoC?wK`eIm*iEl2tV|ZD@7Lfs)bLsHMU}vbM}8XKmZc z07P?3SYSW-8+1}EwReeYOlKPjkBQ@x^^pnPj8KRYWIOA_mB)%t)xxbITHRQQ;g`XC zbK97l^Mp0>k|}=e!CZ5i>Hi51Gy!R&Ce)Lj6vL~mVZe=WfO$8-%pPANjxFaxB8q`) zg%qH)CNl{ZCeLg_fYrDUGMUWR$-L201I&|RD6P3En!<5wbo!n3SlAH};gn_@qZ`QcNECc*j>MMt|wo6&MQOg+sHLUL14 z8qCE`joW{0?=;b6J^3egR<0)0#RqIoBgL;R@xMa}+E)UDL4ox|*|iw6No^dBw&G02 zC{v~w1_L#vf1==|*VYj~Uud-PWV%LRZJ6$vwh3f5tqg$c(97*T*pCv{$&05tw`sya ztS6OWohe=}R?f$US6F5Q8E!p_46bIg6~qSQlcWSnYgD0so)iP%C=*)kZ{S@6!5tH8 zn9TkS^@S-Z^a(WVC%VvXG>Xo|@FTplz~lL`3PUQF63k-5_>Kicwff|L=G9j5pPIYQyL1SdN)lr9$vRWHV2&s=MMAQ3eDaQ4}Nc_#^ zG^55#SQ>y09>;R~1FOk4>A&Dl2xA=Vu&J~*Is^WQ>i1%bNlP2q*v=pIhrbt?6TyPo z!~y?;Z$ZEf@{Y1Ladt~0SdBmM&6MMs;0|BIw^}m|ueM3VIWP@B z3N$%{12?pz8X;hSvZ?$UYHz+*zCH>`!wk9eAoxT$B#6{92XaYyc1xyEh7Ib>8>PVw z8cU}`jyCztj@GRXlDBbN--lOKLVz3c0P_bykjf`c%LhRX&;J|L?#GKnT3+&ipLQH{ z#NvJv&r$a9g#iC0Rr;iJ{bTu_@LX3nC?w#7|*ey4ZHU0yVg6=D6N851C z>@#5fjz;2gsuu(I8LbZ!ka_UWFHra{z3rZ$P{SS_p#yS}J+I3-;CM>VGSn z24Qqa_Eekyl_8pc&k+12-EZ->Mo5XH@UHOSmD`(}P7>vcizGHFhx{p)CXWHI1D4Vn z?Eu&s%h+&GWUST^ufSqc3cU4Wcvbjq+yzZkV=pYI57u+6dDU}_Q_H4y>$}n#$sG7U z;i-#W&h$Z0+Sc=v+s|W;HYV~>(xPE+_`MO#f0vu;bL1+0;<-Jx=7eGFj%H+58!#Ge z!jSTT0F_BKd4vOiy4wNpSI$2o{nrPwLB1~^F)%OOChn~05Na~Xg9!Pn4}gVvvTm3M zKI{@ubHT)YWG2=lcelQYcLTE5R3iCa9x+h!RFLb{4#^eo^cRsm&QJpDG#3*L7jpWA=s4oOV{1+7H1gL5_#FD#PpaNIaVEQpY)W3l& zSwI)qE5{sZ(`pPW(`^&ZZ5C)b>w-VsX|+E_^Y8Ldk(kqz*IfPA2FmC@U7x`|`#m&I zpB@AfWc)u4W6wIO|JII{T}$8jq_MBocEo9E5cpdH62aQ=A#YeMf<;={cE61yvndVY z>`}|@E!njIL)!2f|3q<;0gk+(9Gec{GTnOKxFz75%x<4VrvN2xK!L$cIiuh@K)F3P z$5FhzlOGjfTvAJnlxfGpofGZ-i;;SM_+A}L;VK>WSV zVD5oJCX?+H5`Cr{H1ct7P)KKpwnFjhY)$#~G^v8pnq)MCA3ewtgQgr(n+&NGL*y4S zsZN=FnY>MlFLDy>`>qKRW{2imkj-K<+|COhC|GrFsuC!qc=y#HQudF;IUxJ;pQW)p zz@%Vp?VILg%!ns=>H@R+#UyuQ?I>@t(7vXnZ;9J14d)mZLd zfd2zscoLM7>2s=X#}r+LOgO!h`;?P(V2UY^PEv+I15U7 z^`Osiiwo-v(I=+bW48WRh3Kj|2(Onk)Cj2znJ6Y9V5oz2=*&N+l<77NF*69b|7{!p zn8;tCreyvdn~pcKF+<(O&JF12qa4Q;+}lGtYHW;c&h{}{uR}>(IN=WKD2}o?S4|3R z&Jpf_+c)#QRf@kPiryLr^Us0v6%Ru){y1x_?XLo?3B;qENKTPF^>Kd`UdCv)t|8`~>oS+id71UcLqWSi%=-s2i`V}&ZHi4NI7|{n^2tV6$L4RWKj}mGzZgBL z!MY`6v7cljxg}`-N4d-lZz(ePW0E_I{8#7nP6B+O80E?wML!nOF+ronk2joaEfuX~9Fgg_jFP0N#b( zK-|-QtSaddP{JQ~vLtsq;iHfb_yFrUBj0e=1?kuOx2z}GA!L3KqxethB`LZ)xas1z z*>V-f+dX5~z3mXe9XOmA`6XxR`8qprpYyd;(Ioq>$tY!0<%uw#U9VR+zwIQ03gKhX zt4r;U1Rd>qW~I?pRA4HC+Upq;EIuAzdw&+33X8K@usO=%4!T4`TYpOh&cMpvWyc2V zLk-&fU7-unSax>LTM~G`R)TZ+7=id1d?SuM8PgZpboIwTY$;_>RJIvlgV%$b+k|5U?Z7dm6m6!l(Bzb^70TtfGf<1~VRGgRqb${Fh zAPXP5x`S%=0pW}Vz%Alx-`sX+4LJHAR9EYH(dv2LI@Va8{QhIKxSvTfo{4~vc3LAm zj;x;;WJy~Dp(@vMP_ao|aS=yU#3Z>VSLo^js+lb3YAfR?@wbhWk32QF>HAL$`CN?` zP$O*!I2)v@_JSp=p74zqsAd}k!)=^4ZOHMt;#{uq3*(`;R;lw9-1TX^326^}`aT!> zK4$Z>%LzK@B{*opg*K;9BeUrAo}OZAoDj|GmKJx5yTU8M>%?=-QJs_LTbssM;HR~` z?hdD~yPOCGf{!7AW&9%CtG|>eG{Ti|IJD(TlJqIEC_QJMUi~-EzeHw~Q>HkK!&?MM zm1(feVRh+|7(1vqosLOy+BaYH&zynfSI*mBG9JbTumKzb4kAf*2d@GSvpIOa_bt92 z`q%=vHgxsWXgD)8g*L3;W{xi(p_xDA$4AeeXX+Isd>cajiVAfC?A<~Q z=7&asb%dXh4nidY03tp-a2Y{wj88T8pI&Z(W|gPja1PTo-#qlTp-ckbCs+vp_;wrj1rz9zrPi_ zEzlg?RD;IXRzRd@q$sQm3o6Efj`hf?5#{RU~+(~tNny9HE8x+Qop)?O|4uWS&0rmmWFu^w;5=smDLD*Sy8EdR9{;< z?l9=H#pvOXd@S9wC&}17IGZQcjwI=C=_y$`{N-adWKQI0uiF9|m>3m&^z3x>l1-c0Tt-oM8tkWSqP7hR|i@01jhVr5t3qIQPqs{1Bftpd}MstO22$JIX{pLBy z3Eb`rEyXyF&&8*LET-2&wPXKCTeoC!XGaIuM?xur)}_Qk=Vwf86;ZRnGg&3RUr|UE zWT3rUD%#w@;jpEva2|GhS)f7jK=}@t1T&gMn!sfouu^6$4-;nPw1d;EkdVYn2351< zU^Yt6iXPt$6HEjnY=eg1d3Yh-timM?zkox)b<`3OYj|^C$Zcp>Bkek|5n3gk<<%A@ zi-KIZLfJwN@8XOZ5gN-*Y#6q;ONokrZ_3w!I5dEpQ_;ZHTbnPubGgCV)F;~JZpEHp zDd^wpgA0B8%k+0`ceFObH|1`<)||T~T1HAXFQ>&Vqp6v*5v$JaT+hgp6Xk9)vlp?C z3EfN2Guz1w%05^R>q!Z3s6_$=5>&V|^mwOx`18mVgdpty+9DrAeBIqW6X?@jqA>|= zfWJ0sHMb#ll0CQPMJb#RELR`8vL}TyC=PefVS;9<9U0&!aDG`O*Iq4@yMFQN!%HQP z5>=MKmi}_@e6sK&X{{9Li234fzcesEY`&kO2Jv{Fsr#-0>;8qEnuUFuCWm-@tUKrE zQ?0+Fbo)O@F|#-2Y;5G))B`^>?jGm089g&UoS(fh=WS|q`(Yh-fMlSq`==?|?HN1i)X!ekg#!@lhX_3IZkGe;t4am@TP%e_-CUXPo>UEH0J z{d6u|$t+MaIw{H}re5v~ktgv+#`1VJ$t5DV(ZIS}0uPG?-!Aw&C>Y)|f8XbpA5?dT zQ=_wGx4-{3^Ze85lWYDm%g0OfmzB!~->mm6Y~q{AKfx>$g=jZLg9eu2Ve4k%8iz+u z-uS<3+3?SZ)fqV+uh}JOO~c(jc=FZ)*Oy+`zOH7Wyx~PGy|afsMl7|IgDpo?0syJz zQ8x;DO>9qSDXH`g}6E_iTxm?^kx^+0cWAOr>XN6~v;Z&1p*uc7^u@1jZ zXBXsH;-Kgm@Jm_EIzgLZ@VS!O zcf_We-kiF{bB+RMr{H&@B$PQ_&3R4Dr>nkWbn#oSFK{YGO%3y63R~I}vr1>-k(y3X z8f2J4AbQ_jsAs+yq}A||^-h}6azsxfla0g1Ge zvS<;CeoyOnz5y36#j+iK-VPmN!%O5Z0;$=Y~0hQ>SYXF!%b zhiPkxmC7xkg~n(VC9YoaQfZx3IAzbEN`{DXL9t~VNo-PbyO^R*V3L~n=i7Af@#2P7 zwxW}zZ9S`V$)2W)>(jN+6}rPM8+dB**N1k!-qlO(ytz+1dEEpQ9*Ap!%zlBARKi4M zT&gJuYBWF0Y|T2 zYvK?=%K{(X@+{6(cb8-TkhTuAeM@I*dj;V{dQ)3A+kTrRJ=3*XQIkK+fX!m!HD$#6 zZZp|0#sT&5jARlzuuZUM)`^ews>$5OUeKE~WRb%$Xwl3mD9qF=`TA+NhEPA&K8|ov zz%6Le&OK-`+am~%aPn4goVmC<$`&*pNofIIoGH5o6t$?3l5Z&>V__~S%{>;69 zNckBSj_bKDsifO96*lnw$%z9E+%_bRFGawD-J~g5j(@XehWcpEBZLkAzUk=jQc@cD|Z_QcYl^UEcOzT z7PNYZ)Eftx@cQ_#SA9$j`J8jq`D5Q`bGaU+L?kZ@eRGTUQrTkZ!`wK1%sxMw`!%CfBiUm0kZ!tj%&^=A#>=Gk+pg z=cyloPaGKIoIE*Ed9n}K4NJG|-_Zkh&=p6|juMQGSY7=($2oSaXJQWTHCY?FG z{G>!_Ba`=0#Ixs(yeVQ{)y5rZ$Fui>k?zE^Z%;8ZLTNLQ$NUJjRH^7^Tj8Osrjjdl z<7zv?c*(QSVm9*+PQ3k<`_$e{H?pYcf6Q3g?nGqNwbEsHlbDOaGV#T zgHqu~s7T~f{uTDNP_L!G==WsejKzgyju6e|^zb@9y4*Cq#1otIJ)mL9wF1kaCV|_c zIXdVf-I_Bk$f3@vxiIKL+lsUHj~s{!8cDbE^ZRZ8#CY`Xw&cKHKku`Xx@*+%5@ERW zy4sfNjd$EVfuPV7nIw_IobksIE|>MqctE8zjdf+I-{W(SM3k|)7K;K?IaD?GvW?t1 z39Q_VqghVjMTkTogW%U}@_ROG0nKUL6?ppaR3vQVcwK#BT4okXq;KFZ5t4*Z?}wHE zuUV|1o4|Hii){Z9Irasvr(>IJe>X1WK7Il0t!~<(nU4(QcwewPNQXRNzAXBG#<_?& z+3LT8o-FbAM!Q)!#r;A~_5|&Mc9U#9@o@qQ$wyU`o()`)q-8ktgI*4Dlr6k$pKx6< zxUvKOiT)M`aynejKH=fiz1*ol?T4j&#lhpD_vijQa>k!;nvyfjefX0zG<^6|Gp;wo zd%`|164Tc=w$2mb7^0B+h8APFp!JH22X{nlSBi_oNDXt3%eusOz`rkQkT4AOKcpSu z9?vNIiSVRq%B#AErs)lXyDb*#U}L)mpOU_e`GQTgb<#N$#;l15N>8PM2FcjOyJ0Op>EY@fcQ-5w4?sV#m{c-EJHyM#n;#tcXi z3V>ZS1VG)YuYq=)Nmb@9zbFW&E3x7(CAl2vN@Alab8{`t8%m;}Z2?&b34oKo?WN@f zt99{q&{v21%i*mUyc|tXU^ugr^Wtf1c^XFh<2>itV`S;Zj9v%$zHEOpjrO+Cx%O{% z(w;hSR>hq^xLNjm!1U=8RhI$g!H=|h(z|{*Pv3R7)_&(F@_?mV>3tu>Lo2|u5FK5f zAb6R;w(YEMJL!0agFV-5OuX(`ln~19XT-B)niqUBQ(wdBKjttm{-y+hXRjxQ=Pn*9 znsB#~P|OgQT^?I;i(!))qBbu|`@Q}BN^<2#vtw+G4ZnmZ5G9>^Ip*b>VzTyFHBlCi5|9Az%bW+yO z>_OD0@pcNCZDms#!Ep?Vbeh6)PvDWwEtQOjFzY)3MZujNb?^NBjq7O4S!A0-rf0~D z*fF1Jv!R!9%Z9EPE;lX;yZJKb7je2h+W?rqts=}8bZLw)qf>$G*7aa#ZAV^D?$u2p z*+hl$@!{mYMQ=OY$-V&Nf$q6fP2uo7;_K54|LuLeEVGc=C8ilqyn)83?reHLLAEOr zWp?0m#XG4{C%j(oB|72vEE$PA z2`G^6-Vy5ZB4G<^k|#BnjR*dPlg<3sdV6b5m^wVQ9>Tm? z_Kr1z)8ZCwb@GuBYHl?UJGvkYDl!_V76 z_97kL9kKqH-d*?jy5Q#ZNsnC&kO-tMohNB zlg`zMcKO-#qc~6`IrQ~{&@tE_#6Wmfx1;tswxU1^&ZtJN&~iK4BUZAsvo0mxA(4R? zQ7y4pDBHl>8tkkmd8i6gC3(*5bs2n9t}evTg}m;p(49(&k{qidGPwj8mKPdK`YHp+ z3g-3VugO&si`qJgQ5}!o4`!Y3&%l%)-tv3a33@Mn^heYhBSQg+HB&#+9aJb#M)6FM z>r;l>G@}-KaAyAWfnS$||AGJ+3FZ5l8xmZud*uDV=)X!i(o@5grP4ga6`1qtxN#q8 z+vTpV2u}-VWx|QtcyRd&)Hb^9Oq*eN?Ng)O_T3;rs*46FyrDH@QRthkEWuw`?bwotBP@bhdbQz+}|q%l*#q|#XIDhuGCB7D$3O+}@W zU0C&TNEiBG%;ob++&V?O0h|Z)BnV0L2<2hN%f<;)a<)2Bd@}0w#|EePPcb~U+y9PL zX%_q)&|PE?i;}^sbZx@O~)1~A`o_4FWPT?9UpmiEzMX)$V zk6&*oTRUGb`KF*ETRD=--|qa$UcUZ{7QxOo5`Zg1Q3DQQfVO?0hjxQq^ihFbjDGvZ zhJX$VENPX7#V+%Pri>;B(lB^h-!Fv0p>vbi z0_yTJ?&vBx6GlL*gla=pr6BS>Q9{u{J{+o-|GFk&=7n-r!&3UOEvau^;@R&12)I61 zLt0N3W`0xA7wP(9N?AMG5`liPPmqtnG$j9b`6dGrQ&_rIdBp)itZtvnvyXtKLfLcYu0Qj0tD_x z1#BqJ1fXqWiVE7+NZ!`y=u+RAz-rb_D3*~8u8|pIa3U4;WFI(L4`)$_{5r{lC&Kf- zp~Xxn@RUH(^s2$iDmUw2D=_zj$NqG0l~@vvw&9q3Op4OBML8phXWuQIoyxrG8GD0! z0$X9C25C$;XXv}>22xTCbfx_wN*@$gKtN>kyUBPxoXThEJC_ftg*CpnznjX3Eu!C2 zaOf!iLgoWI0$GR8#U@*5@MUXTlxSMF&_ZHia^1u-pmgo{-O0YDG)Og7(FBdAc|4H8 zqr2efqKJ79vV)M5`jqX5Qj8B}a?LO|8H&=D6Lzq<_DcN|lyK*W`WO=K*B3blH~xY8 zvf&^y>Bh+cr7gn9(ccPfN zdz53%_0rF+biKBTU2hsf_a5*C5Hvr%jc|SYSz4$;X)3oNyOTf!$!C*$D4D!2=Jau` z#IC^3-|k!^bzliS#c~Z7sRpeU)X*5LHg1(v%bc)y~S&UCV=~f12Z8>ZlR@z9B+|RW^7MUx)E?PJ} zNm)R_3#WeMOkDod;)tdaC32+b$)1{EV%dMs84r@s6J|AhV@aHALj zY4WFD0o$$6N_b_i>-mv(K z7KUGuv|RrR#_M}KKlp&6|JWyvvLkA<_ksvHSQLK6)Nr0$UN+*j{=%%Xurnh>SxX*894V#$11clY6ew{a2K#_CLs128`P z;bF-|o%tIHabg}gdOo!+KT*|!z9~ao=l;WT%dltzA=Yq#=qjS^7eWIDU%VPEYIi~I=xioyW@t1MN zQ>IcxUo-^HXMct@p^`qIMe*a^MZ69ZJQq03|5(i|mye+K>Io)IU74iGRNPVL~)7MXtUkr$yM$pqQmQIF;oci;I6mn6wQa?Z>>Wg{J?3&PT z0fAqTMI&11$0L+8N0bLs((@IOdaydQP||M)D$5Gbr9*0|yKLcSQYc%$dXF{ge!_6T zw#6rmay(=B;Ab-akvMPUJ*%4gQ@sp^J_+kPt~2qeu%lR7VVii-r($^weOl&sT+!q+ z8^~a`%UfZKR=GZ#MaZ1N;h$4lqwXPZL2@%!+A1(?u;AT=WQ-yiOKja^FU-Tl3@D0$ zydg7VKjKMxoJ~=Ufy2Au;>5V9`;{huleh3L<(AGua$Pa+H($T()WMhHR?rJK?Ulko z38wL-DA{}44VClcBCy)?-N}ZXA>1uK_0C7?2C1)op}VJ?7=TR*bbV~tnRs^-xBShw zRj9zYqBfX|l63Ev?5G2tHj(Z+g1qj!rV@mw4I%SN(^dVx3hSiGnMZz20|mk9S4Cqs z^EbjREPJd~^wgZ|LFS@`r*zOUAG1EszAyKEe84u18~pZ|lA=q? zRb~kdS(;Zg21?ocZq?N(u#>(vz7z2=QYkdSpx}Y4Sz#CcO*WW1$Yb7uI@qO8M-i*G z%XSMNxnN$oB+#_+3^w;Mo^y9N@fC_La23G!-7mgB?NDk&-JKa~nW|2fnq9^uq#q}k z;7_YS@Rz;~IN6LDsc;_0K>kg5d8Pt1WPqdHSgK&ZJf0nXQyPo&5V3K2;mp#eZ}Pt zM{2Uj_Ndaa<7SL?V7imi=xS!LE&C6`)YmIG8wvu4E`D-NacKEC*Zy$wdXd3wY*4^Z zW8Hf8j>(0gaS*II>8AdN!8X82R<8I`zW#AS9-`SLf}OAokfjHzB^01;gz-w!(B`UUA=DdFrgOK9#u9UvO7Na>fw#=*3Y$tQ2QeK- zj4hnliw{=6K@+|zWl%lEi%cM_b9#9l8`3Vb@{31P7__STdPCY91qGs3EHU{h4aAtF z-Z^Zj6;ykh`07eE*dtq8UM=WV)g~*X!cs0q>Yx;>wNj{#;BPIgQ=+-+A2{XSGkpLt zkYSk(C0`EgH0;!BSUJ)+3FHo+F^i9F-K1|Ha2BYY-0 z)}X{^9U;6DJ^#92LS{O+dkE}Axrw2@#rajOJi4igWst{3eGdWhdxFERbS53sQ;6ic`0EZerBxG1j6;X3p zHJRB~j^!Jy-eQve=V+FSln5I=y3Zs0S^iGD36XA7@K@U)M&~lRz5572WB=a0%nn(xkDhDONxiwN$|NsIOReB zO?ADVkle_pB&k!v3OS3Bx~f-p6go@jyb=`|>^TZQPS3qEuO#V)#=_-ZGEB%IRUHke zqLo-Q<vS|i&f`{|J1j4Km9K%@DC>F;N zlVxP0xHu$AlQAjd;{di6VKzRcqk0n8rb`I-OvQ}@s8Z%?ZzS9a7~W8<9x1$uXqZaN z;XA?&{M1cZw zRbuh^C)nV9MCcJ0lv?dz$UZ&&9mFj$pcTek7od8bI<0b&T^fgNh-OWTJZHJS$z7VG zaL&hYhL5i5<-yAc4=R&A!#*5 zu}k&Kp0mhTs^{l+MUP5JT9dU#1{Ix#lWx0FCo01z)`pE65hrNx?WE<}x^%cCc&mj` zR;&oZhU?!*y|=3dK(u@)DN&NUnjT@v${p;l?PQ{Q7cO>iQW?n{XH}mwgMld=Ad7M8 zmt2aqlio-%#Fx!_#e1JCP~lvw)|4t3&;o7f4+zl?ip7waM`pkO;+RSDiR&aVP)v>| zzB)zO{8K4lt%a}R4P_3VHIA6Y(k;%?+?lGJK6Wg9T{MNQGOGN0GdcY?l8f2El+VLS zEwmA;-j>R!MUyUOXCw>~G7Lk-3$Y9Yz(o#|_7Z9AkgWtf<*0K{LXp)An}x7K=@K0p zSU;l~B2?3lH9nyf=N{Zn?9?QRgtdG0}~} zY02B20=;nBUJglyTN!~i&9inf-;CG zdIh7RnzmmxY{)aOU2Nha59Be&U3QFg*{zj@jW^0h5&MPN%uG01V_LRzQg`Q3tX)7d zlGc9ED*BAs@}r{wD$3qzbLI`~0WQ~%j_zP+)1IcGdycU03hbcDE&8y%m?rj!(r^$& z;ec@+SRCXlSBq+4mr!*k7VDV_BSj_~4aW&FMkT}h@(@%QD)F9H>YkP2ba*~nPol+Y zCCzX$$Qj7^-U=~q6{$Oe!rhGS+&H4a&t=<$2++RbZclEY%Pa1OD31wDp=5WbN)JRG zNO;?C2lF1)-rfWoCWml!y8jryjh)>VF>WH?Y=&SG9MPY|6(;k7z-p<9(JPj84p%?r zO?e9)%jj2B)#?dD>qlWSZ(vyPRs1e4`zqYn1l2bfbP6}B$p##)_6;VVM-pF*2NcH{ zdW2{w_@+deP}E?6!v%d?CaaPghN_atEvE!mVB)G=8ipF^+r)Vw-#3&{_e5INGE*$7-4|0) z`)vUFykrsO&CTRIsU@4f08`K`@DnHe@f?u31E^6HcnS!wQWbSa z;+dHzp3Z;`#->Ef1&Hs4A#zmf^aeFkNmk=8L%yw5ZH&knG^oiI#xG_%iFEe-Oi{=_ z?=6aWV>PT61h5fGfC&R{=n(Qogz(qU$77dn_ATwo3XP$OJanJ)46z5r9SfnYmG?C`O|iwf2G!o zad>k(WK>1L?hvxLxgAS`;&M?P>-~8$XNwM-e!5cmE@dIZZj{%R=h;ZvKBWj$!gf~( zm0@e|%?Zy^jE?XN0Gs+|tI}R~El{ae2C=0?qh<_rIQmr_8+M>Y63}t}$|q)Oq8djq zQi|Kq!Z+p2j{;Nk6$4H)U{@<1W@4QWE=m^es|v9G7eiUf@SvDafK?)M`;dn#iJQ%G zowkP?Na`RA1JPZo0H>54SR5C;#Yy|kyuGS|YQ+>v@qOKPnJ#kUrDm#usz3|wDD?!2 zwlYAe=@&)kAUR(&T`=N6m@F;y5I-sBJ|dJH20UrE9$BPW2OFV+d;#tY3E&`je%R*5 z$8TSRHXZt%RGA4_jUjWX3dh^BS60BA32WDWwp{yJnU?eC-m zOKcqkLQ!Du6Z8YGn9qOqGRV z6bJT73<|E4d0fPzf$%^$ZlXzvW`5gd{wn@>@~C>7U7Ezt863y&xDax6hX9{x6Jb*CriN&%RjEtkO1Ex#GEjT;Yje4Gr|R6 zKK#a1hbqwLxBIa5LbhUm?V~BmH|4DobY~#BrJ9HtqhLy)J|%wC4GsTm3OIcdr*{{O z)Yu<^RAnYOMWBL1c{lUGAkC<=QLraz6ffbtud#>YRUTd@cyN!z*fYZe^kr5Vy>KKW4-=?@{{Ii)Ur>*DyzVFm+iE@@AfCk^A&S<7XBlOyHR-# zhcN3>Du*!3QZt7z+fpn?zz=u(1Vlp4t?{qOGpy-yWRnjH?xS8+DI-S;GWblLo7rb0 zSgElzqxgzk_=<+wMO6wmPFMZ*s8vJ6={dJQc+ zJI5`X9a-@S-hIA2Z1SYbXcg?N-I}nxP+@)V<*L`6I<=l>sBPrdDSdZ@w~}F?`OZ-- z;Gcl3dBB=+_SF5NVOz#?lV{GpMEW1VEV@K`&H$;bHLA&JGBvC3QL}{<-ktL$ zísgiToqVML&%A^`L&zTa51agNnta!x>9~uEZ&r{)~RM;~@87RUEnhNqy((%mc9qA~i^kcHTA`pVwFqJV#*GM$FL z3AF=Qb``nUU;?r;3Pl3;)_Np7Y}bkC=Ucedik)J(9OA$>##t}!&v9ka8Q;o3haQKs@B%Cl{wrB+6obb$=p{B71MmJv_jv!;D? z-}QNu$5JA8+NmpK?|6S?u3v;Q(X5Hg&lD9{MxP0P#b`&fzIQN$Xs22bExvEkxbQ6Y zlBTP+wfqlp-|X`?6pf1FiKAq>oqTih$x*-?_u3?NoY*kKZ5lpT4}L0xj#Y&7uBp`| zw{OQ)yr$J|gmntTRg7apniTXbgT}cvrXTRj9Kre#OM{o8Fdy?>B03hiUi zm`;1O)WalXd$l+9)WHV zqQ@&dlJ4xMQC_?$H{4C3we^9dmQQWzVZ!eyrO*By11FKI$LL&z%T)zNF|>nIjy!_S zkikqCx>7g0u&j;W}ET#Snwavr&Xrvf;B9jA!jgv?OI&K<7gN?LuIsFFu!_UHHoPNi*r2jG@7ZuPa`{0S_jRcuv< z-A^r(U0k0DI8+Wcz=5p1%faoi4bH*oa0zCrYAFRGPZ)B%M2mznXSv>E2f*w3TTSTO z%0m))F1n7>G`}O|9bZH&3eSC;&LtHqR{-hY<28urEzWn8Qt113TWBl-SY85}N{h-% ziz40@QRh1dF10aV9+z*GI;V%Up9qm1KXQ@5J-PGyJh$aGy``hK%x||t7IM17@fHdB z;j3M7t)+#fj)67Ogtz#&sde9b+PVYzF0=0-)8>$Oi7z)< zHI-;4 zAbIpH1YeSwGQxuDNC36p;@6Rz7U548*x&s^l!q(Ur2MlXK-V`;m4jT6<6QOK8Bcaj z@MHSRpEr&-&LejMuD*88~3#3z1#TKAap_eKOAD`R35=+o;!z6R?^lfF7=r zgYno@`ap5kcX!sYt#YxrY+RwXry;_s^#U&Gmp;?055PQ%8Mr@EO91Y19my*nJ(aUTVt~$+a0sZ@SYU9-;;r1)l%)Jiz>1mk}M#7E?GaHC;u+u}$ z23=K^bkSpwro`hF(Cu(Y!0IGVsfHeF-bkL&JBNVP6}Osw&@ar*g!A2!uG zMR>p6zpfHI%dGlD)}y~zZ=5_-Sau7KuN6^s^Evs5yaNbdAV}p86)yd1G;%`oN;Re? zBR7wbBf|lb+rA@dG5q-UY@Pw>3&HHy4wM^9*(en#+qlMc_tm3K?mkU1U#$``0a;gM z-9B-g%niqU+TKPWluyBVq|mD7x7T?%sz{xjB_dlWuG~|)b^gyfr-M>X^Ze`zflA2B z!s*(iId|KA!Cz1b9qm`YqJxC;zS8p8kI}{dM2FrQi13-vZ3=bn?hpIIr4V;Z5x1wt zY0z+;ESAw^j`5lXD|l}*nIN3mWS)54j3ROrll%c>ZS!@I?LuUGMdGnIOnw2sj+iCv zEp8W>O6Cz*s5sGo>gea?dosM2h#JQs0WU*90aFr}6s#trq%4_}S(z*5>+U{~-tCd_ z<2=^O_~vM)%!R9MOwDbNXMLXuuTXiyHCQnbICWZ{F@4b=i)EB;+yqNrA@+=ego~K! z_>3bzXn)Y5`%AhaQ=~s7KTX6hrQy~#Ev)Qq5A`%6w_}7n9a;E7Xl6`-Kz@Np=#c=D zQ|mbR8@1s(T#-&o#o78v)5Y9Gr2~%EDQ~O>Qph^Mld2D~bU`QePfG%xZ`my$q<-3` zDXs})e$qQq%@=1sirFK8)u;#7^U#0(kt>`>mlbyP|8e!s(V09?yl^($cw^hPz0t}ir@H!dO`o2g>OR#or~6Y?fJNwd@WX}F7;W1| zqGq0w|ANH89L*^s7v;aCKXC>*MX5YYkJ1-+lu%3s!N70RuL4$0^FL1VKaTUodj~Io zcg=7Go*>rHLc$}#i6&@2 zc#_ZQ5UE$4@3K5bgA9<83e9#0|N0C!B~l1Y-0e5Z9k3N3K|DDTSZW%Its8Ps0_c-7 z20M;iZAPsUd`}bzLVaKMAy|y(YTp3yjz;q3Hby_qX^?mvC8&q{;(y~1?lg4kt!0=~ zl8H~#kF}sTo)AbaiSu5qm+Lg-kb>fyK2@yCqPuX*6}o1~Z4?|6>it|SaJ9j4Ny)N| zef0M+Vk>w|uhd@)P^@rADSJ%{Meh^LJ(ea&kj2~DiSs<4}!62DIDmgFqPy(w;l0JHZV-OlvNtz#Yl;kQnDP7Q@Vc-DqkctOkp8z zpmF~-#Jy3NMS@W%8|O3_epe;=rI69JLVOmZXgd{;uOJvrGur(M1r|XQ-2>Uom05=G zbwhZ}#>{={F1-k)ig-cgoX}_#Xr#;7SHv4!W+~hOII=F?NX9S%b5ogLjuDtHBOBuX z8-BVdZYkbqh5#YF5t>ayI6IPJhu>Ttq#n}YebED7<7b#Ou!=eeHuW``jHoSGb4Xs^ zpdB0fzKSOPdF>=T(BiKu@V0PX$sbh@maf`8_1TJ>#kbTO0{8Xq0srVs#-HY6h?-bT zJB&(nS@>IKlF34e23WsrIZWBtJH6!pIe>YT{TjdZ+cbRHwIK4~x(^#neXTh~Z0Y-F zqEzge%(7&{bUP>zJb(fa4vdhw(vj_gD z_V`c?wCWKp=B2HlKdX@5o?KRKw=HRu?0tM24E7YhbQ1Cd+YWmcE>OAe4NQy+7^vjw z{@&orI~Hq_x9a27s&~Co+j8*)MG=3M8*BQ{R2>_B9BCA;hWe^u3#Z}uaB(Q-_gy+rzRjYjAyjgL?av>*mLx7|iWo4Q;KToX{-pL_6 zZ-Znd;(I%Ri;H1(BAH<&7K)bhd$qW5omnzZG_;t>l%vSE@5_@i=wA{UGoQ37o~phd z2^=FkYEWY1_{$ooeWhV#P$*S60DSXW1lSEN9#p?YZqa@%EYkb4Jp@FQ*GC6VDij+H z?gvpw>tVMcqJa?gQ@63dqKlEHCR2N|YNXW8+?C>AjZOQbByfZ^n2I^D=jR;U$bo~) z>sAU5N{5d|?q`wwu<;JR`O){c{?0Jxn^4DgXJk&T7J>U0wqzm1@asU@aXr*R3$9Dw z6>v7CSSw%ku<;eFYXFiL`=B#>tI=A)B}}#XuUH|D)UT9Cy@+_);*zUVA!q9LpTVj$ zY8toJNo9enyGO?^y!g~ZUVf`W7ns@|*E0*p9^6eEAI^$enpC8uh{7;acWH&+`cR@u zFqS96sTZX0Ymu@y$=|FRe44a`2qtpmpj7CLrM{a~B}x(58RqwBHq83ne*VBv?45@a z{@N<+_yS^3cG`xKXws*25urf*D39)5C0Pa^COGSu%Kk{6d`T<4Y8W^J+>713$eBkn&_Uojxy5LR8bsR~;384}riL486$8d<`Y={NN^s6mp60 z^`iEytLakmr)lY^ZAS0}hLxE%5@XBMKY4ct1;Uxn7(?EM^SohgR@Cd1TEOc5>JK13 z0~$oS1;2mM)P%@w>xtZ-Rl;JmdAm$=7va^HZg6&XRw6H#Y9iZ;SY&y1Q{D+%4 z%l55A!FyriCI%&>&Z!3k&vX8 zQ%_&`qxXz&AOf3Ce1slzzZF9xD=JTbEw$>+=cK+pIEm-BgO0=RSk8OAJRAdudtY3oPaNW*lTLodf9E1CntC)O+sC;k#&bKn% z#Hhg(v#=R^(ew8o*Ot?}-8i9;jVQD&@^yU#C#99A%Dn>6@ZO6bMfX=Nih}SD*3=l| z%I5zcE^n3{12vwa23d?}y?>^|=&{K-o$tXN@PoUnm~$+Gdd^1z8;^xcX497mvQ zFY4;*qk;D=(^#`z(>_-qiUQ?~mJoULDd1^^ZTGhK1o9sw1fTEdXMPmy^-C)Lj}}FO zF4f24mG>K^QlZaG^fA9W3P;hKv&uT;OrKLY*kWaCJuCkg*&?hUs3?RXF3e8{yBqsL zkG542M3H_`Cfe#`@DHa39y|FAVod&mjGW)+8DSp&S~|q;K6Mpg4{o5W@!u_o&_80t zUD}xu8#Cd3)-)(QOIK;Mkg?bAQ%pEISDD5cON)waxgIUL6k@go@zp!hiq0=-k+L0J zB~5&_R5qp3Xat&_*Eo4uXYpWS5Ya8{AyCNfpw;B8Upz=@fgt`*Eu|Njv7)<`W!4U?&Vft7N4E4r9; z>6sYmdYTRY5Eg0qSSI8r|212cnyqDMxh_$)XecU#hESomJshG>|M0-ojYFgE#8=!NB zuOU?wtg)3ni+O6>8wgZ!9?xdz2+XA$hkZmt$0S~Qv5z8x=eLUZ<8YXnC1aSdj76QC zUJr_j4e;at9O9XrFo5J~MI`7xUtEJhhQqU(^vU>U)=dv{!R+T|hHHBWfoL1c+#g%! zt9eDkcAUd%@|Stxu~-s0?5Ck1I!O&z55pAQjQiu!O~0-8WTG8j#Kz#k#q}NaMTW(YGW>44S>K~{rJ}m8Gl-Lm(K!fz7-CgNkM$M_dK=Vd|7WmaA43U z27c}j-mKKvIMmrbbQiRs>8jT9Or`Cpux{i**K@M&5`BynGBx99G`8S0|NPv-G8bxd zsew%Bf7wL-nUZH_)SfT?^Yi%&sDeRhZd&Ci0ub;Nx$&=_u7#faquxe^@qK*&fz6nX zX}k~z&iv9TI`)uq{3$8aL0eiO~8rXuQa2}e)UV@0| z?iMQhma9K40{a6&!v6>pVe%1~>?zn^+{@{FCF-K|QHp@vuJ#=q@N0H%QYD!Nlvq0& zlNeybA8RlaUC_%NTwQ9hFb$i67rP{#U|D*ZRbu13gLDpLH<*VWSNzob6*=L^fTD9t zeA{O$o2OQE4>9nd{w6yK5w73)k0IK1YlgoNMt9%6g6RcTf5zQ9#LpTw^}U@=Ec+uc zS_*sQiV=IqQ<3AA#NKe-h+-s60pita%g+XwnRvrZ z)Yn~KPV|Py?`D=PUFPJ@e`IH!`I}@Cxcz@5Nc8?TinHUjCw9e&b?rbqJD!brEvrg; z!1hPf4!Po2R;|jCln;0qrEwtSftn^O1MaA&A`$FvZ{>ayNbj0}P@rysaI@p7P`>jg&!zQu38%~z+jYqZ z9L_5ic+&8h+q{wdG3|-oTE4SK6kEqQ&pLM_J3hrIQC|wB%AQ=g;Odb|#k;hiXd}d1 zE|fID3=ux<=boX4s;*29gVGnP*8F1bse?_I4)Nxb<5T0#lHt~Kyd@749>V`(e4|@t zZsJDXws>rqP2$Go>HhV9(v6s4-fQ2_!W*B4usrsse?;z@ z{y9xyc^prNaaPT=A*Wa{gA(~L#;>($+W)F|3yr%iJb-860fA`UyGmtqxyC{53x3S? zOmul z3!XG9xTei)IDJ+!eHgx%Z)`uECxs{T0e6*SO`9lwsI(C@;W$<}Vw|Zdik$mhKu0yQ zPDG%x?%XOQ|ER>_G8C5Y0ol7QHp@rWFngKIBzi^0_{Lo6FdrG1f$SWsFm)jF@VG!f z_HTbJ@XxeG&Tiey`ERo9_WHqr+@C1wU1qJRx)gYZ`-QvGTKHPTk*FEk&%WRHgt`^|vv{rEca* z#7zc#Heees-&^pxeOov|_qK?e2=S*3xWD{W{1E4nlqvGxw+vRb!z#EzBHR5seaks_ zAu(R8kY##T#0TBSqw5Q5Z{6%QT_1vOEP?0l=$>d7cQN%XG<*qM9puSZk&p3?sY$VD zZ=8oZ9Gco!k_%e#4SK~~&A=KMI-K@`9g|=Jsm&>A5x&SOT`f;%Ez~;m9o8eJ(UojvwRVBod`r6_!`^Q zaub_@AT=qE^l;J|zKh4?q4MWaK$9F5fVau-gPtlr`1|n~waUpU`1n zHVbOXCUfWW$hP6fcsB1hOS}s=6e3#{kl{u+-eXzA9H~1wiRb^Za)NS7Yz!6LF!W+q3V9wK9T* zX==zu(-8{rSrwI9G2|Q3(J~{bS+;e`rdi3$bBt%P;i1sJaTv4S?CU?*todOO9VC~l zP+4m1$;La(a0m|l`eLOik8C~s{%=`^fUP=)V&%6j{o>_OW=7X*#)R__)f!LNS^+am zOyY6-x(qc&_S3Ca7K(MLQ0CUT%{OnLR?U-JK=z>AJ@DdaCKN%Fj_rAcdkBLs8~vK{ z{HZDOP%b3KlZth+a*re)zoL)CQ<g9zK)4>n`rouIK7aen ziF12r;eub?0s(6|uje_+vhaLRzBObvZQrjM(2Qjw+Gbt)>ceE^1_?^1*MX*D=qC^q z;{97w=4L%`6w+g`0^nW}@<4jhI(rP%&~I}cdY&UzX0|kjB))M<9#mZsrj+4MxVCmR ze=4fv#h2cc;#ScYyzBt84adV+Yp`~~5!Rc{cW73w>$%wi*4MXMUt2j7m`(v(=9~B^ zoNOl4NrlU^b>S1|mtDV`_43`oJd%c_1rU9eq{A8Vt3_WIf1)BDj~;u)3X~>V7-8DE zl<^$fL~2EpHp!DC1|qt_btGoRV@?U1h8Rh$3QPa7a)S!7m7B}qCa#_mc3x4u5j=j= zAjzNh{YQlo8=QO^NoKMNo)R~Sx(ehxL*$oDx^!=5misr-<)f#wK}Mspd6y{gOJ{#R zGRB0z38#Dm74^d;@?Y!Wsbwp9E+G8Uil)0>PJ6sHsY2d)#sBm}u}LIuEh=uDE%p

xu0vfHc4~h2Yz4!Ne!0Y{!Ja_xIzrX@Njxnl?Q~a8q$OR%(Mx zJ*;6Vrl3^VC0+qTn#~TnPnGwD&ci`C?kFi>UMkEl)I4iSM8`tx4}r?6M($`gPV

6uBV7aYo-hyFh5Md=RUHSN&IV{4*H#iiGSxBIF zJ&Z+ZRF^<^(40Jt3<8>Mj-3E(&0R;8ZeBUnKKO(+_S_0nK# zK8ahjg?N!3IC$(o#G|PhR-|}G{s$4(;T+%8g3ACl`yn-K6TZbHcu|p7TE{DOlqPP; zXbmztDNzQe;m?`KJ_#&m5B^`S!|daQ?CkXX z)otE8*3I5WxaWLQuGq_F<(+ zr3;|7ur~o(C@K!TUioctW^)aM9tXaZ^vS>rXqZ`^Bz&*p0mzhEo|({1cyUbkv!|vs zOfTOf$F)(pVJQ$Tj2Vm`?+M*?&JJsl1qn%&CScNbKL-)WZUYvC_TZa7b-as8MFx&L zoxAhrTLexn(%R>OPni$;QLrxk+DrXFNcc}f7|z~VpJK75di8Pv#z)AhE47LGmP|soxW`a;3SrCw{rdJcNSa@&>O}ijmpb zyCP#a7L++8I49l?h0+D3@=ep=JJ-TYc4Y%f;M=Oa_Y=gc{wA`v!cWvT!utXGE*=6> z+krD>KfEWBgvu8l$Dmw;)rIcUXLv~(7C1P z4~8&D4yp$T@6q3`C;(h83%_bGW-6kR&`0ixY^h0d1=RcGgp5x4S;&mNIMq+@sUf6xb;fZs+Gw<@rP||109o+Z<8nCzi(2!tofF zFUX6?>y$B9071>?z3b)z4kS$V+~WHvntO>3Fr>Y`-5#mwX#=j!=<(xV*w3w=0;y^% za^E&@yjhvHsdL4zuGV>vn?IW>&rgJ&`L>Tgadtp@OF~zKJui#)wF3`5UBIE1PNB!8 z+_KL#o$a05kBRf#o}&-4Zcqs@q@~lZ|JhFxAY;GcCMwef$DIfS>F3H+`<8Sw+!NMivZ?;hhX`ISmQ4a}%->5AW31<=?4aQJ+hSg(prQClH& z&0mRj_*N-&mu@~)1KL*uP+eL5l|R_g`6wSzW$XEz&{)cKt2pC5Le}sbt}Vgg|2PCP z>8klHmzP|OJy!@lyT+B7d47m4<$_o{Qm4%Xbw5HXM}Dz&d};!)YO_3f>3|1I2A-+{ z4;OLjeruJb4PD{@4QYUEqMM-d$C6}9mOkh=z<3*tZ{yQ=$>3pidRj>9TcO_88_MruMi1S>1^wjuRsATfF4{0Z# za^F$;2z-vW)9ft&Tq`7G?eNw5a6Tc)X6UK$JyTkmtZi9h;$3n{eU}F?)pTDwF$=Z% zDt-J@kufhTJ>T(@Wmvc{U+U=u?o;&`Q@2Lld6zalYlQ7Q6eUiMw2xks5gl~DW-oQg zxVznls9nrw&wtJ6^NAeq1S$8p&#NVC=Y+YKteMJfmh$OAKVyKvHCYFrpEICF#+?i# z1%T0OuYBLBB;*h1IQF&%gw^Eo?=>MwI<6Qfe(d=&EG~U4Xe?r zgxV{*fgcKjaf}_!pi4D?tH4_Mt9eaDZG?%riIBURkB@>|+{cK<(u%~ti^traif$Ht z*U(3WnhN`H4Lyz?54D(mwbDpGPcQw~)$noddP! zPJ{Les|ju1^QemYA(ti4wblKSf-e)RP58hjNBd`@mEqP7?BmeVq7m<2g_RkLp0l3@ zM@zbVkb#y@+Dcq@RZk0$GwzD~RbZ*b%Z6$yO>JkdK3mK{+ozO5X+_CEi9z7Q#QOQd z%64nV^({@K1#`jmVZrK1YSD7vx)O_ULkhsLv~1jA?&}KJI;Or{yxpkCb~mP)*HB~f z=}8U|>*)b9;omF+28gOY7XsZUz`I-lx%?%&kHBX&P{u=Rjri9M=dep409h4ul+yE> zi1V`3Q}NlPBFE(&yVTQsOlek2x+n!9|o)K-Ab&ZBa4 zk;R2RVHrI>s477R=aujiMRnLcwV%)ZLH7&=Jzi=b&qHvfx|g~i_Qh~v;U+F0?ll#l zd_{H!6p0nTiwdBDjCcdmYkB3lpBrz{1LTc5s9w}f{XO7Zi2hN%`F>3m)MpGgU7M=` znp;{C{G+{Tt$C%d|7A@4Y8h=UxfKeb4!?RoqqDP3bEKdKdfwQUcV2T>2dylfblvp4 zfj$8}e?TYQHHl$a-9}C&>0=a*z-WzPyhN6w}ms;rNJ#hM#17$$MX~-C0&lO z2?g%!36xlr6Q225WuFAHY*nr$x!7onKd*1?WnGeX)X|_1oVq-b2Xv+cj*T=E6Q(t&*s+E79satan;c4GEhN3GGPVHV3t*%z2 za{TnVsL@;V+(GA zRllmWS6{s59`!Eca(?}0{*Lc0&n z+Ekqv&^VVz=NCJgKE>LAXK|}_^cT+owjsnSJGo~ygO|e=UvEu-yLFa=_dPI9gNW;T zkJh}A>7L;lqSOWMZHZAJe=Dxm@vKHhum`A}W@mwXaG~odhDW}$3%l()jHSABS`WWI z^GX?)y`VqG<@cJjWBZSUxX0HIutH98g;x&F zCB4Zi&gu@me7f_EpyAgk_} z=Y#;3`$F_JcI*YqT3%|)eanib&c0S{p-UkOLKoKy|2SaCe*X;ds_d! zb_)yU#glXhH2-l8W&n!zO`TwUmF_+Ih66)LMlF zwY|N4^KH+>x+V&NI(cEX`_zin=ym|nLpC@&gFbfdiVqKj`*w$}Pl9@Ys_`1H6ADn- zE2V)Y*<%j&w-&b^L|0_fnqBKQ``~Y*EVx^ZZdO8hGb-F!H&x*1x94X zot20j{b{!xY>(2G-&`yzoZ;V0!g+8Hp*Q%Xq^1&cx^Z6P@?-0t++mjk0`%=m1KW(J zU80{ZP=#^}TlfU%OI@7hKd)u8<1&>a9sUp$`#WGLqCPQL8A%>zU?x7ohH+p5w!uc?NDI;o{GA1{c zQl!XWzSdc^VAP&hz_of6=mQ)l)*Vn~8Mniafd+2q){jZHJy(B5ZA7oPvm4?8RHk(o zmrHN%ttM(6i(92N5<;AEHAm_&B>I0@A|hVfR1*?&pK}LWl5WVZYBNPUhssP2teaJa z#9sn($PXP%epym!oMdUe3I9GZT)hw%`JRhp?2MeASq&`MYe6tzeJ7K)37PMf1C0&o zZTNga>{O`tpV8 zqF5#rr0hZ2ORZU~FnDs%h_=;LnNzAON{p;*33tcV{7F5Hhg07F8UNQeoDCc$)r8pU zAbb=f3u8^Yo=cLb-d;1|_4vMdnO7X2>vS);4^_B55su@Bm%LGC3P-JuDLg34GP!tvhAW`Nxx30 z44^Q%)R51ibi~l{KR{4~aZvJDJzqT0qgw9LqCB|8LGyVC%U!=U`iK1qK-CRk#e}SA zrB)muhcFb_pEuDhsEA->ba3nnF9auyg-r$vU_FNPlY(GSMxXHb{l`aNdl6V-Hp zS4GUMDQ;00TGA&@kEh%3^LGQT{+F`(6-&fL$6HX0h640c=;{D}#oLl)@&xt)Np%Zp zZdy%zK_zwoTeejb%-f4Xz((0f%DJI#9y*o{P7*i<9Z@L9P!^S~%no>fsVnLH2jen! zq-(w4f~(v<2X~e1g}KkflLjhFV!5h#AQ@a5=IYodsIy? zX9YXh z*!*b}>HI0ts2w9vkH*XnJR|BhBUW;52YqX(9n3&S;znoftlfOa|^VvRV?Es)Pne!t_UXY^zwuN=BPXXnl4~R%Y)bhS>V|5@x46*Ph3G`m5Fi4dFS>dM(m4~e%-{OdLcbZd}vIR+PEn^o{w33P8H-Yy=$$g7ua7so(JT43Gk5A(vYT{~@r$9}E zlwK|lWMza`br8>sY-t-Z>8%6%`hk>jqAuqHNVTCfTHM%?bm$rnb4|`O4 zb}A%W17f-4i~DEFj?fvgP;UBe94s3MQP87?MpA1|hsdR#qRuvkDMwef$?C9aQMBI6o^3L&Mty%S}y z=}@OyJ!H!L7SVMwL%%T4So%Zd#Ds1P|Ben{Z-d$YSX=kOBs&lE7|26peU}x{C|Wg#nw72NtXG$FRQ+GR$WMX?%k-lvkOIAj*JQwRSEb15IlGXa z14{0wi;I`N4H=1z>Gco0ZMf@k`CIYLP0aba)gML4KL&JkOE0p36YNHd{_68S^_^Z{ zK^~hO!Tc#yz}0{UZv3_Twivi^m$|yZ@KtUTZRRwi(6Cwzl6Tccj49g&lMGw`dLF&& z!s%qm@yO%r0EAFb0TZ;xCybpP14RA8=p)mGZ`b=?E9lm9eDf7AP%f5xGr~m0pcC#m zMJ>4f{9kZ;*|hhI_xU;(F&{6pxn{>gkX3vBQl$o~9lM{bfUQD5HmcDa&9e|u-`TD( zrozKcIXTE^!4xr&KF&m~vaLmzf2gK&eJIo#XhSUd^(FQs}Kkq!ifERh?TRbx>qLd7_H?`qLc`jT-+$e%2#S`JQ5|}GFV(ZktB>d?JDoL zYaf0@>j<3>Na^!D5_GfhQ1NmK{KO&+s;QKPNKTrl6Ew!9X{ta~#j$G>2<=eh!z?Vv zBFt>dI1Bmx8+Q@gKH98_vJ-B?Q!lS7gX67K11+rFHwAAY)#pk1Z0HsH0Wa$Gjxv zghmokV}?My`Tj8B@8Rp~MgO)jn0JwtT_}?g9T8*S;ep&L1?tsRs1lsl5_=dTFbvvI z?|`;JPEOWkvcqZOrf|y{U5y`lHICCu5Kf%1n<* z%rv4WBwGhB2&IFHnNW8nN3j`S&c2*kaFNJ4PK{47Lwz9BXP0cD9un7osv8=)q|=+= z^Vcxn;RU&{-S$S?nZWw@gsORJn4Q)5BJ<`-EG`s;6d2Sqgz5gD&yO14jv0H3Pqmg^ zg$QnTWDZ?EA;tPbS?5A%r_^O)1C<*N z{H&}8sLH|w#VJQK>oI5}q9#^dnOSy*bk<18r7nmKyg3qXWa3R}mPo04~!k^9EKI|HCSaTQC73LMw_=_q2Os#w4KzH0Fu z)<7^Wpa8k=_5WBR)DCJZycv_{X*m0%r)x~Nxq>ifCO!nn!@G`s6Lx4j=#CVt%gCd- z_lSkt5WEQL!~gCwVXezV_Wh2yHi|wFTYh4(UMFaTuy8Mguh4b?IBnl~BRu_4AYT_f zx5}#2Ci^SjNp!D5@q(%|pV8zNSgS&EyuV3S_Ls_M_D9Z2?u7uQX2oDiHtd)|%6#|G`k1*cvSwOjG` z$fgYbQ^WbT38bJv`&;dOLo__zcbh;RH{b}@l^l*wE=|w&>0K}q3TIE#gT)xUx>aYL zo|U4k?2Ku+pRK7TlEJRc^cTm7y*i`Cdte8$R@B8C-=H5C!mF2**f>94;)pW%T3wgL z!kk-=QHD*H?j*3uEWZ#cy^L!@c!)PG=Wn0$`f3BC(1JfH&+)!`o?w5(CZr22|Hze z;mXpbfF_lZqC2VJ-1R0PLj=e>T-8{k8gjvl8rBKsRX_x9&YgCNPuyoPy(RQv^-f>_ z8^;z8*SX_&osi&Ar{f6KI?bz1N6sfbW#`==QyEpC*be>szJM}26S2wdk>F2dGTSE} z5;9X?0@SCd(kAzOAsu5T@z!d+ZS>ez(Tq_XWojzPGX1Y$dB7uSr^rQxGOdLR>iE`L ztVCk62>q-xG)0zzDhQ-tzlY?k1SZ>9tLS4{hYJhio3B5@#ow%{FfE8@)F}^}+WD4Q zp#~8j(5~LwL3xOX z6-&S7vIwXeP(C|4@w!V#(Oa59NL=a<7vhPYk*L@%m7^C zOC+f#TA!?>Vr;jc^4C%{XipT6a8_FJ%iJlwxRcd^A|VD3EJ$xwlbN)Z9S)KVnu3H334^;yH4Hzv zjrZ5wM8bp+inJxG=YGl?SDQCGYabdseg{`fa9p?$wvA5*n$Dmp$!p3+LA zxtBUZv2f5Md9e}XJzqMTSMdZc@4!+51yw&{lHoJE2FIz@*HhX*BzFclIDFjb|M}Zu zB|i9Lep^}FS~wNBW*b!bmm%dQ&0M((PZJhz-{(a7NyBVM7VOh=Pb2~m;Y7qGw*0ei z588nFd9W##MNj-YV#Yj@j=w9vFVZ)1QlhSP`xz!$j(t_`9tY=#(U z2CZYvX13IOA_EmL>~-4H^k*%UQ7>uOE*xGZk~z&+-Iv3RKP9LA#f~Ew{?4;6PNyXg z6-9ma4#ORaskw)~Y3Lx$bvd7<+Zb6xi?ijki z+H|s#@YTQ{BJZQiH&37|@O3s~4e3zrG#pS%=XNzDYlpDO?n$G*|K&&;w-F=R503zG zn$$A(YjT?{Hihb%kg-276!MbDe3$7ESW`%(DB2_E(Ft zM~6}7i!*m6P)Rlvx2yXaRqR9(7T48>09i^wph!YiaN}KKZu9z=R|jvWABr*q@8Bf% zrOS+Z24~I-6?SwDHfAzFyZ9Tcq3`ptzUDPi0Q4k5d&w$Jaes!Ti=D0MvjS zqrVSuMEEaLvH$$Nd1p+*b=wSi=0hVatgui2GmO~F4YVzZ+~I-YElFvTSi-3#mt`sg zTsy)L9jI&<)aXbiOAV256SSB>*v>BbEaC=fGE0+-&IqCFRJ^bI&1=Z8b)`3XWdf^a zIohFM1cn^HiCdd6>eo?M$-TL3y-&pt`#hsVd#Cjujp)~ghH+2^*1twQSebTA%g|{X z%8q*zRdBnFKbFz6u*p@|n$z#z31zv7V7doED=2F)VaM_ z6^ZV>gW)y@_`W_#^v@L)a}w5>BVJ*SsT@FXtLpdT{54XSwWD<)-}J5Z5&USgEtGhL z=|NGhspR(ZDx(|p;a6;SKU$NKgvL~;s+X=93oL-yN^)Kv#l14GU_n|soH1J;Eh^r8 zNT^>@?Cv6S!++!DLMlZeeGAb^`ThJZCc|=*Q;it`$NF6uCdgZ};)CjhygH#`GM#-f zf;SD1C<)9ru1_1|4=%OdWbY|Z9V&56l-E+jWQ>|)WA4ewb(@a>8Dfi!_JAsRbE}(s zI!lVZvw2rLEu&o%zCWz{W(u($K>)ynF0wgZZMDJ@!I_Y~VUML%uE8?{|YooBwRn=`= zwjZ6#5g~A59@FG)i!FY<*jYpi;p^1_qxhEl58KjPVS$iG1_02F0CrnP+WcFFC#`BjId$z7rExF7r4saVfSU~f5l?B zb8>Uz>Gg!_pk=YswJWTHx-Os|sH?#Tu0cDZjKXpi+vR8gB5$Lu)ShuF?}74u zoofI4eg~mS zAcvm9W>Oqh$5h4Gk0hn2 z6yw@dw{Y&z`jXfH61C|Bl#qlxp%sTo*6Cg_j!$j3_iNt7F5AMHnRoAP7RBrPyFc0E z4NShlEs$+nMwm##{Xq{%HD47Z32TSc0p0#mIF`JKmnTm+POTh*BY zBmNCEXoBa3jQ(`KFtw2}W1NRP@721l_ZKl=6HVuvG%3qeiDFwJEsuVio&Yl|rnTSH z#b^MgI+gWhXDNnmK~$PD>ofgKuH6c<8)glXZDjc!y&D|lQ{Q6Y+x}T50~v0!S1`7- z@(!u0!rn14NCo1}Q76mBrV~ode}@l690i-f>Pu^w&NX$3#;U79H~cWqyo^8c_WcNu zql{d%#bocdby|(=PNT}C`UUQbl#?v5GID-cqf}(e4XS>{VWd{0DcTw?6qzrh>S}3q zbv~?xcNUv^^-tyzSv@yzPK3mBC@_Bfa}R!ZYpJdRZrmIG6XQ`K_p`D=Y4y6(H~Ab} zc}qenybJa4 z_wAPDc#{ZHk@{VO@;y9o@NmvcEN}Lng)8LB!0CxLGK0H}aZB3XGG#OtQcDHCfD&`h zyrKRb3FLrjA}dF@wKJ9>^C~Xr3EayHObNVB7&bU)Y|Abvk-Q$}mL*1!+D>R0_vWpO z<#fb?&mP98tDjXdXiw}K&je}zR=D$|ngUPaC2Qi2w|R_nf>GyvlKyg7$9IZ~i>PrM zUK-KZh)u6@B~J9NktmUm{S(dpnmg>r5ckzU%&G$Vwe6MOA6msty+L(uG@90``Hh=0 zVvAjLNy`{BYcVFRiF&X#K>^;(UeyF=m+)fK9HRm?O5ICVRF(#$5k4#}?yQ_m&fj4$ zUIuxJ=cj*9X;r(CI4E0Xc+XA=4}S1&%F3n=7rtzmzd8dlpE4Dz5t(*g@qH0f5h+S_ zlK)+W?&(^%72cUat-$!2MR;U#bp4bI;VT`U0QKWstO3SgG{+M zq$5tXTVoOx8eAa&VM`-?6T(}cIp$}*(TL?I{mA$2R@43elyw$BaXeewUwmF#E6+oox*G4I?KocCuF}vx z$koau>I6DnV@t*lWKnt1*$`n$vWUMteD9=_ZXQue#yRu6vkg(keO2h4)Le!P$x@(~ zH|$$j=*sCyt~I8pNOtJjF=8E#l);diu>8G^b>hix$a9Fj9ZFvD)bh4Msk? z9$lo%ZTyye1;5V2{ELlJBZZV6q-pxuwOyaIhizCt=zEJO%S&eFrpvN~5w$&5k#%b~ z<8Lx8VZ>mbl-tP8`QFFZJ?zZTcsgIC4=4TWRVw+!w{>~41cgf;8FYkP_MEB;B1E}LbHTL((x`v^#((oB{lcIoMEheh;&k6^a}e&@L4-=1ek9n zq9(;#SQArA#iLL5B*49~QYanOPkV#CTxBhKr^%`x(Ddxqp?eFyx{b$YI~dYdIxKXg8h&rqa5R$Ke7`v1gQE5czk+*E)X$H(>y=v;*pNp*lsFAQnb_qXh1MZ*Qh*kTx&OdM#}=YUx^C&Abd?d+HziJbO3APmyzuM( zaimw>wyC`_!y-q#T6k%Wg}<~OF@W>gjz9Tj=>am6wyCnFbK}&hc(C*clCwgD^HBs%s#+suP5p`XK!s6i#D3ofJ zuk6lq_3$vaV0LAJ6b7ZPA&~LJOr{bFXXlB%mb^SP^+>fSG6y8@W~J@0x*p-^mN$v9R?FtuB{(>+`fU09>oz%zcMy47zda>eT#5Zk2nFnW63 zVYZOy2N&_tJ3QVGT19{Wwm57qK&T}cQ$*?`M1ygGHg%3a3XUZa)>Ia{b&Qa@sm^sp zl!;<%5Lc}58OS=dSY;UFQdue9KmE=!b&yL|IPP4gr&%@A&$iN+$3}|s(Hi#1V>@C| z*-{MPY2H*~>KH+}F|7}JsH&Uwm||DZ*(v;1O89yw+StR+5qD~@RTI7@>F1k!F*^3$ zSE;rYe6hgtw&qq)4O+ocg^dDvktwDuECZH2@U(4eCzM_#2lp~9DYfOa{Yh85-15jl ztlB(SqR9Kvc?^k#9y=py3+JQ;8(bXQaRh*@n~MhQ$hkGX7oeCyedRd*;}*|0H>}Tq zu(4kmwH+J1!N=u2E%6>JBd=^&Tn9X>jO^oK0LR*k$~$VEUr%xu4Bk-)RCd^AYMZ<6 ztk6p=4IG1$p-12^@_dBD=S^8+xI=g6e#8Xov63C9+EY2SO{m*y*0(g_tq9KS7M26D6M_mesUDq62RPPsCsXV&AdPcGq- zX?;*FhvZfd4T@~xJv8*|8NKQ#7B}FZ0ubWD4}`THhD4)p)x#O&9NkS4P0LNz8m_-< zEFUk}uy4755QBJm*n6qyZ8Sf(F>A$OZLWB3;+cm#uAH=yKNVA8R8Z6o*+5dUXT;Cq z%iMPE_?{C6#w(Z#sDH2IRM*yTGs4)XZ!Ixqhh3a6CcC4`JOART`ICQ?j0k6t-durc}CmDPg+dt%I+{E66 zr?f|^7D-3*^`ba_#FNEAQD&~Gdu=Q&jgyNyDMdx`zBFaIJXQ1{`_+;j(hfi=fA#IF zyVqiSMziT#W|hZ-;dllX(Hnp4`rdOj6Ib070^vIuWC{CDLNtr!{qweA@kG^QLPgQ7KZs(b zpF4ga!Vm-aGp~&;Z!r(z4hHU!t>)x=U>M=|B76m1u^xeaL>l)mRBC3=MPje#@Y*0uyNRLYQ!-gN`rTZtL<}Gr_nV(X^RXa4-GU6R~kP z6^zZJ^%$IY_(s5rZysc1Nx2e3kA2-GS6&`>rQoNf4&ca;6@@p(6dnuQ`#7a9Ihf8o z`UI@Lo3`!2Vb^fJ=GAP-^^?uqek^R-!I&_steu9kNXuA@}aJ9GI;+ z2c}C4HNIsAn}Lz<(L=3$kGg*Z4@Z(f%d_Ze7&GSXv3SxPzNuhxl5K~T5h+}$|2(*I zbv{xVC>5wN6VcR*1=W`sG5&zZo{iQ}06(%4y6`>C3o94mpH1b%+sG8qj2&+`G^R5^v^#%z-{CWyZc-j+(LgG#^3vjtJ|J~Qz z7st^YNsLt#1oh^pPA)?UgLM{zc25i1%BV?r77kK@B?_b1q4>bQ5i1YfCxi@&+!{o* zDJnsIEPBPLHTtagT_m_Gx%$!(+m(kxdfj|i2z2G69Es9`{2Sx<=zdv&fe|-9#4*<5 zNY<7*sS=<_!cHYW;aFB-9Qx8N8d7&}glJ+?PfY~Qb2Q=f-4T!%ws?=>Qj6R3HJk9i zqkqapUMWA^&QI;{kI2F-$?2Yt7m56A@1+OD(ZW+(^eQ}2wjW?+H;|GB@8^tML2%v7(-^hNoS|J#Pvw9ia$Ffmz^(kq3YD}=C_QhfN*PDzb zpZ-jP`w1I?@cIKQC;t^YSAsCZ8sac*Li@lT=^^hPs+G4X@Ac`e_r~#k!UUy}+Ij~G z14Jg0qbT6nZb#qK*?y^IgxBb9;f#-;qzv0V0jY4zCW7=puv5~t`SW7_P1L z%_o!=w9T6^cKyQmDGYMcQ&>*c3`uM!)xHLbbZO!11}q#DscF5Kgc$pBeNyz7;<6d8 zDp%K7alU6FPk7Z2A_ftc`FgT0y0y5k3+1o^`h@i(%7GgJBEB)jB=_k?8w^1?^)E4H zPK>vVo?2FG3)#!1vkyZmrkaSRQk=5FH()>S#w_+YZQ<+Od0j*nG6=|BTN?F!bY+a5qow8+AneU zc`ufxFwrdDMl9djd3r)VN$;gxrd}w(=v&^`KbTvh!adw5VS3%mXPuf>FT z{To}dUv3Q?j(7~#zKf83Mtd<2Z&Al zC0IyHHygLFsXG}yvB%t9JXXNnT8BIKzykZVr3n!+@1UiaU3fg>lIIWdWd*x}lN?Ll z=ce4@u^mdwvMK@wYyI|6Bjbyv!c$k}WNuJ6M{8o%Q{!n(fHRT7S9m9M62)i zD-Q6KvkUUJ=Qpd6HnQAT+@N05-_?3pB^-A+ybaW!gNmS;FsQqW52k^YX9XPU`3LEp zsCwbI*8JGAAAV`zUK;P6 zb=AEkY5gD-an!%CfqF17P&9&Ey83<9D_qL+Nu<3OyK`R1B3W4^(zK+|8)z#w!%TBK z2`sxb*OX!&-DE^U!fxd+#CKKy9G&}6fe zg-DL}4)KCLXFecJ&9BoSmJkJG5D>0o`u2$A)9coGAlGXhQ9FSTTUv&#hn|R0U$R4k zBw0Q%j}IN#wxy?ViUzE_0lLCKIunV^vsB|Q>OTO&! zk2@J=mS9QP%57Baa;%0jBM9URLwhAYSg6^VKQw$z_lYhjccZr#x5YGeoft9~?^Wrm z6eF4GUn~&T-~F)H`W=Jt%+tP&7g>-EMoY)rn{I>XudvYz`3>{o!krgmwFu3d82lh6 zDbS7+ zam(<|(zH?kNi)L}ab(}94Bhn9Fc^He3}!0r+O}HpC+8rf#2CBn z7uz2>oo{~F*3VsEPZ?t#(D7JYoN+C_Tao`1nGcy1F@(qk2lL_n>>Gy!^CNhyk+-E{a8{=v?p4R8O@WaXyu; zN6mJXOFpLU*X;)yeq3vYv~Wg)l|c{`JXCEyOkTLq)C2txTr82SfLhP zSD*YsG-=jHF*;bXsN<^>ZAIEk;_Mi==;;ok& zLdz|El$0?@WbE2o6ZD-CnO11SXjWa+gzBB=9~JO7(|)E}>;-+~t=(PV%H*ipQh%}h zy6uva>tmm8)qK+wS7s6)x8)(@oltUs{Ot=Z_NwHLd5fz0Rjyf+_G|O^3T`=2i8EWv zEMiMy(68UVoDA($YFOMNt`wHUGYzh*$TNv#&3FOC;l($ZbFi7};AN?BulP(`ALsNu zQrf{N^yF+H-R4D+95}z40+0Fki3Ps*iV_LXV|$nYNX0?pj%GntRo`)NVfaVK&Pjknfns<3D|5)bgrLE`#k*{!D+eLOyTpc!D z_99i+vZ90XYX!Q_zGA;YnmfurkGnI~8ZTdQ&Nm)_A26?jV^?TY=osxr%!yfJ@PGdN zQ2`&-FkSL1o#0^9z~>+TCUG+sc*r?Y)~WyOl7vKTao6XI}jfkhGkMNM3dR!oEBDGogB@J?ne}jL6lk7fnYOVp0p9= z>r3~X)MJXX!?B}p?1v=Eb;Yys^-aq1D#BUKi`>FVrsu-=;^7n<3!2)GG)7!8rrmQhHT>U$7YE=gW)%5DfG_&y!6o0}JxxV$t&G&LgvyDu#fFEU0D zG;%09kJ7taTCd+ruu-BT>o3-f4=Up(IZ@P%Ozkk(b1f{XbT@1pY$tihK_Q^8b5%F{ zILvh#(AL_w*2Z{&gkL=aAt&lKYaA7azg{xVSO>XF9${+*74Plm=-d&Uaiuyo3q38yuhqN zPQbTgSoHbi6|Dn)Lj^zk6*#xY<;03K4bra4G|1Gu`7oDIwxdjlGsqw3s-q^)l+XZc zD)*qc6VK_Mewjvm*ORCpMdV?_?4NOTi0Id~ThW$}*HZH|cne2cocGDj9%y;A%WY$o zr3noYnc6CsCM^A)9y6Izn@afvCL#WWw7{;&D%w0~0#}_kY8mYNB$B2jO}{OKc0~P3 z#(Ba2Vez-2jDxPYcU8uFsX$v?ZNNUkx)3$mCZkgQhcCjA1JgmIVI%9XqO^n}=U3>5 zbp=-Vyw`v>W z4oIP16@RmK$F}@iq|#7KwiBD@9n`;S5Pf!jf|5>N9~(qHAje#>uok4Ur}4_=1&Dot zDX9-cgdBp`)!B2diBPB}Q44e|LM6`V5I(ybnv4Q2v-X#=8oLE>_MNx4b+w9f35Rx0 z*x@$Fz0?=0+LkuHJkcAT!w?DhI5bQhkX%-Wmq&t}fbq+2Aod`tcqTO$!Z0N9{YFRc zHKfYi2aG9>w%I$e`P4rUhc|3V6Ow?g;4vKS~iLE-+$4$-I9pq}| z>|o}zj<#M09N|!YIi$zJ0|7(Ec{CNuwM!M)M!zX)43235)l@W2M!2-V4eH?91_*B* zgj??f6YKeREnzZHl|$o14Wt3)R|kXZAdR&UeuNvpl?qLq{)Jc$1M&QN?JH916F0DOsLXZCW-g~c#09Q)1Xvjf;cQn*e zx9w@Eh%+)RNZ=fHom}0+J|NWc!178|Onia!&ME*-(ad=!0N&M-|gMH z;?+n5%{pxD2m0TC4=t_7LA&Le3k$Yy*K*Ch9u#GiNo!5cuM)$zsp4@jW!FL%df#Ehni4IDI=rctO{sInpc4Q(%Dbz9uOvWvJEYb5--;}ci!?tx zE0OxF2JPfD%s$j>6;r25gj*3Ay;j2rQimtGmIptDk>j1{|ZGD)WtR{T0G zYpSd|6Ln=ccmjm~MEB=0BXnjyt7MM|0H8c8^-p(08qpBw<%hxKa{Jb+27D}ViO!U9suwI0suU-|5u)ZW|;p#ZcsC4tG_XBCP+l- zvoFs(eE!ufknq1S_y4gaI&L(R@hrmS>;FK1x^<`b2lDz~Ewa3Q9r3XNfO7l)Xz`Di zPeCmve;{vjON+n#qvVmVRD2#Mh3B6CTlPPu&Yb>5{VxRiq9^iW84mDj3FrT0|6|J3 z?cb2q{|wgONgw}~@G0oE7W}_bK>l{`?|Jb*j6>l6Xa1fg|8C&#dF-DC{`BwRUk&`3 z)&A!Ge%t@UVF&*){D*sf_tlk={>fnhU_BpC@Bn~72s{7;kmZHEan#Wfw3FfYwbW3t k=2n*Rb_8=fTFKcv`0xroFJ$ig##=+mT}a8sLssnn0gR&9SO5S3 literal 0 HcmV?d00001 diff --git a/examples/out/edge_artix-7/led_counter/Output/place/reports/post_place_timing_summary.rpt b/out/nexys_A7_100T/led_counter/Output/place/reports/post_place_timing_summary.rpt similarity index 69% rename from examples/out/edge_artix-7/led_counter/Output/place/reports/post_place_timing_summary.rpt rename to out/nexys_A7_100T/led_counter/Output/place/reports/post_place_timing_summary.rpt index 6c8e8fa..5534c48 100644 --- a/examples/out/edge_artix-7/led_counter/Output/place/reports/post_place_timing_summary.rpt +++ b/out/nexys_A7_100T/led_counter/Output/place/reports/post_place_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------- +----------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:28:19 2021 +| Date : Sat Oct 30 02:58:43 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/edge_artix-7/led_counter/Output/place/reports/post_place_timing_summary.rpt +| Command : report_timing_summary -file ./../out/nexys_A7_100T/led_counter/Output/place/reports/post_place_timing_summary.rpt | Design : top -| Device : 7a35t-ftg256 +| Device : 7a100t-csg324 | Speed File : -1 PRODUCTION 1.23 2018-06-13 -------------------------------------------------------------------------------------------------------------------------------- +----------------------------------------------------------------------------------------------------------------------------------- Timing Summary Report @@ -128,7 +128,7 @@ Table of Contents WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - 0.261 0.000 0 114 -3.111 -39.391 33 114 4.500 0.000 0 67 + 0.292 0.000 0 114 -3.059 -50.725 17 114 4.500 0.000 0 67 Timing constraints are not met. @@ -151,7 +151,7 @@ clk {0.000 5.000} 10.000 100.000 Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -clk 0.261 0.000 0 114 -3.111 -39.391 33 114 4.500 0.000 0 67 +clk 0.292 0.000 0 114 -3.059 -50.725 17 114 4.500 0.000 0 67 ------------------------------------------------------------------------------------------------ @@ -182,15 +182,15 @@ Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing From Clock: clk To Clock: clk -Setup : 0 Failing Endpoints, Worst Slack 0.261ns, Total Violation 0.000ns -Hold : 33 Failing Endpoints, Worst Slack -3.111ns, Total Violation -39.391ns +Setup : 0 Failing Endpoints, Worst Slack 0.292ns, Total Violation 0.000ns +Hold : 17 Failing Endpoints, Worst Slack -3.059ns, Total Violation -50.725ns PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- Max Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.261ns (required time - arrival time) +Slack (MET) : 0.292ns (required time - arrival time) Source: LED_PIPE_count1_a1_reg[1]/C (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) Destination: gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D @@ -198,12 +198,12 @@ Slack (MET) : 0.261ns (required time - arrival time) Path Group: clk Path Type: Setup (Max at Slow Process Corner) Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) - Data Path Delay: 4.676ns (logic 2.260ns (48.332%) route 2.416ns (51.668%)) + Data Path Delay: 4.537ns (logic 2.260ns (49.813%) route 2.277ns (50.187%)) Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) - Clock Path Skew: -0.028ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 4.930ns = ( 9.930 - 5.000 ) - Source Clock Delay (SCD): 5.226ns - Clock Pessimism Removal (CPR): 0.268ns + Clock Path Skew: -0.136ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 5.015ns = ( 10.015 - 5.000 ) + Source Clock Delay (SCD): 5.328ns + Clock Pessimism Removal (CPR): 0.177ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.071ns Total Input Jitter (TIJ): 0.000ns @@ -213,55 +213,55 @@ Slack (MET) : 0.261ns (required time - arrival time) Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r - N11 0.000 0.000 r clk (IN) + E3 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O - net (fo=2, estimated) 1.972 3.491 clk_IBUF - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.587 r clk_IBUF_BUFG_inst/O - net (fo=50, estimated) 1.639 5.226 clk_IBUF_BUFG - SLICE_X1Y8 FDRE r LED_PIPE_count1_a1_reg[1]/C + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O + net (fo=2, estimated) 2.025 3.507 clk_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.603 r clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.725 5.328 clk_IBUF_BUFG + SLICE_X1Y96 FDRE r LED_PIPE_count1_a1_reg[1]/C ------------------------------------------------------------------- ------------------- - SLICE_X1Y8 FDRE (Prop_fdre_C_Q) 0.456 5.682 r LED_PIPE_count1_a1_reg[1]/Q - net (fo=2, estimated) 0.604 6.286 LED_PIPE_count1_a1[1] - SLICE_X1Y8 CARRY4 (Prop_carry4_S[0]_CO[3]) - 0.656 6.942 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] - net (fo=1, estimated) 0.000 6.942 LED_PIPE_count1_a1_reg[4]_i_1_n_0 - SLICE_X1Y9 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 7.056 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] - net (fo=1, estimated) 0.000 7.056 LED_PIPE_count1_a1_reg[8]_i_1_n_0 - SLICE_X1Y10 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 7.170 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] - net (fo=1, estimated) 0.000 7.170 LED_PIPE_count1_a1_reg[12]_i_1_n_0 - SLICE_X1Y11 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 7.284 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] - net (fo=1, estimated) 0.000 7.284 LED_PIPE_count1_a1_reg[16]_i_1_n_0 - SLICE_X1Y12 CARRY4 (Prop_carry4_CI_O[2]) - 0.256 7.540 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] - net (fo=2, estimated) 0.946 8.486 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] - SLICE_X3Y11 LUT6 (Prop_lut6_I0_O) 0.302 8.788 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O - net (fo=1, estimated) 0.574 9.362 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 - SLICE_X3Y12 LUT4 (Prop_lut4_I2_O) 0.124 9.486 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O - net (fo=1, estimated) 0.292 9.778 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 - SLICE_X0Y12 LUT4 (Prop_lut4_I0_O) 0.124 9.902 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O - net (fo=1, routed) 0.000 9.902 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 - SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D + SLICE_X1Y96 FDRE (Prop_fdre_C_Q) 0.456 5.784 r LED_PIPE_count1_a1_reg[1]/Q + net (fo=2, estimated) 0.604 6.388 LED_PIPE_count1_a1[1] + SLICE_X1Y96 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.656 7.044 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.044 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + SLICE_X1Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.158 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.158 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + SLICE_X1Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.272 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.272 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + SLICE_X1Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.386 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, estimated) 0.000 7.386 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + SLICE_X1Y100 CARRY4 (Prop_carry4_CI_O[2]) + 0.256 7.642 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, estimated) 0.948 8.590 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + SLICE_X3Y100 LUT6 (Prop_lut6_I0_O) 0.302 8.892 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, estimated) 0.574 9.466 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + SLICE_X3Y100 LUT4 (Prop_lut4_I2_O) 0.124 9.590 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, estimated) 0.151 9.741 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + SLICE_X3Y100 LUT4 (Prop_lut4_I0_O) 0.124 9.865 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, routed) 0.000 9.865 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + SLICE_X3Y100 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D ------------------------------------------------------------------- ------------------- (clock clk fall edge) 5.000 5.000 f - N11 0.000 5.000 f clk (IN) + E3 0.000 5.000 f clk (IN) net (fo=0) 0.000 5.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.448 6.448 f clk_IBUF_inst/O - net (fo=2, estimated) 1.873 8.321 clk_IBUF - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 8.412 f clk_IBUF_BUFG_inst/O - net (fo=50, estimated) 1.518 9.930 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG - SLICE_X0Y12 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) - clock pessimism 0.268 10.198 - clock uncertainty -0.035 10.163 + E3 IBUF (Prop_ibuf_I_O) 1.411 6.411 f clk_IBUF_inst/O + net (fo=2, estimated) 1.924 8.335 clk_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 8.426 f clk_IBUF_BUFG_inst/O + net (fo=50, estimated) 1.589 10.015 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + SLICE_X3Y100 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) + clock pessimism 0.177 10.192 + clock uncertainty -0.035 10.156 ------------------------------------------------------------------- - required time 10.163 - arrival time -9.902 + required time 10.156 + arrival time -9.865 ------------------------------------------------------------------- - slack 0.261 + slack 0.292 @@ -269,19 +269,19 @@ Slack (MET) : 0.261ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (VIOLATED) : -3.111ns (arrival time - required time) +Slack (VIOLATED) : -3.059ns (arrival time - required time) Source: reset (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: LED_PIPE_rst1_a1_reg/D + Destination: LED_PIPE_Leds_a0_reg[13]/R (rising edge-triggered cell FDRE clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) Path Group: clk Path Type: Hold (Min at Slow Process Corner) Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) - Data Path Delay: 2.338ns (logic 1.465ns (62.657%) route 0.873ns (37.343%)) + Data Path Delay: 2.580ns (logic 1.407ns (54.530%) route 1.173ns (45.470%)) Logic Levels: 1 (IBUF=1) Input Delay: 0.000ns - Clock Path Skew: 5.222ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 5.222ns + Clock Path Skew: 5.624ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.624ns Source Clock Delay (SCD): 0.000ns Clock Pessimism Removal (CPR): -0.000ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE @@ -294,29 +294,29 @@ Slack (VIOLATED) : -3.111ns (arrival time - required time) ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r input delay 0.000 0.000 - M6 0.000 0.000 r reset (IN) + J15 0.000 0.000 r reset (IN) net (fo=0) 0.000 0.000 reset - M6 IBUF (Prop_ibuf_I_O) 1.465 1.465 r reset_IBUF_inst/O - net (fo=17, estimated) 0.873 2.338 reset_IBUF - SLICE_X0Y13 FDRE r LED_PIPE_rst1_a1_reg/D + J15 IBUF (Prop_ibuf_I_O) 1.407 1.407 r reset_IBUF_inst/O + net (fo=17, estimated) 1.173 2.580 reset_IBUF + SLICE_X5Y102 FDRE r LED_PIPE_Leds_a0_reg[13]/R ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r - N11 0.000 0.000 r clk (IN) + E3 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O - net (fo=2, estimated) 1.972 3.491 clk_IBUF - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 3.587 r clk_IBUF_BUFG_inst/O - net (fo=50, estimated) 1.635 5.222 clk_IBUF_BUFG - SLICE_X0Y13 FDRE r LED_PIPE_rst1_a1_reg/C - clock pessimism 0.000 5.222 - clock uncertainty 0.035 5.257 - SLICE_X0Y13 FDRE (Hold_fdre_C_D) 0.192 5.449 LED_PIPE_rst1_a1_reg + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O + net (fo=2, estimated) 3.348 4.830 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF + SLICE_X4Y100 LUT2 (Prop_lut2_I1_O) 0.124 4.954 r gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2/O + net (fo=16, estimated) 0.670 5.624 clkF_LED_PIPE_refresh_a1 + SLICE_X5Y102 FDRE r LED_PIPE_Leds_a0_reg[13]/C + clock pessimism 0.000 5.624 + clock uncertainty 0.035 5.659 + SLICE_X5Y102 FDRE (Hold_fdre_C_R) -0.020 5.639 LED_PIPE_Leds_a0_reg[13] ------------------------------------------------------------------- - required time -5.449 - arrival time 2.338 + required time -5.639 + arrival time 2.580 ------------------------------------------------------------------- - slack -3.111 + slack -3.059 @@ -329,10 +329,10 @@ Waveform(ns): { 0.000 5.000 } Period(ns): 10.000 Sources: { clk } -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y0 clk_IBUF_BUFG_inst/I -Low Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 SLICE_X3Y12 LED_PIPE_Leds_a0_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X1Y12 LED_PIPE_count1_a1_reg[17]/C +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y16 clk_IBUF_BUFG_inst/I +Low Pulse Width Fast FDSE/C n/a 0.500 5.000 4.500 SLICE_X2Y99 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X0Y97 LED_PIPE_count1_a1_reg[0]/C diff --git a/out/nexys_A7_100T/led_counter/Output/route/post_route.dcp b/out/nexys_A7_100T/led_counter/Output/route/post_route.dcp new file mode 100644 index 0000000000000000000000000000000000000000..38fe32c2a3937ea4a506e6044054d0c327fde090 GIT binary patch literal 173897 zcmY(qV~{R9(6BkSZQHhO+xOVEZQHhOoUwJr)*0KL+2`He`rh3?=~Q>R(v|*^>b?>s zSx_)EARr(pAONO;s=ya*WG*lekPR3R5afSaQxiu9PdnSVR6~biA+*tgHAUtFtJfkl zq$ay%2`oKnB&dMuPt!!~)kzXjqr$iAj{4Rxj-8oH{?o6UEZL!}CVsQRK{Ihn0Zdb6 z6avhWET@slg5c!bpbAbql0f)LD{f3O0P_dO66tif59Q`rIb!Zx=;@Hw-{q=u=nhOj z^o^IFf-2Pz`URV0;)M;M*+C4_{RM~#525aW#571Z7!F}5H0h*zta%TBX(;|t6dQAK zVb@tPNWaa+Y)~U%9y^2fsZEL%oh*uM^`41%Us6MOB1Ybvr4zoe%4h@}qm2Z;X2a6c zabI2q?f&YFJbX6mcK=^qGx$C_b833U;rD1$tkBcLziUtQTi;!XLsFQ#9=Eg_gd-=% z#b{ywSW?^WO^az>s~@tO!e1~0NvGbh`p-9}4gG-b<4>pPGqny$V&;7_@!7oLn#7?E z=R#Mj2}h`oVIeNdEM8Jpm>HN1-B01VPjaa(5vtpvT-L79xkP#-C=@bcOUDA?VBVm8xSN|BFo-oIC@>EX5BzO?kdV+q&=81> zeT3=P>lb(0r5J-)#Pdov-UBqBvgxlWW}P0fOQ?nvKx9=Q;t{qUXW=0973b?Uw!7gbDNI>N(KF=fd z*CGUyHO6d9CB`K(f%B>JR3&m9T+HG2#YxV2PH<`-QW!J>wB3~*PuM_KH4;M*#NKu0 zU`=sOX5Na0=W*Nh7CHZ=Tu?~sTe#<7Gh0nm zg*YfkES4kp5=zHQVXywXk^N5Aj33r2l*i$aXH_rR<~PInTF?ds^oVOTaPNc*sX%gA zC0_+o{YhUw?^ja}Wx9n-j-fsk`uhAyF8sj<T2&<+~kx0`PL7pp$450h4yfxK=Mx)NSoHdR0^{bKE)O}7TK(?Y?UmVeCT zRBjL=%{Fj$+KhqNaCnVt;d(e1KK~9Tln6S5;&wvMR{^Vh^=;j2IOcan301JuiE}fI z7!Ufb>@OV5p|iQK@0GAKoQmqh6+=hypj0#n7KEhwZ}NlD;Q_K|ctFWwYK#kOU1dP< zBcrgbax;qlnZ^gzke2ritwKfq4!8rQOMxc)SUxoPj-U9@u?<8iHoL@t=ekK1!-^lk zY8L5&^T~D0V>8K@kUUwybr%$)d6_9AT|Jww+tX;`87X@9rAxTrjg0l3>z!L-f|H^maKyYZL1Qkc}ik@?l|r-an2fCIJ3c+ z0L@AHa!nT6SLLgFf9v{;*;S%~5z4E9+t?z!O@X~+g)B13l38B}(Q`gH>ZrWm`Q}#e zaGx1d$(iV5KYNVx z6747gGv}aZ_f3SF9GGHVrZH}usky~kMH&3`(l8(aIFp-aBWG6=3^CEf1c#ucKZ_*% zD#1s{GcRz9iD%t%B3g1M=9VA*vh%xm9Dn8L51GpqdO?q=H}znryGG1j;&mg$puoh6{<;bxp1XgbUJk4gQ^@dZz+yeLSVlDB`aMiJXGu%jhT``xlQoUD9Vl*fwnjKEtFIUiy zxiUPfR8k*B*;NjWgAK*5IpF0f z149_u#@%@1ggSz(3#65<*TKHkc*_8xRdFZi#zJ5X>DZetvpG zNZ|8@>UnV%=t$YLSKQid4fPg_TO%VH2ixt=BmG8TMBG{;DHsO;U|Ql@zHihe&MHdY z^LTno*DQ8_gY0u;`+@nl{iA>T4 z-f-4KV(D%f5)1F57SV9PBvG)IyWX_YNT~Z~e|1!gYYEZ&6{- z>#o_hf}b-agnRFb&jJl$TVkNcqi4IWV}eofIp5@|Fmxn7Xnk%NdIi?R{x~@eJTkWb ztBxYG+6vM)=x_fASCVWn=`X7$m!PgFa*r`=FZIDEsy8k^J2BRpVXl%67s7k7n05RY ziwLTShTw*(3`PdaP9m91oygo5oc!!a1s29BiyOg-pXRS|;uU&;+vnH zabOTwXas7v7te3C^a9J1q>m6%q3M(_spltv9F_cjyG)Yif!*_Ti+J7(Bjhn&A=0>b z|59XXm5;np&&pgM+ROfEBlmSm-b?(mLXS0;mRgi}?DW%XQokYmNP4S(asV|<<67Iq_5QJ2zHgV{bmj0Fb> zRwB#7&I2lfJF(xhx~Sw+_bUtGA5q5lOF4jl?ES<;3_4F4c~g$tJlAWf?PgpV4n4gb zF5FZLM{1)(cbl)C<001h0fQAgqK982fY5xl>@66DE&3m{Y_J-D=kquU*48X;->ibTQMJ!fSD^y*_vmr@&ev~5^{uYM32lORh zp6Nz-UTih0vP<3A0b$V-RM;# zzoAj3PGQk=ASib=KRbe90pMD*yk|`<>Z{J#xLAWl)W0f1cGtu(gE5f1G2^BmV}ef3zq+bb zyrm|-i8d4-)A- zh#H!(4X^yeZ|DCp8<>3N-W&|QlJ6cUjW;p6;P+2+;OKX6kAvPo;%=YZr6@vMJ3jiX zrbxH%MpHBID_fs2Xc%pK3zY$i>WB3jUcbcOY?Irj_swL;!uNiyJ@DFT7JTay)UvPJ zD5AMw@pK#b4(%w7s@4qxdHkx~ObZgZ2RR){WsBP<6JXtUI=yTD>_F+V3(<&I0>p$L z?Z{ak)QAZ3s>cVR@&nBi{c+M!Talj94>ITVI%?~6*m{W=mp>#F)r zO+c0lYyoVI7*E8Rxh>o5t;O`#>&pqSl4Eu%eAw_i5l*CM$zu4GAr#W05Sulrsxf}S ztL==7dcY!LBreYu*Q>-X(Ir(W(dL5xY>tC=g<--JPM8y;Q$x^X_%f(Z=YcC+A8Gdh z*q{o}%!$>hA*%mVYo^eAVwU|DAN~q=Wt%>af*Xl{FMMlr|JHGG^XV!YJwRao`IO1Q_aBBKc1lV5NY}k$EBboUc7M`_fJU{aMU~;c zFCUovcN-!H(uqLXbhGy)$eslTw+10(({qiXvEkeFq1^NVjV@+z_Vf!uM~s(rJd34%|YY^}X2v)D=gsfNf-%I1_(!)39*uI7Pa^HxZ;n&$t)BGbE;~1LqKMC+Q zp3bDRfahWTJ)FMGuqstjW#)1iRT_3I?#w1Pl)q2q1{{cF7#*l?@TA(8!6O{z*KScX zvbwq21M-dz#cVEuR{0%?#MLz*e`yM(4ju>8v`gUa)t{CFJiB z*H}^1+}}M$5Mm3YG5e?u-^`s-8Oxaa4M3@*+0>FZcre_TeflW&P{+qupu;?@gk$@9d|}Ri6%iVDvuk|Y(1qxAnu>&q|KlZ;d!W*n zRw@D=19<9j?coK-PS1$#0k@HUhC>{l8!FbjPr?>?=ygq$Er_3AFf(~{r*T;O0cH>) zc05|Ggxc4W#%*v0M3k?pZ90NnKFpQordD^K@a-JIIBl-mn|EHR@X@%ip}~#CkPsDI%DZ`+7tmiEU6~ONL6z9hLiJ z6ZhThF)G*r>eq0}*Kl3T&KR^_!IW?BOZ;>8MI5`xz3KC*iRJuGwEkz5I>Nh*ZI=M_ZIVVC1ezANp zYD?b#j%-lKOp{Wizs>&$ZCsN6LW>euISihepc|q*Gw1RaH3Am$)HmI%=N#}P9u!&W z&V)ITCB+bYpl`;Dv2&qSvzcDX6pxr5NLVmql={MYxjBmpK&Fq^)ys0A0m>d#!3DNn z836$GF5B>GAK7(=(XOX+3e;T{{2=HtfD=RZZJQ{ED6Yuf9L5($jr~~`npO8OESwV^ zvKz&MJPw>wF;}ZrC_3D8)3Q7>%Rt*kj7=jziL30dn`lw}L;0+=0+oTMp?U%uC7$i; zSykjcWFAW^BSL7-$;$80U<0@a_1$tu^1-qxVc>jESm0%4C)q9K} zn}!IRhUk)p$eMUk+>4t=j?-PqAz&BTk!hYabvCO>M_kl<%nOP zKb5Swn+H|EKldsb3|~W-FZqK}UShk`as2D+N9D5NAYQ!lR6UaCHYJnP%#b$WAur-r z*<4d8gK{XKY$pHwSwDD=T2MgE3@s^XZz@ryOhI@jAL=*Gc}Gws$*teI5e6v;d30)_ zv_fgMES+e-DD^LD;C46UH1?E@+NDHHiHrtd2M+y&Kz)c0j$c@0N~V zxW~-@kf+CojM@~Yjdfg>W(`Xw6`#0SX(P7-Gm?4E4SHDmBjAvBK@UV3*~!vtM*@98 zAEZo}t5xS(w|(zvO|IP_PUKmiYk*!dpOFKm4PkM1VCRDgkOs2D$&l_w`1eAzCay1? zBBkLJZzwJLdsn#Y1zTUXu9wyeuZ}ofGwVq_b2zQ~d$$Q0uJ>6dOgV#E2P*wt(28c- zUEuE)oTM70IWnL2yAO;oPwq`fgJFz^z&s<#neJ0efXG=d1ji}@A?6MTqwUrpAs1Pt zH6n*#hE|&P1+VF?07L+ce@o!CNR`8|_AIG0bip4T$!-`@aakw4>>Yy8j#uUL*WUPm zwjb7=jbQCxQ3sWsm+>;)cjH4eR6!D&ZzTJKbH;!|df5ZM*X4$ZKs-{OTr#au1Y(ZJ zDvs8w$!*G|F)*cePN>m?2_zFs&xmw4F!@~gn3Y8ern!{v9f;58TQYVwuzDsmH#?@` z9+hlRoAg0aF$w9DZO0p+ZK0r+H|{3&13iM^DzW?p!|z`m{cbG#$TdtJ89CzseP8HF$?F2{6Xog5@}&WE8%ii zB2|2*eewbnhBBF6cP%~x@&J-*9zjU_=q@^jlx&)Ad=C8NoG!XCDUv#Kry@6S3&|*Q&S2Api(txMPnd_9l&_n0#%j&+?a`qlATadsYbE_qnd^tFAuo|tj@KUyuVCcl#QIZ}^ThRSGUF&Txn3~mq z=3jXGz^8w56{3%ZhXJ6(KJgXMU@1v{T5|wQ-O{_6riIyhSjpv zu+mWHp_0RQDb;1sz)xy;s+i0{d7NSlq&K;$_Gzj(4=9R4f3FftdDEn1L3$y-dZ8`0 z8{eza{9m+-+QRe2s0aU)3o!LHmupe=thZLeU3YxM(U12~A+m^L+Yr-m760ozg$Q8K zVJj5n`x@`LO*_OoQ~m=y_?J-3niQn0Nwv%v|NB5ttzYwH07?#&q)iaW-E@;>^+fHC zZ!!pZ$!bD5;+wum7(|S;)Cu+>alVcy5rCiIMqM7bl)8)9thZgpVRdHpV57U}FYMXeA#%=Z1XI z6|HH}=w1c-tqb;PqbpB~C{4KY`ynK*r^4q$Y&aFi*J4^C*h3!Y{i_n(>>x*(6;f27 zW#Dpx%7~MNB+?dFHbew&&%ZylKkHYI0^hgDHWwp$R0-mED_GaY2U-Fw z3XsjRX9<5m|NrQ(cgqa)<3DCw{vW@E`cM83`m?e(akfhoRvaNh3cLM@?#e-du%z~B zv%ea(U-h<^%BT~QftS@hYQS7_U8@w`zk8#&oo#h*^*^J*ck9R#(fkz1TzsqCS(U%F zKuwey+cynfne)G}q#W2dTNqex0iFpGNn*Hr`r@yN#tcJm+~Qn$)Ys)q2n=;HLYt1o z!1iDI?QpRS#;;wwnLPhN2P-lo?xe%cjwLDV1wu^WrBjktq9zB2Nh5;b{tq&#ScSue#~I>&y5YgDbO*YLq3?20ViQ@A>=>+g7ZR#c_uN0(#*1Uo`4$3b@v`bHE)( zMlhR<*JNzcl%Xp?J>8~IcoZf{;EOU{KuZ);MwW|Wlh$@hdGhYoP0}R1t@n_OlF2Tn zQbMx}0-1az5&9&BL8A(8CyWLW|HS;j-4tBd=p*%FEG5fMwgH`mj5wT`n|YkMy1Hs6 z>St(dku)kDT+{5z*XdyqWG;%ylvDoGmU-(eDz+`vM~W z+nH&LZLcDaVVXxqXeHTfO(C1Tc;osjRq?m#*!8cn?W}WNDg4wv+Xe@lq>Xd}4PtwG zLN?70l6a9=xjE_iIt=?-GWwPrMn{ZU)r+Tx=%)5oWqL-!U49rY5mkc6oRtliu#jv)4kLWn0$eF+2OU1#u=ygntVaF>{G05=DJ$JTJS>8lu33&1KDox- zr=s-1hd~p<$Tya!?r5HqF+osAppZ2Z=+`e27wTP#(^tW0)}p=e26gh0ck6Z02u*!% z3Wq~P(81lyg?}P}U8)Do%+g69R9Kv|iaqZmh(j#k%VSULc zI)((vQ=K9tTP>sKwbWU>L>`3b3IOYJGun65a-u#+m<*@Ki8E(MO&ePYv36`Aj?BNi zoAuV!msQYOkVv^7XW>5#DPREgs}I!C>F3iF_l2F!cc+dQZ#O&1YIGXF=1AIf7+{0` zb>iMd@w-j{HGsfw+3>~rdr*mbvi;=c>zHBBaLbYHr*{!LsM|~46b&QmW zUQcRrMU?zizcFX*`Toj2_0|0{N4-kTfELVl7>U7D0&gf{vmUaCqm3@!#_O?23~{B+ zI`Nc0Ge(t^fHmag&{1}TQ1lP&+oF`Y32@7_6u_abRhh>bATMd0b4J!psj~9=}vbxktt+%GS=RuSuNR|j-9k!ga_u% zeu-W}pcn!tSx)|i3`Td@*L00wjoIo^t|@VqSAl)|3hTW#Tgq@)k2^s)W@+BBb0KIb z7wHuacm`n_;YDT7LzZB}rxNOXA5M$yY~CSa044b+faY9_a1c(%_YA+$g5R0%3xa2Q z|HC~Ce`@5|QW8hXYJJ3&6M+Mtmm?h6C%vM#;nvxJa<(F`FTiAnHKU&Xuh>eHaJ935 ze4$OGj%2WZ__nYrH!*_rh~XFeSKF$ENWGmlCs`iB?vRa~o7}44@#~b&A5-3}g?nAl zS1L*|&bqH0%CGfYiKuI%oTcn3upe-f$NdmAsCdjUYA&B?npRy6r-jWWvwgrdjP z8Xk1I$R;K{P=%yBm{Mid$Q@E|r)7s_0j*GaC$bk_(PBCQkuSccJ{x{6#Y^%=Uiqv& zyTO5$(;9g&#iI{pUX9f4a6*w?_1}A%8iYOf$Y@lOAA)SKbo?(%=r{9W)_KPFs&F7g z_K>?f!LGWV+rHN%KzFhUvN-Q@%BR%<8O-L?b8 zf(TOGG6{p;06x^uX3%Qjzdz-AC`=I;?opRlHuf%(mq=|?xQ>R8rbrxQ$&&;~#>!+4 zMLqPhR+IIA$u6?1S2rP znEz|LNDxDayHC`gV{CQz+hFi*+iuXF@pLXdXV>|(Vy0NqQ1s74 z{=$&uNMjtDnmBN!pz3USSYwSDGmTO8>Q9>PCIF7(N}>BZiXUIa)T5<+Ni${>Q1@2& z(WYG(jl)9xA^J{DV8ZJx5<1EP5Vvmd!bsE2=)^a3P`yCyUC*-!qn;Bttw6y+xiOwg zfD94X{TeLYe`GNRDEiX{MBWEWtFAAT=qE|2!_!}u6VY^Mk8pvXR{qR)@NFprZGg%A zi}|l2_>*AlWz{4f`T`N}kP+`Z^xMi3tLw$oDcVg{ph4i9N$D@)0ywCQAy?r5#R5Dc z!u;I=wqSJ{f(Y)m%UDD@HYyJ842)yf5{Oi9(f&Ie*OW8GNVB08K_MV5U3;#spXyAj zO#IP>14YOFh!~j>Z&DD|vdNf-fzGatEhC}_QO_A-55(C*w3Kwm6RE{SZqVGF8FkdhCgs7$oNkNFubsdoBd*r%v2$S8^4*ru4T=NaN zRN)ZTO#U5wYbP}?@ZG`0AU!&w)8|RxD6tlw4ixQ3Wmt41MH?nAnni%y?bh0Yd^ZWk z4CmsGP$lSn?S98X4s&s_4#`S1Gsapl4&uLBOhR9LDfin;AEl22xbaS)G^wl+wOs-j zmXXh`VE{zT#gAhyvCE@nI(ad<>YEVfw>&5dCVUy>3r9AhDSKmV8kp>!W9(BL9g=T= zn@(x7(Fm|M#FW{b3D+GxeKB{!@O0yt(>A3!76dixf| zvfT_{CS6`l-bp@(1vV4h_U*@*Z z3W>WO?joP50Hu3q>&#p^VuDVe18&xG0X2z0OgpG$fmY*jBq?0Lz(7<}FGS!`+@NaS zm+eXrDLnt9^YUqD8CXD}vjJl<7XBTkSJs_b1Q^87`w+U}0A`qem~B`S>5 zwDJDu#a_Q!h7jg`Ttr6iL*Y#EM;G~A!m3a0BH*6EZ|AmWVX|nNFtgsK-BWW3+979r zq4e>ITo6yH zW1&x#osl2OU7TVP~LRxKsM~jFn#ph_Kk?|U%u{Jubgy|1yG;tQ$VH6*&};k~ z7=qlr=l9RDdVi(c+yU#>E3~S(xmrQVy(lFjp@BEK^T>}o%+4K-)*r^x0?Qw;#R4Pn z^rb?%ih*PI?g6oJGRhx^oyXl70z)tohdDy3=!OgI*oFr&B=F1a{!o1DzBgkO2l!J$ ztdP5*W4_2}|2;tkAuK&CjG+}iv;aArV5D>jWB3-ll&3^UQh3q?>0Wk^Zq7}vCv_UQ zuyxFGO_L4i&KUDky2X8x^O(*(%7xh9y4R4?M1JvC{gmq-wHxP-<<^d}-q-#E_=p_w zrIiTwDw*8&8=l|)PigW$uQsXXaDkaofPhw5fPkR?-_p&+65wdIqT}P3yW+OjvpK%w z;L+xBd*NjFr8UqvGi<5ZOb^OY>#n(KEKTc9ZX!A&u?|8@1!4OL6KZP^7X=LuJpwEu znk8q&lI2=%S_scr#w9f9Iz`sHWW@_V+nN`Skk=}jCBX8O>vG$%=TG>7_hi9V$bahL z|C;~F^_uT;+((WL_4Nts{QC1A_DGzlu1&%a=hvOHj~f|FXUfzHunex##8j z6FxlN^8T}J)ZW;bNdBz#a<<*nRnjqwzuDbQiUegF{0oX1zOQ++@=OH@!dvm#s^m%A z5T=ZAa69n%^?9%U1^O8=saD0LZkcMG=64)5=IEuE3~5@cf#rb5$HyBW=176MR60k% z%jILqFd4$4QLSk0674bJS;n(nsU~~eG!&#jkc@F&TJE`?d3mf;rKflw8LQa_Cm+tr zN25xwA7IXvK~2i5HFJ$4U7;fPSSq(kC&JRZbUyvW z=q2OcT?B_|H@w0zxg^vQVNL{%oBY8wjo0(8P$;ql*#s z%vsU64EvR6oRrE3l1Oao*x&%U^5x0ItzB09>ZFS_JRAH&aIy zuH1+c>?G%*J3MDSL0x=WWZ4_VMp<22QWA$~c)_I@OeaAD`ZRUFwq))i`K^t3Xb_8U zjP@|Us%FOH%Ap#7Zg@V0TC%K7`r*-uJdsO#Z*i0{aR}Rj+7MrWX=9o(6x#{`wH_OpXE#PQ}k8;UAw|idnwH^Vdku4eVj~=B_HX8nD)ut9A zVQMoN2WRf#mSx%)?q>SomUIKI{vCefWP2YEKlvLHa5j)o>}@Ils9e(feUv3+NX?{I zseoopj*$Ngg__-fkoJlemur?WERtn#D3O|0b&K9bU=k{n%Jk^A&oa8BopX0M-2@KHQ>3F!ePWY$-LOlq z6KDpwNbpPGIEKNB!)YP+@JBLtemaA~Sb|i;pEYfVL0E!h!$(V>lHta_3bMS$U*7cBu@AVWl6@Q) zrx9kYTpV%A3~zjH@l{TqwK|#64~i2AQnAqfCI13X+HdDqP<@Na{#5(@)p#)CHl__J z+%}~ZE!>uy63XZ4EGrX&l(YlqF3)Aoww(SlX1w z?F}z5bp~Ic-G3jhGyW2w5M>AY`$en720xsNOQA*QFPL%wm^eel{^^l($GCu`h;Dlz z&=((l(=0kSZBn`Uhj=y`oQG9y*cKcRH(7u2PpyOnn4sbhYN%d4GYiSv8ldVa{zO>jkA#XX_-k~BDlbqh37wrT%DBZ`pao(#2S)J5(JL;Bv0BH#Q_ z1wHZ(6|pxkE+iLHObEOgn7+}L$P^q@_6OzxsIwbUzYg_QMDWlsi;Jp%T1;9mxE>)Y zBhxeY=TkRaz|h>Tp2t>m2IG&H5-*~z5*h199E*t#N2L2##n~H15^~Q-0PB5$Tz|j8)kp63!dT2VM0j1FR${b} zYj&i7X_0Z)sF;ET>uW9MLPgo$IJP*~Z-k2QUyNeOQUNnF*$?Ra)s~!ZOcZAp*W?Hx z>pdN6z@!+S=odlk&wxY1W9-YDF7oM)##qnUUC3F4$zZ|fK|z_a)~5fi?`M^!$RS~t zCCfb@>YhbsouH9p#n88UcIBjOeB?k#w|Lwz$ZF%hfCO#gS=H6FF$K8|(QUARn5erH{v@z1?39ExYQYg8|JvEvrS%1jv(1;(-B2?6C zKd5CYR6@}Nv>)0iR+Qk+reeqt$q;Ge81y$qG&D-Uw=7bM7+07jWzm2LoA{wj+%=UhgoBz@`7+d@x-qCH6`^r$^? zC-$g-z}eNrH?Crvs*MsVb7=msLmm{x;?8`i1V9Ts*>1#{1WFE-J;}{CRlZOA5V&Dh z<1D{Yr=xx+5W#_BZh6Ox)B2<2AoQvi6z6ZFe9ZH!~e>pIwvx(?et8ld+bv_g216a0Gif=CJY77DkN% zWB74J2Yo(&+(Fhd7J&$3c*SDKV$+kcr$8STff{2tDt=OJMqT4&i zGcS{|U7jrk-VkuFiQyv6n6W$d66T7$!C=0tg9Q^YlQ*fwqY;(iFmSJt;UdoPvAb(w zEPf6>twc$FJZ~OLxB|3I!hT{stRLj%*&@uKvAeRu@xZhmSlEZmjF7PYu{+?xZ|-Y2 zjDP77qR%~JjrD8SjfoxrVS-o3O1d?y^hUQ>U_0F4VD~m=VMQ<)O zF&nrTn;irjWlW5Jc?JmS^*F=X+9nEmxO5Y$1PE!pIKwJhCh>wH;0N?)2VAF!w0d#e zkE~jW6@7SgO6=ibv;eiL?na^v0)l71btSf z5TMao2xl-QCTpSw>`qvm^&)J91x28`90+$5A>i^L;hhLjTTr69gx1((U4EoZHhJv7 zc6dg-ZACWYt%FVF&%m5eJTjNGV?RcVbg)D$F&-7l={Ykr46V}24O_% zm|)Vetjmf3@Wpe?Fc_@XX+?mx5{>_sidx4t8NrvW;=p2P)7a2y0jk}!`|h;TtDnQe zPsQzk$Ws?06zssra~ITGHcc(H%&T4Eg54@NZM(-r)St0oH9D+gnvA4t=U6dnvsT{6 zg2URo|7bO$Xky~P3g9kl@GDi{u85m2YyGMA7ag8bKfY=zO6G)FZLt2c(1@fFQqEbc zwZc(iWL4FU3vS44Et$R=2!q6KN~eWioo5cFHC7c92W~^`7Ny+yrjmgJULb6ZNtG1p z-(I<6&h^HjoRJlDNGhpsk5-$kK1ih{Q3F_lc&wudMv*@&t&`CynrWCC3qDtm!-8E! zu})BCVy;pa9j+~+855a89}9l{?<%4zjWwf2I6Kii`cD^X71AZE9v7A>U1Id2u8D(F z?x@a+p>k0P_IVI3;0MBB;;Zs=*Y}Ts)}miuE0^DM{V{CF_cz&)>Iwk@BxvRB76;k? z8NYWHvS4!XunanKlE!MHgd519o2mf3buVc zg9+#;LDcmP<$>2+3`AtGpwUl)jF|5WddpmlGBj2YSc4jT@K6ye7a8Pmrhtiw^e>Xn z4_Ib-DAE(<9~BECIzMP`tw{zb^#Bk$HBPZwL12t(N%_1mpv#4tmx3^$t-AftH&ml4 z&C2r@BqMUoYCj9&KQj3x^*pe^8qR4vju;@d|E%4fb!@^Afiaxpy2thqQuJyBP8=fK z=BlyxNPFZ7H{7g;ab)S`gQ#mYbvjM6cH7x*!5NE69jglFkrh5cp-6$7{`@BkD&*5xGy zxAlTl&Km}eM+@StDE(r4KG@0Hg|Z7&*Xos6$wF06m)5V0GfUa}^`ID=oz=z8e1eA-tT-*X2XlCfOJfY*U>-`qVLb|fGA z7Rhrmi z?PyhX@IG3EpR({vAwu( zp#$81eBF0d@jB$A9wee8;Rl{Mgl9aGptaobZi0f6KsEk!PzDaCqZcu1$HS&KAHqJa zp`T)4R3=bmp6$^=fFEgCma5SXKjMXXYr6&p(vl4k?Y|<4OTmVafPf$7lVLMK#5!p$ z7$1#ZRVZQ*;jXe6Bagj(_V#2i{>x?KhCGgRp0h$z#^8>^6Ps&1-SJkh&RTpz{BC+& z>U!<>nS=elskx{IbUv~i054t!hnW5m4q_6K2>SCm)ir=@$ZL@tY2e{?FFjF-h@ z;l1sAC&+bsT$lkTRtd@}Ze;c-$PWB7q}lNa0S6Y=~ulY7*XIkXQqhPaBrKiPotR`!0CuDrje@otD`)$i`+uerb zI^vvkf!1zDy{e=^1{WCxQ>TA$Y~G>oT*V2wSa{%PLmqYf*Z6Cm;>Y`;Z;3)d=DTAV z8uhjy)4i(mP48+Xg5noCn)q zxX#NedglE@<@?n8bG}#@^I6dVp}#1|4}q&mdhWvL5UaDh{UeFROH7O*{h`a?H@`d} zaOb!=B5ie{g@7tsYIMg9M*26^*vxr!0${A~JX#sLzCF+4nO9Xg9Pc0Am=LJuN~vBd z?8H<>;x}}BSg8hgZU_k2&q#@91!3(=QX`&U$tsOjR`ShMW5%iZraQNmtXaW0pB(GL zVNIh{j}0p3sS?RAfv7rb2y}kbO@U9!k4{)3NB{QAbv@;)m*I)ewenLD=Rwm=rZENf zpiqw;v3{k{uk&-kqR3a^iKlynl}0Zq@+7Yk!MK|(jb_%m?vd8C*`p1Q!j@=uGR=A{ zEgoQ*uA^7r7=iN2(;!nX1vIg%EbbX!>q>Zw%=}+;eFan-i)}2K#Q8 zJ|?QhS{=XD_`tGuvHYHGp&{IkmaBf7uoy%3%S5xo>~VNH>e-eR8Q z@yV}d*8p^ZRqm_P%lMDS$z8Jty zeM!r6kwFb6bPW$wQUZMsdcpa`u#7g?r#x`|--~Mwb>`;+IV~pIQanFTPe3X_6f_w3 z{kh(N-anacF6%u!H`niDPq{odDqK%Hp9uoncf*NSRW2VtSHb-rCVCm+<3PV{HBcBk zh#BNwY(kAxyx?9i9qZm=Vh$;P@ww$Fd>o%yEQe0_Mdw~s2#*QmZ9`57S|tLZ4r1I5 zMhX|Siit*Ru8{)qK*2ZsCGNdp`l9mu8rH!5px76=}bpk9_KycyHLR+a0qzcSQ(t` zbi}o9g!+^VU^3FA38|gQcBXuEn0UR4*yAFJ85Ox>BZ)bLxw8zPNP|O@<0lW_jM3a@ zzg&5vx!-=dGDCYl{;Kbu?c+ZBB{nUP2>*-Dj!7q}U=8y%nI~1d;s(yf-9GJ%b;k?6 zPsa7-+k>Rnq2CY+S%s9O*CtHZh9u~@L3mh)oF~=QcDm<5O6dL~zVMCYD*1)@lDy(o zV0-`94ADDuH-+Qh4I7rABPpK0%%M$njPpnz(RF!~e+WqR@+D>Rq_e!=*d^b02V6S_c|W|F7=X} zUK_q5-TN@@u^M+GEk1&>M00lyBnR|*=13+NL;U#Afhj{>QJ zhi;9SZV1iVX=aks^cy90z5=PHHK}GcX8InSt^it^`4Pm&+hWZ>=R{KJrkxlCu}Pg6 z#j$gp?CR+H5B1CF`j55;$k)4lOu{YpY!`-Ny}ym!**9jTZbO6G<&3T4_Dz_)YN)*F zW*P=Z>GPiQB|pTmJLdcNPPUb`RtqAonjf*XRx2Vl4!0Yc8-=htX8Jmgx23gSwXt1} zwl^HFd>k3OFg{d}S>>W{V9dyWIW#yWQ=P~5wpDYerSc7{y&HzV7(RQ0o?Oh{f6ORI zUSWg0`Hc(2Z?YvnLgp1oWm!F(L=qBPvlw-%>{Le=boTcb#J+DO)8U-#U!8# zctuT8DQ!`tO}G_mC*p4bN%E+sEz+ z_s4xblA9jbUwBtgQeM8Sij8`WF3Bu<53ib}JUMJ4Y)Wj>Y+C3xN6$bTLI8b#kl+u3 z+xu~o5L1G|Gcv6=+8u{GaY%&G4bU5D6pW}1$Cm3>#MLNSnlPzESt2>qrI#CMOlShC zqefZm>Oocr4&{UOj0@vS$n_bcpFRaOzDu11x^xUEDJVa{uplCQ`#RBdsV;G<5LJ=O zz?Ah3TU|G{uuI*NSh(NS>rY&h?gp)Otw~MT{;rL#Vi`6tHAK~{ES>9GQ&hL4tc)%3 zShj#xB0vw|FMfbdfz2oxe6QE+H?!Ut)-UzNLDFIC1?W=7V76 zz%2>;w^wXaGP_6W*~n;{|7q!HTmRC+QhV2G+F*O%>Pos-$Qkbbdf(^;_yvF%j2MC# zoEVS=mIaXoo(0eW(}B7e`zmK6nZj`TN@pXa zK#fB0>%KO%Ub}IRcoO-5uLNW3_R`^!vR^(Pcj`dxR_3fz*;}_%!JLuJx(YAr5hb8Y$Ec&a*@kdxvPee}$Pxv2~ zJ9scy;1PcR8~JaI?Qiz2b>89I&-Wus^Q8Tm0UNNE5Uc{GWf6q{3>hNCcfhZw6Lpi)7Lgq}9ZVXc(3irO!mrS`(9guz#P7iOzz^FO+b`L7 zrgNl|rn9uuqVuE^zcZ~z0QpAeO<(b0YR=0NYqHMTmE?iFMCbkCvQ*XpZ-$YwZ*X3LUlg=v4d zONl{cXoCvP$Ia!!FPU~1w!oTZ=Xt_*2nH>!<}?Vl3V zJAl$cfb1JK+ZiY*=goA8S}Dz!C*QIovgt0_38zI=u~D^zols{)G_e7WdPmz3T8O=C z+ytUJtD^G3TXj9x3M59hC1wSO3>cDAcNlBm74W){^n$43?Elb&QUCgxni7XdGD6zK z|7&|1Q86y!FpE1bB5_yH4BCz~6k9@^Y8qmmp%_jzdF8yN{w4a1Zs&bI@z5myr$Z2P zkHW356B$yXonSwAU=>~A*ZOzMYs(EV^48h0hxEz?M0xjLeDZa*4&_6&j_}V{@EWD~ zwaf+aTMdG>XaeCI;WM0&Dv#v|WpD;u##0=`zG9 zCYGn^3(K4=W7AeU@6?K4X+~^4SlR*hEHQKwQ${s(8&g|BMT4a@&W=!JG=I~+mMZ0% z)8?z+Xt1P~DU_4n>6Oamf(Y)vzaIL&D@Tx@Xy?i$h9Kr7pW#1;zA4v`FU(cQg}7*C z+zYs~CqnvvY&HG}zUUb;Hu>xI}xI(LNzpihDZ(Twp!-TLk;@Vo-+TBjW zgzBi{avB|nofEZ`aJ3Axj#%rfI1SIWwHzN-FYR+R3uc73HtUP2bk_G;i~is~O+IG7 zutza>Y7&ZSUdG)!Nvt%8$%q4}(psi1u^!n+XgHPZgPSMFB8OZbGAl%FlC|yx?opR; z8(xUqW+b#Ik{@lCwb-b5v=voK6Rr~;&t^PVpH-)Qrre4Y5xOli!ckdCe@qrb=%EX? zYRut)BhV}KnQmE8qaar*05?mV((x7X(bTLog>uUf1DK+E!-?it)6MaxjhCbpSF$yG zG_1su$C^FGLSQbwRaPucVOCZ2S_hZm1&AXl;xG8=UC8HNBMmRm=nN3GP{35FFMcAI zsc{)v$)Toyb0mDPs9pf5BtySNC^o31=r*_>amYpGQY<;$r{=Ozaqli?6~NibHEYH` zm-OxUv)=UrQPsLR`{XhECC^~eXOS5 znuRn?^W;)&M&(y5*!9LK31$VJe3&m;WD9;1@#UV;*G;EA%I&}0jE6Dh)w7EP_aYMV zdh_(D1WFX;jE0kGe&zpCDmYM>V4f?iV8}18Ptz-%&*QADSf84!s_2?x0nMJy)v-5= z&ew4^JI8ajHcN{w^f%MB;8tUSm`8SR8dDMlEI`*WR2_;>WcNSksX2V>;?CgfN2J{N zl|i+?(;_6@Bu}@xaiXz`GPS$8qJNjBTzvJQ&$-B3HPViw8*hGbu3Lz3FwVgNr95fS2O5W;uk~RuTY&~rky1MQ@POPkSnIs#(^|n>Ossf zTlRr9lIFKCWp3ohk%-NsYOr=CVKdBNC?2j13TxTmA7k8$E}<#<6NCgG>=Ax;9U2)841?`RC`P(qUwil&(gzM=JAep^J@*IZQ2B4 zd}E?4EwZAmC8I)%VP9;>9Z;P~dLssZ1Zwy?GhdxgVZo}_+0)yl>w}j{TXzVQ%3Zsw zF$T<&SpF92_X|V*QmicM%LE7`cjqv< znjz*B*_*4u5pg?giF z-DWHvpz5$RuE)hiBEd$_U%qOIFbJ{S6U0bR_wS)Lz5m^YJ>?>QKAn&kQFGp|b#}L% z2WiTS+{LZP$HlLK>I`t0`T;A;)y)Ab0Z^p2vCvCT0IU1Tg}>Ac#sUpcRsdTumqkXF zasb2ZS%cP-02AqtN9~>m8;5F_HvsfvDvSPR3J6=`L5_O!4;4aB__Q|LlaN#kr6UfG zOJyT2jzI#{*T><6THq(*1X^mphufOr#Z-oy#aqHwM1Pm~-&>KI+UWm#q==IL5Of|J zu5{J&3^qiOu-Mix4ypi0>{ejq+m4Su4G(NnI48=I2dWpv9$nq9X7-cwl{syCWTD@j zdsYCYF=Y9WRx6Spu+!FVEBvZ>c^R+`Lo3j!N&@B>jaHCJRRbQ9R%cD>3`0?qMQF568W_M z8WKp@Wf~cDcN#ph7utOqr`{#EG{Q(<-^YXvLLZp&!m)DPkv-5BC6_ji5$&J^6%W43f$wR&g#Nwxx_ZwZ<(QD@|rnMAU*& zTYZ%nLS!y3f_=jJw8brkz2G*k(|?d}!$ztsk^|JoJbo!H*-(48Ir_;ym;Zj6a z=3FEfehF6LT+Jg%sI66)Yhj?3ye3?J^(42+iNTJag{xOy=9EHxd8myh3Wca18J-j@ z#~gr6@fYURcNN^FSK=3Is(%MRoIxd8~dqE|TiL|YvGF0I$^k01(BYVE2 z)1C7iO_%2MgENsYl zx+VJtn^^pxQ22keBj*{_{Qg{4qPMsmW6Noz*gNn)Og?#GAzfxLN4hU8%a(qH&{j3+ zY-kmho88U**KA+hBp>jg=tXO(^5y$X^l6U6y zjZ$)uoH|L1E^P!xoocyN*tLYxJL8;@TS8JX9ZiB^eq5QncinKM9&!D=h*|lv-(C1} z_Nyq_5<$LH$phA219gYg)0ucPP@GHAJQ>fZ!Tdt*%zAEpgxB&cX1cxTZKM<+ZZG>J zG|X2a%7>QUQ}Q6U7enQv`Gh{4Wk_7bl%q&xW6h5~iEOyp#|T3SIqZx0G!2FUVbm%4 zwC`KkOYrmxn*fgMYCQ-J@5e*}VC(**jG_cE+0yQUN%6P5^1xK25Pbf1gTWd=R7WlY zXN6etUF-BFAw{N@{Zs_{xj(fCW}~Oz4_N3cuGg9fQvruNZbGMSQ(iM*k~x9KKKr=S zxf%J(OC=dSyq0@?lx)T>Ln?6)WK@dnKldV*LdvIc5_{#NnYWs0W8^^aGzN-)xVTN{d*z%9zf*MOr~xjK_*wr#NHsTdO!@a$B!B zV+x-u0$SOvZ5-M#H)~&svyb3|LJ>wc3s*_+A89>(Xt|i$XX0Wlk#(i5%PfrQCvz1J zwWVq;>!oWrxl-C^#$qjgbsDY9R*cK%bLY0T8fq;wrE7$_Jla+bxodsPZQ5t=IE0tx zD4fe)Wjv0`5%m5zR-IPdY@+yE;`#}UU=7bWM%_xirqG&7k=2_e1_?+i__+j)?gSK# z{WyDFECZCp6)d7qwr7mgfhUo2sH@v0ylif8>)i{Yg<^kgxzOCrDD_O+3Ai$|53aSLTrpU7Pfyj`nQen%3f8MHu3M%x zYH1g9SpCI=rL@kqP-V1N<|EsR=X1*J%kuNe?sdQ&#k0A2_GRk%dH1?IJM&9(d_pTi zi?r6|B=$P@I;-e#|yOeL!7J+j5g#>cQN&ORa-yOJ}JTMq!ZM(^_;c zMj^Q(HJ3E4EtH*k08Nwvj3#D5GX1_?D$)W$VUsPPT`CG2j8G?Sq>Rkv^ zM2Jsu^<*+NW2SCL9M&+`X1>~!c~zE9c;yUo!poi!X{0e|{{*cm3=@M6vMg*Z05=8r ziS*?t-$dg4AD&HR$rGY-!$GC}DlwiE;nU8I^OR@)C*jjXjZkvSC=EZvV|vP?;Dz1AzCb0*iL;F_nU+V`h^;@NG7M6{#V=nSDnKPc1=Iy}L`(m2ZikEB0 za?J(}6L0y#Z&{UNQAvmGbImq2=PTs~51y&G{Y377*PjUNAGIxk)<*$n6Hg&M3JpVZ zSu-fidm9@*4n~5~hwt$UQK$ggx6=>Tt1)=;5PRNk{uPca0bJsb*vNGLcZ3k6t8o?v zlM2S(lZ7IgFdx6KKZ%;L3N-Mdsn?2b)rBy$Je0?LLV%p3J_CHmme0>)?6LYXUkd0p zh3~9uHSwaA8>jHt)K5fDR!!URt!#6ntZRp&SX-vB*p`n)S5{41@Xu^>wXAD5qL3S> z1lTxtMPpi~^w>DJMa9+*Q}790a?!0Fr=z5srs4&f98B15Q=#mIZg!FBF1e-z*`61p zc%5=5Ru3K6p1Y&k9dj#J4@ucl>5#;5trLz!fvc1wQTD5c7A^^bY%05=eb$QGqWRW} z`=Wc+id&+I){1+gz_p^~D06^)GIxj~(LHrjnK|G7;TW@c0i2|i&3hIeZwyv>S&u`%unT0GKKBv96Yr*r++dY2$tQ(yEeQJ)^m~VTxP7-u!k>j0t?TI_#!Q8u(&`*r zF0nvf;(RIagK_(CA#>aB`m@It?shnco$C!=Gn*InheRFho=&{{fD7|OlJ1$m4-jP3 zTOQjgdL(+3UOIr5k*S4+Ew3Wuhu`|}zW41!&86mC-1K*o21*m8>nJRf6El_;rH{OD z)opBHoCG&iuUO4y86Hp@?bB^JL007+N`O-H2l#i@3+kth>g_iFm{bauHlJe8x>L3? zugaYj#g2t5tK*H6M^?;BOwSd(M}KGq%=B$yL1eh(eqb;wMldd(5(X1ypq2pM=(@7W z1r2r|_{PNK-p{qb1wfoV=bJ#wPL&^Gr86HMghwWS5NQXWjo##&^)le~Bi|$Sdb1^TUan6W(X{f^Hd3&f{ zAO#AjVP5h4z*7Cuy0#XtRDDx6LLL6-h*8tx9a?ax9|})QLNGsE1*8#bM>iPAZ28<` zGO!VGn$>xxV&r@_q41WF$^Ge(6CM?vT0?cF-GV!tl*$i9(Uc@fBZ^3j>^*y;omt7P z(EXF^OJ4-~l4W4VlV#J5bg7H3b3NrVzYy(cNNwzcDQC4DF<rP8Bq4}9p}L&xbFI2h@06_d`IyQF z4Vlf3Nt(Yk`W)Smcdt+-vU1v(Lbh8dOt0A02HF{BcB5(AuzA~o%~YmQB4S7Ao>4I{ zQ@l)4GD=xtno)ga5yQQz2lc4+@i1AIdBE@4F9NM%HJch6uKjSy*KrT)Pn*%n2RjqO z6+PmoKWzt`$xi(BNclp32r4a*Y6QF36t>+Dxd(Jw!>hBs9!M_~HpujrB~&)x+P*EK z+Ic{4o7J-&fKn2#IhJBHhtk{*;^-OF4>;A;@R`p9zQ)~50SF${J$sABs*xb(=A2aT zQmfIN>eM_MZMVTat2%Q?bP?UkDNA(UioHrNdBNmhMs+bP#vap6+J^;7qzx`6_iM-7 zBqI4I)Y3XEuRxQjz3V}2!%do+zjHD1_^vnAc+qIcs|rl_xFf1$HFuD^TE-#eQskEW zqGSSWrtlPML0-4S3!HQo5aDC~e$?NHr|$3 znvw%u`1mdrpVrA3ZKhpj^RAAF;9fs=X&Tcow_e(V$b+j@c<2xA@Rx8oWDK7Q4tgNrMg-qRUwqy8xRenC5QiATK$2{HB>| z9C(@vW%B!pT>3ZRh!tJha93Q4m*wzYq| z5Y{EM))!=48(d?z;gdKfl<&S71l9M>P7OoKA&eC4W`$MqB5*e+E$&~xX zFgE%W8ud=>>NX01xN{EFicdK;nuYRYQwL~f(*rkvNQ31EN>I$I^JN2%hG}+OZKSt| z`wf>G1f1N)ud=~caCT5T8OHu-_Z3FzH)S=$A`&kujN_OH?J35gKF0QKCaWzFrG*l` zn2`<3Q;WNMWY6mT3XDke4C7B)ogd>h6U}<9gM_naSILpd!a5_a97yww+K|M>JDFGh zYF>h6eT_LPM%*hp@mDYo>5pRGI-f<7!huv{U-OFgajn->W%$X)k zAW>Wov?KjP?^F+f0nO<%@9L2iv=H8tie@fbW%X{7hL&6!WZbiy}l8AdF-;ltE z8&rgY9X#oP5;`jf-{)XxKn*t#Wm4hAsg^yjg!|O{BU=l)@5&){qsfybP=xosZYSYB zA!<=ikvhpwQ!r^jzBvN831iRVaK3Nvad#4{nEaJpPf>3)I1P7TRZVHi8*E>rFIgnc z6>&G<@>K$R3Ky6tkPL{g3;LsKQ!aX~jNGHs9>^ivp;LN4sh@-BnkZUl^y?37xum3b zA-4P1kZk@W^70rWo`7<9Ge$honpqS^^=^?pNqb0j(m!c~uY(X^l9xZg|NHL!&%0QT zjB0VHC}3bE7XMAp;;L_GaF#yu$v;9k(Da?GTzS5SW+?V-ktiaGmd~*LHaa6^TPUY5 z`RVs5JF-wbO$4I|(|4mGyH)NL2$29Ro?24}(aah$f7~{KEC{cO)wl z=bvZD9B5(|(VoA)P%d4(vfn(7kDtF9SGBkZ#kNGyNUR^dTgMMEF9SZ(@tip{r8gbH z8UXB?y2jw_t2=8JPZS`{BXPDLj+!oGA5Skn7^69pr0+^N)zNStRX=?i6cg2(HK`I4 z#m}gI_O>1IGwjO1kor-&Can!(Cy2Z#|23Xi@&ftm>(DC^tpNTS$#5!DwN)BgD0$SD zVa$Y0JCl_zE?g%rI~OnneJc-RySeSAtYWImvrZGVzWtdF|AYLs&$GBTqS& zoHaMwB^D6KSTnuj5tSM713gw0~Purq!+@(CUG!cJEWT!HD zV1hj5)Y9!Cj2bjcX0ZZdRjJ`hKosI{J~ZOw4LA=To*30BM-@jr@wey#f@9tYceJw& zvSLVgL6=#m#X8Je^&%va5N3)PhHq#3r#J~x)7hp;E!6PB3OnNxLOCMm!4I`B(J&?3 zf#H}m(q-(~(?OWn%BQkN{o(jD3xB2q63xma1w?v>5tSkm&GfQa5_hj<c${ArUDaT1+lTvod zWxO}Ck(H2;JNq`Ib& zS4{VQkwTp5g_Vk&?!}R66++)(W)wh=S9P42@5^!#ViZANFx}>qYL!60nCfjX+xAL* zM3(a8N8iW~GfRCWk{X@t4Pj=KKzCr4`xEwAE~?aOUYJ|zBd?UFBsv1KT?9FnnSK~~ zlG!#4^It*q((-Lz)%bFWVt!3b<2*(?jq{jtSG0F_P0<1anul3``mD}4ikAe$aIR{W z!<#M6_ZHZoHRCO_n#-?Lk)ad2+TZiE)+b9!ydRK?yoHsr6y`2uX9cbdmhh~YZ~M|1 z{yYnlB!XWl2#n~KT|NTW?6A4tHC@~20!sxPU_F<}pg;UGp zDnX*3jwa>I`}gQ6YeU8V78){Sn@g?q8->>V5DH-E5$j79AV=dSQM(NULtldWv zL$}6ZmPV+i6Myr7_H8b}t%-c-<6c*qsOBR?5be*`WsbcS_RMU&fVVsw)=muA{=oal z*3-2w&Fu;-&173+Do?qbHk?t!;$`+CPw^%}T&Ga?kTp4;0+!2&CrQ##sL7f9;>iy= z#)_InT9AE`mqv5htcFF-qg~oPWCQtzsBWiE6HCGaO|76mUXSNnFEs>A1+gMY5R`|0 zl&qTE)tP;8&9B(XGmKo?NR+Z2mv<`#3N04SG1e(L`4quBCfVLVoU_K_0KMcZY5&Go z8nGolPo9$nOAu?`t66iI)LscD^jzr|L}7m$bXUNeZ5GlJBI4GU4R}ET;Re6+Rh;W(}{%M|v+ z+D*6#-%4JV8%+QsrlOwclh5`3aGE>Q?0S(_amU6L0;}D)^ zmKc*X?)$-{MhESipP$tcMe8F)6~1C$nYI?m$qap7e^H16La{ns3#)_wa^W0AP#;k3Hbx<56)dzE7O>-az{XEmxm zg^DPQBzz#Ko+zF$ix`_Z20NAeKKE})8ds?O;oq$NSlDX!ysd)!^fUB~S%LTgh=8Ff z3WCap}jBm#rS`PIUG5J9ezPhY1YfQ%yaVTJ3WmX#*gd_=1VyI zN)SpO_)k?h6wBHfIw#zTJ@RBG`=eP+w7toE$$u$U=W&lqx6JNwtK9mo4SXMh-X4)? z?kk9g#fddsAt<7bnT;yaY*(i<%-rrI`z9MFyfW=vu54~80u{C`P`rV)LcP}+9ydgl z=ss%oZNHJZCxYA0McW0gPv#dD_06a=ZJV@6LW!uB2Mtf|-h(4Wyig3f#3y0M4d7Z%n2=ux zaf3PeP^De{I_irR!^M$$mCv^Z`D&G2O}OF#VX9vs;X%jb$S>%)#!1I2{tSlmyH5B$ zSZivnBA-hanLm8H!Rbv$>RuxF_A__ND9gX`VXX3u@V`_?CAO{XHFUmW`QQ5=|{-s$VIXH|po5J>>sR0t=$oPnOrH=>A&7rjdNIPcp-e3j`1F45yn zN%vsTZF=W>FHUnI@t2pYVA1p^Lkt{k0v8-IR0-16`Lz z+lS^k+6Si_26N{I5GZ~{e}`=+v@=$8K}KXESGfAg{3W!)-ng}Ul)bsLOqzW0?H`oMi}(o8MVCrH}I%sK`-Md~pGrVm-;kf-fQI?pgH5tvl7A;ph5kb8_UEJ2u5Ym6F_rj*%SNRN1+ z$z~}r1owMj$rAt86||5%up@pr()9B6hbv(m+-}bWjnA3zBgS6w#(MV{wie#_>M^a) zJXQZI4*w^1n`FSfIC`!BS5C>lat8m&;U1NoPXRuh*S1plh1xN%*YGFcmJ{cy0g7cq z=@lYD#j*O2J%7LN$;C(uuIUAvBYMhE5=ec2a#2YKl`%FmETKB8`{PEvtfM>^fCtO# zl$!W+recPPCNW9&AJkXImgAD|0F}%QPlAUY^RV%jw0aCZ<(Z-+y>^$r;ASx^lX|Q$ z;AYM0kha*QL{8ZMBJ~IXl^NCevMmF4Og+(vkgu0nN(y;pjePm%7oVj( zIKJ}&)kb)sZE6Slr(9)HkFkd%|6FCVG^9+WhTvUD)8LWsteKD*Gp%zO<0*hZVK31j z=sR$r;tt038sp61>_Zf2FxqrLQb=LL$VAYBdsftqXJ?OSO_2Ddm*E%&2c(PlmgoKM z(4j)5f?Gv*79rxPhH+yByvgYFd~4?lGo{8w>g9`6Tk;%Og1@sj zLHD}Es5vDFCL2#SdSLibq@($&I!iBGRh{jN%0e&70U3r$M)jF&Gq!eNq}Tapd0$;o#TyLPdkEV zh)al0{(isRhm?0(w+LZ;I)ekdH`DXybuaBtS`|{@w@p^gkZUyFsK0PzSQI*=GMTuyIj{6UNpuo&S})zE#4n-ce&1b@<$@F1$6Gs-4`~ zkgKKqb5ZOB;X>WaMYh9}S3)xI_>p!MX~K{SVpm}@8RizMB^bc2Ni*Y4(^>68Si4M! zdX>cCyQ27R^iEMs^cK-)ghQY38ZVdo){-8^9v74|s^ee;ALqV@coFP*!}bKYD#lo* z><-G%Lhhw{!n%Mq=*rA=B0%2BUenItCc{u9bGP5_cymwQ*)Xi(EfoXhY49BW#$M`Y zI?3 z$D9|XX3&7Xna2Er+%}C#SrSc?7$~Um9iXXN;@Mz9n&7-3w-O*I3o>%9t!~$p1SC3V zj_3bubOlqmBKz&|M^2WTw7OJ=F4;t6+zr5kzXW`m0-!_A-ap`Es(`%3GZ#fSa z&WokYjcuck8bw=azp*zsMx!6AbXCpM(_`IByqwTXvsk0*z`XwL5>@U5$6KTwKJl## zc%I3FIM4QriKo8FUO;S&fI6>_foMgPP6L0K?6fXdB)n`ko4%XswANB4y!2Ao{8TJw zDTN_o1`y@=tu5DcZaOJ!Dlk`Rgp~laS$IMwCOZd;9Ur7(>cF&)n+K?zN(&fKvn{k9 z*z2s4qxNJF3zSuU=y z!5l5F9w6)m!pZx<{e`Xfj(UChNSOY4^Tv6)uJOlj2 z<~_w6{+_=v+;-TFjOOM$&7-8RAudsPGNb~#nEE=-4tQC{uS9MlHk9SW* z)Abs93zW2j{`IX7dP`!73*Z0Rq|3(Blooyk2h$Kj{Vxt>S3@J?)3#p;c>}TJSaMLl zLHWMB$o^7H;hPY2Bs)6#J4Co3rJvuD1r5pJMSe^Ag8lS|ghK;cIt?1bEuUqIbFaOR zbT)IG^ziz<|BG|fW17HmN`ct%ccvp~-U^xXwp@o;!+Jlh3Doovs{}H{#2FI2e3*E3 zabRO}X_)vpYf{nixEttTUvl3YQ|Wlvi9ge6y?w*>d2LgXu4sSnkJ0ult$?v)eX!+Mm$&I@=Qf5;VkLxn4fUz_4k%xmA5UPr%GMGNgO`De0J$hV}>% z1F%2%39zI@OLYZY>0axz5VRX1YrEfgNUh=7ni{?P+}Uzx*4GS~vZo;KdxTKjln42h zcE!(GuFlSs5P1yE6MVnZoyDPzkL566UgXvsefWzcrBtWHla5^SS45`Wo4Lce+NG*P zD-H2%bEr9UtYFMgF|Bw1YR&>cxjAIcX;lE6sdZ-%akZ;mWy!hH<;+mrF$1RJy^*jl ztr75RiJn<9Tj9@aGj7Vz*8hr#0dL^|EwoE!d>QPX>3IU7K3P4Kn z&gO{Kb&KFtEEKE?28KnsT}dk;D`F(R^(u3=%tX@orZ4mFue;A(XhsGz^i~#;OduuM z!t%X4^l1)shhsxcm9yDezhNtE+(OrB*pS>KN9F)gOG0g%!WGO=<7_9*h1_{&57xzY zch5#Idrw%a$|yUN0y`;SM(VTXT9do%Qq8eBgPF;ktL8*@0f||^vVP=rB>C9rqLJlr zOLO{#RhA|FqypY_P(S+uR*Os)ffX@P2980%YKrl4?O7SCG4Mcpg=aDSg6Q1WV75Tb ziN(^SAKcDUlgr(_g;$N6Wn*Il`k`o`AgX4`kh{15c!;08r90tDFi6oKuG@ZPs=fkI zQ3&NbASo4KVqjpZ0kIEDmZD!eR0J9o?KfBLcK8Li|6@nJz&lsZYC^1uuLE2KPbF7P zL56(t*Fto=-Y!f;E=Q)-4>m&S&and8P?t!hdSsaj!Yx-uEVpSyiW#4MV)n!6E8$K( z65M25q+MR;?ZAsv$qwv`wA3wWYKz(G8B`$cp1G2G8N~iHam=l zK!7C^V}Z?-fr~Rr#n}%_@J(f*nK>l|wi-$cepUbPB$LT<5040*8Q@L1iGV2{Uuq<|0gy@0euZnfHGHpb zGGE9buAcQ~$kFyoiw7h$PTF2JC|dGDQ7*;tVNlZO4z@KP;z2TGd9jUnk(ZeR8XBh4tfkOsv5CZkoPP>t{p$?o4n-l z`W+b|3c1Lqg~2Ru3Xj44&@`y}wKm?rvjk~eeIhd!RUc1?<8Hj~ZLi*tdxaBXj2<+e zZ9G3})cB5(VuT26y^^d!M#MivN$yg_&+BLECk{pjHYhUmi507WK@acR;zgE|_y3jL zsFO{f_`4e1WCsCoWVRk{hKi6f%IjA_zK= zF-m4D7{CID#~=YS&#tz$HD0!ulE^iewzDaeW`^V_GhdCBA|qZf$`~zH;FH$=GHyCl zVabRZhcPPT9F1xY@VGTdDk$0VzFlOIjaLF-ymqc3Wvk(a~wQHM8B4 z-{61HdhU4Fh;P4`=KDYhl*&Zl^pp#^nA-AidgLYa4nfwL-ggp>A|ZB+Z+f$);|0hZ*_1zE$hf^YizZWMU2whYe0Q_I~&-Ag21h4*&^ zZl2_xp|sx0Tc2(vI4@1}4PZFpuJXgjZWG?a#eZpfJzlrI@qs*r_QFdpi2nQyqdK2Q z{Hw+y3?;^K!CiNY(<2Y(t2SY+oZHP! zf4;^;l=CZ?hv$Ho(=Nb%SH+O`aPweVud9lQJX#r-BUo!bHKn^mzY=Pz+2bA zYu9H?vE4N=>UXp!W9_;6Eo=2LkjJ%~RK|5zf#@kgjQ1>7#$y+#n#D`NKLq`B+E4N3 zr|`VnkIp&~kDpaNc)-eQ6+2<&+50VP<1tc%_ab(y--MRw_GggPd5@paaTLW{*THMo z!CTiPap361B}^iZ>FZGxU)xn7o2n4EZ6VwHZGYCf_3V3R#`^P&hK_y9)FVJe`eek+ zi-XjXi>T3Fv^_nR-eh+K_vs%IHOXL3%QLi^D)?+|^(gMe`qFalyntX#k)y z-kS`(+LQF;K-c+~cn7kMq-DC>ll05d?us9w8J=+(w^8zo9fjZ-($-tYvQBRKl z5Nqz5E~YC#ZhZoOT?8Sx>&}XO?-k`CMiwah6@WX6h>G|gP&Fay#>!dKm4cLGIqGL5 znCJJQ`&K_vrs}WfE?Y=8KBa+!p#pQ%5`8?TjCI8PP7!BlC5}|;djem_;Me{;1)I| z8mZW_2RzZPC@O_RD6*g9Po?AVYjLV?!36!av~1sNJOz|hhAUNs)z2e87>l?+bOlx7%-+CB|&S$OSB8-_a-vvCRNFOAmL^$PyMb>QbNq-OEvoJg` zOo*->H}ARg;dol(;CL?BPdd9XxGmaGijT*I-c;w)5Y}I7-=%yOd$5|2SaTc?yQc%q4lA+)(nHDUH1R zOc}#dwgAi?7D);B1^ee<#R<>QRNhBkadhR+N{A$s`9!&SGlp?-ZecM~3%KTfKaCm) zCDofb6ma%kl|r^zl@6Gtr1-@oiwCHvMX{)<9ns12QFHQUgmy)x-V>?e&Ge=TL43r+ zEI{z@VKY!jO31_fVx|fv`!68#FnerO!LWaWMo#T%A_wMe{sb!e2W%i{wo~MrD;=PE zP3P6Uwu(!ggQ8wi;#&OKsrfmTeh>61T}&ZmBzAbS>ptvJyY9omGajc0#R)h`DLn`Y zNqJAiPNBIfJs8MK3Hs&DeD!*Z6y0{EI3X>#=eqyM$N1c(c{w!@m3TAhpeO7R(V39q z^;3Bo&E4$by}YdVXL(PViSi`#Gkp| zUduDo+*EP>k2O+xgYM8}5HJTfB?0 zvb!!knY?Vn95HDFkWO?9yHJW$)CH2Fx(6h~(u5+e#s1@=i5}=DICA`6ug~W76)O?rn9Q z9U2Fyb8S~ouBP&2`TokfbnSKkZj)DE_6-Zc`R@w1@*|OSAD98SPF(jX0l19j`=)3! z;sj14_q$@JA~V>jR|fUyp7TudGS9-UHZ!8Vj?(ae8$zaN{i3~8n5G6MGNW%Y_Q87k zdF%oW2xzWfMq&mySZ8W0dvP4^P!00hl@&);i@Cm>su)$Of3d{(xH;N*ZdSN^=EH>b z3F=9r$Yv^#qzW?~Vjvo$_K5rY$HeG(kQAQ%ci%kPs7~t{X!^^2JR566?_}09%NGOr zpHU5P^v=rGL!-Z@k+1E!cT7&Z_-(z5i7o5-*im<$B$%`SHNtS#)#q2rC;1Hzp6CNI zG}>EOKRDF;i~bqn(Wh>lqi%JMjy<#vV2sG9wDMRa_UI$_m?bI`Gws+xvr1pO0(Zs7 zl5w}!QgA;n{eRRS?Q{olD^6d#`NH6EEb1B{Czv}KH%<@c*G5mB&*p2=-PQDY`Q;sT zd-ODZ@h!RbRA@N))*MFbc=7c%JHrGSdg=A+N!!V|>veBApWnLQJ$gQQLV61Zc4qdk zO{`av^Ti$T{pe(WQM=>)xd!X~`H2u*na5eVVePxcm(8F4O>@jMqql9XHa)Phcb>`g zM|eJ4!m7rFJsJx^>=VR`7ooTJ_fGlEQ-+rl@TtIymYd;Ad(aRu_%!l7@lA$2LeHhC zkc$8r{DevL+0`#acL@u(K{K~OCpTb0!q;uk(rqx*O~TsEZ)y2*jOYc%YPvvu>A`xw z)UtgIyYUD36i(JhsCVrGiyi|z6@#d?O1V3puBmC;H@mgYctaQT0Ed42;sWb*Jm>yxCiop z47B-klI8#(I0o#NdXQJW%i~

YTt=)8*!-2V#f$!nxO_KL(GrH{Bgzop?bYiX~{ zgxJf>3xDJ-O#d1+AF{>esCWV|7u zIuV-z?TX3b#FY7R{>(X|Gxe^uYmNSCrC;w_>f?m?pqYimp_9+h;oT$h3&Z&>x_9K+ zEv|QF-`cx7bF$Ui)iq}{;pctef5agQDghf5RkeE?w6~wG*P{7)Pn5N=K?l8yW>QZg zl8G~Cxu;Se$4u~;!UNYun8OXtpUsO+W}Q_;=Hx~T8j<2VrmnzGSvrCCICu+&fP%IO zh|?R(8>Bpb=2Qq|4eOC;McoXq@Zo=b(g-L-d}gL4Xh3X zR#c6xehx`YIi{b? z0{$!Ks-Qf_s(YW#?J9NSvSs>l#iKCoQA?-ZQHvgC>j_R@#iOWQs^Wr6ql-R)Zy5B! z8yBf{65pOSeKcsi`^(JhGsdWB@Y2N?GBeL}6#~i@L(>nr@UBxQWe$Dt?`mHV8)(7O z4v9psYH17m+a?D$h@(r877}ymGFsbR;O(L0lA*yA5t4qZr8}L^Y~yh?Xz>QFtHA_o zik->6MoXCNY+IKEq_(Iw2dTmNSrbWr#lo1LDI~rg)G(oj?b8~pBU-DoIJjKy5V%$j z4a!$cqF_Uu-m)T>8Cl8|NKw91{5#;T9k*FNpY^2=FR7WBdUj7eYz!k1rH#a64Bx03 z=*Kj!;8T7M|FlA3|3FACW4-hkqub9#Oj&f-~Hwx+~6l)>Hee4!~AJ4Vv_^SV0$f{SkvxAqaj`8-j) zcXb(;#!qrp>FeDx8;aW>W|IV73_qAEN9FYme<*gg!ZFi*YUO{*UH*pOtZ0|rkD1kn z;ta((wO+eR9l5K~waMJyNY@1^fyD6EM*$lN9a|rw2a?W5rl$r3QY)k*9#Oq9tfpzG z!6u38gAAzA0HqqznnUzd()rXk#>1?iWYgi7t*FhmmiE57EPf??St|#7TL+$XI$MDS zi5vo6U8+!DPgBl_(N3}eG0yXJy66+QN)V=)jq=p^eBm++_cHIX{u+n&kVTXpeR`B)K z?M~eAMlx)XvBJ;^Zr9KWVhMaqXNZ!$f)5j}LErY$q*-L_Vu_XHvhZCQQXk^2@@F4y z|7uYGb~Xz|6f76?l;SHT$JA2Sk%-v4Zy`?sUhi;a@NaW}VS8`}Y*cS&E)O1^d&iuf zLw&rxW0t-7w|F+5p6hAD-COsPbS9!*Jem-0Ro>n`ck>K(lKFYGk=P8Abe?kFxqDf* zo#5=7ctmwx3B4FRz^<>FHHxj+NvsJzi+P>O957b2sahlP6%M`*3+{o5!=DDMJ9(4Y?#f7CaVZ6| z#r7!VJV;@GdrX~us+qNfxPY#P8SLN#m7b1x)2Nrri;l4cH8Pddve)@^E)F?K`wYnn zgO+`H&nDkyj>BAJ?)dV7*rEp55Vn|QAzfug8reN53eQ;oYm(PU=E+fbR{M8b$5Qd| zgHv83nQHVr+1a{wg?JfoziyLb$y8@=k<=?{F3q5TT0V_S6{M;!c_u7TM)?)<@32R2 zTzjnsJ62D|BhIIA3F5QF4;eTC`H|qFcHDD%Z|04q7lu5v3_;iZ%~LGCTjYCbMq%9t z+ymto7W#`sQ#aL(2RudGr2~4tyDUl;;wDQ{L-TKMq>bdDqg{p2lW|x@3Y>4~`rAqN zXT4{7JJ0;`-gi8)|4MpE>F45-H-z@s!C}f+9$Y5A`p_vj2)U&d1T$EVjI_QYn82n9 zk_d-^&ecnsF-!5u6_IO#OR z6Aqg$(N0r4=RyJD7aFAeG)odA%ogz*A>Ow&W@p; z7ls#5lu0oCIx!(Us97Arr(&M755MOkZ>}obG_QuqsI5O;c@UcAz8*{kr+W!COc?mvS`gR^n`Cqta?1c82|Wk#BhKx#et68a zL(J?ng7WF|P!#NLWxVOxLq@G*R0N!}O4KTbkefX8zDpL|KL4A%TRDupO(F3=n=}E@ znN327Hclcj;J@Yoth)Vast1}bgxn^?knfk|^=)ygU9Go6rTK~dUwtFHGpm{J`lP)J zt^LnJ6JM;>#K1U=&q$u{f`H^5Su2F)8_;Rj%$lB&-1Cy8F~Msj2qVoo8qDL zGf^3D1aBl}M|tgU2P>}58P`+t+TQQHc{exoUIYPJWaEq7@m@xwq&5NB3gZsx3S)oP zj93dciL;faj|fo9f7JM=cD913dLU0`+^(?mpw^v%x0mvHMlvbagpy(C(D3oVh4QHY z@u?k-nAMljCQWsg>Dg8@fnl&oW@|vj*r5AR#`adSsYs{C;r{1`x%M}FPGJ1S7Pu^L zdaD&f9*Y&h@B5*lIyJ75+)vvG`-g)Vheid6gIRW6c zzM1%L-t@I=yn`KOo4~tLZ~4!zo}YW@*S2@AU?DfIGO!T#HwB$CmqcxWQXTxtT^;OQ zJt%(!kxPYrWJKNhvdjO3M3>J(m+$&mjfpZo4Gl5Pw9GDR!Fom9ay9!uH1$Z|V!P5W z#`S6H;_ora0cVBEEFYvUqP>xO$4n)0Ul`nFuOR47d%nQ=?J=3$Fp_zk&Y|w@Yx-eV zBQiIA!>|6Am4;1JGOf3~#IRrF_^`5dKuq!(TiecYn-NvpfJsn%GyyjCz4(;vzRrH}6Vyo|nFUh4j&Y**bB1sHGcaI%?d15G4bMv_WG7a!fMNHse|5CRH_ahGy8Pcr`9A2U=mp* z045PH4`32un+KUCsN@ZzK=!cv%)=!7Vt9E2RQ_SJ4P)}7#!FESv895Jkr^gY8Ult< zM7hXQhH*k}VJs3V(JT^him>}6!z6?b4ah7vi)s-PmbRoYAabQ73K7KV00{#vfsnd% z<)$$#$d6cmLi-=ie@M1+2UBs$5Rf`lQ|wgy@a8Q-*<^JiZK!M?`eg^?)1 zi^TWd7DL7DWVNGmotumM(i!P5%eB*|35(P;5nGtEiA~gMhqM~>-|D2~b>eXZc$rk_ zaN%ia+KjypLQb}d1aSzidHr&|)NN)G$1`(Zh;e))SR$l!!MCmBikXNX^1QtWW&s8) z0${)x#T}*OMieuLZB?k`!9^hZhy&(fMSd~vk^w65@c#?W-69Qv%_5>)f?313T(>Yg z6_sc^6*$ERfB{n^bkHSmq!cf5;>w2fc}pXM@hQ;sQxOwA_rbh9V(0jOfvK1|PVJHM zw@=69p6pCN-YpWmB)GmEA(*8p_;3xU^Wi!; zBkxnGV@!yu?%i@i?n{@R2uxt3oKp3kT*L!wx8mAcKpjS$FjG+cdAk)eLW=0=QD?Wv z-8bNBA~KhUe0M|~ccla(TZ0i(S^`M$sepr}_y5EKr<+K??f6*bOP7*&(9+O^pFiUZ?dO{yjBM zAh=FW>oNITt9_@)JOZEz2^_~1kGoTHJ3P6~+@&ITxXHymS+|S4e+#0B!-(;o{jShw zHja1*S}*E6d3^K$N6V8G-}oxHQ)3YUAA*_rQCMv4lJeUv<>4!iEX>N4m|l_4kHX(6 znmLHF^FK<;uSvJyO3X$Yw6W?|dDQFkonvOzqGo@Plv*R!JoIzN%=(g-`qM^~3E&PM zSTyXEWO&d+;q7M6uPqcAf*)GtJQVvRo$z0O04xNv@&B#ISRK*REJexymMyN`ypjvP)YC}^ zitTF9sJMb_mkx^`UozGz*N8*|b9kxE=&8)esLaTz4$hva4+Njv@`|R}MX%Ei#nwrl zFLMDuyH`>H0v~k=5cope$ix+a6iN={C^gVUMXz!50jI)b|G!fxIY0^}$1O|=@X8Q? z{8t20D2jw4)Fu8@qK>~@6=!zsJPx?W3qe^PK+KajNI9dHkq&WVEj*+6FMLFw#pJ-8 z|Aiv;!6kR#g#BOCwVSNsJSNapsSi?efUYX)`KUCrD<`SgX)6`NBTaqKxg>}p3iIhd zJ{Q9C7W0}~9(de9l>f~6NnoW=ip%8rexVGPjwAT279ryq8cPkLO73BMubf0-gg70O>BU=kw)9^;^6BbB;aR|c z#kS0jDCIlIzj2*^au}0e=}yV4xG(0{xSVce6y)b47u3m!$y}AW-|w6kL=lAH_zz%S zZo+5N!29(oUA^39FQ?NPyjrfFu7LLe1!rWg9&HpZQ46-u^~qPdc_CVkpHCA4nr>ch zW0%v%OPhtfv}e=8-SUZknX8yjSN->w(~q|w1PLqeiz&4kVIijk1-<@^0)jxd49n~% zrGICP?_LNlWGs2A3+A8q#=Tx{Y-+CUw1NGBcfpd<&D{x1XxuD8>A693LA0g;cEJFwE`Z=d^j zcz7z{HhXoB%-w(>d1e=PgfowDvm&UEg#I`+gOpJqP>V17yhCkz(1;)lq?vLcvk6^f z)z7wAL_KvCW*A*V9z^98JJ+cXu$5F&?R06T8GUwlBDzSx>c@fL zjmR~4Y_oJ8TYO|Cb~lK#^n8F3A01^9O}jqQDO_mQnUhGuuLNn%?ACw1T0r*&avlCExVj$(UToQi&Ey4ogp=r6na_oE?y9$;;PukCbpM$bE>&S@a%=NepZrlHu5^pn zqLJ1X^-ieh@s@+gqfY+7Ytqa6)(gFkKL=cn%Z=k95*zLk}Q`oWX_4E}}7!3^=6W6f-wReyQG+q2?5qK@CC!Ie)3;E`hY zJ2S@1N2I6v6{7+_!LBW0T_5JV(|3E3OwR*%kJ3-i7@61V6sDbzGqsF;CyS>-*GH6D zZZ}J#?Z2*{#fe-7%q!cTJlG%R`mr4n?E_zr@lFtHmuv!0ceTNfCA1_hPB2gT6=%sa zSTFv5v>rPg+S#%?;8(t_3Z@nmbr<$s#LAKipS|B$XNfI6h05x8Xh;=j`@jJx90Ol( zlbU;6+}>53`KQr7eRPBonXmBaoWb&nY3cD+u?fsv4x*hYrhW3}Y@%Hrhdl2Ev=shV z>*a%Epdf8i&mS!nKnKJ+BP(TF^ko32iu^~1V}v`v+(5vtOFzmso5PH*8@$dt z&l7}349d1-KuPs~l|BGR%2`LCY&=2mND-aa_xZ{$0#{)YDilfZtnT~zu;9# z=zu%vntC?obbzl<@~FG)u%96IK5%G*O}N$Xk`EBSSLi@^7t>l4RGjHyX&YSrNAGSY zvYA$;vG(H=-!7t{x(>u-J?%Q9W1u|XDS9~CVCBXWM6cAz=AKIL9vuUX1(Pt(m&bQ# z>Th1hTp-ttBuhsTiUj^>6y^L>st9O68 zCgG9aqB#o_^u}`=r*VU}Q@_J+zrJ!gq>^vnbGviDu;XGY`EJ-BP1|F?o>)sBxU)p? zk@6KI>(p%m3XSlHNP9_h-MDNh>s7>iLAuM-y!%$b#&y7VPf2P{GT$dxlS|N#qG@`)CKD^K6epu?f7JuEcUT(3yuY3tf6-Wv8g zkHnfs?zG5@oeoEkHnhgq4fS8c+ zR^I6*BGWwegwD}9p@etDMjuu(1?D9FxZ(@z;pkMuil*3#CWU{;;f#hYpP0rrmeu5% zB7{d}3fpH>ma@W)Iek&!wDXO}o{F&Bj_PFizly`e4$}p1&CH6l#!7p@?20sQSXc$! z%4{I>@A!Oo1pmbxT4`q=Q0-Us1gln+l{uaFG=68Fw>_js?)#6fxEev5v=Sq`<5EiN zZZM{*adYImxve6$FKl*dp{Z1YUOaLgwo+-W-(OwuG{W;ltZRs1}R zo^(`0<_N`SjwpYJZu^Dt0Ko z$`1_IS`Y)YKbC5ma?Q5+DdAJ4H!jqO&st)d+9DCtD(Ft}6I=!67dI`{BNH1TeaGUr zxB0*6+ZnqCAM@N~`S!+dbHl0&(2lI}pr9g0JR)YRr;sUCZ}h8_Aw$w|V3jo|soTW! z%_6!UU|q1$ZkehNvTD7ba0%p##k!DDAFLfNL-D9!#b9bVktwCq?m7U>WibZT9*#mU zR{-`R;hePsTLKWf&VemoDA!$AlGXNkSvw=>XO{?7a);n|uw=#?8xm4E6VAK`xWsK| zWKQu*cKhz7vPv3skjY>|4Dvn}((w3SOJrfeF1)R9z{O!l;N)30!{Y9zQqO7uU%gf7 zB|LOhwI?dw;ZqxPElau7VBf8_8aG@?8))H>$(Qab$21&8d?l$8);i@67k47J=Ql=08=J8d{=37G&Q^I%x-V49 znTlekRJqP^lf1)wmaJ||VXGXujWF%;KMxJW&Od}6q9hq%%Clgl8{u<|?WKRcl4Er% z#A}}pTh$ahr88_YOHlM_^77MF(lL=Lsc|XAR$=Ex=?y!lVao9GTZJe4j>DRTqf%dI-YoQ3&3477S#9?T?Opl$ z!dI;M>o1|IeADaN!D?KG`;aR|s#dF|Ht#2)xrvKvX2aML3(iHvnkO_%vJF_i3UI4O1eX*c*f`C@;IV>v@{m}*_QcEK7##(h z7gKamiQ^c>87}7_F!}s~0bYnSGYO5`y5}e3_#W}1o9aYplpr($%-CglxQ?-!1+s3 zgiTqdpV*GbH9WsTC*8*gutAQh9FS3TjTTgo98&a1Z1Y8aH9>bbx6w)k)e=!q%FeimptLBzed}Y&LtHF5~ZvB)u(z4h)T6;y^GWp2THCpAS2b)wp z^T;w25TCv;%|d3%q+EP!oO^5RlPuNF2sNq_;i#c!`{&fOaz3oawQ3J3Oz}itY53Dj zjSDPbBOU%(8C7vhs-Nn`yOmT`bCac6F@#D}W9lkH_dJ5;Dt87BwQ>xVW{Rp@oJ!Lr zlfR0R9bbmt9txEcIa4uvGv=>uFiEG4nZ+4tW0Kz}NP%@5zRF z?KcO+`SJ`U?Q+0y%z{v)iiAdPR(%Ku&9GMfKo-Q;pc(%P5UcQkt#H0PCdByGSDI@) zuCB89ha)2W0wq1}KffT<0++UUSc`bGUN;tGVpqtHV51ewqm1Fn1P5TS+YVxle`v#5 zm!V~CPL}dqExmSS{A15446rrvyH@7ypMzZL`b{M6;pbyp&0&flfk!O%H+w zlzx1`J4Z|zH+^tH`#Od6l`vP{4B|hsBWohJUZ5B_)F_+M=`|>oyr8~HHa7I@+4q75 zG*;e881|DkQ^>adETpUU4E$U1_%WWDacHkll-cv0h9@JR^-L zAaR1Z1aTuf1o#DGbT^(qG>5QD*P1Khfl zwVVo9=~$?k>m(Or%9iMK+c&ju_6`EnrHC~-(Ydih7}wdF+hVTqtqyA24CAWJuGzz5 zNLad}Q_#&wx>&lY^Uy9R8aN{MVzF;1hlgg~ZyEnazhH5Mhsov$@KZQkA+eC^kzl~g z=IC}o`c|uNlj2u4J~H!TD6>#DKv%7#kmHS;rlejrj)BikSP&T-Bi&VwfS7;PuTUMR4c-o)AP!N}IX2`bDg zZW!(3^1NiwUXe8g`a!eiB2P7%&2ZTtkN7FjmAu0g4>VN09eY6FQ$|-VwKfB~)hs7F z)TmYoFZp7j;;$K52@{%c2Ncz`fs%s+KShnyoTp>aO{3YGb5w4$~}18d`mu5&yhv4aBVa&_~rig2j;4@9LRgD4VZ`Y3X^bhhLb zc~}SI#*u5Q*x1BJPHoY|(q?2mToGXc|vr>w3 z`aWWeHbTj1Y+ITjE0$?jllW;dF!ovv##9G8k+z_bCQTblo&hJk(r!@dToqN5S|BYw zz6YK@EsPOvNb3CF4Pw8J+}8u@yZ_8lqBrw{D2F(vTFTf%l?L#tP5E zGEbJgDz`_o@%V}$%XJxshkJFCfZhqg3c)$6wxlddLk#7p+l9(#4US;p#U_wJ9TVM>VCu^q#EOhvg?YWNnC03YQI>P(F?X)g(p}hqpnyRU(ZKG6uefl;{8^6EPR)o96ceB<< zMqZMisRUEL4QlBBPCmpx1(q0j%f(Ux9q~kK8HswRHt?BBv-azG` zBO4D^zmEw-8wA0XtHg}l6=G1e5n_O@j_mJJ!190@c_h+@Weu%;F6IMNT$|x7lVD#B zl(~1%qy8#wDKW|Y;2puHj~hF5zO7G_5!6J4bP0x6y2KQvVco|x!igwQA;x6b1iU<| zxbNaNnnMQok<1cm*_JD^V(CZMt-KkEcZ9nf%vA? zFtw&(#hB>P-Bew7BR!DKn8;Tz1HJ<>iEj4xV5UQdSD1ASY~=JqH+N(jpE+m;mK6H4 zcI}V8U6*-GO>4J9#mV$5*#7x!7lP<)V;t7ZOV^mSJA;Ur=t#z$PED2}XN=uQrZ&(g z;?dM>A5afQNfGvEQ#sFWJ{YujFoFqp55vT&1)eyGM)=+LU}(TBtff&Vh>bngP{ooy zTJ1a?{q-@_HNyFnhZ0>eg8;pl1ob;6PPgNkEa3zl`M8wTg7q7s|fyrJdNW*Y&Mez$9a&++JGY5G>ln!0h3y4>9RQ6ljbR472aKC=r| zHtGnXWhLusnV^k**4Dw@se-=qOY~Z-1wKe^Yr|B=_`zskNJ)5e`P1ay4@|6T;XQqN z=QMjN{BWU3E+5F08!5=pENZT-6#g4E$bnTU{d~WMMwhSOz7XCJrAzm81h;PTozI1o zSuZN@q}h)<-=d;MTEr!07?Cp!Pnuyr^Z8<7K$Kl&If~H(uLJA5ld>}L;sgbG)>u)#02~FDcd@_cfk9r;OQ0*jz2K~)} z+Lmv&`dEG8U3zk89uWIg3s{EBj41hF!i7jguiwVGTB2U2=pwZnReyXhwf0rSes?t8 z3YWAZ>GKT*2E2Xn>WnQ|{Jq-bJ90mvP+AoN{lE~YG6h?o_&fMNi*y_ENTGMrJ)|!V z$CF?KmOlJaqk;tz+t${Ty!>O$?L3hfD+FG}2=#!ZSoMWVXb#tt(MG2@R|2p>)8Ou5 zEf$0LckZkHo1O4ujx=y8;RG(0(YXLCbu1WBO|Ak8eqUX8rT)!8+%yxe(+l2?Z4KAW8 zaPLCWw82igOcx3#28-`}vwDr>^lJ9BtbV-uTAZVrJuk(8PpCUAWjqHHTGGB{RL!7- z-L5n&_3(4*TieJ2?hPn?U*~!9%FbYk`GiW?>*MB5sIV+2ZvKusy;gsi0{nX+gtD;D zK3MZ`8s|S{&&W{1g-5dMl#PoJ3#qJHid(J<4qj3hTwvlqGwM@G&OoR>a>*s`*Puf6 zl+6@S8twL8<;e1`V@?+Tc)Kg1>=?k^ibct7ppu5`$cDY8z}qHEXkjnHn>-MBll1j~ zfx!cY&BFSk9($7erKGQ@08u)Q0Ixz&<#r4?Ji+?i+Cm2BvVbY$HwrA2xv_Pv{A@dM zzw&Yfx<+>Ngj5pv5!s=-!*9}8zu7~Q1IV9_DC~yEqVEcCmQoU)sJ z#56fClc~e9B;R>2vZ=*5qPR&^%_6B;ylQ7c`0zf+c?vP#RZfREy-dZOSvi*;b0T$G zGd;&{7x@?4#$}P6DHM34hs^nQc{D4HHPS#9@72ERa zS(p7l9Z%kxt|QIM2no`Xw{5W<#2&7 zJqqMUD`};xOps*T=@!uyr8=;UNR@b)#`o{vgvlk*t5)W+lyJ@}wiid3*>q>uxcrPh zVLFUVbwj{gQv)tjwj-dM7?*|8tTuxdzHriV&xOXMvcQjwp#*HJ!S^Ja4O?Odh)WUf z;&vM!P~Ccia zw)UoD)2iBq(+jj(nbopF^Afs2KDrNUAe9bW)f5oJ{!|1$@fA=_Ttuw1GvcJG2GKR7xJWL{PbIG8yK{8Rj%?pOX~qSB56 z`(R7#YjFBMA7H(|6Ba5NAN{A`OS)G1{76_3jp`u^U5k59Z~tu>Ij4d+;DW&MlIu;$ zu7p!GJZI=wBri(!eZ1c+BE=2H0t2dOpFrS}$h5+&;fly7-NbD8S;dXO1FG|$963im zmuwzmplGxy5Yp)up<*+t1Pe2L(kwwuAW?;rj!NiBva|Wot=b@DBiw+=LrFeSDQQrk z?QK@AJ^Q&$;Tq6y??Y0xKJWQr86Sw%gE+hW%w3Y{VqmJ2FRyE=G%N3?ave*e`ustn zZ^PY)qNE(^?q_wEH67aeVLwepJXDj$6(NHkbZ}@^4|tzt{k-pGvU|4l$x37%x+;{R z$PV(&0hcd)I01u|`0rKD+;8rBJ^kyjbJA~|d0RNlJwf;N0wC=}sIGr2HeuNLhpsAu zB{+xkDpJCgMfpvF$O5D!@oCYaT&-7~m2|zONxHVKNBeuv%O(4vqN9B0Hwm9L0=BWS z4VnY{jtmUCi_jAsdUmjFTLOcn3_m1Sk*jOzj^Vq>$zt8leP+8D??_RlRS}5TUDhG2 zr26&n4(7KDj4y{S1dhYFmgH?fnryuRY@i8``Yn2Mhla)|jFlMo4u#FR{+`cRR^!f{OWtRQZC$x>7 z(6}Gz!Xt!Ejv8!(W3)X?R;)tE-$IdsYpRm8=;+#}dU`VH=$?jpdZ_8?VEqC`!(wbG zCs!)!xoN*&_kq9g+cn{8pb{G|i#gh2es`fZ6|dkFt<=55*6+KYrgM$Q(T(HP_ptK-3Snpw~Y}`aH`!DA~~Hq4sBOU_*aEe zgA&Tw#REd~d>Frs2nYOJEIBC?6#P@}*dE{>|E3xqj(rb zK$w!B#hN3K*qs$@h~ESIoay#Vpi+Q1xxKC!Aex4bO%{~0WVI&TiS)WhKm`G}2wqH# z5H_n)5DEVBo_C_sT8sh;zq}+Ql(P*EUqG7e&dp?CeEni8;{7i0eN8n@f#WFoB#%pfS53dn;9$R>nlkGcG=rlwGHPHWwP#IL2>_b5w>!D-IiiMuT` zBlgGVcB@1TI69;(Dmr)v709yUgsByfT4weh#IBRF_e`7H^@O|5@tNlGa)N@L(yvr( z^CCNU6wb5cKRln08o0DhHbyo^D1Vx+WT5ZLOKkEa=h>s&z+7BzvKUM+4~PUF)b;5+ zl(6wiqnf^bCmgF|OsU#k@XM&e{CP2*RlnjimwLo*wQ>3OC-N}TAU7vom?-zHn4&KO zOl}2aQ{#7J9o00Z+mo96xb2BCaaw26OowJ|Hbo=-NmviFRLDDKbHo`wH4GDY5FDZ^ z>4xDOhfp6)XcJr}%51fi>}@G6-S7lBFny)#NbUu8{RdyFTGUFhUPGNH9#6=#g4rAe zqJ2)joQg@GJl*9icCi=eF0}8I+#-1shO=5C4}51oBjqRy&q5j`%q2u!+3`o$Z!dQq z)0#I6KhBu4SC|@e6Dw(t5AY=-&2>El+0!MjDYkItmqcag!CW|oe&Hs>F_{hz5arQHH&V!nb*0~{_D)E1crU* zk&6AaQ0(f%X<-5s)*4Ixd5&!1&IWJMlZRDmP^GcQbpH0`w-IL)t&?f`M(2@xw=v7v z4IhfxuF0f4W$v}$k0_En^+=4wkMou`kMbpiAMvaoDt%tw)t=DjC+XjYRUwht0ty*7UR*1Y3R;C|~ zK-78cXJmZ?%u|@B3LK~sU;FUwEPUCGu{t)3u#Qg(O}{F$+*ZXzKVzvLeiTp@p1f;9 z72VwA!3>|jqC$LM4Li@XyjS)6?Rq6*ieiz88Va0xB$f(0f%XSAg;|>}4NPFUeLcO) z>@q6Eyvj`8O_S?+(CD`fPG0v4GhzzIWG?WzZ?fcVM<^1{cSkNWO$DD?Hc%_;%vd_J%7mq=$#MItZd!` z=xC*BJ-b+P>se|x zR}3)X&MLO;LwoZy6^DH~%rFDKxf?CI1SyCS{=(h%hQyRe00+Dg&+$jL6|4g@i>3-r z-q{^&{BSZBxM1PH()x2 zVQ>bUl0(*qHI<^PSR7;PD`;y0PYAimm#b0u`7)d+LXoU1`~^WGle@n;DcK`Td4#Z6 zMXRntVd5P%y6AL5fL0ZcIt_u{fP{cAE4>lT(7Y@=mtmv~jOKs~SGlCmv>U)7e$e}s zXQLC8EQQAUFDK=F;P4zh zuD+V}1-A;~;SH({g>%7?%=huHm_KdW|To9B;(NNx{H(`f42aRJE`GQ)y!J z?wPd-0w6jk)&a8VLuU6ZT~e~AQhay!@bANDosANF(?dLW-{6;O-QPAwo%_va6KfYBvQpUYty zb=3;&5y?&-&n$MdrK%_S!{OHXZW?RhxN8zFq*JawGi} zY9olbwB!LQS6R2~$F{wFWsLb28NhWB_c`H;eY#c zsG2C@GTKY)hN9@mv#9x9Ze_l|wgmXbUyPMor6?@*;HaCQto%RRs_?)9MPq9W{=w?fn zWT9yB35;%uyKmxw?s|R;j?2HRLQLX{zroOM^@Ae1Q3pY&qQT9*I!;SGO&z8${xCD) z$0ST#Ev0UL7iL!QZ`(Le{d%JX8ma{Pfw@oZU&Zlzk#)LGQD9-C}mqzz<};#4|!g)dv_cb^}g90mTqX+23feqS~|W0V#jRujWP z`@@5(h%~M>meZ#+p4~?zO8rZ z*N?U;$lt5NMT0ktD%)sTte-H`)aGoxS!8hF7&~Hu5FyRz3PAv=$@s)3qS8`yl~7T9 z)Mkd*2thzsm+^5a;E}QxcIrC^WdudHIp_sx_biRhwuLM~lr5=wb#?W$SZ<5M(h=O! zgE(e;Pvj{jMQl~2-AOl!Yc)JrV~Nk|SafMwU3{*2`h&fxX*z!+?0ran!DS(4kRmmg+}Su%IGaU7P}$5^d^m@buofA3J$@zYDQS_s~H=1ha)E{R3ng>NbcLA0h%{ zdTN4@VaLGme(!AX=hl}`RaHsobaWKZNW06Ddq3xTt+GNlr)Xk8kq9|{DkMAduVA?P z6x#@S=^qAqAp>~yeVh0(8_gI0$cm7Nlnr5`b^69LGMt|`u$bXej~{e?k!CRXydk#D zccT9i-Bj89eZ_d5#aE+9c>drn4ri;7p_(*QSH|`Y!uS73Bl?kb>RYy;jMEcB0-{AA z$k|gy=N*nuwFKyGU&+M^`4Zz;apdIxT;!+zEV?-=HOYmf%r@?c8D7gPx~cVPvME7< zt%4jg{Txl9yTIQaQHWDFZS*adoF@VSNH_9k;za~Me@GAn=1RLrnufM~=Qt7&6hzu6i&(u%}91G*n zVepy;=|DD)ako6Q9B=|Lx(%s;>|l|aQdY060vE3)kz?l5a%=FPH|HI9JR;PpdPkm^ zYGvNo4Ym!eACNh;;@a9^E9L*Bf@rD$F4+wv?_CiDGHnm$Ja?6LogH9wpG1QFZjir! z0-zo#{b~)^VS9g5ZV&jI+u|?{MMf>~p_DW*!d53KWnpL0z2Q}R1^N%G>(!{-e0b^v z^i_*04)a}=)GQ6&#|hFVm*8e)BKbR_h4PXCBB=&^=3NxQl*YTkQvrlZExaSVDhlVz;$Tzz+)`10o-(z8n_08nZ7DsI;@#9|E9Ma869cPBN3>#3DWw&zgeWj zM&qORuLOI&+f*}!h8F0jYsd}Or$fjkr@9o}%{bw-j3+{$DP>x@E%havH>xfxaAn8Q z2FJ`V%Dg)s8=0*u9;avWA{xAkPbk!j)EC9M*X15{0;P)W3Ke-gOu6Tw@0l}T6Es26 zQu9wR}|D0IO)bVf&kCmY%*l3nil3kjW;y~1ImL#_5G~k zCebnwz|j=-czts7dFxWSFntGznS8WbdhrR@TVFWz7D4^QC zbwfD9kv7=gUYuf=E#4C^{XP0fgUq41T+R1rOFH$8YK!dP^)(Ag3TTxE>&lQ73`)BY z?IJb#zZmA73IlXebS&lzN>LM+nt^olM|!bTg)B1cunoM$q2^lLSppKFsm3<8#RW-H z8ya-b>6gu9OI=lN7BN)f@|=Y$-qk69vO0RuKJ~2bq)z|8;v5V4A=w$(_rosB+;i7O zfyZh{bq3GbIdNo9)AMxIxw9q#(7{BWmu zKAI+LCYxw^GBw*q3%;{5tYM3)&0WJT$ctB*>_$k6ae|Axn^FJLwvo@$xG^169xaVa zr3+L#(nF6|CUMoGdSTws^U7?ig%>vpLNFo$Xzy_tq0)6`4wy zXcn2Oce!+xUW?lM3D-UZ(NUw&BBgmdW!`Td#vFGWBm< z1jb9p^9;BvXTpk9t4J?pRmU!94W%lG>&bbyapG#Z6^63O<2?+|_9f^M5t-X0vxpAD z!&O^Z=zYKJ&Ecqj^l+{v_-pd*)MW{>Z7^D(X z<=&$dBfhnm+@BXJ5djKDzUg*o9eY)3qhigf=`X>zlDv+f9Y~$PenlZ~3YcUUVPNtF z+BK&Z-Lq;4@3W@$9Y9q_48v=nqVw51WT)wnu}>IQtLpGmufCvV7^43X^&n*VdHS_s zs>6Y>%=t!`Oc6u>l)rXl$~TLFxfv3Xb|UCs5HhceR`$^HkJ8IVe%UngUtxx62w;q^kFk<(ivE;Igk zrIHcp^IsyOJ8+LM< zhv2VcVj+h&n04J(49#On4hX=s5D5~KV4?Nvoo?32LzI6dpZp{8z+w4DvRf9hP*YyX z;^*u7d5ORj#OL77C;bw`KP|t>--x>p$UW^^kr+nh5jNjjKMz+Ky0ybWleAwPS6IKp zx^-#CNqgOAe~0Wi1ux%CiPf23kM-P)m-4cAo)q-}Sw1)nqadd&^ z#o>V*RYRv$A~ARvaiD-aScIjO3mErB|PTQ%y7LU6($p zpiRKwd7oS&9Uz3^k~ed_h{V%Qo$l)E@_&i9=Es_NWHSK^N-m!rFSHdWp`}6g3G_`B zNjCX@cD*%tB?JM5gX^G@Y7MbGr*)G|@_1)Q&77GFBVCzvV+;$}Z|qvmR@R3*SCRBX9Z$y8f7qc&o$}N<@ZaAe&X{0FIS2=fkIP>Ctwf(TxwGVa2+m^G%(Vfd- zNyc$X$B_SG<1m$my+fm92 z2KNgtM%{!C`n;28`+WD=09uRP1>eB-RsY;oQmpEy#)14UL$83!VQC-yB1s*%rqMs$ z)`E~`IxyMymmBVT@#A(>8VoPu=_R70-sHF|WlKTgc0k(?)CX{(=okHIO!c*1GX-;x z)9czH=F{5#RV%hY^lDVwfT+2kOW@9dxYG>qpgGv!8efjxIBiE#hr$)I!ravqS$@4U5@Y%C^`r1}3 z`QKg`S%piG4k|!o?&#YMf;OoqvPF{iVl+f)SzStwFzp1cE~?h$L>BL{%!wUsmcb^i z%zYa+2H;xV(zn#66387*pOI~cAbEn8fsy3Kr={29(X_V1wHLV`v{!pV489&tLAQl5 zHeOEn;2hpfJ87H?nBbcU|K3JZ&wB)l*=sP2ld92-8}|doNt0;CNiDJwv$EVT#_#tZ z%zCx#h>7y-rsGe_RtcZt z%;p(|#o;}0Ju@%|E{ZzNnuT8;Ob%5kn{l1T&m{R7K#}>EjzPmw!Y^LQAJbK%wt(Zs zuJ=+mL4;98??%fJiA_A`O3Rpzv$;OH=z|5R@PZ;UZE~h(U)3v5njWi;vSQOLq~F%O zpCfH`G)xL+gipcm*g$|mIbEK?Rae;+m;Ab8R?{wM<2{*!B*VR24hiS z`$3uqWeA=ERK@Z=8KAPB9j?I(6Q~8NPS@=;j2;^$rk(k2LrzX2-F`lr?HK+p3oI~$ z$NAlBIRI`+O?kdct0(=c(DD)o)XOT&2FIzU6Fx8eYPAbbVN>U@EnWRkLbBuaeG{ z(vOo&=ux;!HWzYPRInylYo(Cw~g73+ur z@pppte;ztoP&)Yy&e##!HQ7CyeP#}1f=FMZD3|GhupH%teuBr?J)JqO>WruOK6-Jt zo+J;sp#AahzV))}^2f#pFgpG*3Fif6U6+5aD;e})o{D;ilUyNuA08SwCX}q=d#}4W z<6~QzctF}ce-L0H>gYDvr%x8*+udF_L5G-JxFDQG^mOOh-4t9m04N|i-ZiViRcKXvn|yQ&$xUz zeT+?IMVGg?gL0ac*i$tm&KoE&OJsGvLBtQ8CIPsR(sYaK5U5=2t)RIv*!Tp`4d_bG zL2GyBtLTGqsmJ}*7VsQmumBUcxyj~zI$NOCGea}Hu5x^?a=flFe6Dw35sE%{bi}P- zrhvtXn7PmP9z7xevgdRCwS(oywB@9Q7QjDjYsyaPdrMU8-aR$?BT8aDJ|()_I|Z_X z_CDds>3_P{wiSgUS|eMKM?S z1x8cM9xEL*1(^Y7X>K~$&7=nID_hE_N(H2{yQTDyeg}wfE6<#MYFs^f;=9Z^F>Y$7 ziuf?GFBwOTvR6Dqcj{)_Z-afWVS2EZaPtR4GN*``chHzKEtX@yJRY6EXVvic=kHx~ z9RW(nn6qoFtEbFxYTfEiR-z+7BP1oz)NYKT`YV=jq-9h++jJ<3!Y~LMsSLYX%w0fw zG5*#N+~eRs6Lq@!I5~2{|IIuDbqy=oahH;YVb6fAXq&ersVI}L*jN=;pS|v+TR$?t zQ%F@||F@(sr?E8|RruH}T23{8qtmBk)z{ljL86+NgWMQwA^wY9k|diqUz*|v7s_>lHHB@7;76NP(92?APO$y#T3 zR=xbZy+{uN2&2gsD70{(X$Sko6Qw?$N>%z69Y%Dznk3s_Ds`}raJysI!GozY#I-5a z`m~zODs?`kddo7MEvYD?s0y}=%`Zr8dzh~qN!3tNVgfmMqBWVUvucR`XEjRM$1AbN z7A&JrhqV$sFf9>|wc`Z1yI3#s4Q!|3&;X0|^10Swpcjt8 zS^{>f+)?hocbAV$b&qHb7uGe2b4D3>`F`|lb%nf|BgX@gvqHcv6>UD3oc;kM;c?P zmpHLd;JrGCY{^=2ElW8q9p{jr4BFiG0erZ&l>3$g$vv1tn*_v+?MTn<@kng4&NJ?w ztoSGoEXiO{kvr(+(D5)z6q+}X(|kFf1am@ zZ=MN!NY+`*(geqH9EO+`h3`8TMP@Er8weo33>y#cz6n~@A7;&h*TwquwW5M^bJdPM zkqie2>I^LEb*cReet5Ei5j3yu&)nKLN&MM5O%7?B4TN6dj4ue|t%*gZ2{GIV9pnxU z1bRra!1&BxC_(XSV%k?g)FG<(wMwJjHFEw6WVWQMnh6fH^i$Cn1fON^m|@q_rv@=N z&jV(Ifj}F?;B!q?1g}!eZ`rJ!K`mI2b=pWy_J1Jjt{=eb+$r}q7!9F{wP*ACmytke zTFiZH)9Qo}cQLp_q8HBgIu%9*<+h3)D%XNWV>37#)-r(c!GWY0OA7znyFtRw@9`*C zR_JtVI^l1ErPY>gZuS$^e_)#y$`@tw{pfSHRN?zBf8YJ|Puo7|yc)m-Yrm~ja%N!~ z?3%d)SIyiO+$=fJVL{}_EFKsz!ooU%!r2X>HTHwefwnbY^?K>8;T9AkYvywLod*|P z2-)D@r!u^}us>ptxgrzJwP;-mS@+B#_(&E#+Wu$a>h6ZW)yvOAf)<+SL&><7&!(sP zQjTe#9w@H9Cts!$jW<>|z_5uPy7_H9gp6a&a$H@HO%(D_ER=(ME!|nht0dmo4?8G{ z&_JwUpi04y(-6zS5lq8bopwvOb|7m3i?{(Rbg(>~T@gxeY7%R;ELMX!<=MQe>29jv zn_g{60lD6u)VVZ+W>n`74PaO_rE{h6cDz?Qo`oTnG8*VF6l3J1V)(jdTguR|M>_G(tAKU6#_d-- z>U?W!3?1IqwX+8{NB6hP_T9eA%ZG!_ANgv(y4wApUY+o71Bb|6!LS*BHQm9Kqyj#{ zO(@}imsFYUBTLb1zxpq-PQr!WeGGv<5p>QBHB?IHdG$YFh54WH7`J?(>p3n{A((r&EwhC-q2yTeN8}CWmh zxtf?HtfV6hZo6AYA!AfyYMdA_dwQhEck2286nf-&8~pc{^Xa|sr;z$&e#Y{{fJfJ6 zkT_=gRIgJGC%O6@m9hA5_b zI*VXI+9(}&dS@PfB>q|?n~>F*WFbtF*7b%U&ycjV!q!qz`$rCvqOygE(^VqS60Nfq zJCXW&v&hFgSqr`ouZ6@4Lb+ue(_Td)x}*R~om?Trver7dN{zwRA(xJ@RD#2rb(&vM zHm})r)H+cHz&_c3c#kKIZ#~(oukb@j0z* z^F@DDN{RN95$1zOa0OSRiH)j>V=|dPcs{R!N{L*u%w!J5yVrGu^PTFFbyA9(d#|F6 zrAp1>Sf*7PyYvZ-6sI)<-U9wp?%g zWbNgv?T@FCANGRw!lIF%wG87xEweCX5C-w8<g|U^l8NbarLzS>(8P47&Oa`U^-6i+{2q=GYjK zkYW(n?ny!FBGzy;EC~0M2N{Y^+Ed^zvg>_Q6zz37OXxs9Sa>9omp|i7+L02tB8Aq zGBiXMq#!jjFf0A<2t7_r)*;f@6qP0T6(TQas#lbfo3aSM(s6v_LmDzR6jb=6B~1Ba zQ>CM&1(+&z5G+|z)fmE>Gh`-W_5o#RszZBNDlE{UOR*)WQ;VW8ag{j5rb!;d4hvLx zDW-Jih8uAI(R*ASM?Ajb-jbdr%V)G78?t`(O!tF5LqK`DM!ct!o}tB;B;|(mn1mj) zKXX>z$g8GWmffh%e-)GMvk)3v|;DeCHxHi34tEYJyI%`bGSe{ z<8+P^-r*LZs^>GYP~(Hb*_T1qR*uSCrm~sbTZYPIL-KBQ6vJu(AK@F3 za>h(s6g)DWK~}oql~a=OCMI`1mAnF0WWnUo&nw?l7t7Kr+uR%ZKl~rh<~x+JQJVRM zquRxO`SBmFHjJs%&swG)Sz?^79lk&}wMn;l`riTX|Cwt4C4o>_aF6Irzs$f_ZtYFo zFv-YRVR_*_DdSmX;gT+WlKWF@>Y$R@lCkudR0U#_WG3`Rw?NjaL(i8PzJ#v3i7Z@_ zv5NV2M24Wr8gC~vlUon&mi}}xY{mROS%YiSQzE@Qz3p!3du#-z%=OSr+BKU3pLZPM z%R8f(rztm&B8{2hQd;imG>BcjAthFU!i9Mm30q8ib+?pSSiffEn>uWd<>+?B&FHCA ze-r(K&d=v_zsOCPGfKfFdY;AoN+d-$ubM$}LI-y(ckX3%I>ef0LiVuRX>&6Me)G)4 z*uCU1ZS7t;KMD?Vd`$CLhs^FO=fbEvs?;0=q2a8=Sa|gz>Uy>&=|aZjAVEJQ0s6_+ zQrZ;Qc#_JpDNWS;yqSpy^`Ths!DDV(3|Rf1 zaE!+i2Te2Kiimq^%Qv%%C6`>ykioB+Ee*8q;n&=h?rlrPTWG+ICbeFi!@27vFUmWp5Cv%jShi^Gn&wQj?uzx<5bv`l2u`ji~5f8ifiGabHi;%z)rT5EYC&f}X1hke`` z=d!H6JoCVjWgMHrVHM+u0UbB~&BA=Ea!K`0zX+ML8o$`#HnQ8wodb6RxeTy-DcDHhgqTSZ3P)oTxAAfLhu-Vk=y^ zHzfwq<%)F6RALN)ZE`6|}Y zuQ!w2wRbq5{X5su7ZC|HvV&q?eiSh~-WpeyUsVS_4)Ct~wrbL>g?;^--PvT2tUItP zZeK`OYO8*5%}^^{HT!)|kzAtrH;Y>Ww_i0tBNWl^2t|G; z!s0rD-^3HBYu6#j#*#JV1yGNqZQBCBKOB)P<$ZrBS!R*dgRVlM=L@$&Xw+Kg;Wmvp zS7gCk%;#Xoy8NY|zob<&Aa#A%x$iw|$@ue?k9dBJO09z{Bk5d1~x>Kk$zW zaA$MyeYf435w#z)b&Y1H+pXZN9CuWWdnqd61c#LXh@d$rI#mpC2-8kcF(WuMLD*$Y z53(Q_beZ}e00t#-W!&(3O^fas4>M$m#$_FVOqr9iNq{tEtm<7pnp3q0DFYWix?v@( z#fBKRG=W+_btEhl*CR_+4e8me`1GJw$KeJCx4`HQ$A zj?&U!T0t#mX-n?z4@zCBtb{^}VAZsm>5n+4UGG!U8|re6IaWW(C9M@gjtu9QX`{@$ zd2Nkl9w1F|lTUFcGyJx-MvnAHSq1$^R45FEL{>ZDlI{m8F00-CN&L*ILUoHeq84WI z0e|PjEYO4j_mM{{&{P8V*~Tik#e(=FAQQL)=7#de1l;FvZurJdEL;zGnXnj-#FM;9 zYPrjMG-V&2BXFH?$=kC~aQc1DlK}kPStPJm6?X207W`dAEHFS1rQp^H;?H0Cz#Xev zBi5!mA6A^*)fdFDTkj#}sX`1g;||<37p;hDWvs_n%0vDU0tp=0QFg$n9$mJR+@M7+ ze0WZ0J)?$zEH!re@p{Bng`5W#xzJ!C_R&io$M{Uek)`gz%lw0R$Z>g9lbbWozc+Gm z=f6#d66>gyKc4?!Gu=iCNM#2MJ066}<(p)ZJOx&`#=4tSa3$!N=HgsnmgJE<;jDye z@{7R9g>vK=Beq*HhQZl#_v0ztC#o43q?J81=Xwr>)1C$rnYs2I;U;6tA;rl`&Mq_7Bz|~#ZeZFzK_FD8E3r)I(oRoaT$kd=~3?xkxYpE zu(~vGyI|nO9w|TV+c}_>=^K4kf)GrCMhh9;FDiNv5lSK9jl|BYmW}^Y_-%f@?@W$t z71!Y%aLPeuwGgL1du!;HMm~fj(t8k-{fbZpmqx%^$Dcmy&NklPddl1>;5yK4=t^K|VR7D6gS5R<8#Y%fK^e|#>5;2ZUUUYft zAYn{s_~H@MIS~bKnWY4Oh(l(y(mnMASUA1YC@*rwzgyAg#!7eqy)*3X2Lz4@-Q1fmMmjU7BfR8UW^ z-~Ad$wlR!P=<`3pkC72=<;R7d6~svHMZ$XTzp}%Gx=5??mKu&9@+IOz7qD>ICZYnL zKkmN=?y^jeLJ&;fW=NXn%+162iNkA?ZU6!!NEB)O-ExASIY^4?xh>DFdJl36i+{3` zp^J9mp`cO#q3uM=;yA@f$i~p~M8z(GJh#a|UjFr{BD~hXJVbr{R7I#L{xbBA>PHvJ zt5E#Er#$A$*E+-taS6wOLEuIm&>V`#gJ4hm!TE|if0#2)($>Yq&oC%y07^dib z1xz~dSP<}^xJ__0+;F2@i8wd-)$YA34jz?;HN{vCa6objOej4{o7pf?zEHlG!7Tnb z;qyx4L!#}VRWhk*IZ7v7;wDNbQeyVCZIGB1H!K>Vm>Fy$k9Zjh&roPIsf#2Tjeh}H@` zIsWNk>fapxOjRGQ>XB6r5Tc$il3q1@Wbw@y)PeS3v<2XvayDOxoH6@L*n%*Y9+x4^ zozRod;Re?A&DgooV8!CI;JKiaIat|@Ew}BqksxoeR*U^6dFQ2Tk9`gcK%aAL&qWAR zz#QreanX@=`+kP{FXrqLz6g&P@}0H-_$CZn>qb+UibN^?6of`b!rr4eW57kBQBkf` zm?s+Q1BZDr?yZ36yrUsD;JiN`E8ip9h+*>WVy+4umGELkCf<$yf?!4mpZg;bL( z*fl+D>k?rmRFcsjy6gV7ak|%F;^znRHKKU?NyqeDH*N4tb73W$1mZcJT6vaoXj@5T zIM6<)pwd#B0fb2^!O=0IZETC!8LW|nbsgoDx4z5Qs$fidi#6tO{YRV?$wIUs4wsGW zH1T8Xg@YTRteM+{@Ch=?)9`c?pph?P8KnMGN(^F^^g~t}V{RGM1mQ>Vjf5R2v=(Y9 zb7Ii1hnYZaE7fet6VXQTEr%gd^sJ?_KF4U3OaUQc{sONfpWI;ChZ7#v`9SaV#1~UT z1QUd1uKr}HG}80ViF^3yFezu?PF^tM#GKz|EHj3(IA&RPQ zC5p;n10?T&p;o9`jZPcLh_pWUCgVD zv>LQ@mpJjEc2|w^(RMXVN@M74g|k71%x4jO`x&f)twbSVE%NK5JMhv><#ny^)<}262+0p zszE1DPhnOAw0j0K`n%}Zx_Z1{=TdReu_Fa48%zf;&L?@?Gqx?anQ87dIB~-4ZUC#L zkd|?uA=aCTMXN5ZX-G+e;_XrP&PH_%ATN}CO>nXPMEooWv1cchW4>wy^VQBF@wK=y z`M`4+74)0;71Bp=x1^CG)tX0$Inn|N!j;V(fe63m!3Q^f1p~qm%25ZMhZS*MAa$nxK?;rds90L; zIQI(PYX6x>6IQ0xw$ADQvR@!_<7=mg(Z2lKJU!ss@IV2Nhf-|^=JrcMSjR0XqVuj8 z-a&6TJ4M66k-?0)6jd-q6@+w*B&+GBpuu!Q7i zcz;;O%p%I_KHdR9PRwuhMoG`1B}O}eTfS=8nRT@b{{SE=Cc6KoxP}ND3M39_27snLl^$y&mtlZ` zQA3VKis6ng>R4P~)A=n8Lw0^rlLKi+EUhxOSM%tML`7pjC4Qk$_lW{_q$3dh{?CE?r`H{w)l4s~< zCq>iqZyFr|{D1)A8U11k->A!j%92QY{e`hzc8-E#x0r}{REKO22YjE;jXqK(Q^FuJ zgrhSyF#G$Qs{yzk6c`8Hw~A9^k|eArK7^3lxinxJos0=pCPYM)18=sUbjE7!lW*$Z z=_S_Jv!+7t4YB8q7CA0luiT#w{lFjxZD7zSf*vb#x^fnVeN6Z%+8&lq_d1co=6YTDCxY4urLQLX}#}&Xi6x)e!a#bKc@RHDqm|&Ft^4kigQ}5o!%m#9(4tvIj zQB_#Th__QEp$_{S-(TK>%g_OZRrt`{0EDxjI41?Yu1dQ+6-@G8Bmxz;7)$A8W(h<~ zFT{eRs7ujyFe>3c#-$@j9DDp%ZodxZSFc6G@RJ|y&DaChryCAz0wtqoNVV{I)kHRL z85lNd9IUOfxg2*nTY7YV4<5op(#CQUCsA|QHO==5Kd^(k+T36dY}NyuYa zX=%Z{O?1#{^J+!jl>WKmRUzZ`nfavinyueI+(%pdZYCm(69z_~^@WrcUY~aj9U&v% z*FB4{Zg}yT%mY19;O%<|8_y0U6C`}q^jtZOgWZw^nIN@C9P?ZF#vGB6Lt|L8J;&?- zZ{9V7k8w>gtwt*jwyB@@y13Z^ep^5Ct&fXmm<@k?zP@MCbay>h86fhf;CYqFTM=69Q1McS|+XLe^ImUE|~DY|B@9eb~NL&bm$S^RgI z1SamEqNns)N@#+z>Tn8ulgIb7#gtR(hp%s&YLYlU9ob8ZHF;Q1Zfc6uPi_f{6xs}2 zz0$zsA=rjk3cuJirB}IFD!;PWwur>So}YeYtf#utY`G{w5Adx#H+X)i%GwPjHC&na zQ5Yw3CXP(B8`MP7cMr}B@e0{dD~PFVYC{|7+%GReLcM0>=8JI8uP%y7K@cRWFyK43 zAz;pkCgZ;7vBlFx{uxxi*aSQm)nO^n<(<&>+BXf(rPN**%ms;d0_G@%tC<7*`~rS_ zem%VXxxR4P3w@VVUe5NCM>0m=g~bcJeqI2ZGSKE^H@c$gSAg}9YoP2uX+T@_(5Hj& zW{yX5`4`=<<=|Ip29fr(2nL951J_FPg=BU(j;vom>hms3i|Oy6Rg8qoS#Z;Y)exK{ zi*b;b&kDIki|^B22>O1sIb_O=X}3V(_vVQ}Wz(Slk1#Ou!hV&U+-c^5$MrP2za!7p z!pPz#fFFs`{pWmJ>u z7w!Hntqh@RTn2?AhqW|^)jh8%u1_Nn2Bk^_(%& zEnV)HEZsKcqE*&CkV(LTjMrl1AmcT1v;^6H)zB1hBQ{!cJ5T2ua^KC{clPTXXOaT% zbo`pwq%l4x_R`Kb7!=WCVx-kaBILN0U+LzOe%zs$_Dxnhq^kUqU+DgW%P?Z%UZmS# z3aI;VR|&r?xy^5yahoWS_e>vMn~H`LvLaXb`L9=xXCak3cVeQPWLw~`m-oNdugCLo z7Q)KpaUZd*l&)VpKeHx~vHCd0a9rq%KE%d_BPgcY^g7tOSKFxi?Su|(|WQMgc1u7&sH56lKbEGfOYwK`sGD9Z&yOs)5;pJP`NWQ9nR zw3uF~xa7qfi|4H`{`sDiL5O$+j6Ja;5mKQBLcVRwb^9<{-=21zVhi4e{%jIO4rHcR z>ecWV*7}xRE?jo%`tX^hjIHK9EI=5nl=@KyF)`>$KL*;P?CLkqIT9v zYDeJO3E1+YcRjS9N2IA@!fuVOrC?54VhnS;hWGe?K~mmM+Q4Y357a7mcmPuDw=~JY z`eJbFIj}2G&G0z?t0ZK3F=3l>)BMDocX6c6m7Ur?y~gU2Fo*VAUMp->HkK30a=5*jEkv7D~Sx+wI<9uGCkg7L)NP zRR<5Jlj-P!8&Bp^ehn(d44e5tzi-S7oct`*&kQJbEM_h87O3e0PW}ny1%bWCq8DiC z3{Er#w4`2^K=F3R>D#N-OUjq$Xx@BMYocL99? zAnP$P4-oT^NQjt%?4^Re=d3@*#%9sv;N6tdr`ZXXe*)o`4}X`)XjIafz~joUWXc-X zwv^6h-^F^^w;9^TzjnlBF4k3&NEqPN8}8P72(^&S!}Z7F3$cemjh&Z}|NY*@yJHti z4ddb2$+MHcch|An1n%?c`Srf9B6XOFw{qX?{t|IK=o3~JaxoV^gI8}X-<5qXq)(_D zOy~jPa~l3mmZ|SYj~Dg#XMu+b0z{1XPc~V|o2SrEO(5hgl)>LnKRF=eahLUEr0#Nx z!vg_ zJ(4g2L>J{hw$I+HTl0M>XF13?pqxl77AC{?v>SJ&|KZo#N&rF5wl|}UH1SgeMC;cERkH4jrF2I0y;yX=d z`tc9#NUDo45^D&2h!P8t;JQ5CFr@ROLNzU}qAQ*_<|p_;joF@nlk2yT|FpVe+!t1r(7dq7x4#*=u@NMx;V@838_CM6itX3>YM{w zD4qyNCB)c4-MT*cpnmzPTeiH>z0!Udpug zo0WWL<6LHo2aky1{hIp7@6q%2q%%5oMol!nZgdqm?DsoKBu+HuXk3+e4<1+AGjlD_ zSUixJx1qt$z_p(XGt*KMq>UT{<#byUBsnf=*R|I->)k7KyZwG&)vg2ahL)WjEX_Uv zxyN)y9^J>60PJArX20BHnOG&8UT8Y}@=xB$;s1@CAWN0Xk&$f8I5f}z36khCq+Tuo zs~|sMlOsHoPE_vD|tVPKOI zYxjB-^14ASN^%FCKXgor3S=oB396m@!>Tr;qU6dU=-N>YZXEW1UIH{p#YibEJELlS z{@$OqL+W2^2K*45kJX1N+N7~&TCHz2c-f7+oNDn{mZU&`d3(Qm?s5NtEYFf+wibhi zd0<{@K=cm-f2qUDK6yRsEAL7RqHVkByIq$#>YB$CX@fn_3(4(#w6OCt%+K0-yVm@# z*;9sDOP@(QQRV4n3aH_945`;zI%(H349s=~S5nN@S{dn!mB2*S@=A9&_KbpZV zmb(75T6))dRuyab<~~9+ky;BOdYJVl1pLA!Mz73*IIK@7A*Diuil+BLd@9j5 zBy|$zbCrX=0%#vIPl$WPa~yfqdz>Q=dKrqCs}H!a;VBB0zSIK`u~!I=GhcL*{-b7t-{BIt2Ol zBcUh4tAr-R+_^PRx|Zl&JRyuGpSd zw?(JuGD}q?m5xI<>2f6>lcCJ=oA>WcqtnFpin*5``E#W(LPjcOb#3XFjCEmD3i-=e zqkJ}ZrESbRGE`KOy9xp1lx_J=g3z>eP*deE4zx9uwhi{`91Wbbvswvv2|#TT7gOYr z2@`gVjLeJZyu_%bCMGwA<#%}`~xL(0e->{>em_U$pO)Hs}xz8}pRj%n@To(KC zj|v65_|7?wOeDuZt4<)&p~4A8IDY2-v15(+Cl!5M}D*+7mD*kTdOM>|$2%IHP*R1FACg-k67ssFSa>|O z|I(ipQfOS!2|Ta2g_)jSvUmcB&F1b|o>Bi@QkQ84OkZADTylufy*`q++-p#lTVK_& zerZGI57}6ZoPr8Y3SF&XA`9Hb8X?}gHyGai6WV@30!K1#Q#}V^89XP>XIa?X?w9cX zc|#5!1VdHW?QpeBCb*wZc{41UE2~~jhTMm%FJq?<$NL1hm8(WhnMQLedcD0tN0_`v zw}t3O@alAevO;I#%P(sF^D*NmrLTqP3xo2p$h@cwQ|1Q_ipn;VO%le0Pg-^Fok4b< z(+#}_#ffut+L`KH4&kq!I7yktTG0Ln1|=L)u)x@0W+`{XeHK#}9AYeHSo>K}Up@ zfRpcTN88JhlK40LGpABHSO3g+Oae1M!c3iffkDttW1dg9Zz1Kpp_Brld? z-EkKyh%6Mo6W=(;5micvxyvr#S`UFuLcAjtc(T&?kSCPz;4=EZ4=>~*U<>kE zSod^J&TBUSPTVbvaH{L@1ZiIQ#SS%D*aa<3t$WIL=U;oG0vT2ZQ*z@wiFHzTj0Pxr z9l~U^HqRL2ueVQ+Z*E?Mb~j@iM+IT*=w?9Fj`@~cvSfNYlK>&vOnx(=EX5Auagte0 zLx|V_x(N8dI>^Mvq4u(Hv3{qc+Kq*dHO}LSS~~iOlksH=U%Kt%^>1fteVnXnPGvW# zL5Hzs?urXlg$IWxqjz`LUG+-oc)bGte|vg9ed`jJsmUWhoaA`0L2i`aJ}BUpAZqCZNa6Q3z2C3IK+Ih5Z6Kulq}~lraq28e zO~VCN%-FJT)TRE+EJwfv{?y8{2)@T6w;_Smbzma))JLC(WoT(UB3=)LiC!?YP*TTV z9cjz>s?f3u@l#3k?LaA~kITamYL3ma_V8k)9V(^|O=UQf>KT;_l6aA z+m?Hk1WaY`#K@_E@G4J=<*IKrh713IR%R8W+_P3E#O=StpT)YOUBA0hMUBgPJv zwOdfphd9=X_<7&5aHjWTriLkzlzb@xu!Lj^3&Sk9xyJ<85OcC4o2%$83SKuxg5DYJ zv+pw5#05f4iUp2ez0_dR_Msgg<6&1^qsFR+`KRI}N?fK%GvH7oBhoPP(|X^_jv*v- z*^@&%JSM{qGUy>FZwPX?6*lDcZ6f-F9NnR}9rWp%S?~IL-NYfRFcS;)tv=$MqQT(&iAclT`i7 zsVPz$$ZQ{fVQCXP<9eqe{7D^2n-keNl zU$4yi^9p7VMiZDT5`*|i1HbN+&UyXFcIhqwWHcue%8JLIHtH!=Bfr46w@(qfVXsV~ zoBX;LW`k=J-mme?ME9Bb`ulcERFzNu_iavGE-9qq6$qPUAW4EQof>?5_FavQLlNyp zNmysRR*OY^{|b*v-wge)q!YxmlIhZqN;>d6`w|%h#eT_}!Y0(ND6ed2C{Zq1H$Ku0 zN==m3ZIu!ST;ZvXlquW+O5ny>HrK2uN- z+>C6#Z4pex?tlYslrUstK&%A1{&6;(H_GKW38^G?T*n^8S!}%1-vtD*GG)VZt)DQ( z(amj1+N2K=VzKhg6W#d3hQ?(@30~^D!VG@VQn?GoL*6s*#2(sUet{7A>k`Jh&(GI~+yHC| zAV)~KOVoErGdOwc{1z4t*@Y1@XZM`wzQ->T#-;W63b2#IXx^bt;w-&CM0Emx9L=u z0&^Jl=aYXqwsFlB88l_NUGUSu_`#0A-?{ha#bFYc5eF+(lG#rF<>y98pAm^mopwhF zi%q=}soQccYMWIa^Hk#es_JcnKLrIh?)~c71{0ukm~=fOjof}g;4yxB@(qg}1=#ja zF2qd8r9UfY2g?Qdtu$ZGE%;T{^W94;`Ol?Qd=`_7?@P%gj(N;EsPYR>UWBx&dIkB7 zhzkB{OCTq-9EBXz6OF3z*0t<0z+4U3lGx^PByRT^Yp{IQa?M#!y&ls{$lAl_ zrCH*TGQ_#nm7NzjQP4xcYdML%Pp8%gvm5oog08#fCT|@x&r&oZIToJ5K$uEM?%_w| zY?dxzagVkC-8qCS3N?Me7ls-Cnrw}+jn&=&LG&J`GE_GRiRFrp6CBMn?j@7aVZ=l& z&^WLQ9zU$G2SW1CW$d>LCzS)>qw5Mpv(m|2z!A$}1Nyu^K|pL(15lawqE!iW<*jK2p?72UVKDl{hwT33?k zPq1ThhYzNNqhUm0juy+=NisDWSA9P?tYSZmk@;Uq)_5&k-slf09~ezsM%x6KWllW{ z5^i0KO6`_O=b}J42fR8~b|h_@*2zK&Rp^`*AN2&fHAMGNU5VZK8FBK41R9p;&ycuQ z_wh{^`TvG>dIu&B!>OztYM6Dc)><7Qq};B9fhDBk8F}~AuI~@tIAI}LU`4B8yJYg| z8C=w-z?k`Of#3P)x5JE<9brt3VU*L7ZxQq5uw#oeVD1{@>8_Wjy=)l^0u$u9GTbri zEc$Zv;MdFsB4;_A@dmm-N)@v77Cp%*>IC}+-blEtd^tT>lf)Q@_=h4aRzvOJm!|hhKk==b*bAgzF7O zHYRz-l01S`X4P{2l^Z^yDl(1yygO6|OUAaqRsGWfK{b-#|H!Bk_k|3Ra|4lggGK3r zlZfGA78-9kRwR(Q@OB+8Q{G`vNo>dbFKys=2du6A^~&WgcUZy->8R%+jp%Kx335jk z^jn~i%JyXsnsVNrwKDVQSmJXApkMTpxBajI_J`JjLP!exJNq_;j__#2My^-Z!s@H} zM{;ACBzl|_)Q_rEmE_SZ5a6RA9DMg4BY=~g54{kJ*GMNVOR87$0v0#+zlQTa0sb+- zA{SwNsfU|Aws^qFmlf_yJWgc>puD)=zXvgknyBa%zp}#6bT~x7hhfVr5^l+(RnCBD>_^J zRp;+P?LvwZi>NL985i#F9Qpurr_ePZ1%;3P(UJFVH^+%#%umIg0&S*{^8MVkHk* z`6rWuEb{y_yt2&V*@V@vuv+)#-XonF(yoTTI+2#fJMpa}a2 zz5@ssnsu69>{K7H)2x(xQA8mjIlYs{uAAHIAdWn&)trOz^u~@f-%joBY5_QD zh?=vMt@slI)9gH>P>0L`$gJQ2QXPEZ(piyM8eryNkUqHS>MS4$?z+QuYB~V2#ii4^ zRjrJp>+90h8SAQ&&tha)SkIM9CBnm}7zF#ydpOBjO})yPMorb=nHJvr_eTh9DZ^K7 z$=HWMh0uo!xzP4wd#ri@88ckd%E~gyM#ci^hUO&*(5Mv{r7|@{m>~M2bqjYKpmKWQlke`Bs{`{xs=t4rc zPRXB-(A|W=O~SS(zhJ{-Y%#;KuF;UMq)4?Y(JsJDATmM_0GCHYE9C*(Ok`M)J7MNt zR(X%AjrrhZfmUqe5@|^*l5ZXvi;N!>kZ+VPv}2erNPMvo@FBQH$~XBjd_}51Y=sCv zQZ?)H-7Pn^CmAMIEqNDR#8j>~*nDP$vMJb%$W{0aF9_KghYL=* zv@?rbVD)~15e9wul6vxxeq8QEgVq<`&U6LHAglVWivFI zjg0n3AURPR@`Y1ZLi4reLPqOY5 zJ1`tzRZjzz!y-_G>XDXgRdHz9SVu7*+m+04Sn@XbcV(3kzncAlopBQ14 zSO^77c-<5c0=sXV*9!c5J!_cn`OF1HmgXdNJ@l1b$uvTa`W>y zNTCZI1=|rn-o*vfx6mzg5_S=EmItZt2nxf~yYiQ_cMjRt={-g}hUulU5d1FDzoqfd zFK^R^WFDO5XU|6DfbJ8L+(?r6VH4aV10H6~=eIU%t1kUZ(r|9H5nuPE5d}ER>?Kk_oagud8bjZ-{ z@ldmof5Xt)7In8@fcb5;5Z#LUa|YhBlHg_oWu=&wW&6SdyRc9!s7G*S?$(V4?8 zbt+sTU|jU5dicvEyr9>D52_Zx8eGEYHWt`oQD~5jQPJp7VQ{eCkjRo@Qb~rT56Mv7 zvSsV$dX$lh8U_81T7!}Mmxt#Vl40N+T_Y>eqD#?_Jr@&~{OClj1fQ#Q#Nkw;5J1={ zPyVB{=W(OeJy2K%0x`f)Xg4 zq{ey-I!gQ_p}geEWgn{S#dno4)VOF9w8m*OEJJ}u`b|I;3c6zYh&cjG)VK39@Cw4y z*za|+a!R54!i7VK$&~koVBAF_o8z81 zqn!Rhdi9^&6C0d3n}o<;k*I+@Z1$7*F1T^~ZmqGm&EvrZb&cRb-nc@9xSwr|C}*|H z8PXq>QUCeb3<;UtX4d2k%JY@El7~0)W}_^i0!`kWY_J+E)*mkGk(ACe9U}xAHE}&e z{i;En;TxEqEQaQQObNpk@M_2p7FY@9tXH*h=ZJAT$a1{j>l;MCR^&_sh!JAC;Ka)F zl!EHEbsX~3EI0pU#Iw9igph3PTG_hX1%)ROak{^R5jf2bI@XpEG#{f9)_s+{p=t%+ zEf4OMP6X-WCNEt;fW9a{)>1uL+0IRAw1aR<`c`zSQwT8jZs#%$1cZkH*SiO6A2etUUZ25 z3LM_}b-?2-vs>Syqg>x5q-u zR-7`3l2)4g^9Fe|qR-;G9eg&&2~T9xaS=@w>psRGc=pe)b9x{)!o}`1=pPk%qxqCC z@~Hhoef4XoR&*>x3 z=V?{SJ%>nOcJm^}QoJywEB%`g;FR?y9ot+}sAd()tXjQr+ql?{23g;%`y)dlZ(L;> z#F#9Zrr&d9!2}Ia!P+BqGK?M}1)wC@d{G#zb9>uMFpKJ#jgQqxbOFh>#qq&lA3Ju@ zy3OS(b}Q_*GeF5&oux{SM~CrD6Lm9}%>*>zEz4hOT;G#i-0-yxgL(}D1)OqTA^X8) z=f8zx;hDpIb&+JC#LQrB5ws3wR#3gGN6wemF*}HjG=M2L0zj2n#=+FjzDr>jRf9xG z3DI3}w!i{tk&N-iOMKY~KZL;VG3ZNN#80qS(M)CM((% znYLKnnX2Tzafxa>DHT+0W|i<|0WC9%nO={mF@A`t@}nFwnNvl`_@)iXy=NCnQu~t1 z(X~5<4@5(eVM_<+*3h_f*lzluQ zx33~aq5F#Vu@+f#_>UTx-rPPCWpmk1W!N={IBEw_w$sKTmgUefD)x;Sy(`qBICH=g)n(SXg}@ zmJmG-Zo#~CViGPxpa@u|)2>^iF z*$BsR^M0>~JrLt~PAR8OZ*wJBLqnm$H^$wf9!&0z`$n~x4Q5sCEgdm2VtZnJ$LTdT z!a1IzukQ@^*K}&H2`psXq{CH94B+7;Q>U%MZL8;9L3kX9E#zP{a4E|7*vc0b5zC)>?N|$ z&TifS^Xxea?W^$7KZ78$9HeDUTR`XD*)1hU{AlUS0ej}OckslzO_xiZn;57T~M8;#{L&@27lwo+wD>M>czT`3Z#FuFDOgjgRyrNCNhs2qUK@c-hc3jl~A`u>0wrd#ZKhw>SG7UKrxb zvY=bv*_+YLuVNG6yHWF4lOSCOcl?HSb}mEaF?r7}B-}eOx^PhqG&O!bxcf(++|yxm z@d7W6ji0p~)0gcfi{K^@>uaJjj>J_Rn_BGqTFy4;_FlkJKlxS#T%ARg++s#ur3E zOKuJb$QS#dxJ3pSYT{;+7Q5Q#k3Eyl;AhF3<`y~NfdniwZTXj^?%nZ0)z!DtO`hx( z2m@t7b^8n7LT~Z(ehnCaZI($A?*gJIlE~`8MyRFIsW(e_%m_ zxMf{4-_20pX{5ZyqFXs{W`pkScB@g?Q8jJg0$b5{1sDC2MVjNINE07gWQqcXDqWT( zF_yhS+7rb=1f4Imb$!TNk)=U;XP)kVa8kR5UJUGQk%f6t<(XA70*ZfA70U;gLPJv$ z>T9N!Sif{;$Rk5@_U%jWKEDg&0~ z{_$f(1QGvfp?l7vu?|;ktGDoqa))9t&=ife-6OJb@t66*>V53g=l2VXWe%Jk6N%|Z z;GDjy3ZB+BN})oVMC-fmGJJHps$q+W9@3-${+HzVtV22WilFAxCG{;-V>50aLYqGg z7KC)?NOD%t@G^~UBh%Crg#Ou@RgCpNAt_Z}S(HTM>rPL>!Hbo`qM7I@>~fzQL!a zOjiu*(TuOm)&1|HH4^NQLj#7qX}AqmH+=I_jd2neR6XNV^yjs6WnL$>Rfv5!9b#>u;~7DI z%At%3gD;fw*C{?E#59Qlp#>tdE|MOz_?HS@rG&`R)DPF z!S{gCOfW|8H|(`Vo?R_K+f$*H_k1>LlL*Lvw*Iiu%6^VMZ;_f(^fUL_D6yyz*gkCe z+D!e|*Op&jef-a&W%hHMy!5cH>$v1seN;{NN)oG#%{hbnIEzg*+eo!fkac?<36Y>v zMpn8Mp8cV#;V;I_b}RgS-V7HWs zN*!EeG>p~pl(tNCy-wOe`dtFMmPEyI%71Y+Zwf3#^zm$Y@kWgj^NRPWi)@u`jm#9I zCVRh?;Bz-kwD+VQaTnVaGI~1g`YQJmCRxenGr#p8^-)fDgABwBq_2btg^X-WHE(H17^11lgPv!`RF$qZ9%%>?pe^KmU7f9 zb$d>nVm^81$|Z9m-zv?F3QmVD{ScD9D{>X!J;kA*PaHvJ(j$a0H?XC|+a)~qZy+hB zMA_n{`lGGS2=tP}(lhIK{*c>I*JcU@DX|Uv=5M1+QJN^ZKxq@h;fU%?f3Lqo&VwNcf4!hnu*Nt@@%|c8>lLjqqyB+3E z8!N-w7@)t zXOGg4`Ss#(nR9L#n2q|sQ0A+mD@FFTp&ygR0sD~*76M$R?>2b9#KXipk`Wz!!qPh; zx|fiWzH{MMqCJ#nR(3|_@Z2Ym_{>g3hVP%G`ya-=3+~O!gP((_f>AQeosI;br8u=y;Z z`&_;W-2N33ZoL@_w$NsQR2*Qwb1^(3;-|dvtpH#5qGG-;08*>(im>$!c)eLR^!e)Y z=gx*oLdYoZ=o3l&hup%AxjKGKb}=VDn?&A?DcnXWPQ07?r`;N&8(U;;dHte^8_K8M zIU*@1)moadf(pMUz8F^nXUzD&o$9q#zSOtMFtV|z-qa8rA0{4tA%uMEjgvVKWpR4P zCCF-;2R8+Cx8efzRl~!AO^L)|6Vlu+ix3eJicmZIjZx;(Ok)hiCfTzb<`!j)cqJub zWZCiF{POd|&bLK8MZWyZ=RSgThX*pjayp%&s(Ovtk4*e^Ce(eDJ~IJGwyu*H7MfhY z$(rFRC;e=fAyuuU^GG!2tmrtn$1zvDX8jh=qV*2GAt$a_7}&a(GF7SY$l`cP2~rYM z_08C$6$Hf(^e9iv?qp-hu(CJ44-FN|pd%tzQ7W)kM2So-tXHB|O6o-T)S(rje{7NR z^3vvF0JRob*{jeP_AN$=wIgtWEzDyk)AKd5J%Kg>(>2s3VV5zyh{)4c3~aoW1+557 z)8PzinwEpZJ?yE4=y}>CO4lQKRjxxD$pE!Gc`?>|5oYNE@OO;)pQ^S#$27D%XrLw$ zK!W@b=U2)rFD2GUB$aL9rAu9@ScD}xLb>0;`V46P{G!l z;*9<2k5EmSo<)Wy2@y~w$}qTgJqH$|*vc=fMh@HB%L^JP(C-BGdM!Qpm5gUMwZ>Xz zUH%OWJNoKk{TaRQJM7G6+Ob`}l=1b`WXFsS6!nrRJ-TFhoX~Uh(~8R?6gqkX)cos@2U&$=aKET8Z$nZ*`&}v31z;aS) z)1&gcnb!c68_-HS0wUDbp%wTO6}z393178dY?UN@vrO} zA%~TMFD%?99em9o>Ho|q+57CH<*&l-zhm{|eq{JvHO%()rPdwB8|{A8=QE?+%m*)A z>Iz3*5wJLG%7%-!YcVJHd7{j2lK0J!qLv!LqEb7-j81PAkdPn4=}lr2A4`2m$`C&& z??0}||E}z0nM@h}tI~vm9c*D-x;+~GLD|_+zyiuTy}K;t>a>fG1sWZ_jv$IFGOs&1 zs|;@~Qr>~SC%w&m_OGr)>W05vGTObn5Vv~-R#UFpb|z||Gm9I8MqGvB(k=VaY6%kO zAw^&K)8hmQW@Jzte?W5~e6DcN?ODd|F*5!|Lb=7?Cf0O>baB0V1C@~Ij*y%8+x91r z(oKEEVp2GOZ)2|t^dDlFw9*hwL+%K-=D{qH>kDQL5xyWv;qSnOFz5*>p1MKupZ&(d z?(DGuX6jjDw>*z&-y*iXc-9bAQdB)(Sw)W+-fDD~+Kx)BHI$N@^s?;*S+{>c*ko~w zP5D;m#LI-!*o?`;J&BR^*!0GPgS;`@Z_Q4VgVZi&hEr>mf9w78nf>6xfeJ&kMu8?+ zn|Vc1AYA*whV}#yp<(h^l>JWWK>r&*4z0v3mJt$DPlXeZ=(HLTP=%4^IPgE*Oqo2= zFQBB{WG@59b!$mZn(}dP8nu=u9%s#A0>^uw!pvB&D`wo^=LDvo6nI00W zD?udENw`n=_Vy=>-ImPZuk=EVWJxcFPQb+2+}Fqa{SnkR&?!(Ug+HJB7HsE$dmo1{ z*e!^+LVc(m@ss7#$a6Bu_3a@F-&j*cqkgh9(Sl6-!^^IA79CoLHMkbpLBAz z_%0Z=Z45l$w&rpz_aX@E^)rJaq&_B9oDA7Fb9TX;mM14pVE_DkrD!ClBBhiK=Jn#M z(Gzny#ufd9p@HfCrOcAlJhBqJ`%nL%@hn}NkaBZxR9^LKhO>8{gpo6=q(2J(+@sc1 zg=}kQ$x@HNcI|>wK8EM>n|>4z%y~vIOZw1)XqqNdR&eXU_=A!xWPOB8UgoO&K6ll3 zpD*=lh8#dj0+5b{AVhoKhYWDu=OYYa&={Ry> zt=|#ox*+UNJ|BorRicl)nMnz&9yM^<%Ai<9wpCw$0$PM8a;Q(sExWN3otn}9Jrt|T zftAj-jD&TJnzQFmjcJ+doNBf|-4?QLi1PwmdCgVYev{*ljsORPz)RmA)Wl4$?UBP1 zYBJ$V+bz^YcC!6R>&kw_O60FswY9jl_#KPp(9eczbwyRKA*6-RnKrXpr@pNlFufoN zbNjO`U-CHjjWOLa==svpI(ml^M0Vw ziTrFK4w8354(cK7-6@FUdF9Of9rRyy=A##@$ysXo`upx}|9CE{{YHuDM;k*F@bJ_1 z<$1@)zqxwUO|{O+NwuxlV}v-OCOB6NUWJ7|am7{h-Oh#UoJ$I{cm_V)!-3T5$!?BG zs6fa%;q}-3>9$&&Qw~dOm#PQ~`Tz@zCXW^JrYu%(gJQ)}H7oMS)s@PfB z?r(Udmi~j!WT$vX(mMX1{LB*G);8On*u#Q^h%g#1gh9L%Dm`Idpl6Do8Kp}FxCy(! z*%(@R4Zw4l0?}=&HB@Y|Uzgmt%2NK}EMFPLL%FO)xHOTtM~5tA-?%@Pt?yIPeQz$A zxi@~MX+IZN-`k{r70ovL%|WLdPSBUVu5z zIh*xW+>!Iv?N|=4h`>_t)-7BMAM^aX7}J#s(ZAMvLE=2kYwb`B<`80g*`~DCHf8kw ziVz6a z-8D0#KpyeNi6UKcvZ)Y!T~RiyBg8<^S^!{>&Jj5mU1nML05%i4i%5C#7nbl5{Vxs; z{gLj%BeCT#8&udcec|;PTnnXWh(kJjh=T=8h?Zg0%0(Ud-rKM9;{Lq+){=K^;N0&?PBGjRazFsPGuV9t~BhKGU)H7Ng|D6|%myXeFJcZOzzJs{36GIJADr|K8r-N!HZw=tFo z&t?LA#7H1M5Y}EIou+Gqvja&hB%-d;^y}rn@&Z63W3d*IaqfY6B zS_g;i?S`X*TN4G+yucWGZAv$^GD1Qz7f4(Abc!<6XUaxGx>DDo*2F%EJgwwqVuq5I z**_j+&^R1)T^9SPW#D-AgO_OUe+%Zg3$SBY$jPCL@&`l2M5op|=@lnc5}Y_*n6#oW zVO%^I$@9n%l`a$GG)EkqGWSttsc#qw$c%rCEt*3~AjbtiuR*FcSzGWjt1bCLk?2ghPhmOc%+QF?GUN_f z3Y>t}1Am_^DegMlx6Tf;l4lYfkC8SEM?v}B+oOYZ z{0<6}?+=0MfC6DU*?i>W9$O;!Zg=0Rr%!?|v|34l*9%jS;93Nv?-Sgj<}6QS%}1PT z{=rdL=(Z657dIXnNYtcn<^|S6Z?hW%6ALE-6IK|38#xpvC`{ zXKVi-@=V9(Pp>=C(mzftGNz*QWmfo@$~eQaRJAZ*^2tfpf6F}MC>)`OGAom9sh=ua zSZ>;Q4M>9&Us2Rj_sqsj%ZBU}8Oh6K8>45Gh-(AFDs9Yt+QI*?WApxUY-Ug`u(+Vc zNtTaD#xPd%@RrK$xKD!2&q~G_ETBS9BVVUYkeQ{rX&zcj@P+jCrl*6(8T&~sVjTQLz z@iDnTIbw!|ei47Vkmx$|i`g`5`cA~M@CL>!3I%p)zF0O`zL12eB!w2LFj+Bf&4YGI zMclVq>*sDzcW>Tf7cPTGn$aUE>APX4`pmWHHI!$$X9KmtaXkLWhPYYXdfV1w7X#io0u|{ z^v!{of#j>ngVvn@rsNtm)LPR3@H?$g2mE9;+;zHNJ89!6Rr8PEO?U!4(5Q^N%YxZ% zImqa?TgAJ3s4WnAzWVdmP__Chx3XHOrN-pprc2FO!~B>^5u3zuC8Nnom>%z2>>R|* z(SV$#@ys=opg5 zUIXF9bQJt9Fo4fEsiGn@LBk{RrW62%H|xeg$Vh0l=w)p4ZT~^OAkY-0uReO$*XXEn zS+8Q{nXb|MXB4|B_k)HVew`2=stO!ARYU))Vsm^FB zhE*OKt$|`!OpFl_{w|_lG;t-BZxXndDSsvuU2J6EE`kQW?FD-$L~BJ?mW%7hpquKU zxJZg0pE?a&GRJ9rpB18EjhMBeL@jO@tA>SX!HYG^g>J#~B*J(+fN#Nrl#PTV8W!o` z|2B|-Bc5Q-=k5Q@{#UVoxR!>CPD+ybopNq(3UrZ{ssb#<~!hBQPCHId!NflW(*1&L+ngV2~C_Y0U!fqnrqdANzO zV|8@&FJP9;`!6ta{sLy!{{d#)U%+fg<{w}dnfe9HiUj`$m^JBt0kh%N{{S=eFJMMB z-NN}VFe~CqK|ZcBzqZn=iu@M%p7*q z{{zg7{{dz>{{dzc|2Hrb`4^ax{s)*fth!|VZ@?_7=wD!Vr}n=9Gf895yHf64_2x)S z?sk^4Q8WfsRcmC5>Nc+YsWj*j5{9I44MmNr{(AT0*`>sn#P%rSdg7qYT%V*mo9%PB z*{y;Kp7vLz0*iOL>O~`6BkM#1<%|2pl(pEK>4WAReLWXO>JA6gFr+Bo^W@mV-dwPE zf_dzm-&o2BDO7Ti$@*MPS-^8z6$gh{2FF5&j5r4@Iv-P*Z%XOmB8FA;o9ljntD}@W zpE78oD;oyQQRD;_4_gqrY6uRR%F-C&!unH3R~%92<4x#OQLo z6s<{J7qW5AAHl%CV0vOTILz;&@Oqk}!r^IsY#rYkrm(nH82mNNjbQZK+w=QhNTwY| z{ES%(72MZ?IT%o59G-wT`K2>bi!y%QR5orc38W|jZ=B!H>Tt3h&cekB&FRf3%@r)l0@p5Z!_8C$ z0<6ap2>P1u7cBBjfRLxh`qM>|)aDC;$9%$e_}4}9mjpwSSQ;$hpunt%6;fp8YI6$R z0^HMv3W{QU_k6xTj`u*_-)0DTrf0N~bqVR%M$X2mBcOumBJm>3;j|A8Z{Wpw>oyb` zA9R>{tN=K21hiOAXlq@3lWY5sAAkLQW2@EtjSFcY`~2ST?*9zvw9M!>+2KXtH%4>Q zp-%Bzg5J^2G7gs4J7fN&vvY!C;<4vAjS!4Y4~wj+)zLMknWL3OXzKu2DGBx;@Dib{ z4BiFb;s8$5v^L-QYe!<&6_)b5@PqdP1AK$$!2NOe#z1!{Pjw0X#~`R(#dvwe+U4G6 zyDV&7@%aRBrR#bhJZ689tXbCl`D|2+F_1sIYB!rKf$~rwVk>$$1JESKsAntpfR2Te zVRM(aDOzVeL$pU3$Egv7b?&SuOSb;5>|WoC<7mvkv-th{%c%9c-|F9h-z^Qq4lfNP zfGR@6`rj-K)M$GG)KXv8O*3$4Qr#S{@!h2)XB1}bDkVmm5nC!lOrINiAL%1`)0kIg zpvacK6vDeiHEI(+W0ZlZMDI4sH7zqMBLFs=W-J^b-warI&iG*2o#n9;^i84IOe;_{ zaMsaivC4s>PP1w|3{$%lg<5+L#aghS>A9i!2chc(ufS9KH|aD5ZN!GelafiPM!a=HH z+@*~hvQYCQBlo!uw_%K(Ym{P&2Z0dj+Hl? zYSp={B(2mp5pzd@X$tEg#Xy2Epa`v|Lx4$AkGnxPwvVc%)j}BQtW|FPSV=?sE>A<< zz&;`z4Uq{-0uAjC|!$SncIEWFjQaa=g}=TNoX$b? zje!BiMyJ*Ia}pZ2_lgg^Ho6u&usy;ADTxZp9T$$T@Fm(I08zprQB2Crd??geIxy^9 zSp7lh)VIGOe9@zehob-z+&=4E0#okHa$Hndugs^+ccjZE6%gIB4R(nJ;xGYao13SY z#8GPHqNE9YFjsQWAr==TNuJ`@Qp!PYw3!G~`Tp$Ieh;T9$Vv+@ z{9C^Upo49jd|Ivj;lq0P0|&LZE&Z(tE5qowy-a|OK~`m}Js$@uFjNcI+Cw8`U{P!7 zdufM{MGfT7%GN@>RxI_BG5Nt2(5kvHyyB;{VD%Sy=*)h{y8pB+Z$brt-ezGu28%_@ zld?vQqmeawpzV%Cgy-j5pV!2#z&k|}C*adYG6u&M!55mIfmPykfzW2~gV3fem&7Tn z1`o2CV>%f9K73^R43$Sp(51b{tx@n;2ZCGN{sH*`nkGYO0jH4Gt5ilV!7#bH0D&2R zRp>k?ELL>E&aOeoL+Q&7Iih?yu&fN@cOhb;BtU&t1sx&qWc>a6Vm{ax&zz(@IfzhL zn&)@esIF+yQnIPfUo;db`&W@kt1m{08|(d0=?e$h{}zWC$EZWGCQ%xxzwj+CK12>n zBmK%;E2N6KhV8rX zcXxLS7CeLjg1Zykg1ZI?65M@o5*!j-f+eTn_wKv*KKH%np7Y0fo^*A0sHy6;R#ngU zQ&Zh#T7n9F$M{;hTR}Flc2<3-(vy3-#rdeiGShO&0O>wzKV$kV=S&;UMa*m@w zwJ?rhvqde_DVS}dshT{0k^vtpNv0wBq&m^f(<4^Jhc4JaX^iNngOPQDdnLfgm=jhRP#E({ebf_D1ke7XGB=x`N;3F2&j{Q;uu+lA?xR~7rL z<&&r=M}ld97Yf!HCWi)h*p8Wl_5eq=rvcbTFFB8GU*m zp;zbbJ4jPaM}T1@jlfLr7llvby~Z@VlSE^Cj0S=!rM;-S!ErtQD&pjl=_BK~SM#&t zOnqBx6ygGCR&jlc9^&3}7Njcuw54(wxqF;wZyFv@wvkS|-T5s#E7#!ACccTxe-=h; zftJdnnl419f09b%0iK~pw5aa?z1JgL=yUQ4zOoMQyBlrrfg)^-BUTvM$buq*QGAFC zl=v-i!f)rzHGk6&jaW0ldz6YT400H>I?6t8X(*}BU}P48p){OTVbZfI! zsTTk}Qw@g;TEss?dThiQUMfW>&E2;4&my37Gv|+JEqKkqoyUN-Ub_{gET#K(vtu5` zXv_m{6pF3m#|<}pQ$0CfAseOI#8wt_*^%rtO~`SR(Q2<_@7cAvs91hjZ?knbnK)Ox zIM-nxU0J4-XO=Hq7BZV5ERb+nPRxg=Q$?4Tba?3$yN1>ck&yNebR)HAv~CF5o-QZV z2#=t1oe^X5UGy-4)`t5xnrYhIdllspf{hurXy|Ipgp2i(bdlyKf~)jhnMdTY?O^G} zI=v_H(rPs87$-nKpk}mDO&erMQq$^;alBuW%IIiI+~%i)%ar@NuP48A`Oxnlh10DZ0UN}JURL)ZYdcn zk93TvfCr~`59sM+)!(|e9><7wzsBTSE#!r!b)gpW;pjBDZV`g&lP4TH{JW^AvJ!_7 z(ZU7?)ipW*+I}As$0{)Lt+$|~-y-M2;%UQ}O22;iVRE`f6(L_gmR`MgT8`qp*6xg33b1Ukx=kv>=oP2+ zTU_h9OiqDO=#2^v$s@ROhx{$!T6LcHfA7&%h2Olvy@-iedHDS8h9fni6#jB-C}@AQ za3;(?QoHbay^1cCm2~fE_1%;-TWM|bT{PF*l6TF!IwLi&8-F;uM#`@kS|lx5Zwfsr zBRUA%vtqQ1)v9_HvmjuuwiLh2t#ahq8?x2s4T`J*QI-?qCRIQuYoTZSaCG}c@BD?H zv4xX)yk;C*Gey86O10$Wpu*fgM!-SKI*wRdnT_x0SIc*WC7bHeexvTMz*82Ym)_F8 znh1f3Yy{Q;qF)Php#w1vfS08P7^)fG052w=GJ2w1{pKXz zGpcaa)C^ZqB&6l#`H?Yxw7^*?TV|@N)LEAcMiXAu-*eR{rl-X}Tig<>92g2SJ{;b_ zQx#MY?6jsGZ`C`XKjc4ok4DRSBSwCcM@RMDO*6c=uHtWV{nIOMd*R&Ohai;5(eEn} z|9x{9B*Mo09%fv!w_5T3a(aFj{_~!^$Ie_-Lk*VoCli}<*Dq5{hnSs=`8T5Yl=jFx z5mu|U@7Vj+4PcG`sHr8NmFGVCG9hl+eY(5ra2_abSiuhEMW~_4Gt5s2J@l59(L`NN z<7oCECDL4d$l_@3s{J__`PMHsNdo3aKD4ip*aub z&6!uJkZm`!6brjy+L$8hUdYyp1m9{o-nYi6-|RiBiJ4HYy%ty|V|8V!rOsVmt*?by z*p>U{VCJZW{n56{rIVF1ZXjQ+bw949&asw?Ah<14eXyP~Yxr63GDdbRQ+6R6So*p% zUf(;_b}E7Uv_A2&=F?5nYG>KHPCDapy=%HRHPUyU(4)8|(joVa-Uiu`IRD~+6{_qx zj?@WM0ktBW)1wN1?w?Jv+p7M9mvgzCEdIqlYekhqA{~Bfb7Vut6JLi0NpIyI+hl(X z%|!6c78rYtisYI8iXwnpn&tu*?4SGUC}O9{k`hRs?Y(^OIV+s2Xh*Q@Xx!-pz zcH3WH#?b9BsU9uj<%%q$1^!L7RgFHu;%c{$%zgca8q@uoWFr`uqW0$-w0yY5#tcq5 z*jSOvM&4h_Tp(6|R)=5npyl>s+4v3DR2*?h@^#o;*L?0xtTi6 zrq={t|Jz)%kO>kj`*fEEc7OW<8LvpbZM`W5p@ewzj~N@9>Mi&;pn??eQoQK_^;1jV zTAVV~r}RIoeLO!P;lj`wNF%?tgIREdpX$y8zor+gs&&k+omjMS;XBEQTrA4Ude9l+ z|7k;vaLHz{+Ua^9UO$btjm<7W4EabpJa!H*6nVW!&WQHD@-oIHo$UwMKPP$OukpE} zLmlE0LBiKp`fuK|@|1jkN=`$N(u?yuLi_t+J8Mf^-EDp%9f7p6uq*Qq&DJNKWhetB zs3Z5_&8`?;n7efI73O!L384Hba98_er~WeMIkYM;>C9EHdj1K<394Br_RX+!u?*tZ zX`8?9RTDEtLIsl0sDc|%-tFmgNGGo&rtH~g9b7mlqP#(RaG+(ER<)dN;|)`>yl&;O zYlDW!Jd>%WfQA4=AsCU3xoyw~*$IE)!=a)eqpR&Ia=NF_rcG-{LnKc=)#S?Pp50|AdX_~9 zJ^P_|ra?A-6OV;2w?5HcDVg+~0axmfPQ?Wzt4){}o<3g2-jH&vh*ev+^8%tUAmY=9 z0$L=U@ik>BwyfToC`g#pNjTX5(%SlU^4+OcR&Y9BuAX4tx$F*n^2_|hd_Uz^Zi&my zFou@6(`Up@JXXF=6Gmj&I4MIqNI_1oGxJ>LHJPx*jLXZC{RAuMoG15xm1ne8vrAt$ zKOI$=k|~SL+*B@g2s=D1I2w}~R^QbxWaSxD-JjoSq9AqT zLBJ0Mxt84l;#VcTfe}-I;vJLPV$B_Auf{WZe}Md^9R(0xl}g@Ce!wf(=7WCyl`la_ zKpFM`RGHvUGJWpv8xPDgy+yFZ9x9f&GOJ?xf=u~3OIWl#dU(3!{LV@$+&fuS$Dfm2 zSIX+Qf+$Sn@L6i2ZBN-YRE{beNHFD%EN8DLM1d3?ey-^8t#1wZR)Zf+Y3Ot}Cp;l; zQ}G;z{WY)7PTfoaz0y!^7y3nk8fr#dr6M#b`)dcCDR1?&vgF{%ya? zJAO#}^-g;_{I#8Q#iI)!dF`Z2!SM1~6OB4on$Fn~&5^Bo{Y1aklmz>N>cW&nU3R=7 zqxVgk}S6-xb$LqTPmeAx4PP{=1>yg#oKVYo`xD(3r9;G)Gfu_j*D=HvmF1XHc;ZgzeOT98-I7%LqCZ|ZPJ}TC_Hwb^zG;u27L6nWj<&Gk`0mQcT+#s zUk=wFYy)o%dUZ}U|1!jMD}G0k2t)zV>ro=%F~b!Uhf&P8VOfQR-Eh$R;foorq5K{d zM!g)J>U+FsWS@Fu9)HJR#O6da6e>3=zKoV>1(5I(3V$c_of93~>|_ zs`ZgtiW_)Pd(*U9Y$zc~N#?6kqi{3Dj{$Sp?-K(h9!;eF>VJ0Ivb0&!18qu!AZeEEXDzOvVH(+BIz6Lw1R6Xj{+qGU74mI?7`V^sEQ z>q!s=*HVzn;Hmg}*V9@|PeFD#Y`cL7UvGW8j?{@*cDk3F$s)*Ke^qVRWD)fiq?jdQ ze^Fd*{l}+!x~!_2e$?NQ$L?MVZ&iaVbaLiYnc(oY6!yyBQRtp!rTCEO3Ez44Dk&(M zH_>I$#@ruzV9ZvYfX#Iu8~37!ASuv4)^wt9#$rh?3(`!yv{pbM4Kf7@D49jV53 zBrZFfA$j{;4AN*Hv&6D#Ket!!qD;G z`ol4KVdDysEw(ukDo002&fr|& z0fUXX>{^sCs*GsGjB!WD;R?ndnNu?J!Zuey`FGDNeSC@b7t zUn=~h_ z*=0&#v0Pp#z)|k?y6n2DO?Jly^zU-$K9Q}r*^L3%gJyCC{z{DXLt8d2pgIXo-4?rDfzoV_4M4_+$?-& zg{hsqs#l!ABgTU7SW4(Lgpf@;a=T}`UDH=Fudh~0S>MSB&JT`^ z`o@Ptj!!;CdXbp#oQHSlVw-CQ zR6B}r4o&8Zs+(%QJ{0!0v+;g{2ParI_Foz9(e3af3q)NfTvD&pqAy;3S*Bzy8JF+W zS4x_)xCp>cK5EQx%F9NS_&Sh1AoavdduCgnX@U1csYr$+IPim&^Bnr=3DVQa;qb@9 zul~MY5T$^0#L^+k(kLxhJik@&icUJYs$2V>|3I z(eS?o-BkyARSP(NJb9{;e=sn<48mA{5b!+RpYF<&ybbz%7|I%HveZR>&*CY6CFmaZ z;M&aG2VMwYkL-4%yYDmJR56~7xY=gDDzX#v!~G>2@9e}B?+jsymry^bNB^?>vMKJ@ z#0xabIV)98S#S2`_+jXu&-fSG}f*9Qls}y!czk})M8yf5n0lUF6CH-ekq6j@SVlLl?vgokU7O^ z=!ec%2p1|*lHIz5S6@!Nr&p3RcKp@j{2eNk9V1lf+34otC43Ln>Dfe3c1vUnI}8)^jK;J{xz~&7%!bv zyJVbDt%m0*-yX7>2lB3eM4Pn9t0eGnOBqqOkv%N=ddic!*YUTLptG>FOLzU&#A}nr zXVZV7%Sp35*0}MstY-PP&uCMV#HH~>Cp+nUepC4RLa8f7lkGuDc`nE$HT&}ouYcms z$_M3^*f+H3hN$JX*XAlMZh`p8Fx8aT?QHmO-_sk1b^od;&pZmp*C##2yfo!F7I~6t>h;ZSB-Oj3Z6_|FV)UM~tuSK2)it%b&_w%|uj;#Lsv$Q$3_!WAy zAW1=wUrNo?8&_21-Cf&)&POLj=9KhYAk0N-CVsdUuUcwV8xC959O`K*R2P8*Zgaz514wVt8Ub3k+5^T)8W8MI-iAm&*1#!6{|c=EnBWQkfkZTsEphJ*U`pYMxmK zcH_ch0+eFe4cFL>qJO{s3|#ix;h)^C+@S&{hOMTz^k*L0m;J(!b@tf4eIJbtiu&d* zUS8SQar_sN;1B7y0(va!UTt9oKGB2Hy4hIF4u&d5I&x1R6vv7mzDzZf1V}Q+(@9*LWp;-$mdRLn6}H zE%v_ESX>C*eM)-Rp$9&8+WDdXD{TBD;8u^Fc8JYTKCJSqQqCAJN0b5IJoB;lo9;;D z_}oWtVR~hG@;`O#fkJeB#Oc!I7rYgn@uR#&Ejh}n;oAB!6;(3#7c#|V*QtZEcSWZK za5dXw4OGWbb>s03uBC$3r$ti@aIBZIWZLN1Y2}p_(xz*p zbxQFLHAt8j*9Q8p(?;f6#m5PW%T5!d^d8`U={9lD)WlY!%JN}ow_Q=PVpfhOF1P9H z9p+^YOY4c&Uge|)axQfsja_N&jxzsv!#t-M#Lx9Hx=u-zXHtoarB6d_7tLmunNzk3 z$n&|revts+G{sYRcqRp%t0)z#Q zKX34=5(JgI_on)oH-hE;=v(CRL|-CJLB$r>f;* zIJV&aIIOc#q^v=IJ}g(``b@c}%<$EK&)%8`Wi;I&vW}UD2c@Il?n{8D~Qz(s3p9< zL`pOh6eW1>H?xhOIBV1D1|EJjSeODWs1*NoXrBZDXEh#mds!hoOSl#7rD_vAVGcD6 zS{^xu0#*8N)oHKF-vXoV+qZ&&ibg3!1lCEU$FB&i@dYMbfNLF4gWZQ2Zll-4OR=OY zoBSr7DJNXRgeJ*4*hb%oG!Rq5Xd)BIInLP<$1a^gR2rjcok8UNdb?%_*26Cu2gt6$ zZl`>gs-&OKqpV57Fr8I;7>nCftNNo*iDr7yN%yD<#(BbfV487yccbP;AHHL4yKwv> zyGw|5RJfeoqZZfZB)xB3L^GrPw8}`6_ttWpy1j@C#-@nbjCb_E?56#=`PvwDvili7 zPnZWvq59a{d{|6Lx52kl_I*C&7HC;0rZ$sS_})f;nGV!51a904*M_!;or@^!8j7O}BxS~1#NJ30mO0TN!&v2L zYLrqASEV@#Q&b*Vz~fwWuMPO8E35nT!c2N0kx$+0R#{6Ztc{+Fb=1nE1aLJnB>G3; zIM7(a210QEx_5-829*n8nU??sjf51Tsc`a-r^eauItrnuZ=T{<&yt=(1IEp9M$M zZM(qQ{ThzWJx4qifpxDZWi=dY_<(jxPf#fpv`-@IP=Hgmo(|3Xo!R z7D{Y<5|7SM#SCQ>D|CkMEqb^F)=?B=CmM7CmgaExhjtIHNT^iH+uz&X_`GsPc3au|Z~9|DB)Rr_c(N`LrWHB%S$I9av4P zFts!$n$voD<#)qR;9`i#c8;4H1eueU(iiN7Sx0eC#`o_FqmZrr>}VNzY7xJBW9;jS>|fu*0@?r}6X!iLbXJO=8ln*C*h z@x%I>R$CJBJnCW4nSw7U{D6@|OCEE>e{iNU3iU?@Hw&yF@eb1smPGD`x^ReoxIpuI8N-Oky>*|aBcR)8d~8d{V*(d4Mll(VidaL&-VJ;j9D@3AgGg9HU~`t zU-ty!>~@*#I_HVSCgxdG3g*YNatj>ZT7vC}oC7`DemaurqJv4Q zHx$gpc>aLZ(TB}*IBzZ#wtP4^YqvSma}G1#Z_!gfHqscq**h1z{Tq9e-2_;-59y7p zDi<-nd5@!&=XWNFN6=x;Ek5ygiyjV9WpVZ`tHi+_LN~?|v5PWA=W{BR0ik>C*Bz_oG#NDE=}&FY)h}ldevVc9r(J}(I>axc_QTwC z`8byND|xivyQiJsmK<-68eB7$9rxO&)hte+JZ~J9e=cwynPY7|5Z==4$W2rI=?S11 zppZcC2a&=Lrx3a+B#k--%4dK0bXt4+N>iUwQ9YO9;`nLo@@`sMpC{p9*1gy0;PLVN zk7s*ZN6h#RiuoTC%JDiBb8U6XnjHL+bU2=id+)%Yt_`tH;p+w-&$@ari~WZF&)xX= zvALnS>&Kh>P_iIDUq9fdP+=ar<+@YV+x;>t8%*K=J1K-=EIfgb>_Ch_pM(Bsh4@YK z4U;L?D(kT~UqHUTUxfphOQloaWyXqi8Ljx>DtZmN%o0L055XpVq8I4s_^E&Q4x6a6$tq`O zXgjb20jINyqGt;p8i5Uo-3Juhi|XD~y>tK2&t@uCbGu|JD&B8>V3xhf(_B}pIQI10 zCGeN_gMs6ut12*1-MYyZP4Gw+m{)wRyAvY%$4B{{3#GIBd8b%V{j8{?*S zuC(#47*9|6&i8+Pe1ra;w`3f!cm)ckr*CeGrja3K*9I%DcQEVRb1n~RosF$Zw)`l@ zBT!!qosO2Ogg01puyl|cwJHmzZrdT}CJXN~sV%f5$muxf)aR^=_kRlbD&{IG=rWkW zYdQW|&T6jys4Lm8w8BKt`KWI-g+;c4+|^Vp_Yd-r270(<+Yzw9=OwOP+;a4HAbboP zSreK%X92!qUrWdq-lL#lUuc>ICqdsvN_GU|K)s85Tuj`&y2|l0<0~_JOt}^n^!r5G zPVnA)Cz*=;_1)I+2XO871@_M4`S!*6vB3TsVj_r%-_Z8r*XX+y?iDiSg&HuKGpf(u zKe8?`>xduTThgD!qQM8H7JSU5Iq}Xg3do`W!fC#w%2j)pg8a$ zfmBO>*4H_M>sh%-kia8#NyF3#YKyVd*Ja>tRPCA<)<(Njr+`oa_+h_DPpBTKHCCml6ySTXd9Us(@ zug~$jC3?eiCOMD;?}wwiqvGGFpQ%9{{>$fmRN62GNKiC6uRh=W6b*v(450_q)$V)4 zizf93UPV-jc_Es0n$`!shCWFxaC1v)e2D*({M0IVuhG%<4#WGzZ{pR(^5V7Nd+_w- z!$bVL>3fu`o8#TbD-=?F?+;f4U(%WVY8V@X2ccQFe2w*sYj0xVXSXXAwyHPNM?6Pk zczr+E9iTR5Obi&AQr)rxSA-sPc@1O1{d1Mmy=75>tr*aPg>VJ0M zrR0C1tCuh%T*_kS*2!wI`3p}LaJQXqo&T`PbfGbVD#EgRb`M3)atddu-x6~W+`VMf zbLz@wEA)mAN$4Ej=lAy0pKaK*{zBV}zODKsSoV?bw1G5epPD92yXsHG)RS(@6kgAO z8s{&`<^txr1P(YwbxG&_qS`(E& zjzuu!77uQm5rwm3c;`)HbTIma1}I>?hrNcvMfur<+k=LuP z9sD6uVqh!4N&9Nv7ppDOh_uJo8mjFJ8Wf_h@vXb(X){zh9k278%BSxh1n^_HXkkT3 zQGM$2(x9TQKYzv$y(LNtV0AUIwj5AkjFdsal?+IFE~W{ch~fDSyG{k^t*y5oABLKG zjzDJO%@S^eY07ZK;teblmpMae$Uz2hEz%d~L$bDn`ZFf}aCe6LpnR(ft^K>^WQrTK zG0j^xwnNY=j%bj7(36d3=ia)k0m;I;#qzcZf-jqWcOf}Trr4fMfO*De?=k$w;@ z7QPP<4c;jGuucbh?FhWn?6PWUgf{CI-;QYZu7fqd_88{AgO$Rq1bIB_s84BlHtJJ> zS^b>`EU08k7WbUdasZ=+Zxpiam zsDYjlV+anqPbhDc4*G<8lcHc$sb-Z!zu=WmH$Ou6W6+=bs|WIFI#$SBKilTUd*8d@ zk4}^O`&StgJx_OkL?*z={ax!(Zno*h)}huXjd-xk>lK7%ce(>m=wU7P(chiGrZFAC ze?5;kLu_xYjyGYdW2;<0k<1rWm9%qP6nkteNP77X%qmR{xWSJSI(5Nk=f@)X``$0S z%|iP~6?T2#JqipF&kUufy;UAF>`4fH-?{b|B|ac^yX25LYoVIEP0=7(#u*p?7v@aE z2Nu(f_dEA+4#y#p^`8Rwakh6W_q$L(RZ<7s`2Sr#-PPBeOYS?Ahk6QnU?(MOIlePz zg2}*7{gsL=GJ31wX7J+^*96F4FwV zdor?J%X{%PJ!1!VA}ody0)&wX!usE#yP}V@Ct2K>VaR#iwgllsm;H>-w9wdv*yU}= zKI8PuI{szKag&p+%VA`q3RYmFa_WZpeTn~l=|SsThl|Opa&ZF>D47Czl9Ww;_qqUi z_d2RX)1MC9&o>Ff%y*h_l|PA~fK7bN7A_cg4OZ}WqtKQ~XBJ912ABj5xZsk% z7fImg-%-7IcoIDZx*!`>p1NB#vUBXZ3KOieOf`_vtC$*OnI$+XQpe_9wolrv;>O!b zWLw>^3xO~_F_GP8@|)8IPJ6DWpFWUOq8T+8bqID*zJL5Ay=+DNUOlY}uo;|s)Jsl>YQvbZB9i7Y%H8aUXa;<6QDYmI99a?g7oI`Nw)6M*x-#r_n zM_XB8I_h*}W=fQoUR8cnx-$&Z=7>GC!D5-&Q_!WBM@}ta&!5<@PP7ulPmK1oj!A>0 zE6Wseg&*aO4yK%>VBZuRyJ&N+5YLDEsJ!|x?U(8_QO;`7L9YuLGN8KTmJdwf;M7iu zDLlf^w!V(3Kq&oVi$X&dc2bxIV|~eBEKp`z9GlA8IIF6Et<|W|S}Sij^JE~HLsFd| zTiB)55vii+RHUBt6Kl89vu2j(JtO83QHP~LXT-@|TC+?l%K+9Cq4q(81H*I>r7_)S znvN_I)inJ^Ez(q32T{hT7Jg$w7IMxLeFkA-I@Kq__@rf_&~M!9- zd!FFh&vB8*k_*jBDbJ0?&?^*9m}lAUNvq?mpD8oR>vAxBm;@gp?Ryd=ryXR8r)wOBR!LPl z1t^s!Y2Y}M%@ItL*5)dkiez^S&RH--27Mv=VCBwiFZp!g8JLoG5PC@KM>DfmKynzC z2^1*5(7tir7_Ba~YZc8PG( zvsm%D6!PrUSm$PH3+ua+?BMM`F(hY}2;Py+71YReJ@&^S9@eO`sH4m&#~Kt~6$w!_ zOD%|YQEI(&jO2|c zYO;AlYL0eHi^#=2{K&6Rn9K_+|06Jgt#QuhP0BQmGbR^jL3Bp5lwk+ExV5~2%$#e3 zrgPLAc!wJ^n<(xxBlxQ{XcqDA&YfoUONoV^al%wRr*Xl}Br+D>(Z}`AXYyh3jLgT3 z#=smsYQwgL866LO6eLWURR(#}sc`8R?|P3TSxa7Nm*t zYL=I9(n>zbk_=>kzK%FJRY=fU>)>ctFrD)>hE@`@@2)b zUOI8*S&)Ee-|1kM7r>%_D;7uh8fTAb6K;~)kGuzE#<&Do{K`=YI*=e#@}h>JyH0TV zCi6Wty+$}i$r{HTJjmRpJ|PXng|CWXMc@_^R{P3_#YUKnP)WNE41INT_c;q5;wm7}s zbgRL8WcJ1D-R(P*ZwrZG8HTt!r49=R)=t zg3`qqhan*`=v2Vjn>ZNI;2`$iDPA91C6*2z-j5Y~b>aZ=mkQHnC@i;wH+$Avxoqj6 zTMs>4E3uZI(5hbPARYh2?F+|1dAkmOKiWW1V<=&&kN>S1`uPO*-u)D~7HVQECl_5D zE2mvXR^S^EfoK)agm7fKJUS%$M0=!1lyw*)Oc?h)BqkR2f14eJO<}VX#bP3R4OW_?OuGKPy zmBzIe4NEEx6_ca2Ro>F`ScK8p@Ajgz&fUy<_E8 z&=i=QEf`4mofMW#m@s@m0RB9Oi68ExIcPAv1`UI=B?|go3MX(4#Bg3!9}o#V^>jHS z_PXFvI9n(zC70azus-_%hQ`7Z879;NNgvPvEG?LAH;JsAj*CEprM(oEmw+mH34h1` z1vLRrv}!40xM$Jh4jM!V2JA3PsMjv?%V{Mb?|gjmoep*DDB2cGpa*4nd!^Vg#D_FT zRgny^l`-i}DH3N3PgH$syT#_TIZ#wZ_JWSC$My&ib@>x3FTm+kGqrrmY{QUZDcdou zWH=&{bUm0#3d=e5c_`*#NQOAcyn_=VUr3Cyd=vVF9gxwzwD}h39hB}#1vD#UHdYb@9FCDKo(c6i&lP*(@dg4` zlz#$hi!L}ePpEIU^1MXlY$25<0KAO%;X+v~J|-4!VZes7W$d!`bji$;DI&eV%+j*# zJ#~-DU%8&fucni|gZNHP@aIuN5C}cbex{k_*y1_*zCrI)k}kFpCt6+@69f_x%Tl(t zJV67G!pr+xt&9W}*kzenf`gO$duh7d%dlSxG5Ph5s2Io!fw1E^IkEnr$8vHa{hx;C zdI`S0>#EqdDmbi%0~LG!S>Nfk<`gM6$dZ<^jgos%DZdrW3^^~T?06VY-y=-UoWQqg{Hhr~{RzZ0@T zApCCo!>+IIlvOv&5xqT7237S>i;9Lu68+C@Wkm%pW)d;uNcn#YvXX-)FGcbN_C+Jm z40@LZlg%s}fq@!uY;}upu^N2uf?w|-!W%e}>|k*0uZYU`b@el$0WDr#lbaN{PEJf& zrxKtvz#eGW&A#vTn6OJd-@%Rw(`U6Wma+musuyOZq@(3O} z4;k+pPwzpNnWr*)U%gIUy~tvtH7f!$fs+#y5^oC(0*N8de`x6!-$}byjzhUj^YJBR zjopJ|V~1w$ntlR}t@I6pO_Z6XVG*HWrqB&KIZ#w}hrY8H4__`b1d_3%qBCS>$%XUe zH+Kaz7X{B2G%oqN`nQqRbwC4tEsfp-cnY}*&_?0zfO#oWtJ5(zKqzbt{A4y^Kx2c{K-tG~{SY+K4C5+%_@qu}JiWaL&~zWcie9@aR3Ts%en{ua z>4gkXzFVC+H9y4Yn>qzQgum~xOCrM@udkv|!bpfk0el(`e$LBDOzK2>Mw0R1z4w!P zi#PDZ8Sh6&-UqKf6!V-M3CJ{vvgV68xi0=UFU5oH(U3OY=MyV&@}FJsh}lwL@Wd~L z5ODQcPuPTR&z9MjKL0mFf?n!wU%MEIAVWYF#C{GVx4O4mlZl&x7umVpnwUTLaAWcs zHe_Yvlc{chOvMGb?-T)JJOXZKUPhuJpY~V9JSGFNh?+tgy=Y^==xyaUb`{>Quj~xP z-RiJ2j-k|ejI&mmU1zIS@(EguZhBl;Bqka{=%5zUKH^qTw5hT2L&QV3%wD;<)xCau zjfugVl`z#(vvfxi8Ul8fzC@=VZs_f$HQO@e7rrsyBIXZpQ${BK!@~~&>?k1u9NMA@ z6IkmDLl-@;PQCaB(f2Q@y=uP{6=f@Inn*uyr3=-5ed1jT{k@I>{88x@MWU)yYRrrhTUZ8aiuz zr*>~|Y0+nBJ-0fJ54@ijAXv_Dh}lVYBv~^+#$6@HQ()j+qR@eSUA2m4G~{kjg=nOS zsAEoBQH!h0(5l%A=r{O)2vXUORFhC_5*Z&3WwltuaX#EK6MC-rfYIq5utHM+s109o zsejANapYi+fYeDKiWTrO6U=UQ5HX_R25~j3_y4Kd1#}n9&Rkjhjx}gdCc@S+FKO_a z5|FP@ak2I+IJ`&y`t>g)2EChh7(%hN&miwARO3LU=wwI~eO~rLau4OQ>%*mQScpt!%5iLm{+s()BT? zmMmjMVeQbRfqiHLq?#FjIB*>pYXTRBvO#lm?~XpKe+oI*TzohvS2c3f!rER66!x7fd*-}C@h73 z9Fbc&aZ~0w8`oa)Apu$|YOhi)!5SQ++|$$Q=JT_^hsW*r7O=|PqvMOEid@5xt>!Yg zdy`8*yFy*I-pU)hu0WqLqLzek5D$*6ED1hXco-r`ac~(DQ!R|N1_a=a3sAD#Sft_bL?j)Y zfHr4DSW_PbTxE|nUynd$G;MA?LIDh$(!Z!|88pCIbEc9$h`hJt`sqh2!*h;{^Rc7ya>78y>cvepF*G`$y z&||V`w}PO9w=qwmo@)V;B-6^>u^03urDlIV_S&03aDzAXfnbS5_Ui zj>6Is##ybh-h2&-lb2?Om%9kaIF`A%!88mB{a;W&5J48;Mw&DDsvtDY&Oaj&+4x%( z&B`oj&>PUov@_a}s}BGyxC6B@hu3@rfG25Y;#o}N8b5<2+$i6ZFefjL7D4t1gmr4O z+Z2#+6ei)ow|&l^L@1#rD(I;{K>~=+atH4l(}|g7(flXd7Y(L?eD`S2>aSl{kNsnL zWxx1spj=(OK(M8yK}=|@pch2N+`FS55OTH1y#J8s>@$fvIRUy0AW;zGvq(ax0?q&u z?fo|-3L<^Zn>J(izd@qoFC?1x4~YUNu=k#)fT*ShG#NmmPENb5FCJqNi_B!66O6Di9%jTlsy@a1Rzm_NF`mhQec<0W%fd(B^}R1+6#zafJl9dUbHVeAd{Yn6i~JQGm*aQf5}S(RPp~yr14BI zMEdrHNP!d1e9W7T5HLWbz0XA2tK+iuOr&mg#@|K$A=1r%h!p%nq=4T3KOoXydH)ZQ zz8dUBAXWG0*8YOw*Te$Yk2LND^*M`m!a0o(m^?6zYRKwu#-~5e%;W=O=Ikq1fD(dw z4M;L6Bn)0?M8s8W-M@fuk?)+2{c^s70P4`;-V6?5CLwi#LFf+aw75 z^hN#~WC8TxDnv7Vo3+1X2AeM?HcsGnPhlh)betgYq9#FGc4H5KLPdt69fQ*QH43Fi zI}Rl@K?z-_p8-9+kOPQMs(HQ@fxo+7R$WUOk|>K5nry^FyYUdjSW& z{B-%OT=V02&bC4@mK6|YI$OnGLUeHR3js!(4bXq}FLHOwOwk9>%Sti3KZU?x=fG#7 z42VWD3?tbWkm9>^i84zSfqmZW?qZ;x;ngi5%^_#$z-SY>nFbCZR*fjAx+_x?cQ;VQTde4M7|ev@WA~jp+e5H(Yh42^g181y^H_ovIns@m7b? z5#ovIoEp_%ne7?`6vegy32Rfeb;OhcOH%c9p(9v)m&lN2EEW*La=?ZIN`B`c1D~8R zQ9x+$*`6EdP%IE317;?3R~Il9MA9vLxi)26#bf)rJtG7oJ0o$qr89)DSrTxf_Xn~h zq<^xcSEcikCH1~$NrZZ?htlbkw)Fv~T&3{xbRj^tq!(ceGr2bu?4ZRF>iNv-^C)E@YXb5X5Q_sV zsXAUE>Yv*DcHUbf_B91UD$@~yw|duG84XLmv7FdhR{U6UkEKjj^lo~N;s+ug>UsnG zMGWxft^LtbLH*MV;$;E)Vrv=5%S4!f?Uw-Bv|mAe)(r}Qp@yo7J~CYn0}5lQFw)yy zJ-pnR9=8J!Q!vN4x5dP*dwZOhZI+VlHxvi%_7`mIwscR2y<|cljKR!+&w4!GI%G030bGivODFe0NI#Q^+Z9Z8$CC~8kS4YYP^i}?ofH;u%zBi^?Nfx`uGq#sFvOq;eGQW4OkFOD?4{I4UWw*(I0zm7D#{MC`_ z|L=~J;omz_zzzXB@~c1D)O&TL`mc@@cpttvQhY3&w*^5%`sQ&vRx3d8 zs0ftf0y-23dA$JX>t*EGn&k7MXyDe-oXW~&OotiUyRT-~_<)l%kWT%KBZ#9Sot;fW z;V&z9_LBQuMSZ}vj?-P}2B<3VTv;H;Dl4xyakc`~FAOkA0WleW7$lAw|KF0#p{8{} zm%d9pybiqRG6OU;pmuK|m=MY$f*@y4)!&qS{G}8Db^!Hhm6iVbXmC!X&EjrxfwI$q z2jbud+HVd{-?DTcK6NK=P$l)xgV<4{SST9{FJPh93$)}4#FYU+sJ0LTY_L8E9w;7c z?{@TM6=bmA&sfcIRRC%NfmM)!Qa?alw&QBn}egh~< z;*zKKZoz-mwMhe6qj3v7lnTr2EF^*(ynhb}K^?*$xrBnZqxE&5s+oL35SLv=(@vO2 z0FC!@naa}j3*I`nhv{?&K)(StqHQepntgWm{Y$A-*?bImnRq<7V*>vh?Mixxv_ei8 zoJ2uG3~-!;?C&=X-a6{Mr?!+TV7-8wf%p!ho1N|VX_ElrXr7lO7pYwbh;Y+^6JSWO zZW~^9Ffdfme@l7r=3@{toUOcH9nL8CB5<8GO+fhRy=JR`8#x8WD;{%T&IuZ4;m)|M z+=L#m5V&=r+Q9SHSZ*(&wo|%7w*k}W8F1lZ;3T?wLp{Y&I#%EE!Bh+uICEy9f@|-! zHf^pFwdh(4_`WNfRtTSyLpE*QGMV~5sP%m7ixyRs;3N_&9J!h$cfGf^P~^ffRmp5l9mMToAs z!SO7sn=;@)_6@72rWU_dXzS@ka;o6@gbWE^97s$6V?r@D$1wN_IQ~gu+W~84kID=N z+)FwNU5$;?AEK=%_uhh^aUSSolF3msFe1Y8N168l)n8TUS7s@Qf3fg8HJApNZrcYH z#SQ{qX5DyT!GsG%)HtBVAM4ksz@Tad4W7AqF0kSE8om+)KrblCfUW87x&X5@SW6GU zhzpH%0ZF_-n%jhwp5DOsecfCjJOc0waFR9h_|!K1@DF}@(Wx(|Ot#lk=5s0AY|`ZM zA?kX?vd=DCKL{pKvUu5OPp!QW$i0A7*_lTyY0;#u%i8@pmVgYbeFhRpACqvAXs2R0 zLttNmz;n~q0USUx01;uts-x3j^V7j;hEJTX6p7$HstwYO~Tl zUieiR<+X58U#sx*zyo^vE!7VPM>rjR7@#!yjk`J!5z(Z-h~IfIU?Cjbz3duzE$;!z zTub#9sm2xpgGCG6$L9#{BtU<6QlS0usz6_x0FZh32tC&A?cLs-LLLXbRxWpv++~S! z^d9{#1bEz%p^HI0oM(LzVWDo;n{DkgHn*_0DOS&e?AN^dqj1C1zvz)zR!!$K^XDB# zGcCZ|+Xb~tAihl5n^;yhiBy{UXwKTsK{QswG7rF|fGMlt%jSJw5p9Syjc@=OCeh2=YVCB^-`i~Uw4Is6_-5u+P{tYp1G*sj z_M&+kNNmA&rI^p4l0dL=VJjT^NUy{H4oLq&FfeLwUg{hb8yUc2-!isI+6jZ1qZ@+R zI{;#NqW6$Uo4->2ofa#7Fcqw(Bndp2GmyT18QlTY+Dn-su!oF*ozwl14FMjB#a<3b zq!keAGNZ?U2NUM$A#&BF@z+H1GM&%>yBQFD4epKJU#7&Y%S-^3{OV@j1Hm!w2R-(C zfb*yk0DA!u=#oFg4Dh)N2<)MzM4}fy^D?P|h+jh`+|+E<9cGRhCq9d}yrL*x0Is2a zjElon?^Hr4$$R%^x&e;CwZOaC|LkX&m%`#v{&$dlHTsn}-m4_<4nfL9C# z7yw{r7h_KyG&SF>Zv7oQqIv~Gu^;JIu zruA*sD+s3tB;$)|)&K9N6{hobT`WW(h5bKa)Y88g^+osMqyY;IX>Ym2TaCaj0!yXUl`TlFGhXMmhBN=F)9H10rB^0bVz{U z_%BA~eZi=J6b7mTUNGvb^!$H;QN#ZOqXPLi5GMmQD*uU5U&rnhqtcGDVnil1-T~I> z1*2{P2OEG4{Flz8CM*R$60h<7lG9Zn8#i+sm zU{o8Rd;eh6;8%a({)0~vf3f__Ux0w}g}(sO z@Bf0oApaNsGW*J3wEx9lsx`|1{?ZQc7wuR6V*X$F3l6|v8ejNJ$baWAuRRrGoSj{5 z{>xtgjDL}feM|Wde^K>+9j(9oCFFnPFM#g+cl>4cFMkPnCL*qKQYTO{ z!$xrJ09Hz4QP7;-NRQ^YI;%>#?qZ(mb2Kt4i!uiF_37SR285g}H7~$rkF?%QCPBg7 zIk7tOt_P(QijP4|8t+MB7 zJtP4ooEP#DdR5Q=p8N?9pFN%KX47wO@0`d`3MU%;Q~J0nNb^EM z$c87S)!l>3y~8BbmX;Xqd-9(sy7T?$!du~L#8%(r>1eApBS!hpWgE7l;4||c0`}oZ ziAe))f>H27;A(t6wCPt4(!*AF@fwS_eVu!dpQ5Eg7hTq=2~N#U%5KnutjcbFbO}+X zlz-EO@eRCzX>}_J^%Et@XEl(_dxN)}N9G;Q9zB)jwx+`!HR)A~JU~omMWA#w^h5G< zM@fns+E+b(m~+#%MduuUJWkBi7&8R)mL_vKp5I}Xc2i-8jj_}t=<4{l5d({kID2dw zwD_$Fr-{Ody>u+}tK~4m+}4NQ4;P8xx7X&ga4`C3_5mB#0}3Woo;W|1_vp8ncLHR)KE6>s^D3X)G4*Jx%j@R1pChKcbM_ zd8Fj`-7oK3EbY45^~oaNaT~z`SrD|lczZVzw7XUNDds-$k{0-qtsjS!H(aI1*t|2G zBqKv-_q+GlDmx|bSWabNalVrOK*T~@Hzfq2hQmJBeIa@n$I(gSGM_@)`t#%)>QtC; zGh*jV=~=t`d+SFL!*T5atCLG@EIRoU+J3YR885xo;JYm;ezQLv!zJ+p!=z#dTUyPq zUYds6)^rh|RfRtzm*`Xovq~6klxkp!&2N-_P}>s9 zz^uQmIegd~Fh~m43q}bdqweOPG~!Bg@9tPJich!K!$nooIz6TFbP^_;bRbL0b@7Sd z(O=E;W`Vk`V8cM%mOFL109%O=L_5WEBQjmKQC`tuMB+I1x8gawA!9yD_b{vcezGSg zJyk%^LZTE0`cSQ*-C>R~OTPfcGU(`ym?*)sOuy+O$CJ`*^yD@0+khj2$9b=vpTIA9 zD&5W8oFHrL^0qIL1tqD)MyZ;WcfA`YTp~UUdZFG#mWjmllHdXg9Zkffc}44n%n*(E zdC#fSawoZ)7-=2p{rG7&Z|I?9MzC8WXvtGu%MFjf z$INTYp@@wOUZ2nmC%mE0#OL7Q?3CfHgEH?QOg+~&27O$zv2u$_f4$Yrv$RHG5De8k=CDQQDel1 z^*?RCH9U_I0Dz%s`IV{r;DtD`aD*9L>IE!}U9q%gFND)0oGeYaon zwj|kUE5Cwq3~uh1vG1Yy#qZs?rd(?I)CyDi-U6AA?usI4$?U`=l8pN8`p5-=W!)-c zJN$18b|q#6kE2L{67DV;xj0|CDZ>E7(|%W}tFdefBwZSDES z&4W9qT8u*VqPha57n(4$l3Z30COd0)I&il)1U0z`c2m0|V=NGwD#JM|mzyuZJFLSnOM=lq$; zyJH^XicXQb;??W<2q^)|1xMk?uW**`m@b^RlzF^tCPYh9u_;92Qoy_x86lK!QzOG_ z65Z9j?2pAZbyOSaa?JQ-_FjYhrnne`$ z7zO8wr^>UDK%B2E&qvpLpTK%G6Y&5y*(~3fqvmMCVEBO=hW(JAr2Vaq=MgBsE0C7k z{7bfUt#_mA$SQm5MQ2S_AyB2-zAC8JewQoi794e8eCXMx0%jxpwbVLSVW#$NQ1UDU zx)lL&Ir|e`D)9N1llk?DaY-C^@K}okF{19~EC|qAa;cttGEUXHep7E!ks|ZPQS9TjZdKzC0-Y$9w`H1| zZz^Hx?&cr=y!j$DC&^HUxy#3~L&_qhWs{?zF+u!p8WGLViT@BjW+ zbj8UnkAp6FV7c70$_^UtQ1T5uf2U1ukfnv=EcaLnC|Zy8B6X#U+8;L9zuO4^>1u~E znplrasDX@1T4x1I>5LVm>TOn=jDp&B1qD?W+6-p?M#ZaozvF|;Gcgj`ZjJTuV7#Q8 z2Xn>Oo2lOSI_L7fvvQJ9KnfOS`6R^poSFEXZLDqteb=~3=YX)T%#Ga^+TV-+;$CU?mng4LCU7p$PHb#uI`2@b{%gex}UTje?nw? z)EgF@J%?Ep4;H~nBK_Q@J+k}I7sb?#-6%OeJ0Y`;(4WdBqBlI`RSCLsk+oxiPZIrH ze-oCH^|=}{8Dr4pXLR4>HoZD;&tjT+Wop*mk91L>28|t1CZ6EFc znXw1pbpvIWIj-jZ5=*GR4M}nPavh7{>fdl8ca`HIlwF#>oplz)T?o+Q9Clrw4Yl?u zfj^ZG*5gE4$D$5B`V^GtPy-39iG2nL_I4MOVOXk$3wkzLV0|ka^W1=qSxMZ5e4q|{ zq5exO@O@sCLaZJ~!ZsJ@w}ber`)0@is9+rZY&9Qx9M@+hqX@>*f3VebgcI19_q>@d z$MBLMgfCNkeEmP{%b_Kav*Qxi<7j`0-s9Mir?6YfS)?b0^yq&gAmc&FYhw=63;a$} z@1Wld5hIRX(4cwR<4-+K@w6JXjoHv|qS?4Tj?rJUfsNIDsm@C7alBe2{TK{H;{5tWoK5kDX;hi@r9Us1B%dD#`j58+!z^EYng4nEGPRZP@gw895?Wk;BRsPD zMwm$wE+cCv`8k%O5^bXjOK&l_ytt*d_jM%qf)jc=HA$L-VW#o@2r&a&j zv^Kvq6PUV;!$>$ee+CVc@L0t43WwO3IIq?>ooj=xQnOX+gDQsfyv7IT1?Ku#f+|AT z;kON-F|xbBFbr_MgJn}R234Fpq93)eltR@RE9EyetYt8LzLs|UKJmRucGdf^Hv?)e zLIB|TAvu;BI3{R40{0`13q7+I1bVew5=*9B4r1>6YME*aIhKXV4K)c3 z)A4`*8mHs`|9{M6&RaN6xBKU7lm2_1DaZu(ry2yy(nr(3kxg(~+NxrXc_f4w+~TkH z+`ke3fk+;Ce>!u2u|ys|eDN_jQGWiz%uNTu2^o2F`FK@7QZ_%wZCaLz8gvk^Uwt{U zJ0@mghu#Wge#gN&aDiEzoAj{UauJNyr8X;;(+Oyg#kSglQNKsok>#%Z0^stS?%@0>S>;}?>~qqoJDm9;h&@A+dV7+iTp43Ipli{X zY#KE3`M5A-qQx{e7WMk;g3{19-%g4#r(Hv7N_Na5_t@2KZxwVi@WkiGhu_DAt);5m zw*hE|H#V*f%;P2Eb52`bA6^~K^#v@|hp=%@ms}qXXXD#b4W}&C&pO*>94rJx3u|V3yXfv#RN&|+@#5?<(MhtIB-)o|9vm=4t<9ZyQCK*=f+a^ndv&1FLP1nZH zy`D~bi{{;ROTpzP>#`xkqYmZVGq!oS z#6s^$wl8Bl&hqJ+%dF(2(A%qv!T|33RKdpC|66NE$Hp-_uGMd1z0Qn{Ca}?OBIDoA z6t&f6mVpOh_)c8}1x7=oP#~qAHP|TAIBt|puXe53eWV)>B_CwbL^Z^rgDZ5_L{*#z zCZi_uqHSjx<;TkmdjCxUkr3dQvFunZlklJoLBE53KmNq=za?fJ4#n<&EW$C8LR``@ zT=uN9ag6_UZDfhNZM4M3c{teWdAfeuB}zA3*8!S9x)WIQTE)+(~!I0PClhmFHL zf49#wA(b6|Zs7B;4e#P>t)3fe#-SHX1( z?^&;d$U*lnJ^g00Xd@o99;&B`>V(@7Jr@ehD%aFz9@3wd&?MsdmKiync^=fvR1qhq z)*Mw6pETA~IYxJ-(6D$;PpscCO2-Z(B|rYc4yqK|!E?Mas-V{5oDb!xG;~~!8V!qoFCVWJ)<_zTcCzM>TW;SQYzPapCtdC#Zkf}Y zbQ&Btg2jDW^Y*79igB$MfBrmVOT(;`Nj=Ht`&iA=xi2nsg>ix~(oTG>i<;_MZ@0QS zN`xm;gg0J4Cvj5KEZT5ZYC~KP6GThndM7_O-h12M-VDPaYZf?v=;~VBIhzg$O1?tv zE^(kzcAw6=hnHxqjgpb0?ytAiMSaAG39iEN6%NFd2%&#aT}I=LQZm7orUnHtHpA46LD69;3?7a z^Tu?Xwr&vOy!9K&F5tBph<-N#lc6Y1qTA^t?E;^D!CM(2i%36C96c<}!Mg{W4G|sL zfj)j67f04G6}@Za7H;{rZFRTaWFBP^jPNQMBsJSp$Z#7SK4eQ9cI(*hZm zaivN-<2N!0WR}Dg9@^9su^9VO{tuCp;;^x`!Wcv7v># zFu&+Q?=X+UysKe59#k;u+H_G69VtB_bbL=xkhm4V1seN6`!%$cZ-CyMS8g;4`Q`f! zQN%!1iA;PqowenkeA2})Ef*e5%F;0EQc%SHBqSk%VG0jIDNU*0NlbwE3KC{g4f|HutJH@h1YBi_Dk{aQ?LMXvPE~iEq7;FeoHRYikd{tp`3F4WJk!uS)~J+)CJX zG9wE2Hsw=Xi+{s+Azc+zW}^Gmoz8DPD#!?*3bVZg2_i4Q+t<;u5R#ZvJJ=2OAbXGU&4J6CP0Ukom$XTL;=Oe*<+Y~Gv8pTekcphYNFfG> z&3foW+;{0@-WaWw8ERB-TCP{DkIMA%pMQTm(~i{m%!Y6rIyZ6hyp1^*s&K!t_(*Jg zugM&Fly=p8-!oIe{^Z}&dxq!g*LVz8&$@`ufr)wP*wfpbUJT>i3EF#09_u{vC<&KS z{&O!}_MLpvi_EvQ&4m!)>1sFI%~2jeq9LCu!!5+JA8|Mzpn=4*lbl42hG^eMB)=F zE>15lkIpWfJ)RUAzCa{YBUMAOtu5P*Tnuv(`k+G9lQ?^6w6YT4V6Ge8;w->GLZLX zK1~hWg0jeq1yTn1jK-O6Qtf65{x{(oK zqSqmqJ_Jx%=tOxqsruDLfOMRyVmd`Y0G#j9{;rQjpzsjANx-;nw`ou@ds=|BNLBxL z!GAW=`oF&mOrKpv?yv38&1lhi10XsP&&+!k}ofot_u1U;1{5i;}^*0?Z4FsL{LzZZ?;kta{nl#vDjJ! zU3?ICHXS1DjAJXDy5I*Xd)(!}w=>5cU7H>hU2Cbnzt@+ZGE+6LW4a18`2e3chB1mw zOU^yYH~yu&_ludZPdq7AjMA=>K3^QshX&MNquISxl~O!ot`2I#JiOd7w=@i>$W>Us zR)`=h1n28}zo=;&*vpj6EkY^vqYH6FUOm#?wJT%k{%PRebUEUGZZWKJwIa4U4FG{ z?)PA_PAHqsC?7xCN~utV(h<+yHvY2U}TCplLJ zJDPJz06T`aK9{HXQmsBNSdVFbrDk1?+L4m^NKpB6vP|r{82)l3 zsC*e-RiOgqCemx(lAmBd3S2I-(fGMpgERMu7ej;p#AVe2xoOWk7ysL#x7|N#27mX~ zJ=gi#@4!VdjaK$cSeJHlr_$kGyMAzJO7mO8UHCWr7r}T@nC>qtF6ioi^hA&qf=s-_d6_!NX+l z-Snbte(uBjOpof!m-G#xQRHa`sqs8-Yiq#X?!ornfMRdtKJH-XjIlkHPL9K0BkRzp zp*HIQcVQ&|F=;_6_BcN~QMQ*S&ZG6I$$}>lNzc_>JRl}@4{giH#YUu-gr&A;J9c(a zHeL2sE{c0ni~n&-lVeJYy6>tt|4Fy2J+MT@z}Sx} ztw!sjCE@xZXxv$2CCQT+tNAu_yCf&hQ^p0gH2rq&a;C?MS?YqSr;uWT&V}!>|89y* z*r!OVnyzo2&SgQ!9p|xsq{gk+Nj#_;M5|wn?2-U{UG*X;B}eOmX|6g3X{)*WzPNj! zHG!J@kNknmQ_T97mOQ^_Vi3!1K+%xZ6w<9y?QW{SNrmK~G2<2^#&jZ1e~xnQjhW^`>5rC&yDvx2 z(Vp3xyIf~y2NxtqIJE>F{x0Gzfgzh3B`zD&R zYDdFN9wQ{bkB2!w+NgUbO%t%1I3=`Xh`>*zN?q?|U6hOZrT>HuZ1K2r%K(KhOYn>* z?h46%_n2hcTe=`_PV~rfnh3-BljGxlyLMiiDoVQRN zbJ*f{A!B~e5NkdBdWtaXN>v3Ttfq)|IXF_BDb}-9LZ6pweGAi>wr3)Y2hF>pCEPV? z5fJcry0&o9@U%9koJ-mAOO+bgQb-+?Qd3!0Jh)hpKN3|vs8V<4Fy)JBlrNHR;RNES zPmokKdnb_f1^TF_W|PfVcA*7m9laF|vnLoILoQhw%j3if7rK&%EDw4Xk{(`D@Um@0R z^5Kgsky8ML!FaTX0Z$pQTCh50V)7`p6#iP{C9oOzg+B)SLa~QS|8-fdnsQ&q!E0q)61r z%tv>A?r6twiMa3VXBo5l4kQtiF%1Pt>^%vY?mzGHl6(scENd0Q7J=`0b${RP{joe{ zdDH7La>yDMh4v{<1{GKIzPBAcieqi@r>!AQXXa8C-Oz%U-^b`;brDgJa;LXIlU}Qp zonWjTb^9}K zFzuufnC18}Cw3(K(P8c?!!}YLVxGi~GA)Yd$&dLtj#z9*S@XMW*jv}(`}&c3@~GMS z?8?HClGdk&sQ?FG9f8E0i)D=i)aT=U;7W*uJ6AwecTVMS-)$h+<;n#YqNS*KO`x>V zg++CGv1nBckh7Q0pH+uAY_Cl7Uto(!8dNKL7N-@fw=oAcFnCX!O;?91B&Spkk-Enm+fVyCv~p|c zH6L{Lh1BTxYJT`#jmnTzln7UqNytm&hS6N*rGR2{XHK(z6AM4KWiDKLQy6*sy_evi z8}F(!HIxdhS)~vX-OhfK?%DTgpf&n%rpig+IrNr3DK%d;jrPnGDZClD--qSP=lxU-56pwg2O4w>)o@c~c-bjq zUhFS5s~VIHInW9g=sAwPzcbEEV|>l`~4jQ1=jR+ZoCWYhGE@nw=_4q%H(CrYnaoR(KSB0QKi)fh() zV6zn5*R{0Jj2ZvbfYNq0*{vKB)Qr|GF4Kk%$M$*E7*`Kq5sRfB2B_f7r-&4xgz=gw z!|zU?Bh21B3V;FMaA*ugi^Mt~k^ge5G;crDdTi^sTQ7^u5Wa0p;+s^{$`}59@QoSV z5k?F`>UHTC)naO&&^xLaHD{Y)-Qf>tokwvywf1kmCx8DL2D?H0n|6`s9R>kPx6lvO zV@%3n5{%oc9@B-?Yl|Ed0+a&GKPVq>A|(WYbtT^OoZwbU5`l=QZF`owO|}W1?@SDt zez$fy{n($o3AKjW;QUP#b^;s1)qNnaoSM?(^y6_W;wJQ6`=deBO=y{@=lqS@4n+%b zaD>zQ=d6h9y@7%Ag^qDn+9g#E`6ft^XHzpsYXt~b1kVyek4f6q3TQg88|L3xAZLx< zKeGF9eS5c;H9<#l`fxfhW8Y%%=q`LB<$ZoWQ%aH(i?AntP3ipfiKB;9*e(zv`7Vb^ zYtMy0upJ83C-);HMTkP7KF?F4WM=k?JvPlFTNWN}|1MqMbgEQoz_EIeYEfl~g20>s z8uQsuFjjgyX}G6{x~DVwzD;!{R)wEcVZpD@9FKQB6BGAxM5StIyzBf8RcrxrebiP@ zb)Mh)YIn>&qPdqSqykrAUy;StFt2_S-A@FkA&;nG#S(-REm;)5xg6AD19{0?1jfl0;8yxS-_Rz#frsT?_ z$6L}~>!Sp-gF9mVqK1sBL}m@~!ApQGyxa@5D_Lg|tGg?Q`*$4~=Jmy|s~Wipk>R2T z7s>mc+nMl^#vvY|d70rEtQGWM7xG_3JCGtxk$-MUB=R?`r+AnmhbuqW;`aBho!?#R z^i6C$2Ju1r70s=3e~+m?41DV9El9XX{`RC0GTbL+Jp@kj`F9P!M>ia=_!+mNFqW*S zj9)ZDR&N1{k)_D^W>@{i7N10CU_Sfi4>ac>G|8#qot~~Fvp9WyW`W}Yukc$2T3p-b zdie=ip(l3I@4S)W#MmGLt(!1b|{Y`&F9IYi@^fcT9tsPTuL$@7BH1_GrI1a$8ho`93N~lGPjxFcakxSoRgWqbx9*fmUW%Kdq^V;c{is z)_g?DgFpQGkz-t+6jZQtf51KNXGs)&`;hB$Gm5V$v+`t8bWx)$(yFJ3j6cq4pSArV zzLXU?ffauhM~FBd$&zL*dx>V59N8%G;_RZZ1dbq1K^84h$*Qtjr1(bXvRXafg@u;$ z`A>r|Pl~3g!uz%i6dl?8z-F?tn`Jb&Z!I28T&)LjM!xzSA_O#|{>{~ScTOf$E#Oej znpNV^l!2dhDL_k*gn?EslG|&>L32A9nxZAKpuQ!$#xJXkwK%^WZjouaThCFYYGf9b z7rFnSBvPhXA(CqO^v%p8IJ77_|Ds@=5)X9V z3Keqpcw`>xp4JIC#-6ZW@P#J8cFzkgb;@LN(#%Pk zq?$7?a(=ULvy9fNBx#nKDR7jKuln6`>xw6r2B_33H6V{|PY9mwovM00GHT@fm@43&|)zyS0QUom&q>o-QPZz@63ZWPM?lFt{Pj1QXACzZO0FbT;UjqOx+s-OM9$Tk47=Azs^$4*8htDN$Mm0>M#VJZpjT^;G z53194ZGW65h{U>8%kNbYPEb~gU++K1{<_T3!d)^F7upg}>TAp>Zv`ICTs^u#%r9Fr z(3ABo2;R!j!BMqZ_taqciej0ZG#`YMQcvHj!aP`BWM196C1TyITh?e*Yd zarveDBfyf%4H)7NGvi996|_CEk_!wxpe>|>9kQTP9?!+DL5`!9r=HWdRxxQ^b~Jrt ziOZAGOmloa@;GmuHPl1|n|?Gmk4qG0QO5|0+C~DT_Oewyb zCO0w2yjfzFOdS30Oqn$DJ-{m8!_YyIN`Kny;0=8&!9^rQ$jaC{f!6eB5V-7J8kI15 z?ODORVsV1((|)O{s*=dqEzB(}4OAxcMu_jdJc|6jA(Tp~8UAQOiORLa(Y)FTF$Qs( zgMd!4ZsEh*B8z^$tS(v#-9n;5*u$-=qfTaIw|v1KmV+@9x?Yu%3V1cMu|ieZAYBc8 zd8$Yk=0e2z>V8KbWB0zs%9<^7BnPa0@XFJ#5<-QnrilBZcY>iyAGtteu1^iz0nCcdo6@*}x3h~H}K z0|DQ}H`2tUjAAn}Rr$E~guL3atPM#wYB4b;<8pACo!%*=1Tvr6{mWlX@sOG_GmN&) zWQb;ZC8auIxDH*}P?rU8nvEt@JTxW04sm~sJ4RA;VCUN8CQz4ePDc79`0wS{rraIm z*M@45GS<8HQE{6$WbD+sc;xS7x;z!^G`e^+eWn-)5}_{IzIH~;_C2=^Wv$eQvfQ?? zO`+IH>t7e`Y1*6wE{jLf;Q}Q_Cgig9rz`s4qG#*RfIZ`Z3K9I&o_#Xo*{XIf$Eh-o ziKQ<@eBRm~4p;N-OtRgS)o&x0xvX$_UU9b4E2QW^zWWE9)Sq2FsXFBxVqnit6iQ9% zUdT3N?vJ-;D{GL{9KY_hKI^dej^o&h%GD3LVa$V^OCO9AL1N+pOs~jJIk4r=z3$R2I0VH zD$+7@=jcQc9VExc)7{K=-i3gO2WD`U25~bea;<~-w-}6M5b(J04td9ml#6ps6(W(c zVobFqefQ%{!Sj>lI^NpHG7ET!`g{%Yww@X-0i9hIx}_nt&P@o{{%{;ss10v`q}1v| zDl2xbfd6(Ezu8cc9VSv@Yo&pqc2DR)Nu^l*KL?zH<_-GCRu@(6lL!A z_H>oM*i5@17cNgsiAoH{w))e`lmw9q#M9uP$*P|t+*(VPGGhgm1%?+D+KX|P9?aJT zx5c(o52_=pspuLGQpwS3a1H`?7A-e*dYqL71tCj|^f4L)^Pq6{q-@J#7o4ApkK$R= z8w?TqqJ^!pbi?DGB1fp(a$6QVr%Nx9+EC%}z##T}v}OpY00^ zXl5a*^8NmR;bOb(N~Ida#Gqs4Bv}vn?Io)hyT>c<%w%wYZ8pMiT+WknkSP@g-G~iW z#9sPTtqRzg;&&cA%j*Q?BZiYMus?dl&yq`mT#p`VFE7L|H(6EXFK@Y{j3TN4b{K7*9KTgw z*nRnq8hQF~$}X3y7qKJMO6F&)KuWv<4CwNycN%=0$L33PtArADvs$H16Ene}ej_Ub z@Uxa7v4$!?_0GH!LEp(_r;G?|hmH5~@>{nDNhcJ$6*>8y=Qet8e~?uA!aW-ed&0Tv z&*l02+Jz(!Dt25r`>cJ;@>M$dj43gPvO-)HUp>av;mkbes_SerweX0S62*YN{@1?lW81}(GGo8u!8)rrf<6RjzgEW zkM$OA2XdWvbC>BlZ7YN?$t+mki*&j3GX!LxBXSweWu5TLFjL;hrT8{jcUYk49;d1ZCV?{SA%5r_WI-EL> zH|VOXt#mSSvbJ|&be>i(oMVxYPr`rA_K0%${Fr$E?GDZKI_3&4d)^#Is*8(aAe#GA z*+?!vlmD(QC79vYcW|8Xl_=N%rqR(s<0d2^zAG(MngByU0PaL{9)z` zeTAqJUL)r%@O0VC>lh}%r;yh&xo}{{TP3Bu@*DkP22U`@o0_iL=>p^AanGIcaVyrN zars^^WNl?>N$+DQ1>)Io>LQZPM=U43IH{BOPl=5lE@6MHst=4>zEAvlrfT#cq8`lT zL6MIO%pX~s?0CLwrazRZcyH!3zcM$WdH`p{%4ndhNZ#C6EM8mOx=mx`^9mb%aj8hdjYoJu}mf!Q|&Gd(yfQu zlS?0iRdd7YO=#l_2fo)kr%j*e*i{TbmN)84BHI>=wDk43NrWaZ;&IkUSaOnU zhM71=tt_0!49A;fBOIKmy21T4wWv7(Vq}l&8q_}S6MCgaI&x~d>FE>xD;CPG!wT-6>qjzt=A0-KPr5R4#G0L8;Rdb(a?|)0W zCElw%r_6uOS!tH3+NAg)8sjry`fRURBHWZ-T9Ld>YgQ47$vd9k2so2$-j;!OG@ori z{m!1j%f4W25|=A8Ff;LTTT|6;mAiII4cr%hTWy3`$} zn%}k831yCXHW-j2n2J&3-6`GF%pDBWI0l5t7Ty|22iJQ$cJFR`3hl67T#zR6*h;gx%qm?` z+$)Sf>a*2_3_8{5vgucCv*;6)bzB%W+;EJV3hagkppfk#@k>!kGx0x|I4URmZ)_Jd z3HvS4emQ8rS&5G+DWC#R=g3hJ6HBZr94;BPe+5yy$$4t!NH7uYsj-X=pRKbG}EW7 z?5^Q#kSX?Hd;l$`4!61Rm}!G%&$(%dZhIOcpKVU@+N~!J`}T<6avU6P+!SFx8~t3w ziim9H#J#@Vf`Se%%Mr!0L_;beNq6yLXzZI?9=%WQ_;Bb9FI5z=b5$K3mA_6_j$<#& z*#@5kh!8ROXz-h;>AE#}sUA!3>sp|8x-ZC=Z}z zbza&ERVer<^{hC*F^4obw+lB(F_(ws%a-hekiFFv!^fH zckug>AK>@YE0BclZ3;nOvmq#m$Xx1`$*9YOC55BL6;Kc4#p$Ewuhp~|@^I|kyVpb0)6pKa6%v{|1J>N*caOl3P7E@3IMC(=u94 zFxIaP3sU&rg^d&QFVXZ^On5)w>m00jv}AyuF|cp?ahT;IzzM@(nfn#FD&t;v3K&!2 z7>}eGgZFf>qHH0rNQ^smGSjfX-mp)IaEi?~bJn0Dz5>x1;#W76!+KqMqoavQ()80i z!AbOj@N@&a>A_TK(o_?hr_Us-be@(c-7@!wS?l*ro4gaK>vkwVpAh^-D3yv(Qo3$b zaSQ5@m3wZn>2IC@u3~e$c7#Rt^EwD7{F<8z$MUIet{d1<|-J-b2q2&F2eXpir zVUt()ws-Wnu6|m`hqc|B zV(i2l*!aEPZ57Vt<=z>U{$BLeH%}t0K>RnKNb{)xgYROdHKE2ekeP9iE+F0obt+XT zKyz0ZXylH{aKO6>)n7r_Deo(@b+=s@_}Dx>AnGcZT)=d358c9_l&{E`#TQxq7?H_@ zmLsl0?}Bw+V-1;ba&?~+Bt@s?TshE<#JMd=<#Jm-g}PaG7>6afxE{?Z{;w;}oudq>K5i$Xr@vP(yVDXPFqfqoSRjxihq zs8QwaxW<@l#XDoiqF>}RDgxU3SO8=w^&WL(Up&xx{b~tiZitIiiy+kM<~!54ud4V5 zp>uCl?$N$DZz1BipofwX*422dZrmEp}>rK3{V_A)lFyUHJ;0Y-j-@3z; zXW;xN!o{Mkp!_+8Z5?RnAEitX$FU>GFV!H+b}Y4CCg*vNlQ*%ir@{XRVVae-a3o$S z+w`|x0^@S}4_Bw@v{D0?u~y?14Fu%C?}!K404J0{@6P&76V}77ej``XDS=-H*;AJu zKA}V=H~JTSvQGKaf?D@um2UM6(3Qp-d8sZt+vcegtd$e~D@N&vM%{N3(Ta^vh2m0Y zu~hr(&}N$|)Q7MdPKy)TwA>vQjOTYj4S~gr-Yeh0|dyD@$b?bxw;$2J=k=0wV;Nfrv%=ftX zm7Oiulrh10C&R6`0$S2Ypy>Oyv3ev*1uu$GvF6j>{HJRpx2XvYR^&r36#mv?o`iW! zsveSu6;)R$+dzGk8Mm3&pZ&pCB`7d6>NnYR!%!z!ZvS6wz68gb4Y7GmAqc6g1JC|53>E~pvv=+uTjc)#56u; zg8em&{ZR!gKOBL8HZv>TQYT7cghul5B-V0yNHF`I;CkZSH=9JDM2!80x8b4~Sn}X4 zx8;7dxc*hO$cc_(Ns4j4s09;o>ei!dnWsl33=B%pdDmz2nZh4)?fR|>wkI7Dq9KX) z^lCM^wyOsG*7QTJSF4jh_kTO{c27kd7?f3VVnQic&b=ymAbp1g^QsSqqZ z_}CKlf#>(08WJ4vV@q_!Cov)yLh5Z@+Y~cCa2lyF2*vmVD19*+#*aWGhlTBLk4Ws3 zue>`p;0xjMB&;`yI$=|+=9*+p$e9Xb_Wr3wC2VQ11 zHpc+KkU~HIV|htI150z%uDCj`?vOh_e1a`MJtE^z0W_=#>?;x_wfVjJ8EQN+rc3l4 z2xheZ3x3RaRc^c#v_fG)S7NZZ{|(U=H)60El4`HWWGNTLqEAGkw7p`m`<}1`znvw@ zwXu-EU*AYmgi80&7>s{^1jz59esP0(r!G+P#Q+&@2x=Et87}?1b<;@c-3T=jQ3up! zQ!UV#5v#!OAHX+-YGAtuiAEwZ{zgeVH0FLr;JYvIxLaC%P*1Nl!K8) z0K8C3i7c~Z<-(Eq5JVyHF6cHcaxo%`|H{2>X5!Hj z2N*)oU7{-orw74zL8)(3nmIFC_I!3nVMAkv0fOC`4rY79`jfPiBWojV#)@*@^EsJb%3Pm1Ii^OE7g z$wBbhpq8M_50NHUK<=n>DoCe%5@WjklHw7je?;}+3buV#PW1%Mr6;|bZGS37_^C;k zAG*)cqupu`zxG%83m{1d0)C&bRk_C%0gHanC}5>H_=E9p z3uKlzR+x-Oo*uEFDTn!;s^OLA#aE z_ZR>*@<74TLlS)T3Mb*rRAq=x`*zcWc01WU@f7m}h+4wNY%81Ar!J@TDny(MSq z!3nwg6;n0ic5Mxv4IcNhWOYr{D;&!aaRVQ>S%;r{{yyBJ5q%2 zj^6ZNwUPOc+HgeW{DgU$h8Xe0wyFG=Ru*}Z{r;_$Q;@o;K<5$#Rj{y2+%#TqgHC9? z9WKSuo26&J|4{`ZmV~Nr2>mevr@?;^VadAM(W}635MiIdkap`*^eF;|ZdpPMe(QW} z-mg>c4VyK97Hs!HnI%a~+#4!mjI59!0u;Gah{+h^2!CSp4Kd<#rpdq_Qb(Kg2*K{Z zget!S3`7CG=_4}usz1mU`JghiG5)7{@`-PbJK?VH@`W`of}*5fB{jr4uN~{oA!6{G(R!U7!rfKOuLCxAn<| zA&AMKQ|*DiT)^anvGWefF;2l>VR1TS+}1_QXB8-6u85a27~pU+kkz+E^C55`u-Z_| zBXC8sLD4dio#B#n2Lc7Xwxqf*Gz@`Xx(x(&v?ZW$B|HE?PC0Bu!{S!%I-!0KRU;5| zZeLi;z_EB`G8#YuYj4@6&9sM5^ z&QxZb8r@Rg*3Y*;^Y@;o9K=Xo-vJj0jONBJQpE?J6!5p`qG`8oMm=T8Cm#F?{ANgu zXrpO`pyKP2p(ZX%j-2GzwIY;zSi`PULFz+%H;@TXr2m@*KLV*Nf|*DSqaxf!3J;4T z4!-l-J(nSxqS$_yi?-KB^a&nqLaRtwB`-FOtI-t-GzMrO$0oy=#2${Zmf`o6u%_PP zld6lN?M;pqL{kZ%@urU0k_o%6p-;KM5Cy#|rB2vSk=GV3h{hNa0v9oMCEY=h>(rAL zcHKgmQb$$b#|XECN&!nn?vE-Ph9Da4BtnZ;TT(+>fXXCI8-{=mzk{!96a5pW4*589 zD>dx8^NVtT7W%}6tSG3bD9yPP3krj{eaCH$=HG5o-}*$G5*~fKWoM)v)@vB8vIR_e8ncIo9{E zJN~5xQ#xhYU1PnUAdT`X2k!>M6QZb##r9nZ1L9%mqJ;B95A5(iQoS>{z}g+LY5= z8Y+u0Ltx#KD+f=qr)lhcBV7)G_dzSq#|Z`jZJ^qfFVBoGWMmM$M6 zb*vAVNO6RcAMyrPxdY!{4sviwtQ}FV=wy`tLlZ`1ICmgIL*WsT>Bcxj09Svtu$d3x z8w&r6@e}edMtx()9SC0poE5#czRdv+w|R6bmR2W5R~QvC686|~Qo6mOh<`Ud{NHv2 z4J7_if{jal{O=#Jo?jXIpaMwsw_yeVuZC^;TL{1}R8CMk5K_W7_>3t3?aLr5>O&I- zz@v3?h$HfYTpVuxfJJ{uC(OyDEvgCjZ%}%VO*Q}4NQX>Y+WaHA@Vgz6DF-72!|TI> z?1(fi2;LNA4WYsSVoC*v3c7z{6B7vj+7Wl6Q!peP&R^I^;S_(5Nt%CS68+zpl=^oN zB?}tJ4)(yd-WLo!e0o+26sk1a#T(HF-iu#56H@7gUf9Ht+gRfVLq;S8|$b|Y@c5T2oM>~bV9EA%(o@DD)@g| z2r{X4d@YPv}#sUDLZmMhi>l*MPawA@D{P74SYyCdVWesEElM-#KfwDtq z(7FeB`x%7G8o*6&Sdod8uRZA3o{Uec4B^7_?Bq+|q*Qiy7eaRz3n4`ZDP5W2M0~EY z$8A_+1IEONBCQ>DfxW#*YspbX?`wd6uaF^0s@FFW@b zLe#n@k-K2T4z044Z*Kg5?wxbU!4p@{F3VKEuAF+bkr11&uARSpLUF5@6>*_^eDitn z8LF%1rR|gxT{XCm9DKPW@P6w!!3bT0Yw9(4NXJ^rM4#r7>coPygXToEr}=IH7Owyc zN73u6%M^Wfx36!+bW5eA{u$zk%+9}kE?tbmg+rzg&%o1dW8p5l7RRl-n#6PM58^tv z%Y|UyA?Bv0TKVF#VLP~#GO+>F-kgN+{|ivbTmG2>9sL~U;)_0!hMmI5NUEnR{H;02{@A@SPji4*IRukaR+^Tc zte`q9tPvf3;$09?)(i=%h62}tgXoYo_QXltFmO9cGxt=Q_a*Io;dOg(Pn3(}1~$VJ z^|1W9tm<2?kZY*HnE0khyT)4}!F)0Luy!FNt~Cq>$i^wsH2!D{z%J6v*R%Sl@y-}W zn_wNm2{B97^!+T=VC%-dGmP=6lR#)ytdr;l7H-<9T(p!w=q~yyU36i)8^-X~N#s~j zJZ1p8u{C0p0s1~vTAK_1N)9<7HdpYUl%6a2o(yBs{-$+@wp-n1H#r|hT~PA+Uy1Wy zIc^JxKiy79j66nfuI9Er3Y1T78a})bjO6oM(QYsIb0UB9s807 znsS#n-Fv3kOEj$(k3#jkHf^J_lHb6+rB=~)L~CLb8MtsdGb&+eiMYj}|9uzUuiJn) zePdsorPMP+Az&RxkpE$4Gg5;9LEq0WXv^>+VL@YWjKToop)8h;i$KH5Zw6+39Ey?# zBQ{%}#f7zhY+N+-7kqnEK0AA>AVv1;WsJDLmpr&K@SMOi$HRsE~(j1 zNqS{K@*MSeko^Bajdf;ZjQ5>C;3-vI6OScF>2MQe0v3|cfNC)Wn$C)lSG1>;a7KVf z);=6?L6l3uzD}?_g|;G{8Ai=q$m48RC;^yxoy!)%Hpo#H`@Wr~_t3fwY;B<&0$VXWJ`mUg&3*H_NiYoTdMpEHZux1*TaZ1{38=%8 z;SanAvL=2p9gd#4JPRk8XnMB`#2?lTMimQ?rgsGR3J5V>p!xS8PP^ezH!!>ADaMx) zCzr)mZoize(Ds}=Mhe}{z`M=BPYpl-fq7+Mo0|W@6y+=Q-7L2_BJ)Nx~YZ#iFXF(GW z2zS^g4D3|~O;G`Ye%<`>b)lzk+~*`)2YGZ$ftBhH6{?~7RPZUPkEhPQ0=Y0Pz}JG5 zl|03|&e5xvopuFi8hVkYjEB2j&sEPL9XT(0jjrz8dT?ZH& z)I=LaF)9zo{_aQDz^*cuVvFxoJ+DEO6ue64U$$`<>W@Z5mPHkotU6!Erkr{!E4aRl5dLCnP4OjIsSBAhz)w=I{<#r7G7sX`hX8yX%EIykVAya1yopBZI8 zFqhHsx=!p*&`BVMBX_Pjv|)|VPA6Y&v<}v8@=eUai+oKyGfzen3A2bQT-OQF#l!2p zAnv^Ad;r>cFIfsl7QFdM1-S5(mBdYaq&vU5(N*MJOnpi?(g#gnx5qH)H+PAcOK^n( zp!r0nP(yd(QQ3x!`zVGGKjOx~WpbD^I?CwOb{SZ-Uu&lAcR+J}_1I_E@|<}&z8<>* zSm{IrZlQEKjxIPvJ&}~Xu#jVh_C2_+z9`+^R;CvgrNElXE)Re1D%C#M^vN%mMe;V7 z7^!^o@E?s{7|b*D?5%jCegubHugI(N()pM}Wq2WGL8`7r@KeCgoKQpQmGq#s|8*iu zv47%+QvU?LO8 zqqR1>?(vyFXoB4#WWwArB*hFR^YWFt34clgSrN%1mq)~clUKxInpcDXLE|~cTvJD5 zq#8G>0FkG}-ZhDsRsCQb!(1<#GO6IFROrd(g;7I~)*ein<%$JTgYhskLg}fT_SIwv zR<{DK#{%N=l0VGKr$4*jdX3lF!W;JN@zn@BjfWc$H0M`CyzguzDCOzNO>#{IB#sWL zDMfgT9bC%@>vOSFP){PbUDpSk`zPShRmOD;`+(!am zP=_PCjvE|rpBt@soi8H0I8~7TP=J;({msYZXgthrJb|WY8BwNn_F*6}TkW8R(2VjT z5(Zk%goO9&pzJ^j>Mr}Gc)`O2w@0%vg9+n=`P=C=VIJdPA zz8B4!Q0a%=>-GZAnV2pC=4;)$CQS+3KwF#@0s71P>OQ+1Mh!q|P63qMI-Q;}miD)N zx_bLc4dd02%D!nxcTAaW4|L45fvXSENd!0}4d^I_&zA#{hl1-bPKSe3L>ewH-}~|K zU`9kRQ111j2dRmFwW7l)@~=2jA?JA2Z^>puUK{sbaU1RRzfm|ljEBA+Z1bnUyi|$? zoc8zNCGPux_Sx%rKMJo7vIv;k(!ACqmX-{Y)S;i*P}ynFJf&^-uT3Myz-_;zO;mUdNU#*ST z+5ph8j$;O85v@$R9XfH(3e{aA{UVd0vVJwto62$2@b`L#aq95*ExK_bAYLb~{+&`$ zz-!87CA20m*KJKc#WZ-XJ9e(US@94SUa?An$73x)A}1W$8`V#yKY!F#e!e!Vi-=y^ z@gET`qia{A8XSChAQJP6TWT(!%AhW9Qg$S3@kk_P>!`2@8BN5TkXzLO22u8`H&kfT zpCsjfQN))2z;0&YqFv7#4aG_89@wc*b0t{s=UImgw&d~PYmVoqq2x5j`nYV3=V#Z9 z*u&AGdayC*d}>EIbmAidbnQ4l*6o}jUaj@nOsnNm&)wg(`-0M~WsvL_1vtst6Em-< z1AQokznUa1eS*S519;0%SpmwI(5A;6IIaJmy-TalF-}BVL4O`Flw}wKs&bH24xaELg$<1TNzJ- zB|tY(LDEAnBRWl5nP)KyLG=`YV`KVDL6#z8VKU z9`+5HyFzXh5Mz;p2ied~8K^ImX{He0UYgqoO4Luwlv`PAQr9&xMAzwZZ4l^AVh|3} zeMoR^WZ+!te(g>Hkp0LF10G$td6W1$;vwO4-rv|C(LgM^g1x3bPGiD={6|Jna={lM&317d|2d>t}aaeNV5acP^) zsFlutcf7G-u&!ZKxFYqG#eQseKD^zzD6NAJgJ*9(KE1zC?gJ_?Jh}t21VrX{PWp`ys z`!k6g=^@`h*PBxx4!U@lQak?vx|BFzFZw~isC{VM9m;bi%r&7$15|tMZ=2ofwE66n zs(g9pbnaOPv?-!100P&{+EDghcRyp8tRPLeo~iHc^2+x+TWM_V29Qu)D&PHrJ2P-Q zFGRp$jOfg*-3pj!n0M*0P?q1EdG_!|#Be_kTRLq_Mec;}B2g5}Ip%XnMn=z|s7C;5 z@PGb9ILo-Ibd!Dh@?e55gy(KQoo*5l_#E)1CGx8LU>lFyJtv{*mqX<171`lA)4qKt z6GauREQRB2lqAa`O<3qE!NIFKO5{(jsaLq2on54xKv8b6UK6AsROCKKZ5Yy_3Hx(a zvCXe|*PBy`50(*KTx@&YnPeB=5xpHP$9&oTT@>L}j8;uo?hKh{w+L3|@Tgq`J(c{C zhIFVI>=Y?1!>8U-B*pIAcNRg-%Y|Fv#EMxRLy9H~KX+fY% zQBOm5z_g&c%0d;UN2gbR3tAh|{seu1G4<6`GBosT{+BJj&Pt| zPePelHHmZ?_Oq?}r0}Ycxzbz=6_5FG1j0YwijK^VA|CMLyrwpsXd zj`xfD6ie2DH)R9!6V+@9d~qJRjL=8OEb7?%nbqsZ>n_r<_qgK%jpUxXaGlXyZ(P1w zv+LZK{BtM5x7e-=uQy%fsx+U&>89;7=%FG8?OVOR!mSXjSM2IX?f%cq*wsf}#!LL= z&1=3+9~G%uLcde*BGSDe`)f#b(UdpMP9>Y~2TN38Y1e7QP71Rw@Q?&Bl!|@9iUcxR zWR@GAf)?&xSk}?6#7Q)rui=na1(VQ zWfq!#7(Kgu&h2UN@AX^1lqqsabUmtk$a^q9`y_5pZc2n^%l=(XP0^^fv=2*n8r{74 z_w5XK^wbu^^Svv-6F(;p8XRMiXnzh$Rnk{Y9J-x@yQIC2aa2Cz31%1;3}7j;1id8D zXU*7CmC)WD0qbW`6?j2Cn7s?otDf}j{1;NGnmagI-f{m@K zYi&Vxk@+mvhbOLHpAT%Cw$ncpfVG3gz9mGZN5{UM3co`_@Hwc|`X`25D?-RxTe%`Nm`f(G7Fe`i6eQ5#39YAv`1fTVVjohw$Pcr(gJV{C^u1ljHZ9z%#_Er@s&AYH$$LC&^wxa*JlcB&KD zJ3b*)hUUN#=R`cUYZ9?!l~a3>kg)c2y*~+Xw5n9`(vURSK>t&9(t54oUR8`lPf<=@ z;eA|~twsroD*PAHv?#yf_Vu-AYt}e{f!t!)iLN%_21^V-Krg2p*D5?L@b)VTY6Oi3 zc?kVJ5#JBc?Xx&h`w^GcvtW^t!!)?(_F%G?$W^&=oThOi^~F`HDnKSo_J`&Sl4@GH zq`6IE<01z+9dl1lps#>Hy_`yKS+)X!NA5K}iR{wTs3<5tjbDvFReV8goPi(9r6ql- z4*InLk>+I>9FV^ABqG{QqbO9iolm9J-V>-q@{N|~q871q(w0qOvoughaO69?UPpNe zL<|IdeO|}P-L11i6O*6AzSCr{qk43!5VB$j51QC;l*V_79V~6j)4}ha-p58u>7-@q z&e4_M8W)|nx^rpHELx!>@aDXE&Dhpc;^EdRKhvliUxmT1rz!L|UmcbR4u7;w$uT&v zq`C%5$nDjs^x9v=!6}TNGLSD$E^y3A4e^uHX%SFgk8U^$C9BHXx0gI>)&!bx`9^Iy z!emQcZl|I!`+MySQspT-!JWaM$Bu27A8q*BCVP@dwX|?mR==uH4f0o-x49wmU%rmg z_pGC9i-db786shXl$(eqvdZ&c{`fPyFyX{TF2PJ;YWGb^D>R0b&~`L$TYWe3kJwkh z;VrrschAfhs*#5@lDVGWi)F;S1c)~J6Q^_`^A)OKa?leeDxlFp%4;@a3Q-=EF@70% znphqkfW<0^YB)s!lA$8tlk+?{f*PQtLmO|!c9B^RLOU)krA>0OxKYwyg^Q^phZpqW z8ZK-E{f>eVxiSr>XeM~32I+n(mk(YVB!B#7`_EyBjQnS>mj3@>>`KF3;rclA425Uq zydr#P@o?A>a1Gq4b?$#t7$7L8zIdtB5n6k`R=T6t;D&3!_4G(xZPoS<<6_G}+$s>&^mCabH!Yh3{2T6vysYGWO?MHsuK1 z-_75Teb)RB$%jMmSX$C(H3DD{{hmI?Q3_jd(ritSQOH1#&hRM+R$rnkux1OL4WZ+@ zenn3$bs69}H$z5F?!7;FD2hXQF+np+fC16n#CK};1w>VPf9h|4VW3-aw765XLpoKW z#9aC2;y0)YT1f)z*h1-PJ}+SPrk~jtVm5VlG8g5gk%ko8BBMe9==G}NqZ}aL!?f!H z9pSyPHkv*jhXs!_VR$cX$Z46C@O-N8qORh;h>k8UHq2OQBrA}sx`AJDF@q1h$bKRk-5&#&4aoWDUi1lIf7)w6lQY@oR^yG;`L3@=+X#a`TD zG=ox;Fz>zJ*p}&I8{MH=38mW3V4}$+vr8pDNu{AN(5XKUR=34Jcq9g7@6$3<>L>*# z-psH40Ny_)NPX#bO75tgW}}$(-M&mo)KKZQnJP*L?OPs2<-Z==${;_Z)N$`9G|maV zL+12kxxkal9U~R3;7MZursmYiq}wZ&NndCPJ_xs(9|rTs-2^(6Z}Z1N8Y=C|qWl(i z6O$xBA{V%z10P_z4S(kYV@Pg&KtIVGFncIr;b?9j*i4;k}=Yf!1w(FG*`(F-`r^Jaf&kI-fQ z1qMh2pgi}0#6XZp0TSUr;y`GRCrCu%-}8l}qMP)DNnoKj%lUkbr=}ukMmJ$)e{4(- zqsNy)L9#w;Y->JocF9skwA85xaQ&f@)60;tfZS%|ToiUy-y}^T-;x>O;wVHCIoSUE zWEUs3zl3tH-sW;hIXWduM?cQ!gs%a;0;i{KZBy_CRVlHEw9IE_ifw+E&_`eXI1n&k zM%3)8()yzY#)04IESMfezazow0(B9h7x?o|jP8OjukYK2$L zYV263dH6ZqEwH6CTfo;j#$clVo>=+#nEDZlyyU!u010Z}nsmGLZShq3c-L(hr)0nE z2ljqc1XZMZkqDFSUZX^|<$`*o@y9bhC7t+10w0 z(#8_>R)5w047)-+ezu6=!HMg5BR_hsa5#U4+izejaK+h2V=f>Z#ty(t9{9Bfah*s* zisJnjX08DGUZe?L-L3uE!zj8XiWW*lMEY9*Z);Cd*LLg+_f|A(Ry>|HyICph%erG#vEXX)7 z#LOCK7-r^!FX3hRML8Zb#0S38kg{CXB?Ehwu_9j6V2cWe09fE(SS^b2QC{HA5k>xx z-pcbXOAgzhx9Vd^ZvR~jHN{bTeZZVKRQFq%5j&p9OgJbHZ>a5)@axZMYckNkmPkgs z)h&K6tCP@f)u>&J$*-kPU2tf(PU*T8x&Cr;pEm}YR#Y@ZpBM+tgk@a3?n%JAUXzp3 zFhjW1f#yuZgZKmB$0%xoZe->Sa(Cl<-_AMmr?@qaGf{9DX}QZO6U}!9;tUwunZIKU-VNw}iPsro2Ug?RjU@@|>EEl;FceY>{;Qq&+zr2NRAXR_D$ zLDNNz$g1^?<=XFCI6RDAyIAl;N+Z863-i5tPA^3FO6aKeY>a?*8f=-m^msO>)0PtL zXmr@MU8%ukmW@`ooiU`o^9!OP+E6IZrg=uwB~x#4aVea?T^88Hne)j5eze{A_-6@^YVc)>0}A{Mwt8dZ@xIT&9FY4t0rc#XQG{}k4D2rS zNcFR073_VY%-+Wmt0_32QrIr(zL0wl%O-W1mgMTB#Swi;Kf@fS*ZRDdN-yD3uRhPo zu}Glif?r^ zIog!`J89{zZe5uOXN%w&@l;^{cz9?{czL{+ORYP*;9hFti>*ryOUW{^t;}|1Rp&W0 zr(I%6GqA~$X$e;0u;(}{LkP&7bC&`N7|a}lZtci*94xZb5Hg*h@pO~$HYr;bY>L{t zDJ7~2&{$0x`(*6xYCgU&-3X7`s#Oe7d=*#3U8?g)rptz5-D`&L^T~yNOgjOjAUau> z_axAqKQwnSiD=Ql=d8Trspws1CoX|#Ok{_k4DUKSo@g{(cL zr0g&BU)YQ#T=+F0oXN|j6``=kqw~pm(9|I~{?Yyduf#WY^HO))@rn{M`P0R&Hfp(F z3hQ?+fl-5zo>Tbxr!rk#1>*KjU0o`!@7woU!8OkFJ@>FIJDq;{UCh;MHMTf4^97~$ zrsePY4Pqa@lxR@ORY|95CNb2If1P)!Q>A>MlW?i49H;s!zp+XVWJIdb!`E$PZ+5V; z-k6{5C@FB46Prwak8>Ztp?)!gN@a8mv?E#_wxv_)cScxq`BWAA$NVxvuk#e&bl zw-RhWDzeEyzdw)uZ>RTmW{GF)LPSZnOEw`BE=y3W6TYFRFo=)S%f}qSjE8>M96NST?J7e|N7M%~^q!6Sjv+6Fz5yC6J{g z4U2PPjo`&I%Z=?<8rfC63C>4{n7Fix1REQ3DYw9tr9P#H9|5quc9atZBm_W zgiAF>O29KUb<(k?n){kkKXtd&MP`<}u+>uH+Ca^a#(|Olk0f2iABS6a%m3qt4$A_a znbrI8(?Rl8u{ofVQ1Pk#UJUhEmefdvtt(0r<~@fmZbA=s!;l(gE&j?~nM$^CqB4j0 zNx_%1^gA!ycq!?St*s4ePMG;5s%hTzGsm1E>GDO~9Utf&^n3nhbdX{)e<`2F3Padr zLb;gFbK*#^`Ng6lHhh2WX#PGLCBoTiSfi#w`U}AS1U6vUmy7~pvtQO&7=n#DZ@2|n zioZrwx-C#L;fZrGCVU7xD&0p5N8MoO8;UjK#hTxwB)4@$m-bB-{_z!Ro|rW8o;tXh z3$D0Zh7^0#D_OYOg;;w;cp_14;>tSSR1!M48qwb4-kS6;`SI&W@GtXgj!+}Ge(8G~ z8LHk!ECEErJDZqB=v}^io)ONrmom?ZyWmo3uqJ$M7-~%bHpf}tl##uL@iXcZf(^x< zes9Mo`8&LMNmOY95zp$h|t=!4@BHDoJM-JPpp(Ye;JxpWr&&Af?JJR<1 z#&$4k+!-EF{mmufuJNob6tKla1+7;ivOG)4SYS5v(gxEQ9M4D)4*}Nlxj%ZLa2cHyWVgKA3!qeZ8oJLd5lmIFdU$ z$d3AX!G+{$qitBqQMF7?JRqnI*k_rOU0x_?L0(N|s@eDSs z`9S-c`;;}AEWuV1_v^um7I~#>9$Rrn@F?Oha()3}7B=;a=@gctbGjvc*F>w~c$I+gZBx*Z6?nspE?(Gcj#a<#$`)i!lN5C-+YoXd z=5%6_W0{Y~W3u}r2Flu{gXYus^6auwVeNf>4uEJ+Jgq#WNcvPCYP%4rOQ7)HThIz- zNYV>}-A&!^Kw&m zRY1Jc1 z3PxGDH>OrM(_7~z;JJJ_A@Bf7I4qVx(d|B*JM-}fFkgJX^zTrD0|kroqw4hUIn_F! zRX{7A3m{5LemVJ^G{TvrwXYx@Kpl>ZE0c~+Li!r@fl<5`sXX?qNV_9((LtWFNOR-Y z6-#h`_K(<9LcJ`sEuN;2wYDzFgmFCInsXvVOPjVCaa&-aX6x{9rElSoXB7VS+9VLlliVAv*7Zi7%P4}1CTQ&u&PcEj#Z5)fUA*6Xjq9gx_W?L zYX-L`cbvA#*-&1wsBU^SN~QB%@wZP}Sx?m%y4x*D+yp7X;+gVenzNh~h9qr)Chn8# zZ6Ybm>cdjomvL43!@WGS`WZju{E+oWzy6{HSt#PMPRWH!MdMAANQQs8O}?0O!%6Xg z8e(si*rT_~jkW7Or!G5MZSN_X4Wo+DGzmN#Kh4npY>FnU!YuXye?jGQVWXL2bpLRI z)UixO+g$LNaL|~^=uTFQ$^!{ZAQd1%paSzW7l;tFlGTh;Dj#$kUZQ~ylO4ypE{!ev zK&r4OE{|kCV#zaTI`*MtEwr+{i02rS+Td5Hj6Y&SGNl^J5OVr2SzFL|fgD_$99-GV z@JT0XXRl<%3FLTonL8m-sw)um(yhvN6#PloO*h@8-R&5ofb(rEBZs>8T>!h!$M^Z1 zjbtIDI=T^xNIPRB9}E@28&Rpj3NTm6>;ABrqYJXRHedO~3YD&6-Y5$JCcBjS?tBe4 zR1%Uu+nNAa7ep{R(IxM(Vnokk4^TcyZi=Z36&W+4a{tu%;q>~d?GNuxsI)?l@4(ED-`gUe0&c>Lgm&`Axg2jo zS=WAF!~GHO-Do-#n)|c8`r6SiRM5$}(R%Ft)v)>4;;UiHvHRD}=Hs);^)s|1HnACe z_?rKRt8`am;la)#G zWHPhA^T|n}Bp8pCf}47-N|?NtGL@(C*vdGZM~N&YJCc`vkeB9FAH66SKALt}@+%m9 zvWS1BFs2d+LdBrkE{2OtBIt*Xq|L$9lUeo1WzS?VZ z#hh;HaIe`Ma=N6?sjTtaD!B59Y(J(u%V{a|`o9ZZbzWBtpH6jKNR0_GfcJ8fc5q4VeR&a0)tJ1o<%F1b{!@1jH+=N3d|On##5^o^gQ>8lju&bgHH zegzX|#(Lfb6L+X4YPpmRU!v)g6j1^6FP$@`*5B9+!VF$|=3nW;k<(~ez9lne+$aUB z^TH>e@~}id?GX>y>5O;03=dT4{DlrtWz(=e!?29~0(3z#yB_(|tqjbm$HDxCGNjr+ zTg1~PzH%_%qL|~O`00X*o_>BniORKgFP!VJt#{;MNF=kP-6#Y4N2?@{>GNQfSp?pq z3ZB)RR<`lPCULl5A~Gf2Lnd`v!_&FLwu-9pRB!$7; z)B5%8zl7UQ;;eL}S#?tlgFkvJ?>2n}W7E~wo4Q#{z{7RD<91(^u6@(?BTPPUxYn@o z#C5tKk*n#XdFh5mi>|%8$wS-fWwsJya|x$={AX{m=bxn3_J4*`@REYDa4Z#P$!p{uGq2|;5YfZseY)n^9cTLu{A7>Cz!$`rUr{SsuI0z7W3309)Y20cz= zotm(&Syd1sNDWx>K?|Hz+6vwMsA^O+6P%IH9HaN4KzApdoT6GyLW<|QR$EwuEc|4eSr=MZ7Xb80!<8mxw17KHTTNLhFDh@ZNr0)G z3_wjmrUg;Hrz!U04`lHqKuI<*wKxZYLED~*fkyG?=^o^Fm0kOIgYL1om){EOwUx~D zE65p^gSM)6ZKZJDF8Q8(mdK!fk#>`4HF42xV81Mr#V(W;xIGw>)??uG%aUVgNbT%> zZPn3de;Hfq)aTLpZqIr9y#1joBfQUl-oA0dIUbA3pX@){zx&kr`E-VeVj}y9PLLQO zBLl)T#6-jYp*ws&p+bPR)jKNUyk#SLmQR1`s8H32R^P8L= zMO#>hv}8!kNb6^YSvSg(E0voGRsPzD8G5|wR(m|~`grNgDc|$XzjvMb=|4rGoC z{`uznzj}!)*A)VS4!h7zyYUc_@^h6F*B514X%7OF=S#cgPlwY~aj!t}V)@q~6-?y- z5RPiI;4g4$G?5RQ0uZJ-vr!RhBodz`!Xgl+2M&1hKS}7*b5y1xrJMxUA_O0v{1@4J zfgo+mY27@LAW2Ai{wV#h3c~KdQcw(n(7WK2M4donL6~`_)j(_j;PEnq+afjH#qEo0 z=u}m=+*m5llDp5&4fi~C$Hyv9-TALen*JfU0S}+~XCg4XXO?T=Y{(~k z%CQl!9J&8OV=ub}knJy3f(e?Zk1w56xn!~#1d_=5;BFp2(fg`jv&(JyrzxYXS=il5!DGt{lG{Ki(X?eDD}Nov}uV zrhi&|bHntOK$#gVW-j;NRtU1~mGww-3C)5i3DD9AFZDqm+PAmIOO%mq?Xxx?lMX7I zkpe;1u$`Jr8faRrzN-9VD&zv{W6{uVjD8yHp9Xj#y4ucwn`EcvxmJ|UUztfsQm;eT zMoBgxD9?0QRd4{Xde)*T1`|!pC z)hdE_pWdK?yXzYeJYf-qbtr;0U#Qk?a7q$Dba;$^M+5D9MU<551@*IVYKCbT^9Tx2A$fESq(y0B#$1VLMZ-*Wgu}nc=Gw;`~FFO8Y>q?EleSfo{w4> zF%zyRMyvZ@SGL3|%fURoXS4e5D@_8URvbykXo-9!8D7TIt_i_z$3> zeApGo`Ajd3B&_nq1nKn_yNXdlv_UMtpAdPt;$e(V-w|3BSb5mn^eygGm^?x70f{l5 zhhblv7`VWmE_dmcjws14{A0Bu?v5_LM-yEkUIYp~0+}mFAs;KBJ?>nr5C2_~9y#rJc#bcDu_ zOam=$P~$;Qim3oluyJzIfvRAK-oII7|#( z@*mnKG>ZW-H1V&4yd6fa1h6OuAw4VSG`tfK@&v*;p_l<+R7s@MJSLfd)I^^e-ghp<>Z%@3c0Vd1&^>kK~sc#VFtd@q(y`TdjMTZqr# z3yQx*8c_{B3Q;$OlJFXIIF>%NN8*U#-IPM_3(7%Po&PP_C=W9|>M7y1Ag4?gbtwUC zczK@kkuz&Szgh4W5(Ck}cAI^6{z{ul6{g! zxU`<}Y=Ga7b4|%hcS1XHqtb~{OhChA&!=;jvg|Sv{pp%VcF&lV8@6B=|?gGz4!5%_EW)h(gDGAG&f()w*%VmNLrxH?_ z|92}X3#EqR5$SRKgckiQ=AnZ92|>YvLr0|3K#QUL)_h*SYW_Zj%$ zs#7Kr6u)y?p^hUC3ICM5q5jX=aE7A)oQK;?7|dXp@;$%YNifV1R1>m1SI`}gq?F;v zENxf4QT{^m!?l3KKm}@j2ZR0(H&oyWleAF9(H7~84f-TIK3)Htk^S{$X(Ya+%^h>c z$A22syYC%$`FZoxwd;d(W7ui>%BK=YAJTosHV7HdTsq%T`AA@18ujzW-B~*!y36FfWJFRrc#B;O0@c4%F2O?Z3@% zCAW3<=uasnyfd#6NZ(#U24QeLjd<-tzc^6_u-smtsFtr^RV)6)uC;dIPYZfeT>X{% zTcjEc3&ttVc|qvR+;12Ps+&={Afa_;BD$RAXBCfBlWro{6@aYkl%s&u&jvsXMygp& zT-_?Rl5=7dcbtVHG7PdZ)O(< z25>+Nj&T))i~S_&(=+POuSou{VDyB@Fk0vd)HPy+t~eAnsVAnRP0#womu2 z-CsSya$;vjv4D36w--;Ijq5x9oB4VXfe+52knRbWw*yyS$zgs>(kMGoa4w@U+W2YA zPpsv3pMI{5B&cIf72+&Vm2mqQ9kPC|qU11z4G+-=WhZXS^~gK!$(O>V8Q(v^R2soh58v3Wax zcv=xNdj)0cW>CO-Fsu~v~CrLj@;>-_fK&ad?I)$W~ z>-U^QRKd^L^96ccttx<05nYgK0#jpFmTU#ys>fa#g})Qe8~@F->3p~tFzm?;5AaoQ(Mmr>cjB#(6}$d*tkb2!vy}2A*$#ne<%SN3!N*XnBkLk^!Ckjl%`-x!|AVS_eTGHNPBfMA zTcs+bI8mvJZ^D`dF>N&lpRvJ)&%o5nt9OF^#h(t(`pTedh&^U<38C3>t?vJ|fLb8N zW(VU{?&;0{$KKYt*|(<_cpiDIaW`DI@3mjdyat994~8vf{y!^G=CU96XUZ*8j`?V= z3~&}t+%8g8;P$j6Y-h^mP*%_bHZ$Lqh?Gx`1_$r@kp-tKBi#!^%5JAKm-%-2h(1={ zkZ8$KhZkAMjtl18q^T{Ii*jF7-F0<31CWXnU6DD>5`#{3$PW{uPIx(g)jx?IA3GrA zR?9;z>#h6N=Yl3f$SWuUz+5_Y?pnKYu4{R`w?HHfRwbuCo;UwI`#gVn>W0X8PxBg2 z;2#V+6S3?k=Se+{Ojl|`7nD@z-28-DZ(3kzgkR_0Oh*+oU`*aGi;=b>QAFVaEpMxBZMWMw0+1alw?Y`O0tRfb2vqw zyUb``KO(?UwqMAENK}26LaKap2mGuR5V+gY8dz*+v~d$p)C1SBB+(||?9jEdbNA01 zjj>tl%cC#$#;xPK3)?88`;;D#jy+N>ZY}}l5oQu z5s|J`;Kzek6RJ%X206_*2O47Hdk8-<1v>OFR_VJLdt6I7V48_X$P>xj?g;;sNzsf~ zskCH)Q(W&~Sgj$cH<0Pdgo?{jp0eZV*mgJw zif7XHT5n60-{w_ps-Y;Vb691MZO8xW;+u-r-!yHdbw6EdYIvvs=vA9AI;gG%w2+VE z^c(fCEmgH^#oDfiDZ20`%X2PB6L9i9l;)M ziK8#t0J(U;jKK0HMMMqyu?%)1nXa`IM(q*UnToMc7&Mcr`;mR*OazG)jGp9=TY06Q zx9_!^eV5!;(z*)YG@DjcWrVp&(z#h4&y4AtXY%)M~6T0F%IJZj(}i-IUVTe%I7r6Fd;oz6?J zq~v_Iv%&yMpju}?W3be_b8Zf=hi&R7m25N1*BACXc5i7vA%GblJKn{7J5}`8pK4s7 ztBxzIyh1OM!RMqAYm5ClKfV1Knb5q_b2y!HS8$DC>G2a5JvHn6dYtehIAOflxO;6oF+ioxl+eu9%e}&EQdWYqZGj_>x0X}vEAth5J{0b+K1?DngG>`LQf-`> zrZ|h>M=&fTOv~vS376~$5Z^o;z>*Me3W=m{23!xMRzs%?&jpQghYK5%$V;-q<0@lE zrnz2B{!7|GuaL5>x@}=XF?YWZMCW$NgUKuy;0%jn=bo>b(kNuPJd^48N!H;yc8K#% zC(+ExL^IQt5s%!vO$-k=28D{tw1`N_wZA0c!QGZqIn^&1Ma-t-yo(_y{Nd%tD@hqh_8Dm195zqJ_?UB*);wUe5ol`!4$<5MflYBmJ98uK4`>ebS4E0U6@ZQWFAJuxM;3HJU za%1|_l4a6w`EoohBDj%QUUqtrSw{Ko1eu^DEXX#!mv3r(f zaA0h{Oe_hK&m0{z+nY1syeXI#_1){t|H?4&89uh$~?{hh7rB*YFb*%yud7Tt(RrTQVYgEsJY8dVz#+XK!H2J?<^#tUe8+#S_D%PsYU;r&fjuMn7 z_6+_1%02yDD@)X-b}`St_i94nf#){jPN#v#A{KOLJZGd^de9Da!6pJ<3eAnu|Kua>)SgMtx3|KNR;2U>qzC=ikk9(749X?)sdY)wV2Y-cXh4U z$G7?+1gvnOviZFo?zJ#_C+41Usd2s@tXHR^(@$Zd`hxQKyw*RRQmx~)I*t-YDE_nIYp~I z>4WZSc7xF3`E`q*)4=iDMkqD{ZeBxO-+WyL@LZ@jJe!~OjGy20qG9c=o zab|Rem}{~qcTPo~YcCsNk*$+G%-A$FJ(C2q)B&mUzCeLPp|Nhl8ZBV7SbXMTt{XI&5rSOs!-;c z&|Ly*Z%SZd*`h<04M+&pgMBtGD$J*hDCUztaEw{}GX$QMonS^`dOnvpI8U_l=4iR) zeAU=pg|7T-JE8K8>TQtr*UQ0Yycwj#cQ5elo5h7jr}q7UyA^ku=H=GO+Jg|AW=8eT z>zBZOpTxaFjUV*&B8vQ4;?rizT5axZu94)y&I^w#`(Kr_(DFC?u|P8K8oM*&JP)u| za>-`1Gh8bI?pcDIM~192byejOr23;?Hh<<=oks4PvDrc5RA)Y=lG=1~d&yOCvb0Mn zYSAK_o$Z~b!WP_NL*d@|D=fD~PN>UkDi?oPmgvGjfsU-!(Bg43h#AHQ&lb#q)Tge1 zo5?rB1`MnGo4)z-^tQGW-HThpxw`B8_>`K<)#55_gNEwVx^|@iFUHjh-=+^OkKZY4 z?8n%9Fh4y``kv%!^n=1<7XdqC!MT3VK&s`ZzPt4F-olKj&P#tTR*0e$(MZ zI(n^dIJfUFj+F}l%h3ntdpKSte|SnVj+U1vT}_kSOKl6Ld3>pt`_&Y|B|-@wlbQN; z?Dek0C#+N9Yn;p~Ya!Xd4d>_F>i0X%^X&_A<2$uiu-1hoWUN$;1d9ja*y?d1?j(^X z*5W$s#~)lz525U4l$VmF6n*enkRpR0ERv`Na017JV=u0xDC}yX(GB9&hedXZJbfBn z<5l9i_ZC8aOJNE=Z(D;V+4u4a!UCe4Pck)~c0lg>-u@N##lYvIwqGBHzTW*(^N~%R zUmzX-nz2{&`Q_D7vTiT(4;JalXG5m}HZ1Ll;N2S{zq-L3HuMRf+zdBhSZ~Mg;%s$E zITqL9%)un;Wus;bDta@4!~5b`=4L-~F3>o={W@E`8+u5WJKvkwzS z77_R9E$47tZ`ky0WZvJ{~n{RS`Kq?yFMk?VajN*)6gAv zttgD|@wWT-76Y%*o`H{Z`Iszimuv4S)s!c2#i)j2?lrqLyX}4*`#kwFN$1Ob{i&M0 zTf4bgSz{SPD#7FD$y3xmXOeqycT2yk-*!IY73HVi;d?~KN&kIae_mRX?D>K&^j3z| zh47o>`*1tBJf|o-ZNS3IEVkG*v>`M7O^XN3&M`6KHB!niv#U4XNfz+Nz!J4`__n+} zat+$-fo$+h4{pj}VIr{o&;9kw^<7qKH&#D%JD^6d+pn#wn_vz;^!{tPW#IgaQ3mLp z?O&?Lvm^J#A;qR&_Sc90*GR2j#^+nA!1uDB#>al`_q+P@c@JU%y}HQQt)K2!OKJ~9 zoBH{rch?%dK8A#43V=u~eNwH`BQ}>w>>-yn9({Mv<3w$NK`&m(4@o-+J%*XzA=ygR#qe`b9-iNK(}8UC12?ybx*TEr z+A#&wP2_~~Jwd@UP#?hve~mi`tog%Y)*yNkCUE>}F`OjJZ=Yv)B^dnd-qJtXF}}8@ z2v5At4;V{NZeUmVK2ZDy2tVQUcP~9H=HRwthqjA?4;~~xYR&aZQd4C4+ z2H$O|YqFvxQgw`0fi1ck8h!Bs&n^*&TzN6n_sC&*giwn8bSMnQzaB&+s+-Ww&1f8M z2Y_^@uo5Xbq+aP2f~Izm1J9l-9qQiBE)uG7Jknnl+#83II=@*F6*oj08A18R zjW&1Ho9>59$t|Za*PUZqPhC4rcsMsu1WLLjeIt4l;Xj-=BNg~Q(EQYWBlHbHS<9x* zwEoU^im;wRrs_l@D8s6^2Y|M&6dnB`gZDFfMQi{}<`wHjaeu4^hB9Gjb4I!@0-yig zS)BexE}1~w)>6MTO|0CTp1lA;kZ|Dn$ljFu!ntzb8Z!b&X=K$SlR(td z6P{x=bIruWO44fqA~<>Y+#>_f1`O4QR#{D_qLj@P-_hWyONV3gV`C`f=*SwB0o!Zk z#h>>*q(<MSv_||w_g^CSf^7jkt*?U1sSb`Tu6$-`NqU8$ zl7e-B)CeL&DIXa$d`N0Ih}Y@-xkJt~)k{ILynSH5aqEzRN{1{GpOQ4_k@(}?=}B1M zA%4g`xq^OA_COc0Y*L5?Xr_;U5eUr){by-bg3+(jbA66GRrkP9%76J=>z*as7T#|t zz5V5(nGp`L2lfzT7*oQh}!j+XrCgcvL)Wj;qD{XJetQXmI3>zz=auXU0*xkV( z#(YUEv&jmlZWXXvGvPO>u{FL#IR@lQOhF5^>jDnxqs(#@jvO;Y>Xab#lyCi;%+j9Q=9#2 zs;J^S>L}>S5{9tq28XmkWlLBINUgCMGF3!BVi+m0ITJ@Me>|^FtSr6$R2?`yhFfWR z_Db*RD%2kRVmyd+{hs(KBFup(gh_A7j4Yz0$-!qYcRuTelpZmIL6p~yJD;dCf1EAG zN{FVRl_8h?doV3e&cWf_Kz_$=Udm(ehKejk8Bq6}bt0Y2L7+dwtbY?KoTQk!{Xe)e zJX1g-jR{dW^y6X}c8e6Wr6zeCtl;XMsL0Ui=gsF0x2O^j95`B}4|;qXy0~p=HP3Tcou!(v!+XnJ;P)z$%2VAML6!aw2}c zFl(Vm%Yswlw@MB<5PLs9!ylBwKYcT|eZvqIx)`B3Rc3Nn@Sw5)VXjwRFZFKh+9Cmq zkbTX%cTe>=F-4?F3HDQLI(#@ZzJKHXGVf8{GqH*AW;h~2p-ty-i{3O|-So3`@%F_7 zu|4G*ZBAmbLC7glnkZ;+Z!$e})OnevY9M3`-VK%6jB5^J*rJ3=emA0(o1n{2z)}{L zNMTSsj>Cj$QAr*S?TTiO?GCFZ-sW7`dnyuh;ZeVcNXxBv&Tw&4h)p@%lGtlB1G?!QfO zB!YBNoX7hGO6)&COmM;YrR##I0Ul>(P*i&EU|H@J%=w4?#+=7-*m|IoRu!9v>rY01 z__Bqz>2(~`dunDq01&!vFcD05uf%{KEfC7;0dswy1bya9cu)Nh=#HRG;dd^6mug0> zAecc?!lbJ7_S)J^9$y4ma~QXpK8lRUwa5+(@sBj@m!ncfNk|r47u?zB{dZEfv}mOY zuo_$?j*?1p9Mt~t3(Zg8RZCR)tDUB8Qfn5s>|cO>Ic11%U0{%c3M>kjft>{O zPt8%YM2>U{l4HcLRnc1yWZoWf=}GV3Rqof8R1S%sk&CtwL`3af27Uhw4EP=BPutY0 zG0S6vao%KPm4YoJxibSZT(p&`2I9nBxaDn_&C?rM34M7kT!pkI=AcO8AjAp5fZu3B z2rO;iv0h*W1eiN@rtO3aouQ0Dg0Kr$&_uD(SSzDoW&7C$klKWYX7YtJl_csc-(k3k zr~hhrQ7NZ!O7Z%KTX$Qn?eKoe9teX)HP*up5Z6B`uMrtq z$*qj#)C&b(TCYbXH`rOLafA_sSaI@I?$gGXOpKHnDa|j(xm%(gdBlBZUN!=*&?4x$ zC5xb>ygW2w=#(U_5+bDsMQb9dxCL*l{rOGi44Y`(x#2J@(_j_mO?HE@)4+M_p{-Y8 zz3hNk03mDQP6}j^<&CU~_I-YR85C#~EDep7KVBx0QN-l06z{~j(9)uwV+IevU+aM3 zQR3W8oyQg4(-GBfG%|9hy!GPKTh}+M3GT@G+F|g>ip;#gqLp;DY;&ckw44)F2Mfk{5M3O)1B^kqaEd^K`%V-_4FwbZ zF<*;?*-hy)=u(nT0pgcK_K9e@duOm_918N&YvLzraNEA-v1xT_(NLzTaP`7R6hY+( zo~x^TiXvz;EkQ|CxsPg!tok`-`p?QXDXq8Ca+oFBl2?ECoMsuEVIssY;cL+$4?{Wn zxpBNN?SlELL)u?58z9N~*b9bIq(nfrV; z#a*R~_A{h}_l<-`CBA0TYaI&CQ`Oo|;aZb?o}ozI~6vr&I7zg_mn(=4E3WdOO|5MH5x+2vsxr5KO2)jaoqEswCY~CY6Be> z0Jv`z^jJCaSx3i~BCk3U_TM8e2G}K`jAXOop3|OWvs`WXVY82FV?9HUHymyFd4y3$ z_yPor{}kuO8wur;OKeL^!B+*TI2S%yAFqw2R*muQ%Zuu@BIho}A|HiGN@yA^P&0;8 zmv^f&JUT=!}gyV@uUXBw52U!*RAl=u{?eI znJvGX{EpwWfCkq>Ndn4G5M%_AlmNSZJA{N{VzDFTW6g*c$Hod_?d>HDLgE@Y%5q!n z{MTpkYx&&i!s(-LWQ4%m`(x}0GW3(->80}edP{p#^|M`j|CRCVOp0Sc)}`_dy4)Y( zU8dRZu?SM@uqp$9@-vF(_;8zBQkR%ecXlPr1u(YZtoujs-!UGCCdh)=az1-5BDLA( z)(?zO^c^Jkzg8rq+(vF5jw#zWI%+a7q?gCeDD zP)X*2)3NQbQr3mOy;Cvt!fQg6;OPOYR^uYQ)nNf~jpeBnHglsCbbn!m;7B|Abl^zY zbWPAXs4H7(B{Ox52YL4thfYKB@1&<_F;=kQ(d(|ZqUp@cI9XMA1~BV{c><9&$f1fl z)|20ECT8;5-bNYMwOECs3)W${GNIAmX%sPIJl)OWcbu@HM7=b`E96#~SK^RMvA~)8 zpUH)>cPl`CB5bdVN~d;Jj5f)l{+#Dr67K4091le>Qy}R&b0!_9(F+wpW`nIVF1Xau z(%XY78SWh6xytfEmN?{)gzYZ4AaRo^Au3j+MkaK0fr1M%{gAu{3;o;hB2Z$HQpxyu z=m+*-c}T-ed^~1DkIKAae%KbAZ%8gcPKrh%022km7KMIml$73ZVt(j3lpf8BCO zkjfQHVq-xd8L`#Y4uL??#Be6t<&V&|6n@G}*Tmw0fc@-|<4H<@0JWNF{hSZ^L z*ArE=?3e-ND$jF-5T4{9u~6zxxi-_!VJP+QO4}CzNPXwZnC*HWTGqbR%Z+*ioHj6& z7gH70iL45u{AGJ@^HA?H6K{Lk_?gtzJdV`-2S(SpsSQ(2(L!B^gWYUa(o>U5khd3e z$|AehQXaO)K)Gi}l}O+NL5T~3Jv%iQK10bgMwf_ma#Lqe5py*M39XTEjoVw=Yk>_h zRyt2AdW*+-3jZPxQmW<|z;4AXOX}k&3!Ll_JYz6GrI37Kl!=)%#Hpq|-LWLjz4BRc zJJjCiQTqFs-p4Vr=7?vIxB zfcVZQ?j<+D(2awIY_m|OY!$LeYujH#EkqJ=lM;0CC_p~)euWXDVrZy28jHHpxrJcw zkj~&(i4@6{K_MA(DMH9@T#at(2MC_q;>hpRXB-i1R6L2&&>#cloGxOJ`UuUv@KOln zUFJEf_XX*iORE;SL=jI9qUkt~6um&qO#L{f0Q+9TMbs_uFtgbxXfF(%IU`nw{B7Nd z{@|~VAzC-}$_;nZ^)vR5>^b}dgj0tOY5TrQ^Z?e(m>MwUws}Ye0ME7XJg{ErbE8n< zv=z|8Iil8h0xaIxZTg?za;~iX`-$1TMG{InNza%<=|e+>U>HMp|J zf14S|q+S^HCwgrmu(;BFRSo=tF$8a-b%Pihnf z!HcW*|@(B4BS~MY#!E8A0{a0WAjj&V|kTh zCkisDG&pj?mnU5g9afjZL&20LLZZJzIob^~YNPitg&RClh zKF#B(fMlKxky?%rpl4})2{$9BXSe&1)12eUMd@=`>)QHquysI&=&oVpV$VJEK%9js z+yT*tf5{S=b)qpWm}yIoLs6=rP=T8q50ePhUK!PiNk}&-NI;g!#EEZQ7{mG(OX$Ur z_(?sBi4GfZ`%(WuHB1VO4<1t1u@Px~S@!Xja6EuMXt?QdS}+hqCI!Z zm=wlLnjj9sAPaQ$k}CO4TrZ>b;2bqztd^Oxr53y^!|5|~(OBMO8-?2Kwf;ta&B}83GfpL13FhNCpk-xNwTs-d%RFoq(|YDh`Vgq849nrgVDl6Vk-eum-(AYM{9S1zQ0R%;Ud0pfK8^_sN)j$}f8Rxev`D zjh&LJ>PZy-mAyriym0UFlU@EDzHkF}RMPph0{Vi~yxapLASqY^3H!H<8d~k9z}1H= zex3nYW+eP{$pAJT{`3!|Z65Tb?fpr;;`J%Nof451ho<{!NG|`>6((C@f!W99>}hL!?T98V^OG2fdhe4R!X~z|%bTCL{jd1>^NInvD zMR&t?0fZr2|*^wBAlpgM4ap*#~K2VE`WOZ%IQs|EHr z@jP{3%S^%+8VY(65o~$8=wJ6_bwsWMK3elqWW}d*soh1bhw?o=x4|Ov(+)KGNPNaa zS-wGcy&2^`&S~AN~r9xbO--&5pF<1kWD;=8c zgz^9rqz*y`D%!U7_C9a+D#ZdhcVxJ~kCWEA;_2%WhpVR{T$-~tuC(2IRQf6b<^M)L zA`l+MkQ8V@e2hIceXLafGuWd_;RPdT9`aA@)q_fOrJafd^|?+8u`@AduSN9Ui#IW9 z9I|egw{t!jIZt&-6oEW=@fB*e2Yy+h1u$R;W$gQsj?9HOvm=u_-w_3nLvVB8p;tRA zo;M=&|LMXKs^VMHoUw#hZfA2LR==5%RI-*5a-X2nP6`Sv139(3fmECN!IAmU?0%@o zMk4IDbDlliWDE@-^ENAYI_)1G_*$wP%Tp56-rV#WGbZ(G7Y13pC5wLH$IfKuQ>YTxc?u_1BhCs4lc9dUI$q*hrwL zMTR*6;bs(a;bNXcEBiF;sWgFJG{CWz*?vwyJP;!Beck$#;d8#OV=Q z5*0R?k82^aK`L<_7lw0AY*oN2Cg*^3+9DyfdiEi zLfl%FVQTFpPM&DK1r@xN73m_p&s69XB`ww}T-Dl!C)2#Q4 z65)vRPbFBJ(TL`^C}>nxUZqg^+WZSg77v*KA!r4u?Orr$L<0FiSQ*8-bl3iHpEP}5 zQbon3tSic#p`G$5Q14PAl6h=S5TleQN`h%7^G^FFHEKQtJLhR1$%L0&NLHa#2p+mE z7molqWqxkLHP+l_i*pie_>`Gp)B!7zG*>PeCJJz!_vJ^!Gs3?91nVY^V;FnsiT6E}iS#wXK`kq&X4l-tyis5xG0LXo-=af$R%K0kR#e#66n99+jg(vj zMUgRqUj?oxCT8SbE8R}L!Rk5&wtocGeR+zqTM0sUjbu^iB(=M3{F8U<&G_n2%BV4? ztgmHPO5ON``9(mp%SMg*6`jTWXX5!(Y_H+lD7U@t?JmO=@v-Y|iBC45o;+Vw5M=V| zJnQ_M7&cHix8Z?hR5AD4Hjp5Wf`wzn$I;3WuBp^*(aJlS)MBI4SAiiTNcEDynXifc ziLReF!j&MOpxby1=^kJ`pBi1xOy#b*a&`$m+UOy3AkYxA03t?Vyl4uL+{HGS zxk+N`J5=#&P;njd+{^t9+>wVE65z`ysA&3w4<-^YmuK!A9JN(46W1yKqMe3M%dm>= z>qj9ci!1~j?%xdkD!(JoHZzJgI>h{Z2u2>Ln0Hw{v=>)6rLtGGOu@sR>am*ps|?2V zeMM`SPuOHiG&|lk90%?4mo!r28EY&=QLTX@rLMf^E2Nvv>QMAfeZdoSanM*eu2cD4w6^#W8xdQ?rrOzwMB^m<=8E*^(W8+s(wEE&@P= z?Vv(V7iVSc7`6PYlXi<*>VI|dDoQYbQWq_=d{T93>PpqVnDM1OGwTa$;I_f#tMhOt z<`kyN1{ZPwkvxo>=Pwgn`s$$DKTIX`O5RS+0w`(+9wOKfP9M*c^lFqm$!zaV5B()h zWO<~T`~<+?sCF}EGC>Cre*eBF`)AOo1A@w##bR%-B0?u;&j~UqyXb58vK%ECbn55M zxqpsh0!=zTn>~m7cXs~nB0-;V&F2+?^HhF_%X5HI$X7226(#rqVU?zbzL29yU1w_k z{6h7oIGvs%csw7DE|YPq|MUHdp2}WisE4O?&FXtAjt`zLF?wSaFkM1~Q$dmtVW%#? zin$r4QAnt}h_K+XSUeEKJ93XD4p;jmZ{bf(1RsSxMLaM>wN@L`SMsv*83I*#b?=c< zndzX!X?T?A?0@!-lM^Zv4|Nqr~YENUiu6lSx{#=D&5t2#;a_)Vz_J1aXneC&kp@@Qg-YU zh=Mdc2Ry&F11`zOSSKo6{tM|Fz!{{_nP@Z>P{?Ny1-44~1i&j3Xb(=akAXro%eLw` ziDd3i&YryZf2&snij|N+USd+ zEDy8q$mk2qv2IW8%>y%ry^;bBmbMn|?n=sw=>T0P)AzxaG&NnvMZNWdE8wi@`)+Rg z!)IW;DMwgy-!jxSVyv=l93OyUOApvH(#1@(6@9Y(M{YiyuKaXS?Pf-vF6FQ?iS5~F z7qV8_HZP-%RimEii@dISe>u9<$)_{KA7tW#1h};CybB*Xo}?${R*12!`AZd40&7z9 z*%x7>Re{T-rOK=ueSx5Q5yPDX3M0$%@_apMO7y;u_DpNalM|Nueklv-_597R<>NbZ z(U3#q*jc$``BXB2J3|ndF8|(O9DPhb`FF*qbMp41sJU(C%gcRYM3rl@gy{X>AuYjo zVv&2_mxcSzYtIK`PV@!6suo_&GXl}%osdLiB0jD zx{W!S$cfGF%Rb#!_{h&Gml*l$$qce1UX=B^G#Oz=uK}M5OTvJdstkJJrwLLNQj#fp zKn;y~e)6lAQI7^2(wO6jkF^9!(-a2r`(u5$cz(tcj#PT7As+_7Hd$I&Xt8#i+LAP` z24Jh~ck44a?8R2UP51L*zPjzQSh(v@wkf3dP0~lJ49a(TEaCX2_Rp{QqtjG~3xx3_ z!ZI5Gfyz|EXAApL?WcSr@fO?0eclDe<`mTXigB;_gXhzT6v#5u!V~!%+->o0_KmCQ z#fY(hI4yo7zczAgb6O)mHLy^<*V>nt#Ddc#;k(2b3(1)2C4IqW3j9%qiJq@K6AaW@ zfG0rjx-WtGFsm#_ZGz8Y zFZG!VcPQ=g$-V_6zpV!apt(Uk^|(2DWmhv;el6m19OA~R6aGXX?9yUM!sd$#&|VW4 zdKwtLvX26LdXMW;#xbAs#RaTCMuDA*#dS$&UaS+Wt=3^YY( zgEE^g^U;Z(xz;Hy2;sL3uIV+!`X9+WZPlsu9SSy8mnB!N8lIDykVV;7KSeO-gf^|j zCSLY0H=`~wqDqXnZAKzA%+kaz+^|Fk!!Q(wJQt%R+kSDXA{Do*q*sK~8j6tD_ z@Sg12wC{xSJuh?<)z~#c#V&GG)Y$1~&)0S7iwq|=tiLP4aOEFrKkws3HCEK$Q{>(6 zhEQ&7MV?wn7h>OV8+z2Ci}4(5J}XYj-Ky5<(z!2vRf0&ie6tO@(E+{@Y$Ifs8}+1RlI@^Y)i&qsQg;=e4bGkN(hFify#5`1_lvtVpu$q5$roKBRdJ!q+|xlYQ*j*W6vN;~=KHy)%!uA%(4N0(*_H<>Arz zBtruL{u*K{{5<^QhmrMmg9$uGZT4nv-@3m&qxHkNT<<}F<8&|Sh6Khot~!v3s1aXZZXSPIK~!b^{F07-|GZs7d}0%^rY()2|G}#xg7N;~ z+4bXun0xPpjtFXh;;YFI=DGB#2~g~Q_C$jt@e&i;s~R{by9`<><=u%YbqqUJCJDnD z>4L_tUwWE24m!ME|AU6-A>NNqbM4o7{^^q62|6Ev;vzTa@8;ag_uu3?i(h^6b645w zhaZO60O3-f56bur>{*x(8pml~Ld}I(QF1YN2QMBYy>5tx#|8Nmt?Fb(+|40Bmlqv5 zoE8D!xFL4=R|LY~5z6ytt^HjgukxHfhx>ST^-1jSB>U!kP*WZJPRcEX`Mglfi@duh zI)fj8+B)|t`D8LUmenD$V_TQ8*~)c0i8%BHW$oYe-hAH8Xz5#JjCpPTOM9JmGo2<3 z=;510>jROeWDu)eR2NfoM-)JecF|NLiRDFA(UkK6gG&nAO6>2pDp-4s$j7Ir`enL1 zPS?aAtPyp)qo!v5p{(e?ij%99jC?WIKMhy=-svcyV9-Hdr$ue!Dmbleap<(^OKaRbK83BV{=D;zBe(rMFPBN0 zkP|FV#!_3e&j4@E(vh`-FZFjxIRupOw~|XKL0-&&x8sY7l_9b!S3UYw*AELC^75JW zmH_qIfKuJYn%7NFjn7W+O@6fF_LYl&KB_eI5vb#$vbTyb>*aGGiug9Gd6CYbQO48KJi6A zWpuhW>gIGk&++Yb6?}s4z|LQTOiR9X!`-6oqvS%_wv^bG43A7n8ccA^x#5{1B5P8} z;N$SeQn_1feLG9!|;JPPxUA%Eid&I7r}P zSBefAgIEe&;1DLcpvCn!6|tBl1B-Y(I{Hcadz_=V#P9%>@#17M=w{N2oDY|mlhd56 z^z8-K1867W0WR@k4bv9rrtMS_g_$|u+PeXo#Kp8FKIx;B$>=I@Qfi1tL^`j@+=6WI2Ey}fQiFKW zVRrBm1c(^vbz{~OkGCWo5s#m$pUcN2iV3@=O*eM|%hTH=Rga!UTi|2q{`uU^=n z3K01f;=(V@VU3>~C;aT}MqRi^Mbq|2fThiG<7WD}cq@r+E^LfBguEpZn-H zf5#D`w5vzI(+Qeo79vUvy;dgw?c1w+Goe+gs!&hy2sWG}C++<0SRV(a_CbW$Bs5Bm zV?pr|eY&iO@NlWPg@MYhJchq@Dytidx))>>b=dJ)^HFbT;EnJDpX($psnY7dpIz%p z;JGxN%hGk} zr!m`rzx(Po!Y|Yd*~A%2j*8&GKAFEKjS=;hu=)X&7@eGt z9;jbR7LW%v*R+hDoh|KcQ=XQo>wTCl@u7W&wxl_r^yHK10*?B?>>Hq&T4oHcW=_R9 zsS|?Y&3yZq+zhgnNT8i)vh|%S1sEf+8|OMfgs~Cc6uIEldLOBr;Sj;JKnDTf;7ZK$ z8Y#fKV{C4T;Byl$JZBe0Z*Yz<_|graG06Z45(~dRNL+!B-v&<5WPos_4e=gp7o>Jv z_b^P}C_0%)J#WN+H#`X^snXmbyP)@lwukgOI5_-#yV155hq&Ap^&4SiI--f7)xFEl zF=-2KnffE-aWDwhx0X~H(i*DKdN0-y-b0!d0M*Qy*9g_-!3+n&=(}VWnUw<&yzDFM zh$^kx0kj%~*EH3d7sM2m{dX#7)J+=36D|v;++oPQpjx z6{BQg19K@ktoFvAi497IWwh!>uW|Wr-|s5`Qe6Thb`(QN$E6Dg{rmg(|NdQ6FLS%1 znPvopoxwktl1)O>;pQ+@>@*;u<-Z%{BqAX(K zIV*A0)pFR<74)A5!zlP+u%rxqL&!;if}sKd0YL)kVdyB9lOXd|(EM+kv4_-)cF1bb~G1cSe7*b z`FI@mY<>Y+V@MOqcaviYSt`_0{g3erv7M?5^v)F-Lt3BN2*tW!rX>;i*1jGKnRh6>~2JyhDnufaH}u4%K`qVyNy=$K1Z`Zp?6H#V`Q}L zwh6$6DKk61ZrGK1b#jW5MaM$ow6z4d+YDL8IbNKhw=})?mj?Gfkfnl$i+T)O3v7B@ z4$dWg0`&VOO-x(v*{(#RNae-|(Rei!Q7cdABpoUL5 zrW^#vn?3Cruy|AebMur85c6backA_N)ZAb2)aAXorQro6^Xu))zXNA3`%ENl#Hp6$ zG3xo^^Q=(T&QU*2#Wy(ey<)4 zP4k4`#N4X#xe|D6%dcn4nA+720rD`fNaHZ$dY|Qzme>3dTmASxkXLFeP5K?m>4l4h zt?+6aA;-*C40Bvx zIs6yd!HB1-Q$U2bWgcj5Ns^LixStKE9$t1{e zF{x{T5kzHB7KUE%lqd_-ActmCUk?&6{iW4}1Y>`itFx4qv4ERR>%IAI!&z&CdGyF# z(j_ql@qu$xun+&`O5bBYd9g*Bd?sK{xp{?E>ttCkj80*;4U#J0 zG~JJzU${d%QlS9tRINY;x1rH&9%t#=vM}k{nzmRv`(aGfb?bSt3GLZT5lqF-DbDFO|sW%FMSYb1-KK+QFGC zQLDEOtL#;uT$OtJzulPBnTSnu`;)D|r()x?sw2ELf&UEQ@ZQwF=maiQPO@Q<1e^ugc`Q%Y6inh}x{J4rT8+;vE4u*J~(wVyEwoTZe z(GcTK{aZ%mCcs9oOgOGJyywyOP`%f9%Z1!f0^M&n_LIp2Dx{{Wra0Kq{q!B75Nfzs zKh~`Z!ZX+E-h*p;UVjKGN&?u6{6U%6nFsBh5UAu;f1GyP@ONl^k_Ut6A<-b_c|3{S zKkYSG{V2w69(RW~CoFnBCFyszU)UZC-aI^;gS<_BkBFD}j`{i5`7( zUV(h%cC#7O^&eGEDhR5Il@3O89VYe`VEitId{3HHUQMm z<2?^mUCh=~R97c0XOS3DzP}RhFw`7jF3`R9I%UauKJw`YN_kr9L|{Wx-Du@8B|>E%`anwMNY{F{ z#PJ!S5B|~{i4T)(P_@}2Vl#q=y>mb2iyxZ}vXh189pE?DGld5P!Km7Mi93em71weI z1sJ18wqhONl(FOBdp6!^2VYvfonKA7eQ%6z-5P(-i_0JBm%4gH;RrZvr-*Zrecc3B zO0wemDMM_;JOKDBzk7oQAQY7({VdPafJk+p@I$azfeu+gZWXg~3G38~cz2Csv-UdJ zSsU8~=6NC(5N-2;JTzW7lu?lKGV5-jN%P+0&y zN@YE-Io0pXLqG3PB%A>-_J_^!&e^^TxNc?wHVSbq&D;MF{%xF*y=@gIt3lmauefo4 z5?2?O|K0+C{kgtZ(feRqdLeMk@eZ%(NB`XoM5uI-cXPebfgx%B8v=TQPkwKOoE1%2 zNa=JubVgCr890||tmEFuv<6CsFgz!Nt;$cA9`8}9(<+EX0)Rw&txKWl@G`v2F))$L* zASN5v;U2x80IQ(w0$6MhWKxf0-65cYYz5>-^J~sPB-^spu#d1}_Q$vG0aS&+j0%by>IC z!PN0X(7XMuK@y4vHK-Tm32!eA;}I^+!ZvYl-0|)Lfg{q#9p!6_irL5|M|lqXU1mxoWI?C(7)3Zmh-d^q&0bTAVlzD>KU}S|fLblN|_xLQhq~&l1s<=x#_3uqN0j**($<%<3tGc4%m+ z(XbyG0j`&g+o8{1}hYtCA$_-T&OV$tcSxK&xCt%pOeEL%I|l@a!4}waHDU zRC+XB@TqTt@CEv!hDZ=%`Z+nsYH9P8Rin2R^gilB_aW`8%%dU8we!kpEhD$wUlZa? zU$|uP@3GEj)*Yl4y+|-PNA>kl?bLnHj1KqCK;VCgKq(b%sPCex=xn?ZVo~knuQ;lr z8VE~YuD|}P`pQy2Niw!y{`HHeCw5MkC-bZP;KQ%xAW4yNV^(D$gP|-vdmdY39=KXw ztkfazxyhkK?Lwqarn_-2pSNwIjG*u`Ig}BN{lw}(M9j$SZ-r)NQ7QFENez9> zq7ZyOxX8e#M!UOrrq{|L#&4hWaOSolLdzAbKaN)Y*$TY8zk}FI>_KoQl{{u*j2DsW zW4br!N;g|(L{`1~nXN0811ZmSCdLwxnIAmy)Ujr`Ck(z%O{F99qgt!}d;`AfPfB!- zpKcGY=&7aDA^n^~a=c;$`=j=)!y4dso4vC^?+xvmiKW@9uNg|;1&kyIbP@FnAv~=d zIuh2q?4@aki{X)Ad_z$W#Og3?kFU)PWXI6}Dhvo>g-+@bWLvBpQdHI4P=XnYnM?u| z79|&ow#jy;JeWu9p8-jxH;a!-km-|owx85P2tQO2ABNy|~QA?-1m`!8hm9HJZuB)X8~s1BD95@ zQVAEv(A=pXat*&?Hr{JX*KVBKi3#71m%&-&T~Fz9JcZLHG?5BE$qF8)EDveHykl(Aq6 zMr(+HCZOZI#*ox*)6Z$P&^r&@t8us5@H&uS5-i0a|Bdzdul>^xdN#k!j;89HhjFa?}Y>)fTx`v zw5>MbC>EVuUKdOa0j^`;9c^7VV+#hoA(!adPU2Ai)e((pxw>bsmSzaaYl%H(cYl6+ zmqLm+vEDpS(Ddc*nz*oPp9H>aUIqvi3Y#6+L;P{#MO#849N1A!)8NJ3xt}S7&v09l z$qu7q(jE$X=01>S3G!P-CW)lWNw8#l03Nvs9P4Vjg>1^Qnh4etcLh^zzFsu#fLwDE zYq_S-iHZz5^oDa`h^)z}JH9ej*M8mI9qXS4cGROJ_(aNUZ>=q_B+E`n<9Y8`!(ny@ zx11U`ehBPOYUgjKRv->4-fSi{386Fe5oTwI6;a4wW5zOr^oq14M;oi0n@j+a)w-ejNz zig=b?++aUJBX%OP-TuN#=kM0WI%J|0B`8x=p8i`+YZ($D99|Q#pN&+H^9L4EtxO;k z+uDFe{l;C^)~vtX#07w0_AjYgo(G zAxh8S#?$yFuFh=qv@uV7i%6~oEnc3v(dE-)C=ATYlAp!b>P`WdhGuh{Ey5Hq;hOms zHw2DDyU~%rQUmjHFO42x4bDyuxI4`3D58X@q&=DWP1H32UBuXAghX2!g4O;yqBV*c3|x5 zn!8(_YAWmgUnUabh#>RtkFkG!%-=SFTfq)Cf~ysgN+)#=T+ge_J!)vYp_m7~e=z|Y zYy=oIT@kHO}bOT<|Mb+&j#Zq7>a1iAu#bF+y9c1yw-#&~73QefYYB48dMX9dn9lP#ff(lKTVNQd#qK?w+9>uG;UMMi0c|KP;SFc|GIZVmMdDgqXOOm zCNCa=Z))sdL#UIB?RNlcR$(=8$Cdg^cAUmrvjR&J2U{iA0E2WKVb(!b%(qn|Yy@lMsCFe+t%b ziyBYV7+8nbh%|BpjI*97B$}ydmJU~4qD(|S_Ha^#S+TG4WkzEKUSM%W&9_xU@+~bK zXZA`D`$P+$4%)k4K9{DjiX&|2eh>q=gjXe;J($+DcPJGtP zf1m54CmMXZ5ciZ4(-zj^neP7ea-m+Y{_upCKRkMMP1P3^!OxMh>bLMG=y!aucH2K? zQ#LW5NO93)QJY;hRi2JW26xQ#C`gfF?cQ_Nr9!s9b9@5{f%CJWZgqw?s|*NgCQaatNmdY3=0adMtk0u`qtp*nyPp?>35Gy9E*{6J>WdGe^S4 z$6ymPXUmm*_w-~))SgARK$iIYHxlD$PNCq&L^)C0VBfYtGMRKpAt%Gm)~$?I8>Ds8 zF6WzT*X(;iW1QlSEwS3XKLZ*J-_}fEH+y6{#H5t*E4S{6<+0%7Te7jeRbb8DMfpP> z8+MNpJ!XX#$YHyfLT#T%n?2MJ>i!LUmSJ^cvN^BnZ#Mh78rWE;H&%^2fs*f~9XYV( z&?e3{Pi}H}z&i<%POT9&iZ)6`(6l|7Y)KHoh?h?kZ%THS=#h-GZ-xlUxuz`+yYg4T zlQMQQtfv|d@25l1=8cjrJ zSCXxRpYrfRF2IZv!izY`kR{dK!I>M20|;-BG)7R>N1%+?pmmQ!$@uq@Jmka6`50>s zxe}D^*k#HRehgeY+S~f9ynwJlmPUeOv(KRayp0t^%u0?korN(FRD8y*`f@W@n;san z$Yp~{p539lP#OHhu1ka$R!PQ0-LIUQEj~%Es72!V*YNxAiu&W^B=*f*8tCt|AnA2& zwyeCNpSF`O`I@7RE5JE(|m z1T+Tk*o1TYxvAFxK5Qp_6Y~!ccJ1HGkK$!SqU_t$*?b)f);WQCrk*2T(HkOOwOnoC zu72K&UG}yv)mU%b6>$lJX^j%#3=k1QYcD0C8ie&Tve+h5E*Y`Batv1^%_spww+}pu z;@mhuGE_^Be(H~4-eRZ>SOb&rD}%l`o+_+yUxs0S%K&Cr-|;=#@D6Scr)@8jHn&u> zjq0RQS@biISvRzCTxQrF&o9CaV}baXswIY-w!Ht!LXl>&b@tZ=fbA;aG+>LyUk}-+C^?|L zFRs%?iSL+nJ8=BsIl&h4Wk|JJxKH-Hsml&)U<4CLogPBTRIg|i=I0b9Yy5)~Yprx? zhMziy%|7*lCbt7hBbSacQD-y(?BhSK^0&W-J4@ivU@wunzk_$qe+kz}w8j(Rph7pb zONXVr%j=H7w?h3OuxsD+(L7P_hJql2>c~=|&NkkW5RPKRuUJK_EK}su#j~ZVpe=d}Q8}q|zFMmBANy)v1O}R*TpuHc|dTC7U?>xEwsq7Sgq=opnRKy5}x_psqhe6Pf zXNTa@SxkXcee{&~lD>2d*JAY#KQ8bTX(^G?&PMZ29;L-3f5AMfb_^hC@r1-OHjB@VUZ$tEVN5O!xsV9qI(cyx{-Phnjs|*ev*e=h zX$j(~V-&BtC9>+Psz%8%;kXm-vI}dZT-bzom4z+En@b72aTv}IkF?rA$J^PixNt6# zt#{6IvgfYGKyO-6Ki_lk0t*INp1zVRX)9CqYdijufLK|^KE?l!?t!0{YWmUxOGOa0lt+ZX~#F!_1J zaz!4d95fZ_0CTH)Qy!z*)o0CJ6o}X9s8oKv+$3P)vp6|oWzUqc@!MyK~RS= z)P9rz0|mxCdf$HbpzR_=ny$GrUb)V|K)Snw!1LiqdNM}MY=55oM;Yl~Wqc43p7U-4 zTyD-)l5fmA5@%bsB}#U!7Bb4b@Mt(&1bP<7^rCg%Wf^l_sPS|%h`ACuzG|U}&0$}^ z%uMU_+HRB`KJ&UYo|AjpmARy~-=i7WJ+q+=!qVocC)4=ky&>E^H*d%0fv;Xw&Eb7A zz)6$Dv(bd&KL(3q7gGw{3Hz&=TxyTRPL1;k3%0W_D`g8aF<$b%QY}RwT*5G1AqcUH z5$=V=7LAdBKh-a8By?Qqj|rCVYiHPu|G*bsLb|fvWn6?6nAdRz9-VOFb0!8zv>Z*` z`;E1iwKlFK1cbHtPu^wqN(|V;ly2mRA3nSeqX zq+3JZ+~1$uGRD9kMnoB!f}KwpC5FexD64bMc7LVs)UjhxGL4$tr9A3ullB#>#jbgi zbU>$Q3r9^0U0FaYwaGy>&?Ek41^?H;)PaG9N82=A7*}pnWXbFiiDLw-Zv#drVzo?| z42D*v52WeYc4$gLzwd?s_yb!?s;N@{&$lc|9s}&RSCpxA>@3Z2_TNU&oY1D|LKEd( zJ4M#LjH+9mk!<_L{)Q-8Wl4E!JjqwN+;@^AJd~F2cK_XJB`nf|HDsQhOf-SBl70{Tn~&5g;Ou7J=H5* z`$8PcbDJK*ZS@nkPQzckY`6wXKSL^sWp~`flU}Aa8+LuzHV+6FHi6Z|SF!!{%@+bp z^7E`e>1gxVnnM5R?CC*e)+S67%HA+gT42|s@TFS=r#el!NtLaaLoenc^Pgi{o%ZGiReGdE1Fof9 z=mXH{Z;Q)dNkS;5q0GEy>EYU$%@PblRWCP)#2F{O9C-5iv`>`xMIyG^_?9Gi zUq_S9>hvzkrr%C_C%Ol`-JhIPrUeJs8`AwGgoXs6@YwYYB0ktUo!3%{=$b6-0XGdsq@oknae6iYJ*T-*+a_2Nvm3`Qk*b%T_UUOK`1yR`YE4{=_dekY z0~a|rotSg@k;2IvBjIg^O7TlSXjjhul&1Y~^xAOX(})KS4r!Wq{OIfD%69Kh7<}kq6kf!_(Jpm)inS@+ z>J!SV=$+7HzdGj4i)#^+`mIK^$s;_URls3K!(`2PoM^{D4;kWew*b#(R|W1me^75_ z1YEa<^-o$2)ij*sN+_Y`?`NooMzc9R*F=RQb|cpkEs>pc`{YbLNDKhoY7dSYm< z@qn@4d*5nG*a z##hPx(ht1-g`+>t^$#-)!6`L+45P4UVkC2MpKpGk*N2fD3P`y~DdVY>NC~{l^62i| z@^&=4jMv&kQ^taFr+2jDF?dXZDtEY>s*xaS_H(M+UDZETE6p9`jw$IWS_vy!2Iuzk z6{xZ?E+2@kO$&7y0j^i6ue8}Es*~kphfo6sZe-E{`segSOK31bD{q^3Z#HJ33CFJG-_wk? zb2Px9|0HTk+t5`@b&qgs_@=Bi-vyJ`twmn71dYzE?I-?}O7ixI^{V|%lP5nkSUaN! z21YI3zDy_O+R0lBO5k`|UgVS&b%3E^0E#H-jsZRM9us`|*~zYa+oIj!)S1`5>{kf2^Hf|57+MPZQ|bi39jtVR@b|p zhmLtGSPogJSsi}WHqs~**Y;4(h@C1k*!X-WJZ8{Uurc$bYjA(8Ub+Y#+h|RAI;*v@ zA;$964#(&P2%R@(N;zc5igXWCp#W7_AqJi9q|iD(`5gAKt=2&dXVUylgU{Qjf@t~`!l zqj=)f?@+Wp9{9-G>#FH+%RzHx$#63RY2{vs_@jp)pwPaiR6gyPBF4jWwhgfJ$&?*@ zK{YhkTf1eBdoqoqtqd-r53Fv2q(-zM#jNNiIIufcOV~ik-{vsPTc67CV#jByI-IuD zwatZCAQA0NSV;k2wCn1&e|oc@9k44rEB*A5tJfX@{GR!>cct^%w2rW15#rnkrxz0C z?3KGBjX2+wdxU~B>|j-laB~y>#3yROV&oky2X#1c$eQ?DGD+l7bPrj0b~zqV*8q#x zyhC`LC^46VUdxf#dtA(fIX)os?E5mEeXnH#2+YzdmX8{KDBYm^x|KN}`<93j!bYfmDHM_2W>B^o2w;*j1U5S6p?qJOmg zO9t#WaWdRi_)5P39~+{K?mY!s6>hEAXxVBw-`BJAt82Uj)SmlvOVAcbH1A{F0Adip zU`K)+z2A!R>oe)cx03F$`ZB815dLX>6FG%6SkEk%FxBhu`-F*Y9Et zk#QwF4uKg-*xX-OBEwXP*SE-5=|@E`T*Hx1q|iv^4rxkR!DB?OADd9XLE)lKaJDDI3xHE02t7|J8V-*H8 z997}iOQfwod`TQ*jh@N(;v`VtoO{%W7(+JGFPC6RqHpGA%5f(U*F|;YK!4P2cUKBGIr@2a-vT^`4DurooJg;tL)A1I)k3@Qm{xlY$sDJIZIL{V3`!XQ z4mQkahMuiZcRBEY8OXaN@eBe7chM&vBQ(f7Y%gRPe|z@s`e2xm)7;_51<-*i89&1q z8{i~_0CL;Mvy=kH9w=#Fr4}*DxhLVk^0JArin^P=8zeKG@agbpHJ{F7XTj!``O?+% zPLBJ?W@NS3b;EmyvTG4{!5Va02eXQJ|1yoDNvC2YU)*u_<9{5f6T`2NiA~=_EhihJ zXczE%f!fd>*%@+7PDPnIOi*IrOn}y$lLv)VG0}GqtHV>_-ULRlW0G2iCsd7rGP7&z z8zUbgdq~c=H6MnP2Qtk91D6`y+EY%$-0e6kTL!f{M^EJa?ir?kU2^FN;2+#O}@oah{k?X2|O_o4UeAtz|0{*I|q zFf%bQ%`z*9mn)TuQ%y}u$dAq_Ldr_Z-%QXeGBnCD{16sd>X{f-Z{XnNfy&V0A!TUf zZ)EA@8QA0)80wjtYMIzT$1tfF?BoGjj@W6Iz^oWR|635nVL9U2Pa*0*1wsG+f*hTk z4d`5yV+5r@84-i8U(v2hYp-lzBGwYz&ZP|y*uM$CzOh!*@2OXFcg#BGOY}90mIXlj=j;cm>l|D=9VMF3DZHP z4T#XM^sB)EmYs?Y+0Uaqq<>P{<8!NcQB13tol2=yB`#}@RJ3*JaBY8jmg0y1=6e&m zv?&uKIH1N4Wi#=V??{w=>8^6#Yd|Vde|e56_WZ9R+q2v)pFc_@|0n|YKZ=+cSy@L5 zS_TTB23@~XAFT?Y!C9LK{IRxAjDYOupQq+{k4;p3Yrr6W%UlW7`wyzN0zZVR6650-kg8Ulx_mb1|3IjjrFW z{8##q^7(JOPn93*&v@If*v+9WAFunPEUh|p_p=2aW+qmhjc$y;znZUBEAr}j(tEOC z;p=B7T5T(*YzRAjYl-;8&1Zk_db(GB<`28&KIJoa>3v$kI`ctRo0LP|6iwkx!D(`{ zH^m2dGct)VLv|-50(U3a{n7520}Q2X76t}(U`T-gkjwDD5yVPK2JThJ%|X@#+R~6# z=(OybGy{XP3_=q~`Txd)K)s+14yh?==$iK2PG$ZDRJ07Jgaf1+2p~4?k%ef=%u6mp z)&+8(|7vfkG9CtoPiE*=-I0UnDoRO2)&z2&#yM$5Lm>u+t40hAoDiG9-4gAIZr6ERsJ0RmyFd=Kxe$A8CNSi_Mk6#qyfqgn0t$dYcb}}W`x;MRJb3_pjv7~!ilDkMH7$^e@pJjZ z$Pn!;2oH9MRSe}YO{o}>g}!M89DHC0W)(9*x3Hi)54~JO=&@c1)`MP3q8or-j)4q- z1mzj10gzG+T_1XGN9en^2x2irA29C+c(a1`;4tt3;eRIJhMXl#40;T%CWgW0f!f8z phQ`Jz;Z6n?g+adg+Idml0Z~p-VTMu0VJ3b>F8+p271fL0wM(i0)qHAYGUj_?_p~bov1G#$cQ?WvsGf@ zF%Ln(IJ{`X638sxZ?G5pU~AaeDkcVnI%r?xtQsn|u;Mj+v$>t<;=OXsGP_$(%$g%1 zrJr(L+*Q{$Fy<^MIo&&u%AVAlaM+kBQO|mn6&*e5wmTf15^@B}v3(HE8s}y_uz+921WslD)dskqs>#K4cYpszLt0SJEEz1U!yOrU>5z)0yTR7qhDh+MG&RB`r&Xg`Z ze(7EBA>3LW7m-h4*$FJP)&HrWHKU??*?P+~!3?Sy+UrWO=h^-uha?ex-|i~Y=(^&# ziB>{Q7*Lpl7|1Ku+bQi~L7#miF$iU@ixRiUp8Y~63&`B)b7G>_m{eP)(zx0OiL66! zJSm|RE5m$+tb$)9rj^1LSYL}+MhR+|PNzjbuvvMO7fhfi!aN(89!7?;(;1NCV)$v~ z?tcINSDsjum z7`H3uKtReE$kP`{D&dfdr~_~hkaobcaae)92758+GyJ_%Wp7>KX3wX3!t46(_QLm~ zezDqSeFr%zHOD8ALcqoKqj^Rf{JMz|!yKK3ff~lGHlxWZ0xQ-|Q!KhO%b}uDOH6<+ zlBkZ~24e%4929W%ItEv$^QNn#Mu15$CUD;!PJP*!+0gKlk_hd4Z?mlLJ3toYilb6C zv~g`-9Ld$XAfM{L@&ZV;tt+P8pZJhtnT{&q`4Yf!4+yPD9a%F1#_L32OEqB9n#>5GaYSd^4ME1*jpEU1RUA6^^~tmw`uj5aqBG0;$E{>pw`Ux4Wb#j zl6Ew_mnpia%-tL>Lsc5`ufivIcTYqQn=;L(r_=Uo- zgc9uU?fp`RaI)qh(AORr*nz0F{gNyo`qovRPBXSuGOrKrh;QE}r(V)k5kuTAAg81H zjB*HE2RTocifu_k!^nf>v+VXB*ar0K7Gjyzk-dV#w9gl|K)ikjkJ9Isr-r@rz>vL5 zP2T?edHmsmvi<5m|GdaVQ6cbWzBV

hHPPv(G!A-1w?nxI^7 z6sZ*pLQT;_S^WE30ZuL4$XbE}sWMVcwn8;4s_g>tJ5!UR8Iet1J?oYD)&ziwjSRNm zl~I)ez&j)!+@*@%i+mMvyzN0NZ7%uic7l`1Hg_Z#>k=Ohg$3tc(!;=R!XS-))4@QE zV!~`ZT26ZKx9y<$3PUHO&;2dW`M%(U1+y#m=-c_#P#H<)=;gEEMTQ3*V;XM1Md-Yt z9B1O;Ve%^BbrC~X)nq4-bBrNYah&`@vmi$D#*+X}>QU>7^mF(~h-nF4t!hl^Q#E$~ zXZ*ccW9gRO3T-l$3{8&k%EGp(yp2na3yVwsYzaJfRb8@kdA#q0GS17Ukk-Jq`QV7* z+n;crzcW8Noc;Ne&6NvdtAkj}C}hp@RBSZaZN)=K?3N_p2ei4k*E*(BB9#eT;tdcH zvCV(2_dF>2$TslXEGB|4uM^t9$YoB*?2crjlHFUHA8Es&X-)mofF)6 zHqcZ7ACSA!h!GQ9IJpNfntDMX=tKdX>1LxukwkXIS=TP{IXjA74LDJtGOBo<^hFuvDNc z&>FGZ6iF#?f63ownau~>U-o6;<=m8Kk1Q?w_ps}h$azVB&ESl9OHAKqe&^~Dz!+bF z`@6EKal?9iR2*GX@;AGIo(iH%Uw34&2T}}QnC#)uyw01s;my>74Cg{*e+Q)qhN*od zGA4^yE|k9pc$ha)CeY0SWp7c71zqz5zpq%7s zZeA_xLpi$m&v%(4bWY9+FnhOJooG)%=yomo3IU7*MOLAz6_g*M*0P%U#8uABxIQ&O zJRNPZjm0!9Y_j=5Hx%)Gh|syE+Z5HpT9K3ug0YTxX#jSC`rDvgL}d(Q628uS;pN2$ z!Q?K#+(SRzviDfNwYbR=(fZ_Mj;+Ijt}53xR0`cq1dAnpVdP^+!lVtI;mH`jfypWd z1%b|EMM1&K0UXG2_ye|IQ~9g=)v+tO@&pDs1w~Ui-nQ?dTky5%$(Bhf%VH9av3%uX zRL=T>moIjm-*fS$SmZn`!bv3ZJ<~!}NY>t)gJ#Li*RH(-IOvF&T?^W#b=TY{Ro-j3 zDDgWuyiyD(Y#_{n91U=)I5h~@SV^hp48?Wpa!T1Xm?_)v`0NA~(cc#YTjo7keO13U z6=kArdtZ<#M$kuL_F{pYkmP*rL-wTx14=W~!E6@K(T55SDGPHt>wU=+GAXE1&_`}@ zThG28)Vsn56fEqX+ciIuFSKhtx|)7tFnpg9It-P!cocLr)ByDw9`;RUld|gCHES(H zyF`B@)w-sO^9;1tjkuh9nGxggrc#JIojH=tVR$jiR#fgO_^@5z{afr6imMc}B&#P! zAfyjx#HrtV^V(>a3FYuhhD#&o$R(8Xp*yAh5`fn46v|HX%zOm8Cv~yK~sKS;GY@Z8k`tw1TL|zgX-yzbdWg44r zvjmeC!L+os!igBm!Ah~*5(pa6&>WA|$;YNLRA}YpQW-jYQbZgYvVoX0uazBg!_tVK z;v*bP5@HVt&3+=mZ2OEU)H5TQ<406jF7 z4+?nPfYo;A53(?@6r?Y>ECP~umKoY~WXQ3BkkZXhrb@fILJ*;NTs46o{ zCJ~5c0y0|xg|Z}E=a^`T!M0%R7Jw2ULna?i=~4k^ ze(d=6iyqgLS+lFRmzz}rVva$vWl8AiFd>!114FU%iE&$90hX3{Z z@4{lVz;|5cg42WB3zH8MF5U7YqYCMOgcna+Sd%mjw^9PFe8Ud2jslva-`AlQC5GXSbwBEvJNJ|XZ!NYaeQikR#j zFiC=i^A^%d#6dhzg70K~GQM#Vx|eaaER?^wxu!OAJN(R}`(S#z4e8^a?3oLFvqXWVAQ^QNt)EH}|Zxa%wBD7U>C zg^^9_6_&n&HyFd5GhpZ5-Cfms9-re2erE;FCeyH38n7g77N1*dtF1kwxQI=Qj9agB zvq5j5pO<>(JqP@$MHCfN1eZ%Q0ylpL4AdbS#tZgF0_GC8#Eod*lsFLqfTBq@3^R|e&D#h)h^Af+w2}|1``+M$Tp+G zZ4#ezG=nl!j0K8%^#OcWWovi5UcnCr-?b73izt4azUEvAFP6_*A#EZ!szo!e(K~E= z_h`eOhJoX)2$>>=!6bE20xzeQXxAsH4*HKQ79~i!jyw8i)+2A~;V=@9s&H!`Y6Z^X z!%8P1pJt!W2)}5G7K9H->(TuM`Sik2?6Y!|$bWU4KV^{Sy4Wr2 z>tU$p;+OML{utdep>PrUq@9b6VYToTIw$TJw+nPbcW4KLQk-G%;DWWkvlNZnL z=k~$J>+l(_tA9t0i)emV^QlfoEZ+sjL ziT{2qep~n#j)hY=ZGl@1DE0@?W>?BlAkN_plU75*Kc+C00}0T-CRbq%E_6 zxhUym1z{K##1Znj0QVXfl=>>f(T(UYIyAxVY4yx7%A4!ucR>bz%wmPLEt$jO$Pipzv-qM&bD0gtMmW_LJ>_xb4R*M z*&b3i{jh8@CudleWyamc606gp39iEV)SA&(ZVg%quXbg(JOsu66Bre^CSIkF8nMk?YV?g zWw*aFB7fEhC}Gg4@{`U|a8#++LtQ1@`A~1uQrWl$Tg|oA&Ql0cWmmv%*B@8c?008N zX1@T9oFQf}$99GS%OU?uos=k1L&=>&WD485+iuWUbtuzQ{c=d9&qQfGnX$}JE{k2U zKuNo6^Z`JbZbX|#ox*ia$H&1~P2cjH`y|w)v3ppdz5+HoqtJ(8$Oy%lt|5k}5ojv0 zg($KWXT6!c$Cm%{i@MeV+r&gniq9p~<*V z$*Rdy<*5LE>Ls9Pku=g2)>Ool($suvPrP|gJip5iD?14G%IBmiQom}++xc-rXL3mm zlLI=krog#|GULza;n{~3Hcn3==nF5A05`743XhkGXTEwc5+9&;{GCfhu3=aa53vqo;99 z+l1#g|BIu^<*8dYMUiKX_gnp-MrUIE!5yJ@%WbjGNg1n~wrNM1yUMzus;Qbp57g10$S z3hQkK9#M2I#!eImaC}5kMX`Z(SRw9h<|Z|i0sTmGxIRuH!tkRvW$J1pYvxk)7I7F| z@%nDXf&$SWVL@sY_g*T-LA5S_QY!xNe*OoU9LKE z*YvhgK$#y`FU_nNr(1DRkbr}aW7hGlfzVll>e;$kV-+Jo)eltU0Zt1h-Orhzy)|o6 zv2H^aPr)g7e|xvl+z*PB?#GO8cE#kU-`GY+@cTg088!>uN_-!N&;vvIPEGnt2?6&e zp7zK^=Vqzd=?C!yJ{R(y0zMWZJNe%W-1-mr)}8c=%O34Tyt!p?Kihy2vm`$GQm=+v z^fyt)Hn4<9JTi4ozL0g1y$N1u6C}>g|UXQA0!J0 z%2<+HE>d{(!$=V(6Phcqkz;+*C|`&J*jXvw*Eu0Px!m^%5Y4BdUBV#y?AN^Oc@|V z!x-GacZl zjJC{M5Z@>o&iu@elZUnU;>eguIF26apt`Ac?PSFcbuoUeB%=9YH~fA5owsW+uNF?LWewBjdoLzH>_JXxW9O;BP!W%7O6m`1WB2i9R>q$458 zh|F%7{b&rS^+)+>Lt^d>MrHa7;F9I4*&WS8r7CpfMltKhT}&D6B7D)3837~A9Q|^4 z$)40T60f2`%dJ6%3s~-orU*L^+}%HBY7q3C2~3jf7Sq3FmXpWLr$yZ(rA@GJ=37A% zR+MOOf+uv8!a&(IczyJpiDJp?F2~1BCa-Jk3ohqGC;nb zjv5b~lN7B?`^-uo`=~u0fiDvpl(A?6=ll_53yb}tA-!yAudaJ*1MdcR;l zrhM9rg$$*SA=**+UZ0rnxNemWZpX7_mk@;VqrXPHqc-KfMO0!59>Qs|GtN`D$_SjI z+%fr20_FIET z+Z^|_Eom#Ol4JcngYW52ubR`TZW(wswPqTZHR_h@Iq{nzDsy>-UwIyalIja!*La-RW< zPq?-*poLUy<57VvLQ@LPWhx!&53Xvon754l^>K~N2WPX58{A2H3;8Y2VS2dxg*xd- z$>n3?WwZbyQXuNlHNH|Am+3WzALL9$^kkXt<8cL6RcWSfr}Acd5~#6~o)7Hs#KS(< zZgQ)_u`d}a0Ts`%1^Ng}X~P?VsMqqe`H?r7$mh?w5dO_!!eX6lBnO|*=s3J~9ih88ADOqO{>F;aRZ z=VDLWRe|I181#dB)b>J3SbD|7TrCNOPloaM(;t*_PAdIB$q;?ij2O+mU)hum_1JAWIYuj9f>TwM#gRc zYg&TjAf;0N3yYAgwh&CU6<&^{55y5=2ll>hfbp~uPpT8skg;fn!DwM>I3+yIJcHxu z6OK4&6C~3iF;(Fdn}=D8)Bs#6r_T6Eb_CWrB?Ks%67(pO=ko32p~XYg5w`D6A)dk8 z@;Ex$pUS?2xqS&B@H%D$4$Ldla}Vzu7C#gL;bC!h0+FI>RY^S_-vH-Ea^$bWw^pdM z3P6%^RA>oAqg#9Lzt)+dp<`3Xs9Q%P>C018`n|PI%{l1!Sm_!0ipXd3;pv9IWH3?w zcT0bgMbUnG-|9U4>N$#eD-92JvCX@!#YkN$W3o?2SyQxG?h&4aR^!a-z()G3X5l3D zGOOPaT6TQ@a`-YOnezvCAsD=e?@WHuIk&eu_pjRpFFr?)^I{e@n49JwpV8Y!3gZy) z$}Dk8C6i$%Qu-@SW!gb;LW%Q9|Ms?){6mtW#uT6*ENfxLh}){$XHBE0DgO=Nx^x$-rMAXaj_QBP!=p z1+qI7!3-Pt2^wlA@xWfPOeC5y7q?}+FM64U$z4#LZ>>4Sj7HU0IB z*lY-fIwFXS=J0wj%l`7m5$gDowy@k8ATokK0AuhjpKA}5CrU*9=R^TljNO3NL@PT% zAlqcOb3d<|tmk;zxYyDfTS0>yu0z{)%(1&`Cue;FxD9q;enGqu^~*{1Km|R z@Ir4Ka2Qe#cZ7m*dt!A6GYK6x1 zNK<*tmZnc1QS%yoBVyRZ_Xc1(qAe6bcwoNsXXERJVAgO@I}#^w>4AthpdZSk|9we5skt5^iFghC;Y0B>Is{uUK`jatc5zehL9Vb zNIa@%WRg$fjUKKRtn9oEGsHFigUw^#5W5U*6R#ZSj3=yi+aZl7S~053IRj)$c*uy1 zsl_fc@$6fWFLL%sMSwYL5||WN1lcyI;5~&e^{iin@I%;yQPKcbG^xK*fRX-} zJlg5Y{-`}U-SpRb=ZtL@pInPJpAIhHy8R7ZCt@KdjAZqCU$Xo=!nB{C{w6>EWP7u@ zNfd1qP68&#K~433O%%qj37QG&;I&D4SzC^t!EGiAf;YOxL4C1`h!qr8=uCucKKgCs z3bp1{YZSIbCbTxT{xxd7H`Q1EEZ)yN=CU)FhsmeO4CbC$xhKoPC8DEF)&EeF)y-k zFe_2Y(T&SYj#Wz0j!n)q)JxGyO^?z_t1_~xGAb~F8WHvbq7^+b=Plx3fc|g8ebSK> zMc_a{8EF5dp-v`-T^ic);x8(;SeJ+1(e-Osf9&J za~|$S2x~Yj4b{?ALK2Wrq@*6=pM1Um^Od&Bg`i>AgjACimXE9(Z|CVX=VmE1GB*7< zxh5UwPYPplHFa1QPYMM3#zT< zibcbBcmm~(m5WUnick(s66;D6S4${-$}*T$Sh1(sgM92E`xHs-*BxdU zk0y}g_-X=Z8fID*i7c5$66F%mErjIjx-n(Rad0DSF?shiSz3WdR20wTz6SOO+G!If zU>o~96?pZTvqdaq&2AhZ6$~)yy>>vuI1wy)Ud>no}y|AylYK4ivdxf(Zeu( z2M?&cKNpnABN-=;4~1sD^=FEq8k3Hs9FC?eUArz4g*}^S@ zAXv-@mwpg`(>?rn@-f|RpaJk755i}wo=Ah;bZeLGJS6-28}XU7RyPH92lX@H)8^m z=ulkQDpJF1FYT}+Buf3;ILbXL4^uWT01n#YCDXy&+QG9f5?b7DyZ_D!uN~>>ky+@D zqpUh#s`y7T&z%F8`xcz_*v)&CP2c6(eW9mtHrlF1mhk4*{M2)fwvXnZ`dP+HZKpKh zSfT%C(8B1JSK-4}>jNKmdbs)XV)l7On zJ@jMVKL5xc6mP6uVD0|-^YLb7*69++A2<+!?-RZqoHk#7T}I>eZyiY5#Hkn=WL}mj zr|B-w2<8GGR;=eMd%LAG@D>sQ%P02WyDfbSuQX%o?+EU>K%fQSa|lNJf}?E-Mk{H$ zq{-nj-fw^|XfoOM*q?33hf2%zzyd&k*QPP{XF*=U^_JJ+Tg2!eP48HikGhm_ek`lG z9GPFi^Nr!Exr~}r((uk}-ouOYehhg$lJ?;fs8Kt}qdS3p-%a+6n*D^LM^#ntoB@v`Z4?ep@T z-RWK|l;`KAspsn9X7@bUwPnom;<6GWzr(bko5|1T^YB=fj<*%OanpKpcv&?f?|$Ox z`O)#=HYn<3e+c@qru#%$* zl+NB9Ii#EYHW?7jo3*?rDKW~PwH}-R$<-J??(akdN|o-U5oMA$bv5zGKt#9EsemrB z;NU7F1hAZ*g(XGWkHJ#|)H9nBMpp*8wdKdvpxqBC%_UVJom)V237^E$R`&YGoYW2N z9xBwi85q4fAaj#3x*34rkpT-_pn-w8j1`8%>T^i;N~N>1voO~}OB;&C@}?(RnAE4w0k-DtWc(Q6E-Vq!G*ti_2B0Aso6%+?4lqy|7HxnF z4p?=uh)Jbd&E^Y>-xj4*alv%ga(#;hOF~p|+D|bo-9fg|c!s&OHXLlcjE=%o>Qpj^ z;`I*UG9C0Q7#ulYl_ytqq^ElD5ubVeNhcJe@@EXAYEGMFM6>v6($v`H1X z;z+xZj&m$ZIGKvPxY_plCVdw&O^hg#t3;ZHZF?iu2x3B zzkaX;P#f0XF|v~@?~W}(OrSKyJLQq)QYOX-XVl|KDX{`ARKkTg9HflE-w~2CJM{7( zd5?y6p$Hbr4DiqM##?tm${iBQ9!fljv>S-Hu^PiQ|4~fGrEiZ_$BB~ca4Tfj!YW9y zRfvCfpwk~D>^L|?e~9tQI+*XC7D`r%U9Kp~>mL;?Hpx5gd*osk906R1jPb@YKqYz{ z&VCO&lI_L0S;Sek;=3nc(WMZ=g_?rUnS(%a5^GN+zwg}{cGB9#xw($wT|^(Y8-Ilz z%wyW5B`{rvg?c2uEK^6L9Vn#E#gB#O<#Bo^Rn;j1FEEd(b+IebVK^{yOo$NaS2-C@ z7&~%O7lhI*^~ZDKCeF=`4G4oerp+yHb8hBW`BXVn*p#V?BRl9cql=MSroyBhO`loe z;+7p!Xzdx-gEI`1ZjuAfZS#eCSxcr_b80lj^2ouHP~esjWNqM?17-27o=rGHxU=J4 z?iL;>K$PHya&umBg0kUUCos8*B|5b9)!mS84oqkJUcYXK1mf+SaB|svL)mqg_^|@N zOsLty7w#rj!gxA^uJVHCIPvjP6BsbGWRPbC$ammZA9M~~U+eu-?&NPkQS4W>@eKV zvck)t>K!Hh6mIy*%UHQ92qRB_@{L)B3O_HagQWwkUvDB-Vi~Z~uPNTp@hc`=24e$3yb_C`~35Pd7 zGMI)*p`#n7+i2{0{8gljHw24<5yh@;`+YX0J@qQCb!Y;C<{Y(4x}(E1k6m= zcM*bkZAux$qwO@EcUkI{nX7vhM+8%e$Tw4Vv$DWO)svidKto zd#!!v6ptz784il=@`vD#Daib3lY(i5d{$Ij#u2B{6k+nfF%!mg*yA8Pr&XfFJ`?6N z8Gy4Eu2bayDk8W}ga51K!*iX-jTtaw$&d$fF@@qfkN#&hd17CtTIlBgD0^4WYG2>? zJbq!}*4AIw`V$uq17F@AFxr^zQ>WF*7p%+ zuYW#{eX^IZe)KON;CU_>xW5tK`??S(zjkN#DO1o9rZ79dvQkSGJlv-Jeo{}gjBa0@ zHMnq&bG$EFT#z*_d?RIGVpna^n=PA~R0K?kh0v6YndsTiu z8;^~*#{%6`e0gum%mbT04~u><88h)%h7WjF=%&}dg^X?ZU*YqsWIQ5d3?3z!4{W|q zX$0V4GN9xU{~A0ZjLFo$W$5Rn8})MuZD4+}5kf<>3DKfLzWRod#i%P6b<;$c7 zJX2*IX+%gApfY$!-8mGL!kEh_TFRN6D|xTc4QaNZ#>S5orTNtEfu|zrRzkz`4J{c*WF_O=ha?;g?{LB2Wh-W;}wUw4Hd>uve={_ zoVg*2UwCSL?UN`EPsSr_63wRjml*}hbYdB%Ps(4{x;KiTYLkABF`c1P_c5KRPtfFHHrAF>xAhc#v0Gq%ixRW-lVFPS0R*1No%0fmH{@Zo zEP|7X276n_VCKHZq#6z=BB|-QONqPUQ&7iSU;;m$B!V{SP`!z}Gkh$sMkIX;*RWct z>4evkw-M$5gm#u!F%n=}7XK?rhrYz#tzsB?R^-8d`kv&iv3&TpLrYmkubx>zAw^$B zHdsv?6uv#F#6OeciMtfpU>KVP{ad1ArsNh6q#jcZfNNOvO|UXkatSZw&tM9FFBMwe zC5hSQNwEIJU03;V-+giigpNmM6cb*k?c2#-S;m{`U;k`BlD3{o?ruXoTw|N0q!V69 z-WI>m5<41Q2mX8}OA-#<(`UN9^b7I=W^{H_&k~vF00Vz8BSm8BsUHn#pIF6^6^skt zJ~6`u2!^R=W8-ljjSFGEzT$uVYf-;!C7IuU7vgGg|pN&bQ{<%Q( z!IUumZ+iN_=`+4jDvdpKW0nH3E=>d^{#PRsmjC3c*s#SjJ@6eY1Ct8tT?jkL<>@wR{?wpSUR#*d$n08}Vij28I^@L~!e< z#k%a+jcEhJuvbo6J2tU=bz?i8z+j^DgIcpCOiN8Zx%PNo4NN0`cxlDxcoEYmwlWA9 z;fmM+Xl3IteKI17L*bM+_B{Jnsv73!@++G(&RCaMw_b&ACe)u81|H%zBY8@cF$;iR)gi8i5-$`{4{Woko7Z) ze;ih5VCpn88W#2#X4ATe#7QX|xzN5u z6&sS0yj50soQulVR{L@x$C9UT0fdC@uM)B{wAytGVp6On@`Jcyl?+*XQtl;m6r!T? z=D(0*+D%g;59rWS=lOQzGSqi0O; zmWoT(7Nl0H(~n~3%1>CKCi&+a@Lf5jTc;ukS~8`4c9*TdZlBUt*(K9W>D^qvRyd z^M)rqBt@L%)vQS6ViA=ma8YMv;t-q(y|pm>uCHp860eJeF5H`obmS_Hr(Z&L22w!i z0%^7OQiG0VAW%M>LOAMNad8_wWXP?BeL;N6#NiXTGOK)KO0AE5V3oo+^q!1)eo}>w zJzyyB#X-G%s4~5lW)3vqD7A~oE$W1M7&PfabQm(dLQNIx$Y8W8p?@_3{I%1YV*GqPLM77q5Hd$qh7Jfv=SW06b{bi~PCJry8Yx0+gtC#XpKRAhDH z>9v$U=c{{$SI!g0l#9i)^uBvEIJ8<60~m3}hwM>&qQIezkkL+m*ZJ6jPGBRCMkm<&|hH={omdq%xm} zD_tX#Ff;mCw3UvN38q&k(0(lA2)xEnFGUf=fxJeMwxtiRZWcubOJ|t@`s$8CJKu&RZv4khXpoAQa&7SRGdUIsSoVS%F<6_agst~Se zZGi>lWs8X9_NH#xPfla`)w zz;A@P??-Zjfxa4_XVetLFz*%wO`aLf`RwftLzd@wep42@R}{t)Y#8Vg10c&ID8#A zIo9w6E$kYar)PBMtByGyxC-p=bBS;VCQX8qWecNMUSP3J#>KXAxES?DuSzH3Fz!19 zI_n=vnH?UcKf!%Y1J?xL)uUM9JLh(qTAXW3dS(zeXmPZmN(N+HAwR%ZRSMYDF3&Hl z{5?A(pRX_P0iFOi&#t%4Mh=^9)AsFF3a#8c+^6Du@m4y<{6h43CbFh@^d#23=DrSz z3{=+BbWL3>!thvMk!aJr<`Nl7Wi4@p;ka; z4>Jx#v?v58v|K8&##PfJkv6#FF6pisr>_yNvp{<0cu2jzl(EB@`N=y+-o!!m2j4rW%0E z1F1So>jPM*7t_}$SUM@tr*PnvR!T{khEXgf3ypnPOIBKE00d0e02(%IHt*jy-2CYMbvw)8UmbmKdo9@So%ag?L|?f$y6Y0X9C(Jp!EbF zhbFMqirow3UkB%b7i53rup#pul&je%@q$G)5tPOEnkz%o3vQz;L&FPht12-bd?_v( z{XX97D@)X$2u!k${cC9l&SEjciSh0;_b z@xR<$Ko77KeAFRp8MJ2B=5l(U6@)Z}Eql}GJUKS`t}Wl-(P* z;xT~bO_-);6=rd5@n&g0wLTKI`^2FZjne#;-cs>-Q1xIuVOf&FQAHLW$Ej_0bX4KA zY;$-024;T3&a}vep9T8ae?b55EcK$QS#BVm6d4UER+JD|%wjCYh(j`vc6?f#SE%xHdh3n-L!eYR{TH~Xkqtu|UPPR%bj)@aM z{vmEsrIUeayEK?Sto!3zQsBViuj?cqK4X8@FKDP;$P7Y-#00eAbO8cD8cdBQZ~`5# z(!M5Hov3*Cob0MGJke{iB-0{|(G!}Po+OFc7Gjz46cCv``EELj+oXAI!ALDX$h7#1 zxIX8C+1YVPq4d@@kM5mtL;v1z7kqnR%?_47A!7a@co5Cnne%b$j{UmyCiq!<;|Da} z`ruiF8MN%`{TwiRNlLQVi{Ae~pQR@2co`KE2t{v3E4P+kWNxiCXxN3Lw)6*euoKVOZueAFi5_#Dhosy9&#Q~f9mbHGb=zEhCn=p|O z#Fm&3_DG-FCrEdCPSj}+-fi!$f17>z9^JWh)qD9N^eo9w0;rDurlhhsX=?=@X0K0A zY<`^wI(40|3J|rQ96xIfmakkk7bI@RpLy6;)k&a5#x`NJB`%kxEZ9yw;Z8OFl7)K; zdCs4Xa*gctJy@QweiGvMt9@LbtvjI5)fyN5(ItwpOoG0-W$C)&9K1gVI8G^FaJ|pm zuiG+=BqvxSf?C;V<2yQOgI%+Q6=e)goxy4QVI|$L=;rnW;Sxqd#hv*T&e@BwUJ4O; z9+-BSYDG9)d~VD{y636~@to}Vs-~gb8(C_kph2Os5Dz1`+S%xc*JLb*NAGyrYZaNQB}UE(uP5l6`t`JqaliOujPALkX+I+6#2pF$InnXAS99fB|~z7TMM{jq*c z(ioh>Ue)K2vw`q32fLYXf=*pQKhqi_E^3wFm~#y?IcSD4ve3ks z5yTT&nE4#lH@E^*QwIuqF1h|)c@|fh7_xn_Mz={=BLTX=AEKD6s2d^N1&l~z{D;OE zS9fAxw*494&a6mI-5z5=U)a2a1q=ga=Zd>|e^4+*;l+j~(jb}d0u|j2(fb{g6K@t; zaWo}<1hMgCW$KQu`fLSpv{iNgy$oWa?Lpuq^KE_-+U%eEzC~C&d(qElC~olZEMpNa zfaXgMtsh}L(`qvl_!m&X#+N0WV1`L43jqVS&hUvrINl3lybeg1F`W7%W<@RJtAgl| zt_@@-!f-r@*6z?Za&uD^>4!X|F}ANNg}y*Z_Tjn{BL?G_g>@dsss!z=H~QX1NHba2 z`RZTE;;Z&^b+HeLEX`1FnAnz$B__PK-gb6oPHJ&QRIM9gsF;M0uGa6n_c_Z@R_MDY za&}Wt=fL@i*6cMh8$@82o-7^pBVzLT6_i!nHa#~%nHbz=26oC}beceXg}VIzQS}b+ zkp)e^aBSPy*!FI0+uqo=xv_2Ab|$v5v6GE9w!Yctx%YkVH@}{$KGj{-UER}X&iorA z>@riguqpz^OC`M{BCR>D)9G}a*LN<2%p)M%=Lh>*0pm+$ZVqBMg0mQTPyOn_(V0b7B8$FyG9p5w?Jsf|d9?eoD z*%f*epKw>@qLSD@7J-Jd{NGfoF%P~G?sCbu(1-t|W~KZmHO-qvl2b#IC7Z0bC3L@ zKCl`adr|A`_-~D@(+qVl*$%YFxbc4yl=k2m9lq0kbpE$Kt3bVn9LFCfQzJMM7^ft! ze*Y4|QvYN0>e1x;pSQOgyRm1fXvgG7tHUk8OQ0irL;`xrqy=kg-JExk^Y6V+(1sy%A!}s^m8M`9#@% zp<56+Wx}#!E$@b#mR7oI*fKB2byjg^M7n6$vZS~gA#g7{deXtT&%mAvtaY1i-V{%FhKAS%w*WN(BB|! z7pHGSzi#Wk$Lh@3veqs&;*DQ!K4r-@kU5`fh3PtSe`g>rsIYlBMc1{%FO8X;Rv-M% z!k6;CSNK@p+R$!5S1G1j;9t)(e^2t4*pq?Kdu~5x0xP#_#c9}+@{NtDDA>STd1Gi} z8`qJ3fA4GtIpg*`3e~u(kmwfb_Nib>xzp73fs#L8lEzy}(nXO+n#z8XUY(-D3)66i>;>~{T3=bjl z!xaqy$Kl4SJxE9)lOoH;Xt zTZ7en;=4#Q!6gG~VZ)^&2syDW~t4HdcRCshT}N7S$>4pZ`bl2Xw}S9Sz< zVrb!%fwL)GqSq0^RhZ8m*9-Vf1;#q{KxmF0(h$uH))mxGKyI!p0n$PKmVVB63Jg^W zFQ@&!4`7U3D?HC&YZ8`aYZQ51SiB^26CM$rYtxOHtPhFu57;4 zSrVgD7aeYy;21P*J8H4O-lTT}VM`_9FjvHBnRTG<7BEqedKNILD`V+WLWFh5!F4q& zecG(alHFF7HiCr}Gfdr@8+&zS!BgCFXG$d7JwQ}$DB_(9loWrMQ}YS3s-s>OU((`j z>wGZ_w=JLbfv)`qY00t(oERkzP=x=)c6!kwbCz6lPZjQH-a2(s)9dW;>2znGDDEwi zI$*_o#GnQQChZ0Wr8!vbnxe)EgrzNduw)H3!#8zVV9rqOIe~GV-(2b~U9N|IK2pxz zqoyT`P$^px<6&t?KzgYEnuG{?l@luHFxd_nTqjJfJT4b+H)iZ+>8067607Dnfe{{Z zotqD_YJY>mhW>c1I#;Ik(XDJ7Qm*^%ky6_L*p4BiVmMJFPx+l~8_^8hTN>?F1e zj!ztd*f|`gCLc^;e$qe`iPL5m|HS{@d88`!i?X)!$LKC1jVX-^>(4M!inrLTPU8$r zl2S#4Zz$Jj3hj+EM~&Uf)w;R%C5Do|qvhH@ouT!T?IFyQD!qG};m8CE zQEz`Xb;JqZ2p!Zvxf@6^EN}X2;uu2rtT+RoqQlobp$!kPcOu>$>z4hA^nK0YOqMU|W zRdz4O=;F^VV9r&co_BTIk_u$d?s>mrg z;wHO2q}z`gtFk*|ag*Ab=G{q)8~gYAp7G>!6)y0)7x(r2avhxaagFTv?(;C(_Y}VK zbwm8V`?9Ry_nPPPb#mbG*hKt(4;0TMf8AYuy@w0D++4lSJo&$R`#%o~yaLwh`E_#S z%m-asb7uHo_lSX8@%^11B@V1~KOQ|Eb+TUgzMjJczT9{GpPw)G1wQuT{Jw0oZI3U_ zoF9{j^L*cfcLciL#))$fyPB3i-NSC&k1y9Q2M=(Me7|hCH*AFg=2=abPvOgFCwp-_ zZ)?P|2L%0UhYMeERnul%S8eCZYmXQ2k9#lg4!FB}7kq<$Y%yI%o8-q1@>3qIxxx$^ zu44`ST^{Y<`+(bq2APo$tlT+mzP-M8#hujU*H@Czs+#}ed@C8A{rbVUaVR* zSM7HX+p=4~6j>Z;A{-WT;SAdj;NGm*~vWCLu2n;?H?b+W+QA49SZoiwNA(q9L^CJm%>6d3`L}g3tn-5Pmy7S0kDsUK!?hr6X_I4S=|_EzyAWykq&3({yyH3~MN%{< zpZ*WsA810h#9_-`P3v+?kAqt;cUf;_&RKIzcLd3eTgc>#d(X!mXW6!6omM zFTceA)V=6q%U*3dR~4w?;aqortw^jCTI@y~e}tP|&DQGw09qCJp|~-czv*kS$$sf3 zl&i5?_cuJXV0~ z>G0V&4dl34p|v6C<%?3!?KmM@(yQ~Vx2U_UaBJ3R7Itd_^*eqXlDN3lIAYzH*)-zp zoh`it&*-eVkq^JFHKeW|57UXepPZTmHyin4$~$H~_&-7I*TKe&-3kD2a*8hWfhvb+ z!VQCNKWv}Q^Envzyyaj?HJEEicDy)7-lxjm(K8mzG_T4WyGrD}KAwpoK0j}7vYnx) zFV%Heo3>={UFRnD_Ff*y;?nXHu0r-OUYXuSpu7m_6CCg)0Ve8$1l9DKHxGMf$L~p| zbFzSP;ZjFzFD`_;t$`6VF7{G)9jn_h@x-wcja7GzcPI{Uu{1_mQw zW7X;fRpFM|kD*z=Hj)T!R(@LC@M~^9Y_Vxc84g$=ct^l4v? z8qQb?kEi8K1NfrvC^i2^`hX0!g+TjWgjqIi{}o;d%~#@@H41??Xfc3(Y0r*;$UL}S zuRcx~0WnXfWVA%@G;4#6wa|vkq-6XhzHA03oj1aKW@gO&!HB_WtI?Hz^UKK!PjV51 z;I@97_4D{0<(h-bow5t5l(_o!b??jr=4UQ@J5sQxwnD+Ytgpwz_}$U;G7lpEZDf}m zbLxkc!j_k}wK@n%#!@{{;jv5Giev%fOu|eobgu8yL z!cR>!h=vHnOxVZzb*LTI_$Vfu$^ZRUf<_wT6`iH(Xq6nym#w&JMcg@xJ&Y8(BKyB6LAq2uY+o zOBsr1DTbYx-9MjS_QpAOttX9IiwmHPDWycQ@PsXq-bsN`wSk?!qpD zUV4kNsEG#j&SR;^0k(6a^_gQ0C@r$zbns+I2RX}q`p7h-O*kemEP9Dne&X^>HWgex`HpZ}9c@MgDoy z;l9)Pd8=dpF`nmpu=7PU8ftFucmJ{T22hwq+WC5U`nq}Ye+>3_aeGPfzs>u&5BI+g z7eH>ZtB<`S{`eD3?C0D${;#Fmipu@{SbN7hq(y z&v*4XoOgHU4OINQ>i444=kmDHb=8~p=^^lOBldZ4>Av%^u*y%Iz~p?Yb!l$_`Nq$f}C`|0_{*AHR5cP!3e|4aO)FHzYq zg}86z>x1{}3IBb^VDBqzJ(&7y@N1;7`(yd3@4ATi{nMYj`m2b<l@Bjjx(?a%+G;UswLmax_fK2^-~W?KhsRv zDSOZ?D`B@aE}-jwtueKTUz={g^xgw=Spajra~MIKPn$CbJ<*c^&+7p#ViJ!pkrlsA zS%K-b!Ut&Z=b%;tt6A4e5pBrl14ZVs!y5EdfXe)8452k)0%2esvwPl(>T}6t4q@Q= zMaUZDYYqPO&=!hl!#@dk6P1eSgSrk<#KZ|S%6T2L0_8W{8ffoBi~qZY^Lpo<&=UO4 zygragi}RYo0zA6+w_qykT0fT#{)+ z#_&n&y1$a(!~3_f_R#TngahYMK!-}dR-#slm$ z#q6#Mq@Whp3=G43rtKt(*W&@Z*=LY7$o_x3ZwA=PZ>^}=zO-bLI-yah@8f+`F^13d zi-0;!@oT#O?ZF*jx9zmX>~it{Uz4-`mUM6i1B`c0v|OjF>SVshEXIUz$Ndp2bZ;$L;e;7Hv)R#TFtK=?q5Qz z@&1~)l0y|uFL`y$pUd|inHfGWAG3?6NqJoAx7dAKn&a2w%)C|M#uR<8meq$Ep()pQ zQ7JxnbT88;6kqbz6n!sRHHT4GUkg_se*|JVZ-GPo*5KV)xbJ5AsSjfo`ZlWUa&4pU z;qj~nYI7R(9XM(TkYgD~AQJ7ML293P{6R&ogXWA7;eIoyse#qcf=hAnLCC|1-rA>@ zwvs4M;F*Op5God&mlWiA3)~OqeaZZV_j;a4`?^>7I-K=;$YGZ6d%%jxYjf7<$w3e6 z`&{mm_Wy|L(>#u3`g+OJ;CXQy5Hue=z1X>X*dqJzcJ*=f^L+3T2`4xuZwb)qd@CCb zKglxM*zvv{y^-JJd$~|l6?S7`LjlbvSv_;_w zqwViCejYJjB(bm8wI%=d&cb*8T%x>K_u17pg`KQZX<4fA-wLevN!K;8oUnS(f1ZAjo2^tXL*nCK;4@?~%sw4WC4hUe1!*B_E>-lYDh3sFfK zC@sS7KVDj$Z7eUfRaMvv{@e)|>2(|JbrVURJO2~Gc;P|Q+5?fLALPme*p!*Wbh@Cx z@q&HcPfRmhm8riv%e><6pm_y%9@4L}Pjm4vQ+e#nDl`~r%`#qgogDKHY!Oj(a8%aYB=Qe3TXYaMd-Q<71xk=ebmK=AkmZR|Hx=0}jC?XtIK$d+ zkjxqhVChwopi^qaf4rUXUUJBP`orPBsr>$(H(+6MpLjo9!m=*2kT)=<1H1r-xXtV# z_w7OOz05_yRC-2S^%-qH-uQJf+%^|EYxL3W9aqwlJBY-{=S5$0OW@|V7GX!Hb8%?q zDpuN(qj$Yc=1$b{Fcu?G`(r_4VcnFANQRE5Yki&!I!a^9}oOw=J_@FB=|C%gnnDYp)z36%Fr9bZ)TEw9zF#nh=nx%r4Q^>5n@qnIV zY~S2_PQ^RCU8;f*rSAD5T4s^0?)4%1If7JopIw8ied5{NXDMd=JucU`lPt6EMAWEB z;!Vf5x%SS&pEza3g?|S>_0g+#ld-|oj4_c0nC-3S{Z4v}Niu0Ceb0M&nru&6zKo4jbgVo)DqSpV49{!06z-n$@Bjo4NYwm#Tv}@OZ z%dx`UnX|gh`j+n9!;hPQiJyPr>w807qJ_g1%&_H}0S(5A+n`agFi*7VAEZL>%s32b zfw$0I(82E&mL3DlAGs+(dE66f)anF`ptOHs%RKLz8@gD1C+vV=|)ONq|F_~l8b`wYDsV$jraIx5B)e-4LJi%#@&HH0uAZon{ zB>mHwL-r>M6jSTP5sTz-)Z)aKla@Q@zx}~}LKrCbZgkB8|ngXjyL* zuw(D2rfn=aD5P-1?B#oJY-eBlq?H9QyMu>X= zyoz9sR_I5x^nSt_H9-RWr3q$;$sdkAk|ep9sDN!k^sr11qJfYC%G^_Cqd##c%AyX* z!LUV6u{tOKPzcIs0)jJ=pr6>XIQz;N$w5ln!M~ZR{-AxLeV>Kb4LYK9jj+JiEZ$~t zh$z5suVEc5ynu*mY-siIg2ce-)@VIOE@vDz{vxz-$k(sIGE^nu+2W_qspG=h@^Qi&vCjpFjqVpD z7#YcUSeR(4Mo7qph04pM#i;~((xk1-f0HP&hiF?c(Y$vkae{!)`3XN&UjR~sA_DsD zA)rdO-oi9Uj1HZ?Qnubmt1!#)pzP2^jEhQB6U%KL{qH0K{P2G7RDC(xlWD4GvhBU; zQ348m<&ZDW-v5z?iKXBp9N$Y&i1OWyb#o%eNQr{;4FP`|Rn1t<6zD=1X`3=eP#5>0xD_y^ z6?n@q7MTH70yz;OiuMMC;Cho8W0kL#jKDwogOaC6OOH1DT>_NEem<>#8*X&jjk15xT+~hwpG{$HDYu6niz2Ex>*;QQ7G;ggpAnmH) zyMXSNt{#8tbPrbBLfZ5o))Pjf6Zg-rs2!AyjPEn(CYKKQ;Tyc0GkeeVU4Y@Aw^&+* z7=p1xS}r0`I`*J*bT-!B0o(W5$w}6&6)keMHdfXud|B&!wu~cX9e_Pu3T(1P+?zRq zY9)Tnx*4eRqfNt?P{1ubc%PVX$ism3uKOLZTK(zNXY{mH(PR6&DAELY!V$qD)JYaF zrf3g#lvHu_QSgG_pp)1Gx(zw;!trg9cuSL!c?O0&oxVw=bJy{gVOs`hOCO+GCCM}G zvt&w@VSC2YvJ!d)f*lpasirv!Gy7l*f))qCoe-xML z;QCn4uWN(RnHAW}rU#+EVH+uGz+vEFlxI}LQz+eCKg;~fED4+o4sCf~ZWZa5z zOiZRhN&Sr-#CE#)Og5m^Ou19JqWD%Qv9kMhKNlnP+xdD`mabz_CU{ladWj56ltdjz zHZsa@I_%pctfND)Z>r8k#gW8ZG~PWTN)2Lx`r~)le^RD^oZ!DKy9Z-mbKF80lU7Qu zk(yQK*k)oJ(u-ZmEsl2(?7^Z?Fpd)L{vSK0^sYpUEpLbCga0!%6*x1MU4wKc8`a2% zV9P^3@t3*zD4tqExNDGlSFaXzJ}ck*6U(V#5w%h6ycKDq;GivL(a`Gt;GwTf%TMv;m ze0WO}kvx2Oy)rCma36{))n97Zq|MXc)ANUSdms1aYFj1Ny9bQt6;W{Z&tL;R{azjc zeF4A5RG!pCN^oLCA7<;{=~It3n!Fq=ZT3V0EVR@Bnc%D0Ffj%A_p{SuajXUl0JSky z5);R|be5bMYsS1uShq_0xxX{fX9*@ZWi`QJ19PC0F1@RuUIs2b*vfQ>EndDzP_v7I z%s>GNgO#k_N4io}6`+2%zZn<0bo$wFH|+k1*8u#AxqF$prA3rp?u;XBvavdFGO{vo z^0mqqIjmuYXD*O?g%?^C1zOu25`@N5)p$cNk`#wJ%rGA(D26uB7zQfR2oPwD1089! zi2~K-p`Rm2ph>pZj|U62B3W+~qj~B=iUnvFYQ8OpAa+YB)4IN9>LJncNgYVL7mH)i zN2pFlKl5#}GRp`U)hf;91S>C%QFb`}(>kz_kJSdj zX}X8Eb&Q8SuN;>mC5NKEbA{MVsG)W5=3v(TK#$A0E}-?=h)}y3R69xYcEnLRWkmCn zY6`|ByR-4vC~B1B(Jx}gT4F7{wR~qQ6yZR`91#(Ia}g+uwV%~W zN4~_wL?Uyw$9XVx$hbxvU!s~Ed;|SCzsmEdcG%9ohI zHGtDwP_m!Gcpo$Db!0dm;z145;O72FRAJ7UV9J0|_RHZ`@=$sQ zbsJi8;5vkexqTh0W=-Rm%HO2!%+h*3@-bMZOBT3-xVGLUfogNfh$xgyJra~U*<35Us&`o#N4m8@#E9)$DaR)%^FbIhRWl%JW+97sVXU6M{ zXdK3^f{dF#If{5&O8&YH#yjTQZDj>1p3U?`IGRfEr~_h@0fs{W{4X>ed#;BFiKF*b?()>b0=ec_e|ebl@Vd z5ce!_6CB6&0YcraOfUH?XF;T7fpryR4QQ2^^1 zI%gMlIB(0nNcv1V^$MOkehv<(b2#48AN^tvQSa4WKN|F)otH=j3QX@zg+#bQqzCaqB|>1Sc(Y=jH0%6smhWkVo{4q@*!ge`NmMP ziq>i98QZAX(X-kcF)R1ZglAf!bMO3(SQOPfBNxbio+4ZHj?ASWwcd+WvWmr-N}bG*ZaM6f{l7$2kN(zZMtghU{meDtduh)x8+KfveM?INK~&NQQ=1D zh&C>uZK?u6m2UPa%ho44mHmFrv#y>y8EzJtQBHe0o#(iog`;g-GI;nQ&BVc1y+Uia+TN;o$5DIy9D>iy~L<*v(YMN8-uu z=a$}?5oECYW0cCOMDOvCDKb#Cy5Kt^cq9DC>khtTaTZ$0ZY!FyV5MAvAKzY-YP?rz zMJhv%aCjpg$;Br$SuSjv1%ZAh_9L?;-l4}u`k zA!$%=5r^FlG1v-Vm#*JVAh|0+AG+kUi)FBrJ%+_D;WEWp$-+lCuR#ezWO?9(AG9Vp z;E`|$i}sogH|og))O+!?G?zbcLfZGJ03Nn=hn6QxA@lC$hal_1bbJ|5>TM6{$5xJD z8lOc4vACee+|-!HY9v~tK!mi{4Z7BGb=_Rn#!bylZEj4*9&)^}6Eon4$-#Ss7+bgr zEn~4g$Wal*(Ex`3WnSJ%Mm@L_%sAo@!A7FYIEu)L0^glDtY8mVXTVCEA&VD~Q?isdpYP2sgUgRK^4i)X;O;_%Uq=c}T^ zx*f6%-0-CDpaWt+RNi2XvCG7l`G*@L!W1nUqR1-z7{TKmlF89x6Gb~+2X5je|FELL zLd$&HGn67HppOU{B&7qG?-`EM6VPWo4B!Z~AMQ@rpb(C&92yrde{jg*9hFwwbdO0e(rIkgHN-uTP4#E@f7bWAcwJAHLxbEbry=J62!E#Xa4- z{`^(s1f!Ak8B|3A{60`@*u7+rzn<(GebcBrv>YmdEyk8bz$y^V2~{kZ52`wl)NnV# z(A%=)rvBdFj^VoQXvua4E*^sAf$$&dOv& zy7urXs(#?epJ7pYPeIEBPT=8nY7aHQbJx!K(lIbA>kb%>kw)pz%lk3}4^m**^WJF!k;+qz z8Tn8yLn%UHnjNVybXC#yjb$)&-jVgJ6EIbQC`On??ABDvTQb%R4CODTfMuWNT`NO| z=XGX$i+$O0j|$81<*drpZ?&-&Vwx4q1JZk_>RaeW%NeNZSg1y(0;uX?jm^-5bRAl_ zhF%DCsugLb^Og54^Z_Y9=%Xy*k&l|eII1r2u>@UoazG+chZdK`ZgLeZJp;F6?|H?Y zSzGjrVxO>57Os4+M>VQ;zS2*N)NO;5C~>kN?jtH+Gx({NZ*R4hxm!Sd<7+_woGXE$ z2;Zb-YYUd3n9fP8LCnEb=52&kg8h-P2D5OUJ83vGPp$B@TdY!_k4u3QWKZfHS^>F_ zD(C3$v!+$1&c}>e-ud2!EB;EZ0Y3Ka#XJLF5N;-q)&_)z%HKi}(l^R{6k)uCu!+K)F8kHWGy}dv02H$8aC-(#;IIxA%KcUm+I7<(sDoP zB2daJBEo;$Q!8iweEhtg+n?;Q#m8@TuPvyr9lMWoX?*A4Dd(1p=U4xWrQ9E?c(gIR z)*i4Cc~Irh#2w(tp_IKtE!cV8d&JXWMCa5PO?)0XJEk zNmN;@{H=vI5Mx^yOL$f?4LHVfsg*MfegyCO!js;lr|^n;hwiVly`{!s^Qf}7zsv=gr31klrE zl;AWW44Bir&==iiSX+WA6%f*lkpI;C?cOC zS1y+{7^y6Zyf@tiuH3L|loT@8Ur`N`W}%dif4rNyI-JN6fCSsJ%2@Q#N1_>@WMT4b zu|n}BTh)B+xTIyw_r$_WAY>c&OFtU$JZ6nVJF>_unQOWj*HY)5tj#GAgOtJU75$44 z(=E3M_6^=QxI&6Ftsec#tAz$akl4z;aqOcQ*2cV?5T&INokrD~hqoMbyRHhfgP7~4 z*Z|y#SmrfPiovG@8Quw2C=dBv4h?W#mYRR**R1ytO~amUdSKg8bqJvG;KX&|l2bk6`|*G9ZI%#(1Mm zMm-GSmgn^izokPLqWl8(o6$whe+lDODl9KqbkwR89d*jbq`G@?NRzSzNgycTgfSF6 z&2*g%(j?Di-tU!jtsfgn&Y!up!9%86j z&>Vw+Z~9Yc!k&AHdZ~i>Gej641)RA+Q)~{(;>rwmW;jh%V{Xhd4{`skvsaLGz9wN|<>2 zC`+JR$N+1|A{^dcGtMYCCx>>BA;GFl7AQ;`|E8=X<$$LJcs3&LZYp0>7*?FvuY<>1 zi*WA0#`;V65PyyNX#*>Fg%BND83USlgbBDZF7fu;^|vv>xseHe9e8y5m7JJPyn^isHxDq9IZmh0a;ixcX0l_EC32Ca*u($ z0NDtc?8%6#ILE>z3fY>d5zPH&Q&`m^TbV`-;bYJN9@mIN{NEM@<&CeMG(m{IIAD>n zQVw)dVLBab^p(}U`C5ZT$WgTafkJ`kWnoJDA`rQ&o&p6FO54<+fA*e-^Z_!B=I`25 zl338LR}GuU!vYFzssRY_9aXahE6gkIVKGbMYvQEBf}4Dv?7tct8cY!*g|EvTsNQKd z5uzHa;#kRmIH5o=-`?!5*?(eLMoOj+xVptcgKy1hNZbzra%}%lQOSR(=$`st&?k8y zvefSW4?^r=+ua`jhs6F@1oge$nG2_fta3<2JWOoOgLeX$rr-+15!DwhI8M})Fa)80 z#iH1r_7xDZ)_=mmz&6 zCaVI6p_;$#GeSR7wd#H>Uzvd{oVT4(wSYuGJ;4$X{7P|CD_fpukzz-&US2n85D>%~ z21DzpkUpghFKv`Q!h+t?nF!ys-2cv#z$cfjk+&Gd{-z~e<===CFVEEYvk3^aTDPf> zUEIYBt|^f$mt>bmIaeTscXB&g?2;q7Isz4yu&Mq<6oIT0prRW2#+_6i#}@I*og~R; zxtpIWO>kB*RPbNs*$BMW<$0Ijt!V|JZH5DYt}_N#fe_?72dnRK32v-kH}0Rh%~xfONWI1nHRyG{UVvC(QZS}a_Ji2e_0-Jk%mwI0k;VcX80s3Y3o z&;kyuLYr=cDTgkEf0GeR;A}SR5~>jf+9vG~3J}m`sxM)$8ogPvg=XFMz|R=lcH3mV z1%2zJl@apXigM*AgoQOt370LCZM7g8yhxvDCyx<*rX)@y6&m9RIxO}DwkR?EzHpZ$?A8k_v@q5)PoJaEGq=+aglMtF|7<6barw^$9G&7>D@tE`4v?M{g2*x`wV|T`=8j^*KcleS*Y{fsmzYvV2+qtE$g2Gw*$2c) z�!-6r$2R%ZH_8WvP1@LS8FQ-fx_utB5sQ`Gxy-D-E!8A0~qoN^{j_i%W5zD_^E0 z`d`lf?nAd6xNjx=rK#p5UrV1_H!(}1IWN%lt#|ogTSFCxn&xJ<7)e>j(yg!sOybo6 z0(sC8rZNl-XCSH!Zer>i6T~nc&U;b0Fw|4CY-w=R4+4#l5=mp1WBIEv9jo2$*BCiD zi`|?+Oi8!<1tB58G3)OJm=!^zz*PIW6+sUKA)~=DneBdodu1hp50ZJLN!&WVupTD( zO%s$tnn^IP)O-dAg*4bbNI-qlePn<^+IM_vlTTF2U0%Yp<~9mhg_tjhiLLlAEFl!l*W8>eg)v4iUG`5fr*=Ms zM=NWz$bTEWRGeWhPuSg0j~#cXXNkj^j6;qirPM$o9he{$)#M3*(K#+YJ6u_`+eI5~ z`KAt zu}Oq(J#Q$nyq(Q$f$t+7MlaJjVU{O3KiMPLC->wRg@o|1qqr(%XtsN3@C%2VRxEA? zIJA8XVz93Ci%c0S=2_F0EHY%QT$ls|#j=nl86c{=_owjM+k2rHV;cjbob3$fCjLBu z!m)2n8FBohF&yi7&mfz6*6gMyJ;TE@GGYj=)O7d=AL_E-pe7hq@lX%7HPVDn8L+bFi@J zF|Ko|!4OozQ#!m1k#T|(T?NY>6@0TG#-QI>K3v6!CVE;ZEBNFIsD(cj50yq$4^#_L z!@)ADk0isXriA4rXW>PLFo#Hsue391v73R5$Yi~46l&R>hFT6{a9hWUPxHpn;4Di` zVyInU0t4gfgSdc!+Rig9LSb$~iQe+pf>@?bKV-BJmM!~*)x18jdNfzo_;4s(-st@b zu{ipUXd;(Qd}{qrDRuwBV2d@W(W-tVmW|fTADBP>Z(YaISxM>HLng}iK`Dn{Ra#ar zpM=s1&%5`kJVs?ab>Wi{{L`mmY#dF5uTm6-r(^Z{7Oc@xE8busXDM6-UMceB`uOOP z)_0k)lkpp|1m zL{1~s7=GU>@vc2~vPmpG4$o1A-`xtqYjIzc1Gl&HxBGp7y0yW>I_G-xphq|}!hsb1 z%0|h?QYO;f5Uy1`$9B4Mn3G{5xL<&W)>|H^A+&RAU$~1>#zUH!>IaBALzS~GT|A>! zi;%Nausg8GGI*WhO=A`vm8V@UUuR(T5>P zw*2g`mA@*WZz5YDfhl0*js$_EQ!&PXFKA`JQKOtFU*|Py&gO(*&=_W70T))gi3ZD} z^!+2K4*>$d`O?f+i8_g}PZetq<@6PO7$AbyLM zo6ZfNUYiAcLKBi*V{aCJc%u^*V)sNUlCnbhMF|^5#Go)ZF=vbg6KsT60$Q#wIie00 zdj5hN-(J<7O_8aXs2ji3s{TC&;3)nRqQs8w!zBb)C zItv9?-7nh}EE)>&Y(LC|9Xq^KVoK(+tPsv^_o>!uJpymq)Oty;M= z4p=cU4OpEp4dhFymax{roYzQ&J*jzRU>UecEp9hh1YBrB6*~%{3W_F z=t+EA_x$DsCYv3RYk0ZaZh9fi&M!%ug+ni_)_`I`jb7X7i_3#E@&k%93HLv=!+HsK zTuhjQ2unBS5>n&91P~Zs>|?sCBxxhy5{-&gOQ3j$49@gM&e8ij>yb42n`iW2m7bn^ zD?UA__t(Gfb&SL@A_&za#jrtv+c4@q?KW$hhfQmUend~6xw?X`1aOC(X(F$8!~ z0uU#q2eF9UmOUtj7>f9TZm_jjpDlupcjx1Ks&B@g)|*+cVbb5yF#+cxU*fYTY{m0J64AGN zgJSmMV=SXQ4?R&mgex&&##^)(0!CPocoQ6tZVTC=symqn#FNyWZsD1K5D^n0S|U)$ zHs*hi!aESQG+#SXZEf0Je_-yl`LJ45X$pgprb1Q6i)O}?xkxaS8Bm67CEu;5@H}{< z@&Ae0z?J_KYp0sP62m+7Gh7{I5=qh(5+>d&w_?qWOFI6jl9FUYte9j25nqVbKs(7! zgsQFJa$mKPsKaUEC^TxY^2yASZ*20Aqxe&eWC#gK=L-$PxX0@}PqyN>X^Zv;8U{&$ z8+wKKx2w}rL#6@RAs~eLiRvcCSeFTUdK^NTDTx8$?^DdJtSRhuK;{-VRB91ZNhsK` zrd@Qzp)P*PkvcE|Ulo*stq!TeXFJJhTNANaeqW2!{M_x;x7vT3WB6^@dkBhO;fhFS zo?Epmo{F-HdFEW8Wt_?_*ILyUo%QU6|GVPtpNBEGKK1yybadDlAWEK&e(HI4_Jj?x z#^@f_xOaKD#`jtFsRgxV{eWpZL`#JZj@JUAJyHpWhn;N&2+?jJH&!(-uA3Q$AuuX{ zpQXPz9U6e;OE@}Hq?ia0q;8HBD~ihz)Ls`~$lp2Bf=s*lv-hCqeOv!$z_-;b zVIk=ETgNZdE0s7Z@2G9h{H5S2Bh&&kK`f%661ea?_cYBFf~0Y*&S>_X`-C0AF1%48u3EG`|;-Q6QK9 z*8GokfL~TQO_HBZg;YVWD^p(xzf@?<{j{i3jRl;quROc@J(#b3{rI;&Xa2yINbTof zyB2~4o0q{7M8Uiy>&9~7BabxLXYAxm)>HH_IaFnVcU(=-G3j-PccpDgXnf6-;8FQI zdTr^vJ8N_p++-!0E!l}o6WsTf=@sG7geG^}Wx8saOnm=hwPM8}+F%S2kIIiJ(y$ zR>)?|YZ5I^u7QQ*qblOEM@I|dtnTkMOL21gwe5bYi|HWG-t+YpJ-~m&DLFJXklx0_ zPpM3U((}0u^IxBrWAtsdL3%+G04&Mmm!8_?{2RsJcIF7Dmp14_YPIQksuD3!X2+aXKwtJGz3AM(zBHgN~ zg{v6lj3#;)V<8!l!vT~1E1$u7imZla)D%JO3~3UqMb-tPBW;J&s#vYl(%hrjKcAo561O1kA$M{GUcW%gI&c8Xf>t^`I$ zPrBX?4xmS?G`d;6z3z_BEat)UiT~zF|IK=fv-Of>ukb4n6YrG~5o}!{!H5I1bz%pp@rB_EJMrT0@qK!KyFaH7oHe48T*3LS61*dh3gUpE z1umO#;QQZuWmD?)rxcKAW)O1wR`^G&@#PCcBvimG9#SXYdPc85TP#v>KfM9=U;j62 z@z$3!fETfkA3l$@$3GcWI_q6(q{WIMW$y6FPx@*8Vm0HX!$BDJqpa>jiv5dh6V=sI zcu^h@y$oX&j=B(QOuMU3IKz(X!3br7Z%NSN2|KNq{gMoq9sbLql8g6d7DzWhLd4;1 zs~`0_M!gxA;Ehni_;xKUwIkng*({F6=;zt5K2x~y@d zOfRSGIA0Db=Y9#pQUMUO^VWLN&4KT;?Rx1p_-w5{d!zYz+2?coye|K+iV^yLtXNdge*H{$+peIr_M6A}jV~={ z`>JQWBj#%IXGtSKDylyvX7Y7RHRa~fG52;aMw_V-!mu0ButOU{xHtk*h zUzD5Y_nn)kq@7NnLk^??2+Q@p7f`{U!9&2STFik@f7Kql`{C27@hW-aueWj4YG={C z>u${HO?+)};}&C+vKfz9zAC_u-|PeSYwp@{>pjHlq6gG_`l!|Rl!hJ7z2RQarx#o z-Mm@|1*B-HZneBZ@h%XAB>Oe*}3HOY3B7S;eg zO^Y5zm2bYI?%wScBOymn$o;V2B@a0lA&$@_3)VHIDeUFjZ+C`!HB1a3H0;*`*+VL$0w}b zlj8^tD2@g=F&%fu^|aAyv5XaH2!gn2HYxso0fLo2aAN1YbMVKC`%B-&Z5p$4@-tZO zN0d;fh1`;b4b73t5+yHp`3xZ!CkCm2q3a%M_ieOI`)E0iFu1_d#gc;iAP8`?Fm)W$ z*Ozn8UIBjH-M;=V<_|h@7F1mV70^i*s8mq84rJzUv{pFeUD<^6HTQt&_5A8ZTJ@xDLw%Uu~_+z6Lhv@dSbbLhiXA9>p zI1oq$Ao6mIwxPa1hUy-}<={VQ0&zH^3FA(bp`n~gy3k~Wx0Jz>BOGb?eihs%(RZtI zzI;7?Iu09PWej~zA=7U!EG+GNz7aQf&^yQ+T9U&xS!}hIkZ3EZ(_SgW zaG*T^r~_lUTK7bh!|0u;el0n}rNbb!Q-oK-NE)_a72~vCpB)$g-{8k~L`G2>Iwg;ef8Wg_k3{ed{j}4Ia6bzgcfJLEQHs|6jj;ABgo*2G7-dRnSVJ{#dIZ40KVHeRTPXh0!l&e3?K*eo?B zeb_Itj}6sAmN1X$SCjzi&Sf2$!^5K^@7As!A3v5#lUetwc%zfuCARJ$ALPJ%KKQ=Q zq(HukB4n7~mm@10MVOxByLCkTKGl0)M>Ub0keoj-GyK2A@F&3*6Dn3e2UA4WH<({L z6Y{;O7tHlcX8}P38ncf=KCz@Zq zajWJ}lmh>ckfkDxSotfF-mEjeX$eAUn{kJ?4vY5{$+u7-;ME4^70L0q#0EGlUFU<> zkkY$ z_AN6K9GB8UOZ57K#98F+_Zq>VCGf>N=XEWSLUFAXQLP4&bg(Q7J6sg}Rr-AYC?08w zag>!GrLmB^#FyV-e(q-r43tFL94ShzVx@ni#^jm<#*X3Fgb>#95Z2xhF1K{x=Vk-$ z!u*nW57eE_;6g`ts{%XkCm(ezeE+IaCV1o!#YbnIsl$b)4Up6>$uRyyDGz^>*h7k) zAb5N1$H=`&I6FekTMcQ%;RYZ5nT`}uw)oc$x?};60Z;^l4)&k$Fm!uak{T5bgsEEzX0Lz0 zx_N!bEWMniB2VT+0)qave1(sm{VSbqd}w>t2;f*Of8m?wt%KJQc-g&Fv(&hcW8i{X zCb*LR)(Y^ONa5A5@33o6l8!)_Sqxsncop3G5@7)@}L;GzSBhhp9+#vWIU^`;R zv3r%8-ySdAS8HGL#p6&CaC+6Se|lm2-tsSBwWr79=^U^htsr=Bu#f2NG%rKKAQ?h- z56UD-Vo()Kb|1_tNir*UK}Ly2G8+YK$nBITF{r#i`%fUAjRFeVUGhZ;Bfuv1V0jdh zGW+EIjWaS42B}@uGg~nRsoxoZV=bUY0cbO2kTU3Tg)Pr8McQF7(BR#wIYc4y;Rxe} zD^eNL;pG+!P@Qs4Xz6#gQjECZsks`hc?jWbN$omrRXzM?IbnI@#qJ;wSuXK}kk8;e8QE~F7f2Pzdju=3!cwb@fWYJ#=+J`%=uoqI!zWTvi=E}j zvj?-l{2N2=tx|Z=4y0i|h&Jv4jJYQAzybH9qy|)Noc0MhzJJ&2`~teF0R@X0_}Q_K zVi{B6O$!u;g{eMw+wXv8FK*us`t!LHIKhXd31n16^xN(51ZOqh#eNaJ2HRvy5UUdU z$ZSP5S7Ms(N}6t4*zVdIC2T;w+je15ojR+BEsb7>nB0{~&TB8-o9@weYeKP`-Of*9 zah;oCUAEWo!T4}{n{G>+ZnP^0n{Hd+=H{`^4rs1ByRSyS=*EUFJv+Cu%pWH8i%(+Zso>TsvxKNibZSkBu!cOI<+@-n0Y&I7s2BG3G)e zpR-NMmYhxFKWkcm;zL3-Baxf6L>=eZMQK};|$Io*Pk zU|v+p5$%mj*Unw{h@W5GU#Ni3p`ezvUq$D#xkOo3lR8*XY)@E|te>~5wh%@+Z>1)$ zF7u)JM&+HWO-(Tm)iU=+`)-;J5G$A|{?y7G0xB5VS)v8<#j}*eyJSZ>p}Z-!4T%;g z_p;3yrExG`;>3vfl-BuS{R1dO!@;8&V_4}hhZBXOp)(9gchfSaTT7oP*c#|z*-qoVAbep#+av9YSJ~(g!f;X*hojKMek7-(oCFbHnR-v9|gNCJL1a2NeKz~D5SIYkmrh49 z!o*uuQrslgH3#@$(vh!waKDT!N z@muCeR;g(Izs=Duzo{ly->udJF4~xco@XW~6q#Y=L~BE*LZUOgQQBFq8LfPm+$P>r zPE)TLr-}Ps)LCKPXw2jl;UO)n*7(4;ss%rMkO!5TkLret$Q+x>^BPi%lTdo9>#DWt z_*>OwrP1k6)ij*LyK|{ZfzhQHZ*3SVYxzf%+SP<&8yVR?-k5%Brl)(__x7Qs$(A{Z zsHzMLrGWqV{0n)xetwwm|8U;_cv*Ys|CC|>U+#+r!ZB+S-<80?#8INS1Ja&}w; znO_jd-sHNEs|5AWFsF$nmU6MDt1&Y*hw`n%m_A28tPbKjwux9`OYX8H}Ta-(P( z_wCK(7dgu4ZUpnRpuL}vL?qjVgOq@YN<_{e>E$HPaeSP)_ASuR^Y654L`8U-=pCxR zd-50@-huXwXlRb{g?nJ_7<-27vxQs0iG+ldGZQ(o@>q)O=CE{T*=yl!W%FBEI=g52 zk}vPA&~q3~uc_JLApr@DLML4*0(#NS&>WPHZHc0NT ztWsLUj%M|7@d5yIN0;vlewIUbeTFG54|m(nAvt;MQ%m6!C368(2y62VXkfl3dp=;h zIIos_HedztzRb5ljoL=4`>7HOM)|KQcOSnw!eD*A|kk zX|1G=(^)s;TcbKkw>K8-?W{cFTZgo|x7|wRddaV5(%nZF7MfJW!a#;egq#MEnphB; z^4Pg&X_JC+m-C)gZy1+IXL`@o3Q#h+4aD*`OU)MMndv4LG{_q#b@1CFf7WboqFiZ_FhbeAVuo48QAaYe z=p!=z{Fh!Sw1-!cX42{`s~$f-!KA}wPBXeOfvjVH25zygnPyvur$aM3Gtt!Id|8L* zGXrvEd6$>za$aFV!gN2BWX+iFTw?|9E<0v3i{j#tE(xQ)BFgB~ z0-jW^n__1|CdT636#E~vUP{x6rO7-nJ2sbZp-$yj z6U7U{>NR4=*2?|?rZ$rVPo??DtIc?3iyLYH!|K$U{TZ|sp8RuwN>SZS+N#Q3MWCIt z?X&(NE^Jc~p@9ogVml%T^h7D2&W~VbuT|BMLfMTL2qLPKfKcuKWc-x9B_@c?wKqx} zxfyo%Zzu5-8-w9i-4EarnypU8M+zMfM{djAo@)-d)q(bJ6eQdaBWb-8(E%`|RH*|{ z#SE?mhf`o7^;rp=?JcQ>JS(n@kS z+%;|V^3CDr2F5vh({C^wDli;nFj`dj#h?m)6?ozaFfPIMd%FivP%i)k63~Um38=tA zS#K>cpi|kRP^>+0mIOGjW(8Gr**9_}cW~8i#{($%Gc^r)&f4{P)j&D`5{}Lct~D#> zW~oIWi7W=$nW2AN zVdNccWCc8X6NO4fJ%SIRFu*L!YUl>B0ggwmaSk+3<#YFq$UM(KL}kgWUKCh z!H@=#b}&3uF#%`vA`um;SQ=QYz`ksP@dk+4Z?NbTC^V%94;s_o#GA)OwT#=RjzlGm z7NSOo|A_qjNNzmQzfWzk4jFV$k+^S@ z6r<@!_hW5qk5E-+6w{2ZrI}n#FuC!R)yx;^j0!&FWf;erai(4Rq{TL&R76&3h(`MG zI3qN{RV4cT4VRvVfVmZct~rMnRHN_*hZ6zYm}Iv zEzuQIiUB9M@NG>r=Bjwsx+l&qT(GGgu=&EQ&fXkHb1Y{^FL?M*GdrgrFdT7DEZ6N% zxA<;AI*v-|K29q*lH<5uW_kY5aRGcx3b!&{K6;s4ltW{k#nYd4?V^OwU^>YypjB<@ zLIW`!V?>GZnslUf+}a_n7N@Ojpzjrbi)EdhvhAhk5+CJWIg3nP9>H5TKZ)YvvCG(y zl>Q5Y7fPx-M5@i=qDE+SlTE6qGl_Py!&h;&yYT$7wMjDei-5O5FFlOXVp9ivU?wS- zi!W^~7>HRfYm{CuMlYQxCT%4DQpU9uv)-(ixmMO^IMtLQ&; z21&l1U&=gng4`@NjVUvq1m_pByCw`m9iddADTb?Q%``*h8bfOhr3T^xVyfXO#%B{u zBO~0@;r1QzOk#b`h{P+-8TC85^*7?48fLvcSl{^Z?jqkyJrq0m=>P0v^a$+?v~vS$ zCMGQ=-vA9r>sJr;m|hyC{8v{DJ3BQqMC2dMHV1LCc_uhS-NI*`pDR&<9!Prr7ovr@ zk@dvfId=Q_3W;DPjbWs=M(=ExK?4{FjbR~vErRlbRBGGSxEa$N`uT$ugjnPJJVeJp=QqR zIj^3A+#GEhv*RYQYA?;v%g z2d`D1DwuR2hXx#A>aIIFfx~vOYf4LL96kPHnwQsnzvMNE+7aAJ5)y zO;DzvtM@q6LLFM>4-Cq6!4WTPlbA`QO@PZnypY1na>QlCGzUC9lc$9YgX#6Vc5wqKaBjT=r zzJdO`DZ0`}sz=d38CtKR`BEa7b^A%$OA!$tcW);s)8`D;cx($MtR1XzQ!C?LD0b#q z<0gib8YO>s@H6@ht-%d|{GW2)$0?%~pzT{0)a~17IrhaDCh(z3>)gYfmVTZOf~k8Z(AYeP}8i!&@>!{c{eN?HPuP zGILi;T^WU&b5%7nO`BFGt3#vpQ3-mei1Ec4#Xwzy@X-Y#jR6J-0QvymaPdaebr2sG z!_b8y5doK_lQT79`b^QnmZj&NQ;3xj9Cn#?2%$p4yT`w1VvajA=&#-|}?nV5MOj z?1~d!uU29aH+QEfDKDS0UGUl*({-8+4fGfG%uIU_@(H?u^DU5PhR(%_i~>nRBh$w2 zO!F7@to&nrXAAs)&@mB&1IxLj_@^kmQcqDtG_(Fi{Ow|D4bsg7XDQA~k)vE_yK;=0 z<=4hUEEmI8WmgsJ*h=uUATBJs(f(XJsQr36n{}Zbgzwdi2%7qjg8Z|-oGk9G5~ust$~y>vmCO0}<^1ZznN8S$e8O{^a8bR)2QhruD!~;^oUnI- z`opf9zTfuf&lmF@d{Y&VOTLvGX_H&B75MT>QniruvhwA^)aAI_$^`tCINGWR{1r7a zx57bVwK96=hvefQ>BLrlN zYyZeL92K}Yo{6%qTXB67Wla#1#Rh>5_;p68vaIZXhYo_0GMAMZ=$#2}RGKdW+gfV0+Rw19nHf3gcNq~=*c@LbD8`juH(#3vD@wFtY%}%Ph z4l2qFyJT--WvWe3%W)H>c8IxyiDLKZ?8H?zjn;gkd8T>#aBJhnW?77)R_~8TzVx5A zW@y&r875g9lfnv6LTJGU5Pha30hH9sM}C{|-OpHBIJfQrg1QqTYkAz+`}4glY%54YdB@Ce}C1 zyJfT-grNhwuwGbmQSj2!!@d|A>h8wT)01^E+F!SmW;~nt*hYI zX_IeNH9bQBd#QZ1GQk7L3XUk-_S`DL4{l-CKui8zjgmLa1e~V(s^8oIjG(t<4fqop zS+>ksycMEa=&Ex_;Kl}s{KZX@6fgNoYJGz24j>4sEU?@oR-HaK(!~d0_d^2hRSP!zzA5KJbZM?1jAh`$qz-0M3{Fwk-(ve$f`N0er z{fi731=3gT3yxC^cGg!MoaB}Q_eEyH0V5YvUGV{nt6(_*Q})Qi^;%`0?0*{fmM&&* z=GIa)AfM>9E603{`qp)HeqtE*Wb^Y=QNrKIRJncvqDa^KQT>IUS$#XVn$fO-XP~+; zP=X854_;nbxBI(_C@nfqyh6!Ncj(D!ALlY-nde1zlUo^{MG;=_=eZj(e)Bas0P(oU zV=HF`qz|ocakRBFNv^iuRb6uz(x)P|;l{fn)rw7RSAEY0{=8kd|1$AmyF;Q<2sB%l zn|cGX7_Ba?=zr)c$vM?{+2*o8qwvZ#k?7IBBGUeUQ4|@UP+Wcd&d4n#kR%0_1S!P~ zvaYDWsV%Kmvd6VsQ>Phw<_awgNiBzNB>@w6kjZrkc>PZ;i>68q0 zD`Pvvp~UQll41OYk)riSP1gLRAZvQH1ntu|QTz4JMQHPlW8{Q{<$c)Dd}(hy7RND5 ziGYH|+Y)mk9oNo8d}QWOyD3jD?s|$UArV`}>mA+QT=sZAhOm~{aiu~rWOd6u;+DDK zZl^0;)Xe+wY%xV)mcQ>A5U1ea*S&Z>^Qzs&>Xs~$(7z&XV1xGI+1kJcJIuSWn(bux zYq5XDGW)^uauD_EJwUl?u$y4Vb2U$Mbufh+f92_Rr006*z+?NTOtq_K?YJtldCOfl z?TX8_aK^B=JWw$HzZ-VDgKe~ls?n;OKE(DSvCm~U04Z)lJ#V_ffPoR)fo8>FCkFaR zqR{rrCsj8-t(VVBF--sqdt+4`_yfX4@Ab1&y?t&|QfmtOS9W7@u1xS2oiopMGKH`k zCN5t0PrB8ZnWpr1)RO}NRb8jhjeleHY2zgmB7*PT(tsuu$aQr}A+b7PH8h1-pQ+qi zfj47q7)Jem*WE&QJ>P8+_sx~F2bRFW)vX$|)NXG+Nd9j=Dqg)A{6f_Q< zRJw_I`ya#87-lpVmNl=IFK(x`UGvL$d^x$W1?w)T648*RekZ#C0uy$5)_imq^NisZ zC*v<~Cvf1XgG-4qI}-I@#hq=gCZ5m<#y&Lj;x{LgI!)GylSjGJR-P4}$Ep7#IS0w9 z>|rO*BB%8%Yy2C_l??y8b&=&liCU(Nv&e1RbgT;7#sbnJ@3_YK9nEju^!{yajI2f$4*R_w;`cx&4}&z4v9O)e7zTq zu?f;rRbpXM1dNOh>oud%%Di{J_AiMi%p&2~X0{WP4;d`j$K!#YfTVK@W#>N`v`ItNSb-R7hzgM;iJ0FL!Y4jkY= z``K>YOB(mC#Et%1fN?A_;#}d!J;#gtSp5ZaD(MTE*Vj%K+OoVccn=Sqr@zM^!}BNR zAdYR`1g393V$SxTqcQVlUJ{a)yvY!R*YU$AGF=b^56zXqa{DtdT{LzN%`rLf_tQ11 zB>3m7VsdMrHS zaRO#VS7Kn9b$n$ADY=SXzl)U$Y9%sA=axyj8Ht%(dB4cm0FxrV)qN>(2s_Wotr{MR zDBRwgG7I_~!BQKM(K<8qwJ8pkHRzKG|_V2*8-qjh~ zjV_Ac-e_m!IubAdkF)iWLJ1-$#afLR|>xD<$wZ7Jm5HZb3X80N2wft8H!s&Hgy zo2;F*GoaY%aOfij=+PgBTkWSe@tB~RQih3t)Io7!YRMU847()>m_SEsB5Fokh}$WI zH9YL{2itxO7*ruyn>{A1TTob5^IxZ=s1;~SVFj2mW(x;Wkp$x$A?6B}Jy2>YV)V

frc7voB{#qHs zuF0e}QJYF_tjflAhDT!=W-|yB?R$9}1 zLNr-+EI&Qy#t3v>0F?&Q?ZHcfCD}hr^y=l_S&#?}X0|6RFwpzm9njCUS*zZwRFG8e z1$Hw|Y`^CajAQ!kT_xnLHUb8pxyiM)v2PxcgJ;i*%TzjBMv_eFLPMG$kkk4!QjqF8 z))`=(=(M;(bm-n7w9&_VThR*dj8{czw{ShtW&&!%u{*MVV52oOuc4AhzwZ#GbCh5E zhvd!XfF1B_1%UGX+9Ahnp2+{tE-@ZZHcLwC{J6n&zt6Owlh=)KUJCm|_ecajFCizUn z4)BCQ_(fYcl62bW?s2zjjK45#l`~eaQel#wVlkJ(fkvUwlTxugzIc6P?Qu_=t^TQA z)>2Wt?t;;RN;xxwC0+1)B$qGT+~RVczGwKZdt>j+Ws&{VYKcDOWB2G1YdvRm{RPKQ zfhC`g+7EBzd?#cOS|c3c1dTk6v2ef}WQBgIEe zO00MK!DcO2+e)FXjT#cJu3ev&ZUfwRSgs#7`nkuhv1XGa0T{rx4;y_|Uw zQa`Ad^%EV#0KQ`l$568WwZC{c(Dw+OOx#}1nAH^>a-!sK4da}?a?60A*OC=1*l`jU zHC$>3uIEed2m$@<&GlP9CdB~)AJJ5Cpsx?nF2Ps_)YW##y?bGAUi%3=V+}r){mSVtgdENwF^yT$o(4 zrX>%WyTv62ywA?|}JkA9fHf<=NO5E*j@jvf3UNd${jMIb8X3LJ~5lQ2fp zpn*$;@&(sv9}x5ja^RkW6*emD14~Q@Hi-&4OBhWZ2=oOSD~8rG5)lrM!GhffNuK{8 zsRt7?ACRM$$TyWns=*VKb@|aW3o;}L#khz!mPZZOnweXY`tB$-T0g|=$UBz=mF0(t z*t&}KwqMipGxC8$pD2I3>rcxErsq!O7^fa@cb|Z+UMYN9IG27NA;Kymrjilm zfIbtA+a1&Pl4AGkYox2tUFgss=hGkQ2vE_dXpr4VLlIC&5(I+1UO$`wAVUpM_~3t= zB#_ev0zJ_Rq~JnVp`s1YAYCu!ZTmF$;*;lwF!6s;Wz|c= z6r#`MYG}6=&i9%jx9Zvu8!cY-j~Xv1u|ep{!>vGET(NUT6$yq2r?zhl*F@gCQcY7( z6Wb+m@0~ai5u=|rsiYwR!2$3yAb+6GW1(Ng@*BKRzlr{MP?G|;nJ!cU8xZO1KERd` z?)GKPSy0a#c*L61k>Zt*mb}n+Ab0vfO{|x`gLZtTBz^?=uqJu$=+IfAQ+QicioWc5dtdDxT6D_@O z#j#ht(s1ny7&wL+Z=?xZkhU-$f?*^AP{jx}iaC443~GeiT#L3WEoA66d+b}{n(sm(XDSd`VMju25Zq#vT*6=`hZ6yL<43oI7eB1~#=zII z*Lxg;GCM^01pWQC_ql)GNqf7oDDYFvCi5XChbEVaem)R-s{u=50?W!9Y`mmgt!KRp z1WintbhZM=d{K-Sw4hD@&Gnb$%mYcV z(S?&E-@&-=Q5NCf#w^Zrl8?WPs+@0lmdmYP$aOg9kQm}nt;BE;$2rN+;nIa7he$hY z9$oPa9$l9yp4VPa89ll{S^wJE$-?`}w`&qz>c)F=QjebE%HFGM@|c@=)z3|KW#-K} zBSxwtt34QK*`?i<$8m$lReDGc)aXh}w*R*ixS5<)gKKB5Ts!&y?XoQ~U4{_Rvuje# zdMO)Nuvzl~!1jP`+Hgk@lm$RrWXK6H%EbSK@;dC?Fu$(JvO-{I5DU(Lk}{wn>yvXv zO}OjShjWI^=Jljr{Rgl$7UWG(^ualU#<+0!e-9)DH&zis#Q6+#hul({(gP(`R-+6E zuVyhrQ>Q)mKOH750QV-(3V-yzKBm`z2Q&k1(XqtKHNO347tq8Pe1uV|sOnC#%RqAzkx3AE??|t+C(*3 z_854x+L%1C&xUiwy-1oII!(;ppj_nMptYuO|gRFBL=KKBxwj|V<#&iNJ@j)%Mh2`{TJt5u)j#l{%y+Af3P zTxP^#RZCXlRaF9*?9$WXw{^=aXd@W*j?pmI19 zxQ7thG;HTa)jacePoKvwh6mH8Sy%iFVYsbXv@9F4wb;YQ>@L3I_zarcYa*pA<3qDy zIxXi&9ZhZgx#Pf>bY3^jnBoNR|1om0MbPZ8HEV9gdC*jl;04R#j^?1sori@K@iV=3rjLbFfPSLiJgMA3I`{hhK?nvXIqN>1ML<5$ETNBgyoa8Rr!Dw z6@_#T_CZ`3p1sHwG>4NY$ZNJ5GN+I|C|+oEvl)ZuR*x{^>2dTW25$vd(zjyVsPFHe zmr9@#y8NW@$J>Uq|I8MlxwFAs4Y#8T&%H4wKE{KgWl^G+^F^~FLHxT3D#GnO(gVYG zMGp@S?l_7oZ`nic>q$fBNlPaHKWP zAb;mcJ! z{ra^LYmlQ5(Kfu_*v^SJBKi{G^{weDP#^j*)y6A@mhUWjM|>#aNK zsEJ$OMzK`~zq-BQxLrqm*JD){_d@eJ2>XpS9X0E)`;X~R=}t^leM6uw?!jL!_1T7Y zqk+kb5Qjx3JPo#dv<=QC+ekZQ*h+5Yxu!t#Y8a=Yf=yn*CO3bDvu`ETDQ@u)2lv?~ zcc;PGTg|k^&Ah!~_R6n*coKrBmp4COzQShnk8rD;NF=9wd9tzOL{{mYerudTu|g?#yWrnk4=Q=xCR+pJOks&dz8({jJ4)o z(_C&cP1|pMl$N*T%uP(@#U{bIr2`EuPh)gXxQ;Y*LXF$D_rWN=yE&AG*KOE*`W2S{ zh(P8h!9|~Sb&92*nfU_`0sa9r!rU+D#-%ea-h{L5z`Xp4ls4pdKqL3a!27q*#!rpU zZznIl{FzZnp}v2e`To7)CT?G^Q#`Gc`)N{%go@Lz+HYx6qAmi%8WhNYTr`6$QCG&4 z1Dce`cr)f_cG5~Xvn~?GhIg0xcb9+6mz;z5Zc+OB7L5c|^b62;$4o?oe`g?H|8$7s zU;VH|Exe+O)1#RzAf_h#8nTok%6Kn(l;l_iWGFw#^$T2DQWe&m>I|0WO8 z^+o!t%m4haYq0w8@WQazu!{Im#rOJ|?@-8{eKC{opn{8|*ZaU~K&aRJAAkG($odcd zz7Jsajq8iQ`82o+6>g0f?ur=hKidHR_$RSOUgV&IF?+}(m96UAGeW{uf)uA%BsyLY zhhmO`(c`r(g?b#*3UB((@nDrQ{=}2>ZjoB#a8fONB-V|Uk_Gim({1}!%v{d6ia^bVIDwGK`vI%ki*NrE`CD;}&c4iwWHW`ZdN^jYk`E zvy9ivEv#z}E=ya~pp2)l8`N)dRoy(h?(yTOV9O~so|+p?^|Q0<==Jlkzd#|4KyTK- zKX1pscZCdoLOc9ap!}X5)Z7rE?qm;4;{b&`H&`1%z8TK8-4y%vl4A1DMq88QzeqV7 zvDgz0w3T{sQ?>@ZaZ3vVB)bZnAJY!QNnGe9mv7ucE$U4-H{jEoTNKcuElOiI!Y3Kb zEA+Z%UwS=fX-^pq@?@4PyKR$1S_$_uRBvgx-cngbUhB0IHmh#U-wWx)A}!yrZE%YH z#$@PZLM_+Fqwy{v-bG>b>7NSqM4-m58tbUb!){J>Wwn3Gr%zW)$6qEvt6{U`iU!X& zw9+*qg57zm+&y=+AgOX#5#II51ML$-s)0+ z#nk&=&IrIxzu!;ipy5x*CY4okF-^jJZARmdQL&j9mPK2gfIn`_NQfHH^>fNP8(PlD zk1`TYkW^u1l2zYvuHOcq)gE45MQye7DA?uq%HX(pm&#;lC|EC5U}$gP2bFXUj`TYc zYR5HUv|_k%AxZ4OWg0sN2_a!b0Y!aEmj=S}B4f&R5*5pPDTSp@i&k25t7hbW`i?>~;6XudB!b2LYIwO^3 z-|_}zV}@3P$2nE)Ra$Q^o>hvdMA zB`ufmuK`~V@b+)Z5)kz?WV9>WS8pe z@x8bI$A$0L{r$5^mv^-b-fn(9AzuQaJDX?xD_~U4oQV`uqCf=F6%AH>p4O*VChOfJ}Lh4l};}cn#id4iSW6bqK4aYN9x8Iu+cG$~#+2yOLiUeIZ@Z7OiTrf7rQ{`sC<#{!BwL zN^qs*m(;_jT4>1`JO1D{hD?i}W9fb1RYdYu%wC6?Z*K~&%!|jd8~u^pQD+d}R=d7Z zx^5=?FkmLkGG!+0vTSDUVdq_N%9~qB^9rA~wip~2va*Pg3?sz4yiOI?tM^TO+y<0#91Y%xEK%xk0N zFIhs~w;75XDNJCK*RqgdrrX@PD8vTj^1R*e!%gad^9vS53Q#*rI;6H=`Xz?j$d!7$ ztlba7H>#B^Sf_XkhOT&A(LX`$VYt!_@c#U$E_TPlxP_GO=zVl9uNi+(1oSPPIVQH?@1WLVn@ zU1(cM5$N6rW>yameUJnN1_zB~^2RI9WwU$l@8Aw-m+%Jw4bx9qYEXmIbB4z~UZD^M zjmNLq0`+e_NS}Tsmc)MO3fEzVhS9>?r_YzNUF+k9*N%sdSh}zace6U86@?1syn$aj zbEIsD5Rwj3iYhQPMtT&QkDmkCZtI4Sgs*SjrHF>LTO?4w%4GuE{z@M5_kG)adt)0b zb{@DhQzNbFqPY3iD(5>fd9T#wC~-Zj1CV>i;D2qHApnjZXyn=|7XQ6{3n9v;ZKQD- zRu~6$aGF~bI21Y(#(=ov%svE9vtJ*(QdEiiVQ@cvW?OA%bUdXMpGav$1F;XNt^Xg^ z?lHKMuYzNhY=@wvCBxV`AI3Z6_1Yif!9=&U)VW-Bo+b1JB{{8PO*QKP~a}Ky;)r`N4Pk1`7Z(7$|urHUX&fxcwC?(^)(31xIKBb;U<~josh%T9W(V$Con_uzT>M#Kq-D1`CGUU0EP$jpolHEq zEc;68W3aXSqac_+4VDnKQ}(2O)=XqHl_^P&{L$m;#xOSx5 zmTZOg=WoqYoIeNXijXa@1jZ}sHgFVwsqC3^)*^<;K7>A9(0@V+d&5RwEaS>tEN@kg z`7K_KJXKACUudL3uhcOi*Bj^&8TNJZ8DcNuPT<3-hNZ~;?#blyTj(Uw>XHsLWIQ85 z2}J@kvLMkc!G}^m4GmQ5G%`%F!}%g5+l0u&f(&NphMPn2s;UTz3W1i8ApQ!lOi1^{ z6gSro$~h8smYJ$L4}E9hV3rk1Kx`4fF7m*NlXV$E;k_ zWx}D*Q^o`@m#vwgUga!V*s+-Dr|n%ikw!iJ2}Da!Z+=vygrSa#G0G}9b=7Hn%RU>a z^{AQ1Qtad(`3RlhS;yK1EY|QTuaK+G0;AKmn(^v>(9f}}v$mzWTC(`K$Ro3w#Tq43 z?#>ZX?`gBfr`)e8P)%d%rj3EP6>)U+q6?Ao`9DUdZlIm?roTGw?*(ut&vF+~uP6vc zFYs!)Qv=;HYDt>q@JFa>1m~#!5nA$MDcAP)4*WU;3)9|IZ-+TB=Pe~V*Mh{0O%$0F z2au?vs|pAZ*5V#`1!od|6DSK4F4yh36tKNyNOoW6^jVj6OVk8b%o-?6+xzES4uJW3 zL7mba;Ecg=C_4;85Ubqoc9l9S)+;J0hu&#RXMV;MgpyvE*w4A+Hf%1dqgEX>pM~ zJe%DH^q)q?W|*a`El9lz>A_il9r-hy_OI&9yt&$Pid#03-Z;u$XX zP`@rYqL^kOvcmZ;uv#JeAP9%C3jKQ`s9l-eaQB~VK#+WKvvrH!zQ_L|dA|**VmmFo zfDl8t#+CUE)o0|wzKx8uRudM38AZvR#<*c9e2kaw zHuE`S-)=}ZP$Vb767#&FC3$cAO86`n&TNRd8vF>|;bP1QkvcNqNC-FvPaI=H$GKA;xkj@Uh&?*LdwmPQ+QCj1ATBI;+i+iv2`}R&y6KY7iYv>@B{HOo zHhjbzpyLE%Rwmaxl&FV^>lepUgy4%{7zcQZ^v&cakRv{2TY|NbS zmzH(3)+3`UIBydMjv)&%#z+G7}47q>z@#P+^4GMVh0f6VH8I%?@KVISiF&{>f z1zuvN4NZG+y1Zy)8Q-WKSWG#VTT$|L4w4QHVmt(Uq&n4$cnrlk`{%k^<96wdqs6aQ7HtVGx@#E#30V7=-E1E3L>Sa^N(6xsKV4s z1D3MtDhxy9Ya1KVY%7=Oj|37KHql{Zd_jN0ZGFKyd*;5W$BW)iffdrOiT6Pp((J#} zcvz7#g!{^)?HF3*s2FKvUDQE;Q@lXObE9NTg<~B#pbzRAo`sN1Bm(7=ch@uW)_VKC zGc`W#m-kZE03DIohL7k(W?W7#ZJ{#j(G<7Kv^S44 z4Pyqz!on$|#S=l|YFHSrAM0JXm$XSm<)30&>xr*p5)MZBAM7>p0dRWgUs)naS@Hym zt+1$)VybSRA{&f?oY#D`8wU8xM>erl;Eby8kWE}rF?%F-F$jNrD6 z(dUJ1G;E^{NAVngny{@2EIdD`a%u-@af4CMrykY~L_oK4WaV$%FNKycFHj;*_pg%Xq z*m`UBgdjGs4tVGQFF`u6HX(Z@xN+|jRUM4dk0t?LMoL^^0WbE|#X=SBa~j@`V)o4f ztW1dzXCX#*_}jJ|Kd?O_RP=@t@J>bU%{|K|e?Y!yr%C#*=_tz_tx>3XjK1?;#s$&H z!uruQFG!3e=_={*mg4UpG)4!ENFwO$MnAr#QVL+RUODHzoPD^>y{XUB>NF@z(4den zj0F=V-dsJ#c1=B2sDD4~(lYXx(G&0%#SEC{7$0}Crpvx8T%LCh&4!aTClMK-_B9ATn=* zA_xYU4hDW?{EVedQuucO3lg<#MCtkW(<$&1@V=u=g5O#HizvL&$0E8@nP01731u03 zw8_fm6{p;%wt{3K6}9(m_ph?OZo8!bq}%dur6@O63i)XU7i>}WCKwB1(Y*%u?Q_A~ z+0`!hQ5_x);urm4EwUnempvoCTkeFa!(fCKxFtJI?ip9kd)N<{>;v`gE{>)c-W2Mf zh*6md$7+4fKoCv_BORRu5+0CKfjcEL?P^-W@Kz4kLJ=sCwIz{oFiCJQ-+;)X7g7oG z`D2M7?Sci1`8t&0y6PB%b~D}K+voE;bm4H0EDd8b{^Da1!Chyg+uZOxjhf>IdrrmN z2UwR2_NhbZJkw>qCpmo+3&$etyK@>IG9=tS|4d>$_4^IwI76v)XdlhP6&cEmC1rOQ0Z7dr-#_jlw1dnyDr$7C(ZSzqR`ZfLcrPJ z1jAK&B{P;0HJFS(^bS6?#4VIn7wMhZ@V1Gh(OFI}s%Vxs!Z(|;rI z0$UVxJ74sA*1&4?a~chr82}HBq=?~00Q4hWt9!-f?shp^_Nhe37LyGsf`$k}${xj= zbzEhg3^9)?Ayz#k?dtr%)A;HkOyksF03Qs)d_;8-xkAEHnVSVi@ zMLHU4LoM!aDwPhG8J>sAc|sCr8tiz|`3-6;Tt|&-Qj(k{ zA-QOKqE^1txm-eAAN|%^ax)Y6?AoP&*GMRv@x8@ASz^CU^26i`NWV=-i z6Kf$DFDecwa=7?_^ief5d-E_FiM4^NV2@hJ(j$x}v#^$?21_2oj6UsKe4J=fDS3+` zxuH1J2d4+aVYfv>_Oc_8@k&aI_Ay=w7B(E%h~>1Rz=Kk~iDw{^2q>QQBH_y-0Gy5@xo zW!BU8Musd&L*GLDszDDyR*bDucO?|yXtKgJ`R&PF3U+?jUR{k0yJQyw!mu)-F_YN= z2LatdnEkQArKRDl9w`fziSJm}pAmaRn~TYWoc_NJu6ak#>Lh^_>P!OaLzacY(I;4rjw90+mmh1|v$C{9PU?gjY!gVpd=5a25; zEH^pKu&w8SiveW?LI+(!aL7PY#9We(DQ)7UOY+Tkq%1>I?iI$J=~n2y)psh!4^x<5cS9WnMxvAN;NCBfWcWy z8iJfqZC=q+K=y!x172pD9Q9+o{28g)VtS*MtQJRUH6ChJv1fR{+eP6&c+kQ8Xw0Y} zHna;q%^_VSMF}IrBK0;(YN(o&3L)z}Iu=6{-fj^?oj_y7e=^8q)@6ZXYnCL9ZanDm zE%QpImo6AuyoBOQ@{-_|I#1d&TrN7dkFtRor$6`4GiI*Mnb6-hft8@XtPWWkq2NhV z!k|;x$tPmDDU0tq=<%zPG~vOm84jME?Wjdqt=s{khDC(C6~YKbUiYw&fjC+;14m&4 zWe#xT9zi>body3-=a$^BEHKcbkwZT2#cegkD&r6>VSV@PXxC7L_JP$2(VMpk(T>8D zum;0J?g&PAgu;}vK#N055^Ybsa8)bt1aqF%`M&EfL%FGPVLu&k_R{;*}HWgM`;$;G%tQ9>v~AYv&&4&AHV5F84bw z;OH%MP#uBfU>Ky(s@|VxQgDZhi2Gz4Tpx1kQ_o+?0s$jER8Q*7r(9qe`D8z%`@f@$+* zyhIU1`?P=|Bcc?lx8x(g@T+1Rf7!T9$t3}AE5zRc9!)4z@fBzH*#j}2#C@AXlVpe5CMEyvV<+rShj@@D$$_F%$+|o zJvi!Dv-4H3`QkG2)L%aT4)nMWp^J&!nGO6U3VbvB{#oit^0h)_VX6I{lUkaO-^*%v zC^pOdOBH?{XEYd|un22G|yMCqU#+{r6Nv524-r%Xhl&z4&au~6h=OFVLhR0`c z77MO$mwV)LoHWUnQL*gcZrmK)h_Nu-G4!lkZnmfwz1!Lhfnracpr+1{8 zRdo<{F6^8G{a1BjDGRI7LYRp<`dTQA;|;}Pjm@h*)=De%hR_=geCg4 zgr1O~Xurs&IH`ABLkLJEO==y|6jy_S9k=)s{3-gzx;aGnH3C*ZY;G1QhTe$(qN|6g zK5r&^D9K44z6XXFN@&9&?gn=~1jpNlNuLY>?H#RRbfe@r1iM+hdlSq`40DGEPEC+*U*vhFi~gJf=`2`rANDc(DCvx^Be1-zozDD4Ixm*jPk zO09|AK57dPl1kcn?YN3x8YpV#k*Ub2?n*GJ($Oczh;wS+_hW+d2Ku9~*UtRwij9v@ zg*FDc+-Vresa5(gGj!{P+CGeDxPL`x!EI+!g3*5OFP}sE(~G_8kWPfEhhLp6BD7z! zKt8bMBZKNOQf#Pj()OZ=!4!bzZ2>ITg@xxmL~nqgON z(HNfgwoP8_#v~-#y4^6sM2g=N9D#@tSepv4Dt&PZjY~Bv1h)?pVWP8IY zpP?mFC*5QD!zo`jDpA*7p^GTGF?&mW=V^>Qz?~lW^4bwHPyOZ-Zi+MBM3-@zs8{?0 zs)e>KL*CxX`C>N(>gR7(m|Dhm@sy3iq)@k8!ATbtIZn%3opx{c`C~gR$Wk4woKHr? zzAno7uT-TDGowr*XV?z$G}$(qgHwU;__vUOp|)z#cO41mPD^%s<4s1ipPOu%Dz&4v z7=Ma3jwL-@{dy}a*R#sFCjIy)886ni2Q#{K#9mUhT-(KeBDIa3>|$98ZY-4Qthls` zPaw73+&M%g6WV1Eh3QBfrcPbjYMZ8%9Be= zu|I~oqvYgeLSAK{M^A`_Q@^jinmpnI=ou$cZ>BEtRhXB1B5@vQwR|1(J#!vNx7AQ8 z^hO*pa6V)|?Nxb(J}M9{H5E@vkI#WI4{O=&{9_z+1%I}S7hDUdIg(!FuH)g9Vzr$C zK3=kHoriHs=f8bY@$MwFk;_D$?Z0n(JVi5F zcm*j%M3#n+b*aS>pa=b$5m9t1L$|;vaNj2O#XX%Wkrw(|VIr7!Jb2`VknmEP%?NKN z28Db?1OjLY@uzDhjt#$xJp0>+olXZ2Fx?1_4iDXGz!cE*d%fEHGDS>z{5am8M`-B% z&H2|!dIfz`d`-Ee^wvy927E|YzwtEAwC)HIwMT77CNB3#a|D~7A0RznGhH{yZ<{tf zub2gFIVR~ghkAk<3HYm#j%c>*mtr+hAXy5f7=?x@Y{ziYi}uexNI=Q&k(Y{DP|cM) zehGtqz;I=v$5YZ-G(w*txk_27YqR{O<+Hsb9g?Fu^}Df%ba5gZ^P>05%k!Pp|L!&J zvw))q)w3r{=fNzppjh=hAZ!P+^kI4RKwr`eIfvtyW^!)QpZw3q?Eo9?F@fYNwHtlh z3+C?nlICL(S^vyX&RG&vebZo#EkkOe-OFae;|NrG4L`0k?vk-@XW zl#jirH{bq(42U`KVhCA@-0djPCF=R`+-gcgwwM<)E6rrv4Hybe3fT1IgQ{Pw>B-&G zy&X=ACib;@$?dg$6D{!GDm9zNvpjYk*p^M4t4phwgi_(H^fV~88b>5+8b>7x8w4)U zC6KSWGjoC=Pv`XQ_lH;IHOso&Oz21JZToEoZYmF-n~qc4LJw^ipK=ne3F)+FjjSpQ z?@XonGLZv3l7POjc@_;5P3t$NODymK|I}U-W0N36*d>TzlQ`A~5bvb=3#L#c)&=v@ zFfjO#{9@d|5D~?BV)a&Pu7)K1Dj#lx%=Q$)H&!#sudjCmg@yObU$PJ6>o2V7)qlXT zz4E39xjfOv zN}6>^E2>sx->?OiSunPfx{rIITDZ+3m8+tB60{*z&GV?#n^N%j4&dH- zkA7HQM(7w*)(O;1mM*L%^%hGW(i(9QzGnF7zOc?qe|)h*-Ahn%dQ0+9jL(Rj*9laVlp_)E_c1iMJabkl+jB5??G)95tw&p0@_+)$XIVkmb3NGcC| zXBB?i`&e=hubahy2v+Z0a@KogG zbQnYB&97Syq?RroP`|z);Bj%*1Y0%07UXQ~h*m#>_eD$50Vb96=&!7O`?a%F-YPluf>Ds2>= zv{j*AG<;TkA2Y#1rUh{G<>=*Y+W^O)-8o-tWz??sFz8Ue2+vnjo^4rT9o7OX-X?I5 zVD0SI_ZHE@-DQx~^v(fr)10>n;{ZqqmL1}%YxRtb58+YMx@czZ*8kTO**d#h$9iZJ zkNSFv%ac=_&6X%vD?O$>ZWyV5dxy`NaC*F(uC6}_F10~(|Dc)bX&#u@w+8zG?7-4I za%O2;U)r)uv0J`XEDklA8VaWu*}6>`_m~V38S4EP;XELEXWP5Y0fm2@(oqa zv;qnM^}1BZSW3MNIm!QKTUSFY+TWIaZVJeZVc9P5W^rT$viNb^&)L7FOB#$?REq= z^^DTXGiTrpe1$9&rA-5Sk~tt0N;iL+{aB%GV--`f*@t>*GnOFW`N4^Q8EX-`S5~>N zl<4)U+8!TiDtN8RKl_kA_ezA*V7i6EyT+a6c%a16#(;G@Zm&2axH07zwNsd8N(J^| z*CICf=8Zp`-RK+%F-H5F=OP2nM_&4}22D3Kt^KFS?((BT$wwebZ$6KX%c@5BS!9kmblqP9{Q)8cd-*u)jN>o71TNe z8E}-gI+h)&q|N(--5RrI8aK18BWFQ~9Y5p)&ctul`0`E_$kAkUwESOtMT5+NN^K|M zk)}^GaXU`BhidLI4g=uT?AAtg&b<19oB5cA-HvkjE~G4s#(us9`f zL6%hR)@=ztI!uT!Ht~L(L@HkG6<**7Kv6Fb$a37xOC^2h^_*JMo}JRF>|E+IM_Ys-4v6tyN2O?qlRuo@wmtJC0jQK-KK2xy7euLD75qsJlcHK{v zY)a`>b=>GV?QfAlmUhx(9!V8CKEXTNV!f$VwZxRwW_xnKPJMlM^vMT%fB5(#>*18~ zaS{GALf()_l4L!GHNBZL25)#h2FFIw+avyv9qPYnn%sYATE7!kAVwh@Xp()c7=hGC zbZj?TeM{?_;&ACXvUrN^XqbRtZE+pee2oQR4E($T5nlKb7(+E<_bh>2OAi(`|gz>m32S2d2>q@N#Q?Qz|5PujbQ610Ex;aA@O zLTb9Pg(*lCn0_7Ix!Yen27TS#pPID(^x39X02kz9<2Y{7 zDm`-Lnq25c`ki5SrgzViZDy5PhSwG{3WyIbR};o-p_3|7pIjJe*_KNzXo;z$Aw0)W z+oQ{!Rc8fTKM;HT+2R4tnWdtVB|WXQ3``mlDv{QAgfHaJp?Zb7=R(7YApiQo3v|!w zD8Pzk(It-1ee2_7Ln_C5CVQ8xg?_uNMQDetMQx`nbYIZ4Cs)Hus6pMq6#XW*La7UE zY_i?3-Rn&cc50HIs>01%g?I-@(u zt?BvPdrHsDt_2DOXU5C}%UI(iKDW0t>4g{ykdY%b=s=?-;VN`Y3w%|{w$DGdWgiuF z(?S_A%{k3N3zZ%*L9UYdZnrZhQ}8`11yhFE&Qd@Hb3o(Q?k+Rd@UaI1rHI)wR3E_U z(0K^}kc2$`L~$ruT2~9mDJvOX=7oQ z6MpkMdccqm-h#RltR_;?koQo;kQY~ECnv3-qw5~d!^g|BE0H14cC>;iY55+zTRinuczNPRUP(A`zY|D4od6ClBfZxc2X_BfZi$w`1U|lp=e2Jp`3$-11?%+ zR?p#vV#cLRX1xOf&}Y3~J?rv0m1VP9t;AOb|7NP7&nqxlYKkoiz}cxqyXH zJdj!fqZ*l3A1e;$nG0fCrEjjX_!+KZXkdm-K8;V=&6=M27s@fqhV&`k@EO)E%`gmN zoq8qNkoI?D5HB-knH{-Mc{-N*98BbKr_g{dHck3D7$nfJ34K9Yxn@b!=r34Al`AXF zp-Xm|dN^N|tFIS_nsvDH@_r`ty>PkktN6hf{5TA|@%1#A{)6h;@Gl0^*4<@jUu7? z&zwiAyBDSNAk#}K$e@<;U?ChHm_G%9|DkICn_PPd3wJ^H(Z)b%yrGj4J*g>$W5OcB z!S#IsFBLaFQp3pb#0)amAI{H^td{!M4OZvi0P^dF;+S*K$Y)|km{0H*8J8JX#SgNX z^^4@dos;AO<5}9Fh$F@rOOJ^er+)bg6{z8a&XwlzA}`&6+I;i{xVIoCI=nzzxd>rX zTMDUhbMSp7yN1CYu<0XjQO>-B8=`gg9z~ahpY*yE}hPDnlS-+ESMz2SQunD6;Hzu7O+_uuS;zHPDn2gCUnd1*8$LiA<8xyVo}knpJFlS z51VRD2FnKu&Mgvc7CfTdRv!F^vONJ@E(J%52kB`JmdpI6f^CO(cF@NL=y{Ln99Q=3 z)NRM5KQCZQ@%)7)-9uOU~(%0)bZ&?LrTO(qs+@oGzums`&ZM+1jz+2kg zZT5GMF@|wgTur%prn1sNIktcVoPkD;L}DBTQ8T@3$$V7_{VfDUIXiZ=xL#uNM3Cb6 zey1rvPd;Fs$oIx0^L+%y0$dqPh&1K|UkEkU5Gy+0o;=gn@RGQn$ppmhgovgcO>GOv z0io4#4iy6}B%eksLXt!J6E_HUB5b7X?&=ja6RUDlqA5GhwD>DViX3i=idLAKesN-8 zepLGW!!iL_(FnxVWES?EsxWMY|OEqGdhUNzUjJ;`w zPpC&Atb`Z?!Mkf+QceMtDo!H%nKR*tkpOJW^m$Jl7Z)tRSyU8zNBkKdZz$>ewZO`g z$I|C)S+A$IhaSCF}4S*PC|o+YwvD6jw}9H_|2^25osAl0pvFv4DPzwe|>wxh$BV{_*%wG8Sen zW4r4=9xZL_e>~b-gz!txpr5sGLV`G(au_7!CC}Cj(?nA1d3tk|p;8xIqz}l|Cc<;_xYEK`VYTb{hiOqe zLdnNN9|;T>$rkw@Az39Os{39*qg*%_Sz+Tnwy{dOIKA3_9z=%jF?$M(64(AB6oeIG zib)SrImd+nP5Ll(IR{)a17>$PxVvX$Fc72Rt=ioHG1_-dK!lbG89flA(E%}v+QG%Dbn+OUW=Nz`>*$vz>NoSED|Z~BcC#a~1@6PGtN zB(dCKwdKQR)@S%Ya|E7*6+ptb9 z7Og4+`&4_Wr*>!J(>v4T{`;ZI#@|fiP4XQ2vI(9}Pe2<^=ZP@G_bJiZdJ2}ijnQW2 zN>O1`1$7}!REQY-&9usZAX50waC|1|Tm(z!$0p@j4P(O#V)Kww zkYW9$c$h2vg%yDZNsUX{FpWdZ`R0B7vnbS1(Y><+sfQ?rq$$_98>lOmxA^Bsy>w1l z@Vf48x&3kd0Ndd%6E+gLhm`Ao?6Z#Sib^poV{v6q4b{7$fzY5f(8XSoDR-@88hS7I$+S-*Zp^s_9shxGMM0hLpESi zgOQsfroYAv)la>UVc!dDr*7|r&*lwDL%pZz!Tct9NZo1cM6%&-CccD&9-jSB$unXA zZ~3sJgec!pk7#FU@DTKhRX103%hvk78I|6OlhOOc93;s56YPCC#;tU3_d)c zFsWRjSD1@@LFrN*bo8599si-4XPmkuUHQNmHDq#kD^W7(`?*ykEA-)3Pj>6(Fb>id4B_{1X}HADHCi$JZpTU={bwAv?FrtCtZ0{NpCh@@coj-{ zL8!r7Q@{&DhL8?t(i}X&%HdP|zVH#|6j5VH&PKdx6R5}rq&nJVrQ|wfrFJ`H!BscS zwr!A`V%x%srA;rU?8oA?9w_zJy!NbeIz53kI1DgIXaX?6vx+*g`a_!@lU7lgUn5sU zBV-lW)0U5_&UjiB;a23Iwd){3*ZFig|Z6(9+ZBh`Oje>T5xkUCc*z_nD`!5@I}Qo%5S}5!ralrm)EI@u|IngkX+;EQo9)_K zo#T{!9Vy0uxc48igORRxsr9$}%H#a;g-tH9(89L8lz1rVucIuejZ9_5ye=pAr*uaT zN?S|K(BWaRn9kB}3%5#`!-}I^q6TX5L}0(3L#XmJKh>g#l4O>DZivz$>-=-{@nPS! zBcB;WKPd>e9zKCoYy>4kgknj4&)spLAPt*`=e+vyAO3FK2qLBow$Sh#q+){$s49aV z2&Nm^ zAj>%rljZ-d!iSXtGqo=2$fwmrb6WhxOQrtjU5xQ7lRwJRy;H^ z>J=LrJrHp=db2=J1~=Ww|2C9oM*E0ull`9sqjQFx6}tAME>&|Xuu8>vo-x>RQH(V7 zgXr2>b0b^G!Na+rUld8Sse53i*q&wsi5@@e4pHZDAjfI z(1J4cKoi;|g)28$lalf%8gRs;O_f|a5I`>^VVI51GOEauk&vCMjfW$Cl8w(r6Bhd~ zVcpAZ%uXVD({g3-U>x`u77{4wwrzB=yXlVP9qpcjA5e(v5sH`ad-P|(i8X{WJ4gZX zSW{>jFhW%4da_MWE_pEROY$4DmHc7~0>#%lzzMN?hP>BnD&U5O1`H(9TIiz9`F_a* zQeISS0G2`ewV5Ze%0)qGswBOzn~+VM7qobb0HsT~9`vbb-_j38ksb3c&BW613=&n{ zN2h;56tqIoB^C591KwCfR@rNB!aKW+N!dqkg#fu{)g${h4hAQ9nDH&5m=f$*aJB6Y z(aP`PggQnC)-sN_z(bJ=Be>NB2+QjZc}O=bcb z0Z@pA%AE;CFd23S;U|)@j9w;z`~kYXef?ND0gQNJM-KqeV^*;TTPR678hIKxDjbL- zXW~+j;P?~mrenR}qSvK>a%JHa;n8K1=z?q5>qOb2+4^hG6`)PS3o%99`}rmTZUnO@ z&Qq`z+>|-!Q`3_EoOqj|UyFeXZ#94c@k(n7Ui!w-gLg`7 z2-b5A1MnOG-g-32wVKA>re@p}pz^ii#-ttCkRaOV8ByeUKJr|n2;3}3`C~g$kVW5> z5c1<_nNMfP(R07m`^KF*c(Lj#CJK$1tK4G?7wA-y&&(zcXnq;}Fmp&IjILxAIRmM% zD%jzxh$^Klw!Pl@r#gEyn?*+Mftxb zMQ5#}1{%o;Y$w>SXf*#(XfoE}jm@Dn zS&*ciMP;lzZ2cHBqX7aC51Yj3c#~W2ljmcb27sRqKl=?!D7{wKz&K_JE2%m~Q^XHv z+;ZfKB(dh*s&E4<0?K}L>M=U1YjWL65ykEjyavfB4ep7A>E5beZj3*;&qR zG7h@9M`;q-89fW<#IMPgwT%+kr46!X%MY1sh99Vv>y?GA=ln*f8X`rm$|(t33|$#| z&bT1p)(qz{Y}Jyt2V}qpox|`m)Tt}xW(;>>cJpVz-W)MN?Y1Dc{Tp|wPCErQ4nIn# z8lNYar|`5>3J5!rV;gsg`pUA)jOSc*Q7P5I*l~e}21>Q0Q>@FMn}wSvYF}&FmdMxp z44f^;sg#!8;*znzZ%($yv_-GmD4YFw^0HD0LebYN-@3{8x*uY+&9vLy`@+)N+$O7f*BLSKpTF5IG)DBHMuofYXOY46O{((+=eE8>Z)_x$V33X#X8vJ^T$f9hh(96v~K38|b8 z6lo1xv7c74N9Iib9MfXY8v3q6Jp41DcVz@N(l(~LqAk~%MD4=#o#>w$m3i8XnJc1m zm|nkj?%L;?Hh6lfHOsJh=%jBgAq`qEjP&Agg`rcQt3Fp4Uh zULy)+@UB*Kei z_RQbKDwpn`QQHsakKpl=vP3MNqX&LL0Bn@gR68dYZ>w4f*C^~m&23uV_&lSq^j;OE zTC6AWg681qxa||lPp83+TsiXWxUcmAj)?{aPcRDX#K}1DR_U9^p})r;xnWl#r?Sp% zQM&+52VB=_4Prt-k3+`GD8G=)vaFFr@B42ytjzItXk1dn4N)ZE!WWR75s!<+RtVqf z$3HrCdTEHe&$D&Eu7eDI1@pG|27d4+P~J_Klydm^&&`3rPpT;B?SAicPLFa}xTK5^X zs6T_OfLO7{kd5gTOCa~nn0?<>17dx%e8Oy5j$VUm4H599;AbKL!B#(U)DdAQFG@{`^~ z=ZoY8P7ZqHGl@>r!4>1rTI~;h;5PFicagtJN(0=A53_SVD?p?vDl z@BlRlT6U{KYaU0}!>z9WCk`aLC*m4ZBgHyPnkjlvqD{<>Y1UY_mtw^dnfzwOf^f+b zUCH8WdvTQt@#F9bJGG)c1#Ya<)~^k?J|e|3lkb zheh?i>*BifLr927gLKPz z!O#Bg@AW(Toc-H>oatYlKTlXfS6r3S-R~E21;2fBloP@~ z^HxG^jZJy@wQ25!9euy{Zq3M}bU#G5%BC^=+6t=Nnn68{B^PX6A8Wq)m2Wj2fG*V92MMUR`}k%l}JXjs^M?A@#)Nj zEPnpZF+MAEhvxkh%+^?JcmHO{%Im20Pmqy$4umL$dfaNy#)s=IYMXp~^siD~XyqmT z+&w02a~Cs+N8OLh11Asoi^EKyFp15(;;wD(9O)mgD_Nlp{kfxhwFFrFEC)lBAEnVR zzYvi5qHKl6^5lj0R(VRP&*@%!4de0e$3 zs<3rAR3c0I^&^U2B1wpxm6*jk6G6N=;Y86j=8ndRMPlX-RdhVbbP zsXcpwQ)@0M5zlo|-`i7zFy?qJdXGnGG`2waqfvweR03&`#s#I;-FTEm6>aJ`{WadS z!bJcAMC0IQwg%=HNka3g;H>lYe71WA?chw|RLa*%{a0g@2xx(64Ldr81X)naJr0@D znVhtPJ8?R>2$`Sc_b$HK{BB@1_l4+3kjk#O7w5Sj)iM{nF?Q^I2+T)XjK*c*BdJM; zQ7e`F+OfRdM?*a1M#@X~H+lFeneIHrm;Z%|@ja(kUTED|Zx|uVWk)~D-dsx?luB>< zwikGGsQnWiadBtMmRrKvtaIHUsC;?SdtCpvtJ+w8>S}M0NkW*b5mpe+*87DA zL_|Cz1ZK>oTtn)prhZ(8dF=ZNd*K*guc0RT|Bf;g)9>IC*sM}ad)}6s|p*}>xfmaFw5*kr0FYeUWjlzER~mMA*)jgURRWhz70wd^8Pxf z(%!f6ROMXM_YYX&OI0|Kg_MyNjDNITxvj{EMzMA@QsKAKroE2Z9b? zs+t3TEabn7iW9ZgyiiM2^sRz2?zJx48M`jO+J@TSuA?c#`^v=)K}g($Lzye8Px%B=bmv>9z6NV>uw^$`o*?lG;2~wao7K!G*C;8%y9B&fMx6^^}E$Qv|vrP9Y`s zr_IQA9;ncYb>|<6+bYF39SsL-kML3`;r&bo`gm~b5XQf|VWAgncqz|}s-j2W)^Cuw z%zkp#r1utT=V*#>hE$`}Csnhjn4k?4|VXy8aMSF+4lU#HS3O zNy|eX%6K}!4SHhOJ_-$NvNZ}flbWcRl1zPd{>BTI)971dWToV?=xrLcr;#5MGa9$v zAvuUF`X3Duc^(YptO$IldY*aasf{OiBbd+42v4vm1ndT0J&Zbj(g6D^pQ09}ZqzGK zh98@-^PuBf7j9e~Srz|Nhs^12E#`5q_2~VTFNrJ&%YFEa5KC2;1urqOS z^By;418r9?1)Ms)-isMeB=y$mV*QRkqmqA^JLh(;JShVgaZ`wI8!jvmkX_ z_L_d0K1R{g$FnV4GWajaPyGq_r!H>l2!=cTINjb+?b9y&RH?fnQsQ9b$Sv-)nr|&v z(1lDMu`uqpM{F$CO4O#0tja!hes9lEagEDqQkgqbeT4OKgFOQjhs$qvX?A~Mw}fF; zXLYea+z~zI=W6)lbchV(qi`dRMGPoF|1(eLi}GzRPTj;~+p8A$l)TYC(IQ zEzW5$@1o1Ai`I+6VOD#cIL^ZF-q$ljYhy!|#xhOL=j)C83JQ0uR0bNI&xbGM zQ##98bQ><-$11%*7**ZjzxlS)Tb*9!3o^7JW01H*o`rCL&%zlK(Tq_^aPwh5d+h zF4^1AyP}$=Rc{+%QV~Rc68Ah%-mCEaQJl3B!m&hH76k^Afo6)eytWknyf=CKKI@~C zL@|Cpa)KQdj@~=DjM>3(--Pwovy=qqC7JZ@$aj!q!`g-P(5LaVeRqOWqgLLLnyC`Z z7yR2UV+%aCT57MM5OGc*C3zSXKUYg?_K}JkGH6ceD;-(n5Us6_#p8&{czzM%fkhr>suYk#(CdbzzPZNIr?>kVZE z4f*Ly_46lxMYEm0TuwOW8Qm9*nXg`RZsw%Ce%`O(GBj)~zK6UnB(yPG;D)_Fqt6>T zEm^gV)b1=ar(sIgml^m(R%wsm946NpIj;&|Qb_08o2s15C{Zy;urO9uL9AFBj(_qG zmi5lPa4{Yq%CNIKxk#9aqd&nLxi~lY`SMuYg^}Oz`LMtgtAEM{}zho~6Degf+Vr-1|5ejdC+-zl zwTjC7cvWTv4o0I+OiUl&P__5lPgyX1OrmO6Qvo{)#~Y3BU8+}JF^&FEeKcD>av?t) zT)8pje==JvZJ10QBj@W)noU)D0DfOw5=p(xmoJd#d^;qo-AB#5$JNL{{|)ju#I(cS zeB5=?_dUbmSnUb1+HJ6_Iumo69FOyH@DpjZeb;uCEM`|O?reV_oOYlt=A)+G+DzG24XTkVd`>WQx ziqw5_*>2$7;@j6Fg-(85<@O^Y_U>+zwHmbF--xtx#yCIBJcytS7J2!+Glk_i*M;kv zM3&3N0fXD5e&W-3VS*`hQjw6fL%&Rw3op6`Czr6675WqX7t3@%kDnOU*(INOFJQO+ zSPH{u9*o0}3{QUi#|i!PK!iv5duT=BRMlAR-62hC#f)rv4wG+>e{hx7{<$r~rel9D z6)Qf`WH}d+y%Q-UW2I1?pRV+4qcn+XY2(NkmviN(&zg~XMvq(Fjx{_= zch80&vI}z`&&NI3of1z*Hr!SnssFO)C-jj9y5;L9;nZy*WGTGUPp6md^xh_AgP}D{ zr(y7YPwa=XuZ=RHt!$$uN4I7Abs9DqWWsgqznl55Oob&riT(8M*X^iK)wRhOyX`3B ztg(H>&(ggd85cT-kw$NSLe@0%XZ~UDzUuQDG+U&XJnMIq6!UzZhFT135O504S6ra7 zANfNbVN&4na%84?UNfSu61c!(!WP+}x^@zM`)+)Pp3%51yDh$8#n(o^`!wq@)g1g5 z;9O5)UDQplqB}7uOP_n+arKv{suT#OZwr)^S|I!1mG%i_35Pq@mPRQlvTN9UrnqOwQ03zqm7IEmzm|#<}cQ4?g-VXgXNR* z7;BGI?Q@?Rq4mM92vN2E83VR^->h{as?(Qk2>91j6GY5xzL=xdR8rK^AEg_XTI&c0 zS*!c^vKs$Nc%+AaqcNv+a6kiGN>3G-m^4XfvbJo$J;0t!n=-mPmNZGUk^Pi#F+ zcfcb4tHEje8;egAB?nRuKN%U|b{$$hw28Az@3s>E74zWJn*o~mgbESzZUQCt#lwQ2 zr0gvoKV~*Jg3qp{b?y)GKf9`qF^hF@8b;0C80T~#Gg(M-t}9n^b$WWYsVGa4J4%3mw0T2|AVu`8*Hgx*w%PpC^{ zOYjT+RI9zcKCe)uoOoN^XxwGs&Vf_mNTal+G{OaZp^w^E*nganu%o{F!N=T@6Srz>N&`mDQJWFN714d(oweYE zvbyoZPh;Ws{hWq`nn5Y#lS!uzQ-vQK%X5B=sut`Q({P8=53STz4jIUGrJH19wG(lC zQn{m?b<6$vV|r_|3-m4P)pyR{esSo2L1gFmZt46a;dZ@^6z@w?VX3W%XDczT6Yt}> z5)zzCv(v|bk}&2EJ{wGYA5+6D2pP!pNo0U+A~=WDm_=-YU7+apqtd!?T`#V0{?0!h z8Fz^le0yn381+Au6TqCo5*}_z6U#?UsL5*azUf=m0`&E^Zb^nK4NaN(>KdU?yj!x) zu>ym#IKBi{Hf~7~y$jFV`I5{*OZ~h_L~ZC}ZtWta9x6iJ0vyZItkMxUA}XfI)(so+*av{Th_GjMH{b@8d4t7rl{NySWk1)fgzsfw5W3W{;Tbc zLG52zDunxe_PPGz&{07DPwvL7#X#56U@C^ox8!~olS1MM{%z+d*+^?bJ66~sZ#QDj zX@UP-h!9L1Yr}+2>ANZ*bsP!R(iuaOMl$mUwt!~}v{LrJ-&tGrYX1TO_;AK6jiyS; zGH_kK08`7`triz%auu&2+eh7v&7U?_@Ckp{Ps>2gI&cqsl}|LgAAQT(OcGgcW8@3z zCqONd@^C{?pfvltv!ne;4(S(z8g$#E1Rfe=$G7AN;Jtlj^c$2^wgpP;P6aM*N%nXa z+IJ!2I;kDVTH}3SZIZt5+ScgSJc<$zC603vLsy=&4B88Z2hk{U*Pi#?SD9KJ zpzf>Ten>|%683hI4ZlcZ_nq2}hsHF5ZZNReH~O7DK@i0IeqJc~fE7sAqRddgB&ixs zsh6A;T%j1de$IPIHV3r}0YAJ|)MXPlVjww{8z^^vHeu`Nh3o9B#*6Zb>S0&DeA)@ZB9_R_4{heY3Jp9JMdy^fm0?YIFc^9}Pz~%t5{~)Q{+AXQ%Ya_6z zP-SfhG*2D<#ZgoAC;NGlxcV#K^EWsD$Yh&68R9iLG{5K(Bj3OGG@CW9brUOCiVmlj zf_c~LXpJh}uz`^9dsweR_&r=apCkO&#R}_#cVwBnl56vd6m^(?=d18y)`YU8NayBhTZrny-C_ct{~qS)gl-h z&++*|n~nr7k&%|kI#aN)UogZv5?e8NU7ih*uW!ki*4Ff3I&%C`@b41;-~r3#_r2Ad zzJDz5FMYq`F>3KRaQ+t#A^x*FHYU9x4Qla>>haanO3$ULk--l*aJ$N^10d5jZV+ac zeoXnuEt6o1)>KULTG&rEcG*1fo+u)D8@=1Vo$yX|KicCy7-cvpreO>WAj&17u@Hx8 zzQH6<#qW%HtO2R2?3As_sF~@Kh4EK;_Q=ALJ00+vTorXQsMcY8iPq8O=Q%imRCAP6 z*qBc?nOiyu@A=&7QL^Y(Z10I8QzX&uNAtAH3VlM)bIV)g@A01y7&lPkr47-M5FeyV}1ECC2o(tcV%VQo?Jtu_g<10 z>G9gi;`7OZVp8mw&w@ACLmsBa9q#VaBCRQSM8k&{*B6(1_RpUExd(nYLVJF<|M-P?^P8rGb#(Sp(MUS_mYf1n zOgJXa4<=>TrJ=iFVYfm}B>o(q9e8`+5H!9%A+_3nx_@kG10|n%DeCXrbodB1MfZ$c3#yuA(0nB+7%9o=UW6OGn1^Zp)`8LL-7ps| zX;}d74}2ENzEmwSs&e0=nZ6gq^3~Z7y9~|Wp7Y= zW{vY`?{h}LrH;MDnM23Z9m~My#p3B6{6<7#gg%DwePj-pr^FgG584Ns9?nK8r)g6?FWIi`|9Aoj)5+HecwVe#q4ES0DWbxJhlE z;Mh(yel|I=+(|6?F_uM9qcq`HJa%=kDoziiuho*~fb{2YfwhtB;&b;i@ zJg6sKHRSGV=@6**vK;ComOy%(lbU+eW{-OIPvk`4#LT|AHRy#3D_{LehNq~hc{hjBNX z1&&WRU)k5#@v2&+=3JA(p1C+PER;1OT^0?*`p%)1^BAYGUu-)q@H)mDJ$+2=I${W2 z7&CTG$rG8yt+dL|V;K|>LYHg0obn^pfnFGng4czM1B0HBf0h}7B$!KW-JY#1fcl|VOyBM5W%eaSrc@W=IK=q%0o@yiz9s(D%V2q(i&e3{KjsE+FS z9D6J(+a0)h(OUo1w#&KCqK3@`+s=_e==hxR!#a_4ug6{ zM{dE^$hRII$9*&>!+T8N{w~06FQ6+s6&toC%9Bc#V}?Tzllb*}>mu9kd)$ph?-~~p z|E&{4k)J-iYfcxqx&5c7^$m*{@b_pqWbE(2Xb@+1Ya0Eq&oiA zvVcMPBm>fNvh*>%@Uub6<8X~9Xg&EQDEWtJ>^D$4li7@Jh^xC!4>k{};Pd015VOPp zrawPB6g-v4En%Ki>-|&vFMcH|mr2>2Ic?4!uJugq@0{GUlJBn?GS4w)z4wbxiS zq#SbNq6TkrAfbvv48N=wyL@ZI`mK33EXFA)rvF<7?aAcn;=%^KBTMSotGBZ!u0&t0; zC6}#Nd1E(~kH=r-5OmD4QsJdN^CM8O`GTxl=GFSWxO6x%e7+r7OJSe8dynM(P?g;| zdzEz;zXe9OksI!XuaEf4s5eaIowjqwOQ$0}*KUP<$a)tdhnU2!`t+wf42nH$vL~6d zB%twNdcH*rQ{RJ63wwTho6ZG>-g*>@#ch-$IY7_RR3m+&Ix{8D!HMHZ z_S_6>O0OL}n? zfEi+9^b=q_Y$Cvj#V2HRcC*7cGcdpa?WesZvg~XOejY74z^Dh@8mqZw+jbuKgz=_M z40J@gOc=>ZB9Uai@0nqbwXeRfZz2ruNUnz9!L$ghTMxoeBybJm+#M@p<27icA=};GevxoX zfoa% zjcHE6ESA!lSxcn*H#nw;B7KGAhvmB%PK>F8Z=D`hWaz(MdL6Y`7?2u7kl)cx0L`we zaF)VycmVYl-)LJMLDjOpMv!dESFL*oIp_JggxDnBbzURtcFICER0!fd;tVXv_2k1( zx7CIb=)WBz#|v&T(e5mYCZ0O}Rt)PIuf7&wy|7!ev%ug0iP|qCx$UqRQ0Pd#Y}pY| zBAd$Fp19F1#wbn?SwPDTkG`y(dAo2|M^5@+JD(@6vbhQ==rTnMPf0vjj?$W~hx=!~ zTpYoDfUCO66>BF;_9xfQKDQ~-v!Kil**)gSq0loT4Ew#$P{h0R>MU5|S@-9m+18d< zuZ{y!9Um4EQtr~fkdSH~kxrx7>@W&dezjgIIN+0AcWZ8W>?cM~EBm!Hjx#|g>o?iI z1$AwIZ{?m1zR=b*`(tC5ZYA!v`6aSC`q#Y(?aco7-VcyBqdmQuLUD>=t_S*#@Ou{P zi)W8>gm6fpA&aXb`|MDu?xTBx(km|r`4YC{Qj1#WlHYqbCvD~(BfsH$6$si3DbEl4 z>k88S-dQ|1j=#fLAz0`relaNC)1Ugl=W#Z!}Xz#&6lR1 zy&P!Tki~yK3gqVP)PFUHcC7Z~MsUB1?~PJO(Xbf7)b=5oF6L3Dubeq?~HJSDy%Unmc?0#)?z*lN#{hO+s9 z(1CPj#xvXmKisz1h$lzGbP}V3I|p|;g10D>RSY&$La66VIs!bV_!?f5>fbrf(7~96 z-rVosB2Tg3a3#iu!6voIaNF8yD|oQb?s6E}np4^z4lE5NlL&(eal)!QGmf}FtmR46Q@_$FxV;1H^!+Ny415cyzw z{t?_*zGLyU_J#5>sz>G+IXBlcx3H7UASPhD9x#;hn}?&{0E0MQanZ-B z%J?5pDv9G7o-emp3n1eLrECP^2Z#_)il-`C=)~8b6=!zUrMxzE^9P^18j^_g2eH3? zubS9F+B;OhbaXp?=pMQ|_UGXzo!dlo=$N;g7%!oM!dn_rKOa5NR*lA2F|QYEwAfj< zIB^OKpdVZ1@vNPYLU8`&p%gJaC(i1liWyAxxVoaqGkik3@<-g#{fLowQI4$N;bRe zDd&!&EvNriYE|v<6J6xvpTCCoP)X(tBdZGQn#O7|G{&EE`x*+XYMyFTc?VA88%0vO z6@5}2i!X}%WFh{UB;SLn=&eSOa?#J1^ofS5dn8KFbn6ufbtrDLDex1|NIGwP z3VKhj9Jz09RS|rhzsvE)%NQYwj-TY+om!6h^s|Q#84n-YZ)RCQne-m@M6&CqPxH(+ z(R^I`V8?-sa9&Lg=Lof!m@l-Ah_c}D{Ly46UztXPIzT~#eRjI6IQ7ZVoXHivx{iri zv7EW@h`OqKDwKTc^|!toHG4UD;73_=9ISoD;zS{Yu5a{w@=Gc}M^4;m&NVowQugjz z5_rdTBd)|gx8R7qy2P3zh3-~ko7TXp#&nevPoH73TN3$LuU7f0N1oWWnA(gaX2y%O zWJ4VyKGQzYp0N(9bRNY&gN{`B?cdeYr|(-@V1LmXaLl9DZVB`hUwF7|md@6l-uyPV zrRyippw4=mnEl;cuKl8D!yi3e{X0LcUhWV?I?3sgRWXW(hUFUIR^!`qAfGr2&_*ui z)*P_Uw5H#uLoHHsX3UL7nsaI@Yw#_s9cVh!i6Au0Ia-d9Q8PTx*$weK3vGkG5K*WN zl0?;fy(0pCuJ1tCag8q0iE%2uF{9b};kKnj?TwPuR&k%#ett%g_TbHGwG<=L2Q>Da z_fOC=hyrR7s<8npSCE_C*Ph0!5q+hGSbuik z0Y5lDZT{QOIrSiNh8``)Z=YZPY`A`6=}$L=$;Q*Q(_zTI9zLPNQ{(_Cmd~{mI%RA9 z_KPP2=6T(@s5f*;e(pWdGRDqg!JC-I>lygJ93RD6EPOuxb#hdteYiFAZcF_H_SHy# z!c^wZ>$meQF=hkb?+2OAT;|@)5l6&!Q$EV66t{kxcKTO2L|%uY9#;pASJv;SnMF$M zd`+-{Uj3OZLtpSBoIH};YM4i5ll5INe9SQVXTq+lUg4Xd;+c%Mt_CD(+b?~MiFPLj zTMEMOCQG02#1bEy$>zM z=h*I=LKVxOC*$QKNH+&-d8v{=TP_J*2<6nE54fY=TOm4-!Ob@Yze!BepMS8VBzS5O zA_oN@T}I0(P;iHPb1pnB7ZUsSBrl~*x=}CLumJzQC}*-+%Q`oIdl?BfVNNXzRoB#7rBQWVK@8T3p-hpKVM`!FJ8Qu( zGp3tA?kd4ayW7KqT%Ay8B+Rh@8SY}Po&%+(<4NMNH0BdIN(aXf_-zvNfihP*1dint z9HI1;0r&MJ909uURpVF^#^+#ymJxVK>v1fjV0InA6xQF=!wgs_eq%G7*x(aXrzN$gq_qoobCiz{G5rXZEoB+7B(#1H z-er&*ilQ6-x=XD{d)W9e{J-?i1uP(>44q2UP57lhrOZ9iH;$cT?; z`n{)GIZNF$fjjt+N-|;1Gh5LW%LllM>_B4Jz5`U&9zjh$;+Ni6rob zr}{eC?;sn>*9}f>(Penj53NZsPN<@xn5Yckav4rw2C%S85(%si&Y?l#SSs8N0;^1n zy&5jjh+|p9c*P?5!W(sDYqu(fuS^S_cJ(3^+P}PvzB&QAvG{^i;kWKTb^)1_k_U_< z1P9EwiesVFQ((RgUjr5~YhH}YO+wld<4yHV43li@k|=i5Vo7rc8o3w?48Sa0_nyd9 z6UH}~|5gkka~;!*_Zt=ZJ*5C8XPJA{%siK_r6f-k%|zJ!8i%E}4tU<_7V zfFF9UgxzClw9pxC|KHm~R})uU-d+Q+{gz&j9A5uffMv!!2jQbiA12?TP2eri-b z+)Ea-AsrwQp-#IMbrzdK6JS-eZr6zc4{mk&&uBD*=oF%zKeVv|zf`s{%RxVpDE>CE zgTrW6jn|o-9Z_hauAN~+ACOsJ?aP|MrAUi+apyOTBj;h;=n}yX;vLea&@Oei17-)# zQz*6Zkfr!m{q`-bb{!s>H`eX3Y0$3c-XK%JvfQBHZg(aI>!1D>jH^h(9R@}<1J4U! zm(WE5;#ol+?R+whV`(X`i(sP$mGS=25R}$fDUZbI3%YZIfjE3hhBo|&A#Rr{#wn^> zKi;mP-pwXau>vgHvBIZr(PGF@QDN|S6ajCP4w$g~hs&|Z z>xt8zQKEWE1~6r3^AK!|2D@O?I!3JLBjSNL^QqUU_?0%org$QXBX%KVf^&t#iH+e(+dO8 zzrd-bPJbYa9T<$?mrvCL;+KyeYAUH$vK*n9g5FFA3B=AR4gW?JGibw)i>8XvAmvf; zi!$wv0ZnraZc8}0fE1H(sv8HFw4?VBuPoH~Z}Hrg^k5gYMHiJ7q}hKqvw~W;Dp{_* ztGZxi4@PsLxS0*R`Iyg^l(ES!7M{&mR5|g3+b;1TRZxrrVc!{V z9Eyt39J{S~cJ0SkS3F!MlD#VOz(N0#4;4f&n!8|A7FEeqkn;(PDjeB1OKEs;;yYLFMLt|JACQ>T0+p+1^e&eTX_ZFg}zJp z#&HAqUIXwY0`TR(f-g#6%JmAqr~~|S#Vh#E{)I2~U-;twkKp^q@L%v{wy44s{uY&h zW?M!L1pt?VlyGHrFJ2wYI5x-Mvc>XC_J%An^QPWa(_0jrxv%e`nN8PvVSEeIid}^f zUb13ccp={K+twPAr~+qfV7jsMWt#bA>XH%dUYkz;?TK&9qtM4YxUU_C6mX1Ge#8%u}8EZ~$)ZY$NaVq~pAQ2wZYHU$ zRRl(pX#~bpa76J(aU-l-RP0zp9%11qoAh*nIaxt&JYKrAZfjhZqEZOPZGN-_EA=ij zu5k-lT0}Ui`>`W1qHs=#$D%5i7e|>0nT-AG0T(Slng`##{I#TN0*pICacxFji3k~v z3T4Zgr6$#Qf3P;Vl`F1#LXrhvwgU4NSmR?1c4Ob;688`fy!RZr?k* zbv5h#&1mX2uifun55C_yVZk-d>K+^Ei4LcBWRH(f28N}uKGfgrKe`0dzvb5@}rG9_4~V6BB&jOe=O*OkY{$Pw*jAU3<6Kcn`G(8g22xU zhB_lbOPzv>{YYv@VvAbMR!v7T7SvwXXOZ|d!4r+gQEASVPvYx(fn}<++%H?B#{!lI$w2&We}ufUe?m= zi?!laPxVuKj1s(V(39^53eBNFK#D>?Ev!BaErB?ssZtUvEV^?Fy$BQo1f37rd7lrN zF-IRQ-7MeL?TI%4|&FUm#0M?3?+C5v+8z|bxm-A~yFsJ0#8$~SBPZM;Bc-_A~ zNy>t8>y~n{Dp-%I%^;T8_+VxQWj>^o{smrlA>q!%+DW5-&?AVYF?x@af^`C~du+H3 zIl6}~3bZd?p{Hb9K3cj8hw59hXk!0^M=H+3*TK zW^hddkFi=Y;CrQFfK$8TqnTS!OcKHyn4ygd5X+hYbsE>4bjsjVV)X@qpn6?BEQ~mq zBRzTaOR{L_EZzWEgavLSUbnukD=k=q;X6Sr)Kh(!Zmg6sFvk>#gnlnQo62aiVxEUf zA@BrZsgON?mz86c6OJ$hkpgRi*Ujz=u`K&wb9X752C!{o7V1nk7HYbMz?E>ABUyBX z@yiUBOJH7ZlhV3a>(zI#8iq>85D%4|?(kFy6lpo~WNzo>G08GGSh9j&6;D`!&>mX6J z>$m-5FK30ac-<$O!#>#zdbeqO4y<@+xwaCdtjH&l*b6BHhN+R?;XaJ;yGgA>r;Jt;DN&Wg6TNObErkxB32?u8d^ZKnW-~1EZAXSG^}!2zX;RL7`$#9 zQf>v&DbTXy>zFqP2QL3)(d->$cs%UH!Zx#apXR)PHU@6WLL!4P(>E?3 zX4aC%W#7+FRT2`hPAh+@PWx1WnU;Rob%Y7 zhU~H@-H1P}99JF!^c+wW66t%5r6`2VC|Ped=R(mI>L_(+iJKA-&oIUU0vrQHki}H6 zzqppK`99iIZMgD@v2lmiuHd7fD)Um*XTEQvD85mXoN*pOg+X%fK>@)>xXZ%*14PT; zn(M>6$EwLMWNU|4dLD0c4A>sc8aDDVFY!nGG91VRTSd#DSt-2)<3R;QOKjFB427D{o|8K>w0g8lfFOZz zMl`!@fonIg!g+)dIiXdXdb+*@`wIP=!nBMk#{8;_DP z+E{3f!yuMsikAJNSb|^?jv}FXh;I~cLctpB4bFvkBcHe3Qc+@|&14IVrF^ceLhi{H zZ}&a3B)psI3wqeAfe=5^JJQZE1w;x&6Od7cFX*S0>HWvH_l1y)W8vL4pFk*Iz$8GP zC|a)Rzx{>hIdKCMY=Ow3B#fr@2#n2|QjhWx?J0bbFq;E zu=m`8g50!XKE3s>xa+01xF6Y*Xs+P-j+uJiggXx*RoP;lgq;9P2ne@xOpms6EYq5p z8~hp+mJR#^zV&92VIRR;PMJ$i4PGf)mW`mjPnOBZVg^|S;yIaVrxH3p_4!%Oc69(x z)FyoGD>5Y2fO}j&Tl9LuyMK|Mz8K#J_D7+ge&}TdX?NcjQU*LrOv5(-qhWAsJ+Zj? zoFxxI)7dvXcmP_K*4CMUc5=}ND~AzK3)Zw2^aT5Jzx%B#Psv6G_qnU7(t%|vmTX(8 z+q7Ek%=)*TZSoi_km1Shik3|gc8-)5dgz!yVBsjpBt6dZ+aQ_=F&q9M#%zc|V4DEU zaU`2628vl0K@W(5jX?;wp)c-^kS7mms_`A~dIGiv7?@!B2NvASU-vhUX8|?F$%2cQ zW1HXZde}cs5)td%3G0CH3LBJ`KWVgL1FQmcSnU3JUjY+vgcrKD)ZnK5o1ZL*nEYKR zHnE3ND%lmM7R;@!U9sw6YvI zz5l2iw?0NOkgfCK@ZBv@i0z6kTob~8FHL%j`pCmf8lF+~{#9Xy8#%r^o2`p_DYau8 zX|l1>hQ#{JVL&*l(CRCu)}v!P=lGBPZct)xSc!Gqx=mE$qUsFB^M8k)zJiA0P z80{~T?N}_T{y{SCUnB?p-;s>_Un98_)&wZ`KS&M&NVcfDLNZ8#OC$$fA({UlBnLt1 zV=CD_&wO7>_)0UhX0cFTVVc@_@eE*k_a97O@r_GNQ(s~l5Z|~gEjvI#;0U(RZ(*U4 z;EYu_U~JTKMh0HipP(ju>c`B~bnM|efGgs4-`};+ykyH@D>osFCjL&2LK7I5$o2P? zm3f*zi-bA8&OBR-JZX1;Sn5i^5e0`seAmig@)_O*Hv^YlpEt>nU&ZC4t0rU6ndxV3e6~h=Agf5&i#vK*cF-qCHeo4=AnT9L^B{wceXG9 zGaP#xMo^nD*Y6E{WCaY27!(i(2InAZcYAH$udSUB>ySk|nU54{y|w~@R=}Rp8L`a9 zEzB(hWn0cdHaG{dj6N!IA`=whgM2trrLcK~t77d7pbz7i~KB<`qUrayI%tJkVjC*i|#{<4! zfNc4)@ZBJbj(HClwy_4v-r$n+5&`PQeQhBAkr3d$7Xd!_;flQjuYUuc_$%YXn^!h~>^oo5>1YtjYlSRVwAp#lJ{FcLMRvK_ovPzs zmaucuWkx*`^mSQPwS9mR2ND!O&?iIJC$vLv5^WZ9U>`IJ2-f5Ug71zXv~8>OwrO*a zPtRqhF1aMeWS{GpsiziJjqi&y0uyk<@w!t!;dLVkl8I+{q+T3}U(FglO~W5>eYxp+ zLZiz*s7fSaDvGY(CPSgsnQx>4A`Mk}Q$Bs?z*MXpbWIve9V`7%V+H(>-!%3B){`LO zyi9rFh`R8K6hd{7G*3IPRe=DAM5G6R$PrXw?X!83i2~>4%89-^f|doU#%q))G;OC{ zwtqI7`96FlD$9v^+;%Usl8XPkNh`BMJg_WTNPowl<~?}D&0=xhhW&)eCdxnsn3oB% zj!8e{J2jxf>Kb4@lG|6!K@v=$H*ccQI^jtT>h>Jh|Q@1Kw0xoh{w|=>*TNN#R);s0@G9>=ti!RU1x2=IiS@SnpxII^^=hO}h zt>>tLrPRbru9x(C6W?F?_mtVoqT3S{oM|!z&$m>>o$)?@9fD-zNt63Bit+tk`{)Z1 z)||!E5ME*>UsnA*lLn~0<_snnw?gVVr5zip2yiR92Y{f`O5`Cn5GSCPFnv8_Ha@;j z_YRP=_Cl9j#!rC%gyJF#3VWo9)fF_hiaF$ZWI#y*0<#17-tVR&)#qg|0s9O1xz@4A z`S4-;#zOr=gRm=_%7{4J@B`Q6G2+><);-y<9B;7a?fkOCcQr`E;~S+1HH)=PUjX)C zIpar17;P%e$E;Fvj2D2ezJ?8eWjnqPV+STaxn$xQ@R6$U?$8z*u=4sOX)Gmnik3C3 z!wC6J4wd#wR`LoTLBQuh>k|?$COK_QK;fPP(ss41^MLaW3cHD43;*vk`QA4Ieq`H+xeaW?2kGROaBcI`uZ#7Ncq(abpDYLDB}!HNs{L$+>U<(z>S=9l z+;tCY8yh8T+v~?vp94(y2Li@}1r(I{z_S|=ncg@6dwagsM?aSZCJ>VXGeDu^M9D?> z(a`(%Z?~&iX+8*AU_2T2dcx|#uoh?M=s|0&$solHL}x{I0VPFC;{-9o4=$IYGw8~h z$y=f4X8Q>++>q(|Rq8M3TNVskji7vRATtJ%J<@5a903cv~ED?+b`<+XI!u3#t86(Ai^j~YCmu5btAav z`Q#*RXhwD}Z7{Jev1e#WAxUWNFRW&hbeg9r!~MzWxdO7hk8NyZdDokPJt?5GI|rZ^ z$Al&ZzLzA)_9&}2GOLm2sHNGSMywkcc)vp&sETC~KJZzxGvwo$5@k;Zf?t{?(>^+} zbnu!zLDU_19E1Ungy>9ymqBiDWL!pfP&@+Cm{23iu16#Sd)il#=SlCm3yHu`_ztvWLz_Y( zvEc!N_I}*LXX&V^5-!XDpaYZOcYg#+=mN0;!ud8OV3B2Yn8tz_D zV5RbV(*ue!osMMOE8!^58-S2^mR8YWgVMA_1+0gTffR@)8{O177*y3F6I3qNZRkQ7 zD6X*tt*OTP-tmt6k*~DBB)CyuicoyWzGEOl0jc;(gt}BHuS6)mD-r7QArPUiXWtJ> zRpPr6p&nd{P}El<6fe2wi+@BYz+D)C5_}~>rCo|pmpv~uOhERsOSwMnZF_w z!(R~!@2?02`=5wVME@p2!T*X-(f^20E#-j9$igpmU_gIC=?ech5eju#x)OlW75-m{ zP?zBVr7OfTDEqGn1>|PdCr{t;{v$%=NdVmvg!8I&h5VmIDDb|F|EhGQzA9ZIe?=(N zW$6l(@kq4OIM)w{v$$p{4HIt`0udoUl9thUO>sOiXnUkz!(3Pu6&?$ z1ymXE)2q_;lGy*hC|%+I5}{zAbOkS301Eq`BGl!qe@oYZmQ%)(Q~g(vzarEe87L80 z!5cCFp}rEKF5i#=Snj_{SMY+!W$B9dA0ia>Rq5Ks3exVrFGd;g?6PzPqx~&ip8>}I zPw7hiw{(sEzn8Am|8?mK0U{LaKT6kVP`U!m_)>%dNpM-ZMqib#;3b<&5$fju;_9u# z+IYS;r~&^f9}16{|D5P zNM@Jc{8DkHy+XaV!}=N6dN+$E_duv95(Zm}_>jflVg0hNY0Orlev%tu*iHS(Fk#KD z>KZ^{2l?NmcszSVhO+-F*9 z{J|ggKkiS-PTH&#bG2)4n#FAHZ7pJMc9wJI9tTEc_s6D^Tbb9L6NmTxf6VaLnx95B zqd1Ar{v(TDc)K5?Fk2m}aGsQcwAskozwUn{=F(=uJsh%H2Q#3qEWts3kdcC3TOjWd6AHW%)xV;+hvP_U(kcBDs#+MFdi`{sA&g6wH4WgVYc*Jbb^YQ1A!^>zB-sC_vUsmDL8VK=8|>z+AA( znxsVBFDpA-TstL|7(dcKA*rk1z6C`G{EreZ-$LQZbq}?~Ev<7DoJJc;Cfx_5r~h0U zaLlO0`yUFGFg;$nL#6#hqftViKU74YXHb^j9-Dl-Udj2!xL6p~*(0L~&t9eKN_Z}G z^00Gz3Tx7%ouGJY>aIU1;D7e3QyG<~-4q4xcwZKopzeVmw*U&tlX1$aPog+cg>19n zB-#GHg~H}ksAe$Gk}ff8 z@Ojo;tZ(f8@2p%dmc3~0*~jhjaIskwjSc6i&lK~PmNw%KS2mA8L3TRlYL~TnkJCz& zRHDuY)GOT?k3-!RtR_d;=iDm6Ua?j8HdIg1)a$Wxp$1Lq8_F(J2Q1K8JpYeO?!=l& zo)2C|$srh3Ty8a}oJq{~<=QChOpxby>t`DI0ke<;Tpw3wco^NfWCy6!j#So3#K@Fk8W{ z2Gus44@(zCGG-qyoDhI}+%=;PWgpQr|F`e+VkQz?u6=LVLv0+-*pzz?Z5*r_;P6 zQp0sDoIg-Pe$4#@V%+fi9Tr`_{rt|)_ptLX!9}z;WS#&y1Ev1c>KzCOqnnm{!0geB zdX>A^hg@%pRWAxti+pWUi0L^sT}_eIqwM;v9b#G4q6w1#{i22lzT<;DYFNI}!w`UoN)o z%Rgt@P0%d9=<0znmJqD98##FS-w9|RE6{@7j-#^t-DI2 zMO-aZz5~l|oxK^@=#PG`c6Juq*B=OPJ)W zMIVcN&Iaf(_{)Wqb0mFMU|q`lDF%N6zG}~NnicA+?d=#lwIDV7`s(gR*l?At{AFrD zj*$KG5JA~{!UAN?%+$S8<;cIY(xGJ^M1v7&uD@3i^?d{rAtfMlar3& zdCc3h=M@S8Ivu9Lm`{7k*WDJ~+8l&NR4FGkqv+{Il_rv_2K@^JCQ%kbUX6a^@|itJ+2{m(iIzoOgLNI{ zO%2bi($Cyr!NWMJSOZSo%QatCw%gYHK0ZUKE`R|$B1_`uq#p=$1jNya$MFM$&!vnv zEcm0}7^Z&h~ zR~yF3X6>L*(e+j!F7bH@TR~f;P9Vl)OQ3j~=a$`dVddbNr@Ne)Y$9oT|2@6*i#PWG z)#c>s+C&D>YwlvG>Fo=(0PW0+@i+;)aU%g$;?+QFH$lWvVcUohGnFM1%V!VptBI?F z)28_Tz5LHY6M1f_js$5n@gZa_!o52O21`>nO{k(rFpPEGL%JtnzXLpHPdWIv{kaw-zrbHS&zGIwO#Ht~ zRPI5|X?@?eLcG3uQ-b2It(-}z zAE9oB=ER`o^So266h$pA%iDn>3iM$mCF%;S(LU9qr0k}jIzd(2-`P%oVuUD zc_x6$Bhq3pe zZZ|)31hnt`!X_B`q0#3O=V-Hhj!bEKs&mm2kD?X?J=W}Q5^9Xze#F0i&Z9~L4%G)6 zt})=m&%6j}SdsVr^)w>JUAYQZ>^zi7jvsH*wPN4H7^u-6d7{A`XRaFtd;MGaina+1kK6pS<$8#n@1$>tL&qsFCY%6$ajH1(_0(??WH-OOt>2+3~ORwvt zJIxPLT3*p^qAXv{)`S6P{NVD=#Y1iMloK-vhE|ga>ALTuy}7r4rH-F|x&|F$hrT0; z&#w^wwakSqiq$XFDM}BPp#P5cX8r_zcFgJg)9}@JRKIxWm%(xQ zdCZlPlf%0q9d434`<4x}TdrO3_q&zh)-Ss_^hl_Pwea=`Q~ZU%&fZg$zgH6Gi8` z>lp7T0sCi}JgR3;3Tmm78KNUj=V37g+@Q<@5x}FVS}HMTU4Jx>3UvH@x8gGYbGbcl zH?nW5ykVTOx@SPB&?4)N@Zc;v8v{Qe#0{_4fxi#H_$AfTE&3Br35WAG_6(2irdy5w zk31EV3$&K)+AsQA&OtdZ&tQ%H1=eTLVqohOYjLB2|RP$Lg4ReelJy-*>?8wDaQ7H@p_)irSkNMeB-|Sp^xX zg#BxqmecM_B}on;C0Y!;@vUIrH*wG7)4J_n5yJf4m9E_t8xMaj;d!Yx9`acHPDRt| zby}jvA=GI+4C`GHOZ&P}p9i4m{zVQ=-i>NBNTPUU$-Gg2PV6B360ae{X-W4qcHN)t z_X&B|RpXzH`WN9g369|@Z)#gt73c{6ehpbd)%$Zvwd-n-iFz5#yhFbX7TLh{VSv0e zXCQ{*|5wE~tSB#R-lkYYoBL7~e5LWwO&6HmF|Iq{gZB{H5ovmmfNI1G&IAXvKf))? z#z)2nK}|1elbx1I&|H^E9fZdW8FrnPK8Fo4E`x14FY;Mdz|fmi>3EgK!*{(qgbu@VN(}ep;Js?0EQ>N$WuXJZ8RC%NcoALx4p#L{^|Mx}4qabmiYb6%x?Y(- zi)OKdmE1Yvt{mM%AJd`X?Xn&H*Q0aJo>rNG0Weksu+5VYlU^ z{lZM6{xs_p>A-iPo98`_Q(S4*{VY>B+W8CiMQ=trQg)6acp9|xo0@3Gh`16yJ9Ce@ z$)vJuv2KoOk&*;9Oc}@)xML?3G_9$+G-Pang@?w#aqzos)}hu6^F>wlHqwzejgQN$ zLqw_Uu94+D+Q@a=v!-1BFqK7RSDE8?ca14KSZtZlJzUdM{%M0rVbH~bvTHC7sBHOv z-ty}IJiIDYHj-gq3-13~(FC&~H6*X$v0hPGcx-ECF0ym?#L+R-1=OF}o!hx{?he(C zebHUP$+mN+daYwvjf!tLx4Wvc=J;N{B3ZD4I}GnmuS$GX#OPl1JvMP9mysn|Mh*P2 zo<(v6$Jkt37N9*RyV93q_q6Kp^r7X!?BKGsq_iK<04r+eX?G;4uHLGS=Iwx| zvXIA1s^eeJ-DcmLSZTR1INVfpw5@%)n9Zvm!JCv2p~PVx;QD|;1PyLOOn;e2t>%)U zdSR5j)*OJ6&Hao}7T_Npesrv?JMvvWV008nemskhZ5t_t_%?*{WKDQ0bC)yPv;MGL zuxg}%IcY*xcuUM%HX<}UvCzae%3Vy?Qt9FLT$5d(lIlAUH zhRGG)aH#Dj;$oY5C4MZEMcoj_X#N5op}{|wANMN$=> zAykoJiF%H%rGcrKBbNeX=TSllVKQj6JMa8JLYU4?85&oCwUt-fb z(U3Wpr%E*oo+xy_qiv^lH#I(8AY)11wQm)rT-B8;$f2NV$BkWeRXz@(x?11sGZuKM zIY6LYJgbSaEm=HEWOxnP%w%ak;T=%ZCO0#jB!>$AONaih!C6VOhWPN^O-K zpCd~g{?djTzk(w;R@^GEn4xtB=K92ZE+e||PZL^k!|kzjruGeS8W=z5OGfdO)JZs! zwy-8w-dU2xnYT!CF+0$gpgtv38|sm~ZHTi|QpIQ~r)yEE(r8zw>#G-oMVHeJf$t9Z z0i$V5g=6oQ=*js229vKEcopn6wBqbRgow68bNz*0up?aw;|kqSZF!7oBY#}j%Bo_u zA#v5>M+I~Cwpi)HEq#T07B=jkD0Q>AROb+sH_Qp4b%~r4PH>TQv(7hqE*QLLnd9Hv zhMp!^%;Cxr=q+{iwpiSqqpOf=rl=+POIx=O=1lG7Te|aQm7Lk)G)a3S{@}$i2duib zm3KBBEldvlfMxr_`2-#B!|XIufa!kS4+pI50GMEL{=6dE?U%GKYl?gH#rHQ7ma}H? zU+52~Tp7fU1$*MsF-1O@7wEpU638=j`QPJxlT|xRaBivtRBBBPmc4c!uW zd;^C07r3rlMM6qb0uPy$p0 z>!h;8X;h=q7b@uzcTfr_ZENTf$Nw*!_*hMsC}FCQ&uw2=5{0Yc8vLE*V^`b^_-A3s z!5h?J$SgRiut`>`g1}YO|1XbrSQEvBRqF8g6p!{`lmAm-K?y&LZt5x4jk5NjWwWH% zG|?F<#u_#60vt0@nf6TDQk13*sC&F$q!uBNtZ7moj|yrY z9@1&QzJ}1Iv5;=0P7gi7%qsRHd>?DgDp=tDu-I85d*`t?AAv|zXTQ|jc9luFQ7l(< zRY|G%%?%vVLN@0fpCr1|@1mx8$*c-N@5hhrlY;D7S0aHLXYA_9+F56K6=K`uMt-w` z;t(~FT}GtB@d5=|%P3Y2rm zID1Q#Zi$YucjRd9tHk4V$h6hSxEPm`W2%S98R2zs9}E=T8*654qpkUS;p- z_~-u25BR!BG3_qR(a5)rdD2ZK_cT?yhc}$hNMb3Qf7S70MQmxU5l4IpE%-Lb&HpAk zUO35CNIHkCje|Vn>(NeXf1@IPh4+S1%uYSP5ZRqfL1YvQzl8iZeKx~k{Gy-QXkc!d z5JaYD;sJ=oq^0V(Lcz^LC3ng8?S5&++DO&PF8$=l?)iJaG1x{Cwiw(bKjf$1{prcA zx34OY28fTAr~vF9?e)8LIGCI68A)D3a#y?D+&rE|J|aPej^eoJF$6h_rhC~mU%DC14QSay+RkU2tjjm7#fCbE$ zHO>BaGoB|)f+1k(Ag3)<(e9jxxoS+iSUT_%JvkcRb7gVo)puIrTB}q)ev0bG_9cX4 zwmH5lvoNnyaA zi}c$5a;0-{{gu4dN=o6M5v5*yCjC02z`&mjfX3M!n-b&FCSom-4aJ@uf7StiO=l(` z$$?R9lfKK7R-aVbTdPZ5C66bSWP7sk?DYy}*>zj!kqEloF(v0=C5Ymwo9S%5+E73M z_h@`!`zpXS`d}CG;B|!Lwt6z)jz6q?Y?_;>SUS3<%%p9YXtZcnVjOJSzx=z=S=Jyd zccfxZ@{;RrMwn`OR`i#!3zO>`eySmQ(#{Kvpr`P7%djXXK z5}XT4)WRH|w3}-SSWV8ZCIU?!=b*@o!bJ14nG`(_i`GNB!kk3~WvQ-b;uGbcpY7s# zJy&6tW*kn~8_OP&I{I|JtD580;`|IJA;(mf9I2KmQ4@X${0y+DaTnIHAI;8bJewg^ z@i%%L#A!}u%)Bvucln2>grT=~JR6_#*c!j1IjQXqq<(YgDUu$L?r(&S*7>dTKFm>V zZI!3gp!;|?prGbio6R_pVs24`&D_(z%0FA}ke%oGOiLb3x3>&Fr@w_d+KvS^Rk6un zLzR1Jc{-RJ8k6?go?6}5eTtqrI-l9!vGfNsyPD->uI3LYZN_AUMv@Y^?8UZF2-3UQ zA7Fb`p{uEnS$eHeYHX`X=g*C5Z&ZMChFy&A`|&-GBR1y`yXOPnWbxwu zoirC(-O4LC=Rk<~s2ugMzf~3WIR3hnf4P;URYY8S$ce27*0HIz?_tGwEg3j@o6ZAv zp}iYUB51WQ9kzMnYa?B*dFy?D2^R9F$n>{X$)*ndPiA6Fn?B+~=dULE3g%a3-w%t! z5o@=3`=DxuFx#^7fZB|ExrBf-grWM?S$Gh6oFb~{I)`7QHZ zc(P310HkM>Na|`FEe);y#XMT2&7I*#eQ%|BV1Md8m)5Oo-Y2wLkC6%ZSGT^SPC)8g zqhVj&^7?{t9a>lvulm^k=LJXcz8%#mS*qFF*qu0^m@XZ4ES4n*f)l`mAVnHAv3gXz zQt+^uOFefx(z3j0(6Z-&Z4B()jWsVbqI#mz%LUj)9-@I?@=`HW1FOUckQ7B-Cj<9u z`2de6h1)&q^L~;Fh)g!g?;A%jI53M2C@k3YzdT@XM5en1m{yH+@X|M4C=f&YLe{dS;m;_3x~!bLoL zfNrbDjRbH6esZg)9h${|UB2r$2%I&)>`PBQ9-#J_^?;+m#+I|*+V18>yV``GHypLv zm*TdT^d>3EkjSf!a3gp7b+WeCT~dz4d;PsQIB4zYGB_-&Je!s2g-g@*_%k@SZwYkw*|%aivH3;ZLT zxnm;1_676)5l7Qk>NsgxnPm0iz@`Vx(ZdNVhkyvzRplxsz~^4R;QBrA4R}?)mgxw^ zA=uM5t|&UyBpP=l8m}sH+S8-;Ps!V`JVOM-L$;h(cI-EJ6wvq9Yxer1+`QI5B#z99 z;M$1!!X5P6H5XfO!>0Z5h_;nj5wC5S1p)&bXZ-(-#*dJ9hBqbZQuc-mChL;+4mnZR zaD~;JA&fc(bor#MyxR{qgmDN9W~X1{m&UkYXH8u^_IDO24YB6;;2DDF%nD3|?HV+u z2FdGzkdlFjRJVD*>5qN2ZuT@$D|bwYUWXhcqP%*C7*j!BP59UFL*< zB*}60oBP^0W9ID)<`hjBt6JLdbRnd5GkNJA5NFhUPqTUtphyZBHoPg?N(|6y7;-(I zSmIq;xwX{^NDNg)R4|XYjEvou+C)S4BQ!#2u5;u;pBivwn5ANezvgqjE5VWJmGWBr zI_%!@*xYh|i}bqozGt_)r6*G9$j_zhST#S`6tFnZ3>YHcPp;h8Hj&|>v>m6E8Kb=U|wSe_6>9R zEe_oBJR}~mA+54L8|EXO)vf*ZR&Mo=XMx@gk5et0&;s|`Bim-+oZG&3*H-itvdWyV+rvvID-+``lRZOP1iin@)@Ee9uV@|u3Li2&SEc?lO!+xqwBKnF6 zdi)BHVpo~@+Tm)TcwoU;q=vfJd%lM$Ew*hg#xTrZy%1lxtyKA&yRye&Vh;vmz(sDI@!FlJLnqS z8=i(j zU3QWWGTOzFPiCybC3L3~k2dw4 z=FdDLOCfllQvGhWnYo(bG0@w*4y8NQ^9!HQJ79U*ZZA&>`ep+?^A32Z&5X5< ze@UU$p$5xYWkSFMq@%iPq9g8|VzY=mpU0k4r5NqQln-vHpD~Ezh3+{Ee$dFY7VE=Q zpl#n&MUeHcQ4Y2)Z@JsVS8LmI-UA@{(Rq+$t#RTEx{{x&e}Pe(afxgnUV`w{?@xlZ z3P|nh7uae3OqW&n%{XOa73z=~3R~R^ZSSLqeb;yu9eAvZ*5;pgd*|*~DG~KN_TFE) za7r5A<1){loSWUwd@i0J8A<;KNOUE#^;YH*K;+eVN9=PDjp-%QN%qaUl~i^0IQYiS zbTXy@3%_LDcCr$taE4XM$u?=`)G7&J z5!L2R=e$yshJE8Rv$*{fnyZnRmhI5%Oay*P)P4*SoKm@upVDnD274ULsHcn?(?HaZYN zRw7E`Z#a=oAu>?_XRxx2&zv|3&xBH9o55)8wuxz)?h z=B8$SK8_4`9E8q`#wCo5>ED4*3OJrULFRe*1!|Zva==7lMEim(_%CI#}K}$%LA0S^Tc=k_>;k zBeEC2e&u#I(0Yfs9X=rZq%~C@kF3sYu)TM2gp*?HU*Zfue$l;JYWr>nNmJkF)&egi zHx8DT3Dp#gg(qv?s%wr>am1u9DYGw1Z>y&j8`uygDy`HqB|}WM)iv`B;ONx`t4i^j zeP3ZvXdR{2Twaq_TQStqwOq8KS&OIy>NtkXXyV(BfB3Ppn4oq^m5Q)4b&X^bex>BI zBLT85tEMrUYXyOTvkxF5d=D%ftqXbg{sY=~Dzm_CYqu4kzxB93jZ$Cv^zNJ z>NPjT@f#tL9R$fS#{??s@lw83E^P0yUZGX4F*jiGerc9zl{PA+p)+7=^loS5e0pha zWZsixwzuTk<@Dz4^485?*soo9OVi*A-ILIsB&oEfV`}qRlXrjsU3L31?@icMq=AUv z!;Q+le$tw-SGxgOX1m`A6B}2U+EtKv!}aqx9O`ByI8rVslgQQ?zA44vZQAqwAgslF2lxbrTV-t4I{35n2!e2aNa!2+}I ze;-=jH*?iHU7@+>enMC#m`yJ`?=ds(NszCxv?)>kn<+tS(3omK@fUoMEhvJhVsbYg z{086FL!KmUosA-0OOTR+R(y!dB=p#CcZt#Va^S)y7u)I zeL6e22jpt}vd-64KNedP3TMQmqg&4PC+*n2JDgZH%1v)4 zFx`&J?=AprPSYf3c`+TUlIf;3lw${WXK81%|7(!UH)U%L+wtCY89ndCnxxmW*)^Ey z>A889DBB@DKYO0Fvt!t_K<9T?6hE~jqj*VD)8x!JQ5hbgQf$}OGAZi(Doa4foYzSS z8+g0YM_RCNC!sa~EMxXfCpKrBZ`Dbo|8?=NBC@<(f@Hzw;A9E$@{MOPDyZo{(F;R?mzmMki8$XKXEOuMs$rZS| zMngL*ga42YH|L-FT`neFIw#LZ`^jNz&59}HCV(-ib)-P=taW*+)TDF=UW z9i0tbr>YGZ-A+!IBGRx|i9H!#@;$$*UZ7w2fGb*tVGmoVQz{#@xqmOsBf8%mWaVL% z!;e{RnOVqT&Oc}qrzL%*Kq|fV$5F8s@1regOrtm1a%IAdrgY*=V_ye*Qg(1Mo<@%C zD@Y|wi1kNUZ2vl(w-IHJs0~BAq6=obA5w3GovA&nIcX4Wy$e-6ySR-k>rb){Cnp6p zsgwi)Xp3f3;)`}*vX(ac?-#zXt?a>I$~;955NsOU=?Dcm^C5Qqzy|Y|sUxPHTh&~~ z-c5LIwn@!QDQ|0k)xs6DGL+VA08ktFnOmx{j}2-3;%###^JdJP$~55BVM*PCn&N8l z(8-OjXMnf3QIeU;-Jnzlf~);V4P;(^2N0&x{BvjuT{&X~ES6IwfI;ireaRamk|43) z?t8lSr6rd(B+SaTQ(~SZxM|m{hL*yuzr{VkR>Y8%4$x-U5qU*c{h579=*! zyvPg|O{B24>pxOD>*&b%>(DO89j=kBd4*L=`iu9<9c2~yKUX(WG3cDt_(X;_|~Fl?|7 zvGnTAPs1GJh4ulUGH(-0gTbqDW5svqW5{eVp{-T*<34n1X9bc}0~L_lQ<5m?dT#eY z6(7pPf_&jIVwT1QU$BmCTxM4<8`9fqW@+Jzc5v3x=4JdCD&O6Nj-)-i6IX({18hYuU)s-bOH7uXc{jQO|^{ zoHIr1&kU5uH*K|c*jx`ZHJ;u#t92#|s9X0a5%g5#qWr6G{d z4)ksc)I*wPoLTy-p17JcoLw9Chu)vk9$9#)TI#-#51PHWSlHs#X3z%AX~R5=P0O+% zDn^ENH1$~VDqf2}!D zv#KTS5q8csS?xOItLzsM&KV_vJ^@d&qPt))(c^^sLko@7`7+H=&Hfmxf($WVfuOwn z-696bDe>i{YQs@d>L`Xs-ocs&Udn-Pkf={Fe$EyStGLrp=>Zk1g1IH_(h9wb?%yDH zW`l{KU`H+S24P$5txMZET;r(YgQOqhYm+;`wsI5x7kU?^n!nMLFZGmKk|6IQjvrIY z-QyE)#cLb(v^W>JSLYkw%WyWekejzuG)eh1JFIEn@-7_%2&cb}M0e+!V*8`}q}kKL zEwr&UTWGM+$6bb<|EY(1m-ZKe??ivtse6{!`7&z}^Z$O;qa#$wmp!?JzSfuMk^d%d@h*wG3S44MenG5Ng1lb= zWccmZNpdyV@R<{c82>TnGVz2#h>c#+1S3QlqAKKGW2lK;P-MZGYR4_(26-2%8_aXu zKbC!I`$eAyhU`{1%lzQ`_!q3&vXp}`KhbCrkLQc;q@8_;%D>XYwT20@HeXw8colhJTTn-NffPjkb*$$t31Q z@}kIkwvjM{^ngor>m!P%)CXq$+<)nnR2CCqjT3sk2m17(CO@uM*gMSJf7B<~<+d$k z4Ey^m;pM_*^-FCJnGuHH9{gvB(oFp)>~-{s9el$x=YLi1EIKSXh3Xw&hPGL5;8C7| zkSa;5CI+#6n>#Drf2Cwa1TpZ-BO93~`mnT?h#X#h;n)3*u&$1@$Fx^5M^yUR421XJ z4$k^SWACP0Rlj%OE9RBu>-)XAzfP_IKq*P&ZHa^bPJ(rM1urI8yePRY*}xH6N>%Z5 z36)L@bej8nEH-5`XR#9 z`goCRo4lg_B}l0iX1X4=%SY>H7?%e`t#3yC+mFKzmYhz4B`}&wsGOB?)f~KXM0R_O`LQt zq?bfqe46FV@IyA)r@7zx$Bu9by$d*gdAqqLlv-UipP=>JIJNFhCwJ$N}hs+{FCqy3h!Nd!09>%xJ2L^&%FC8QXAe#`#;9@>RHp9&k3OWVzu2;;)GK zY)d9~cr%#vV*l8QpghZhXe4viuCak(U=)5)I9BeiF-3x3$Aoq8W#Qxk=UD(49JPg;pcO@s@<1;ZlzZ0^0^(s{FVZ z;_?`zs<%7Tevg_4$bT-Ge5=qZ8HTyb1q$Oo{)%~SUwA!(e{}GaCKq_5@D!^0aI!#Z z*VQpr0u)qXVbo7tV-dhU*Ga_7D2Gu}oD&Ca)P}9;B-4%` z<}rv7w*zX|>d>dqm472f)sZRNPcDi zYW@BgKXY?I?$5NgD2rXBVa9q&0>3QR4Gw1ddCJEO!ZsdeA?$mZK&9u{YAzZVa1=xk-kp&P@H#b_cg? z1@t=`->uJRs}yd3I%%dATM``-T&5JirIqvbnrN}N^E_CdlW_{zZ#R%zG z3p9SK=n6@O{}sNCbZr;+AJ?|8FHmdxZrRf#>c+_cnk;!Oh!C3Z5%y&Lsr!c#2YhZsG||S?dEt+0OcVS;4foG3J}R}t%-juYd51UYto|}9={!? z7h*KXQn%mZV2sklT)gD6lnXdr$P(qRadcQHTB_-QaNkc(x1ol%GUn6}(1%Rju+Exa z_2z(SgHi-pV;L1t3J|{{PFHyX3L5lc}az`v(r@0eZ!;xrXy(uL_?O?EGn&m6W7T!>s1_ zI8FQUs~#l3yswY~57Gkv*uCKG%p2aIN#_(Np=D}SAI4p)%=ghr+uf5wR>zjhtS%{3 z9vuJ7-z63gOk6^1*u;Ec3l2^%Ss zk7M$K-xE!Mknp zqH1jNT-mWVu0pP6>8a1USDb?zooY`*GBs+w|Fp2u{I6m3Y;~XJN_}GTyo?0&lCb3v z*hmA`tM7hd(LCtJR%{UNq zu~JMrJnY0F+=2b*eE-N4`hgQ<_LDh%d$ie?+g#I=yH~R@q#dmhqv>TMg#;h()Q@nX z?Tym-O9@kK{o?(!frgk%b)bwMJBt79xk_&6nQkcBl!)fCF-Y%4XS1Q&o6DlS9qM@L z^MC7|9GX8W)WnZ{f7w#@P%2E333M2BH@kDe`z2;s_H8waH{&i&+l-ZcF3B;fXS=y% zY`gh0Ub1bG24xJs%Ng&GMOz=onW*}6ToE-ciJ+68COpOaP3&HK(eFC;TrPGX}MC$ujTOOSGgRNBTRUpJgXu)@eJ11m&7hK$A|-*6Li)wL|SxYo}FN zTc=f^U!ZPi3vFW>U{KzYz@62OfWPQBNub2?vh=8L)&5N{bb;WjGWf6PY}6|f+rl-H zB|&ArSW&(gqvG1&A<>~|Jw#-jVEjI9z}*KMC(4d8a98!dnK}ynU=0;;3pcg{qHPo z>pRR0>Kjj1tfwrBPqwrDYSHDnz2H!P^T4vJoZu&}dDfz!OQSkr(3Z-hZu_SX=Y3K! zXoVhe4-PRmKHJJ8X6>x-&JD<{a^4Kbn5cWgG+#hb05j)SJgM*bPm8aB{1l;2Q_39g ztSuNKu5Z6nTR!iBp@-Bd;Acnb-khRTP6fmpo?1)HDYeJ4C8b-C?P&O59P<7EXCTw_ zMnfQ6M(+|(zua127c-=?Wk*lWC{o#^Q4g0L?|e z?~{K^k?@nFd(V@;-B9%Y0I_s)zBKW)TV0*DOMV`(C$Mlo;p2rAfj1i&2@7~3(rd2_ zA|Lr%JFGH0=D5oc3zO$x_B`3|%a^OX#nPULJXpJtBHVlrRh&goa3%Ie9gJn7=3T!BnI=T%if9TRtTN9or&`{&n_;ot!0lcd?byoXJ2cAWBsC(X^?hY;pt1!LJCc$ zyx4_Nyx2MabI-P09hYW#>b@mbwb> zp{<0GIVjCbCQ#woM?>auBRr{n(hL@M>%GroGsT^S+I2<$4`W{e6xXxt9W;x(2G_;i zeOcVyU4pxZ5Zv7%Kwxoqhv4o`kObG@1OkENTmHH4-uGVBtNN;Dx_>?A?Addsr+a$O zj->Bgt=ok22_F}JM=9F<{DyTAX8`n75T=#vincK^0H!=BIVNdpDg&?m&(GxM8n7+h z!s?XOxlN+=fG(DI|Ci79iEk) z++nyRN&*Nn8k$g1+l3FkM%1e~Oel|bzBk`O1v8g2S_#!FzbovB6l^r59+WhthGD*N zzetT$JlMfxgw&_&{kp1ir!UmBs^aq*E}3ilNS~d!D|5~8-JdQQbN5Zwvq2GLUy<$T zI^>QTUBgvMQR>>I?~3tJ2UWFn($d_o<9J}m^Qv`evv3FBcZXJrou}D5JAQxY*`BaT zvi{!IA9Iz^!LpoDeGvJnTFL*WkjS@ZL4V4hT$>l2qaTQIBUDBG)? zFE}6I{}_72Cp=R;xd#d9TwA#vKe^Z2XY~-YSD0n+{z2WM%J7${V4%7+1x<&3XelIj z^H&fxF7Z%SUU^J>!ZJbM%Zu9JPf}V>Td!+6mE36 zS{+2T{mmn=Mnve9b_jV!BQ*O44mFt+&&|^Qu<$VfEnTN2F#ONb4m=r@uy!UEB;psh zWaCCWPZmVB_Xf@U6U?qBt#dV$I4-%qJRuN-wcqf~RE4fONG|r%`ZVHK3ccxj^PpV; z&SQ$W#iY-b3JrI*N%!EHc5185s31@pKlg=8;<7bBZ9Jx47UfMT9+yvUbNy&wIWTk9?YuY27fd{Ff$e;Mmd z+F-P=38I8cvqW@F|Ba5a+102ak|`{18NoTv6>|bUJQKMutEqZdlp2`)-i)`i)bR_Y z@(JTm$j@`=Xq6v_H#ZrZ#eNqFDkHZ;qnpO>4RCEpBui!%N{_Aw`IX~xviW)3<#Ru= zFEMPhl%Df@e#46B7{IKdm-bwz%;^ANDI2*1R+8juGh0c|YX&wIP`PK`i%u*6xKyo+ zCc)7C72b_+s2-$qdZ)IJP-SGFv-1?sQilbMp`L`5xua?R&7ZlRk-4Y*-l^c9ify`%n3$vs&>}h7ftDLEEctRnuGoOnEa}Eo5&%u}g&N3%hKC z;1Woc2DXU-!$wktgRu}382Z`6X60U+WrB`UL)aCO1-B_sH2}Fz;#WCspdweeJ$kwf zLY5jvc{43Flk}8YX!&qa|#hJ=Q0*HlBO7stXKzPAl5E4@|@j0{41!-~PM^&A7agz#E!Qmh* zBIG3o%70_20~-DPJlwGyumC5$TKuG3Dz$sE(fOZDg=ndNmxwsZjt>DLv>+@NtZ17k zRj@)Rl|B{j9CkruIzXxk45UJ{B{J-%JjE!i%TMhOR!2sWFIVRHH)dc477GUV&&#e& zF)*VQ%j&3$d>2NIkz`;-FRtTH?cY(2zFX4-kE@*~mvE#~Q>oRwH5vufkTkQ}vPue= z8~Jh3@AFG}NB}puQu{?Dp_h(i1W0L003paAEUj5a94*!OvS2qbUMh7(zM%|FHw05j zHQpxJO+<+TQSyMV2;+1yLPJyT$N>yw#1edi70rm08wZd|3!QczYD#9USdKr6bOXzz zQVT*zI0(jnjDu3a0uY*UELyc1YJ3QdAfanC5c=G5q5bj@lzHWFD58?5!c~LT0PP%O z%6TQpQnF^ixEhPx0{RQZ!FZt>7~^Iv?NhkA3`k)*&HY=Xm}Zygk?cN7OsK{S$O{a- z+D^rFZcJgGY7A8y@@D(m$Ohv76r>q2u%dQ7yJR5J^=~<%n_coous;dtQik>+Q=)1E z%<4dZ5Gx8Os%Fpz6xV@(VR#@0=7@DoQI`cY9lYYY3SgL)6hjrGyk~TuAkPAxSzQev z#8ZlpmAZp!c( zb@DED>0}C9e>nu&&ZBmWTsI>8dcKMa82B{69Xv%HYDV05fYr|)$M5=|BpU(;+#+Sk zU*oHKY5r=+r%;2?N$u~TLKy7UC;ZQy8-o915_Om!-v`mkw1)mZKwSfH$rqoOT%IG1 z-}S!|M;hi$kQT@h-KtI=5JJmwI3M&ewBL^gb(z|%jtnW}hb&>0O#fxWHfuFrzg-co zHg$0wF~brT*J{i!4Lk63V4Q)p|u9p z3E_dJuuIDMu<5@IyT1aWSxUIN0}S(&s(|K~2Xdh_`3;-YK8pov@KxyV%?I!}_#A&?V|Zw`qIP zYKMl=YBft6+P2gB(Q0v>e2QHLn8Mx|zdQzmOXmbLT5IR@H(-cT5PMq$@&N8X8bSU? zBVndD&{m}piK7#V^M?1X9CM4V*-V!_wf`|6clmX39i?i825J?ix>pr+kFqe#a0S@^ z)WSb4b1wz8cT_}|vZ+LuGPNIC8!edf+n>vE7XX3=s?oOaxh%jT?%-+sK|j+t)lN_r zR$c)rsWaDp9dl}bgDUdGKhG&R)OL{?u(BPFzLGM!xP5@$df~!HT0@;?tmYCy)WAhi z=n|oZ>`e%Dy=wom%olsaig>Y6DtU+&ojim@4eHCq8`fWURJ((+`61M~IP-&>UTwyD zk;#@l7}8j@SQXSb5E>8^{MR+EXRS|DLu{g!v;KAog(Wn2VQyfhRBAiu^>Fqhb^k$H zI0sGzxLP>5A^8!W_zL4mB{x$lwBNt%#&>BQH7_Ui^@5C`2CgmKmf*Tfl{1P?nq{DDP(8_=U1ZCB#W1 zMCMjp0P=bqO94VsPxIoQa3w0laV5M*_@!{6UJwAnlERDz2CIUxLaDBGH;Pvs!8lFR zV4PIy1CYSHOxg>ppz}9|kZ9qEvZ=*aAbrY+WTCW!SR<-WtfQD-rF>(dL^bX`;8OsE zcynLBqpfmjlb*S9HD7^5E&>_73cQyQYXK_^| zp+pP=2pk&W8F61wT4?i{gL!e5r=^$(!-U2lII;b?mX(BUDZnrT9fm3#c{3&TXakoL z9Qq0z2OLd8PB1I$alX&E83RLDaS?8MvbwH3^j0Jkqtf#-q55Y~i-$75c`6*_{n1d6 z0B3>fKdq?lirCUGyfaz7jfo-bFN3Nu%euj8YD zpgM>_D=?fX!Im1e4pjqVHBg%2s)e3EMKJ!Y`)CSi6RZKstt<=T{Oy6dh2_Y#;xke9 z%d(4n;h{7~(9R8FN7WKq7L`q;j8))4vW`8%0Pz7~4dFxs^Hg)qG5>-=SR^UMVC}4< zTsQDV>SGmbhxk-+f1c5SlMi*=ql-UrGi@6(xP^ zk^mVRheG3;qE#NPL(2Zm9sKuAbvC`>2?e)d6`!J+M@b+)*H?w!a`}ne;8XKd8 zWUTaWsFhHYLApUn#x%IcQXs6fV~5Hk$H8S}DZeDuA_Alr=Hr>WA`=STLPdMU*A+|% zb#4*7wXuK!&ERSI>llHyT=fZ!mnyVbFAb1whnjD@>WlcojtU~fZeMGeC@1}urt#g6wI~1ukgHy#d1A+Ok<4Jeg@lCC6McrwqqeVKn^BdzovOnsE zeYY6}n&UN8QWi!+t2z}L1Ys8Z3;p9$<`{8Y_g1WD9Xnto|C(=7KrPAf{a^DHfB@9H zm8YVp0RPK^Db}2Fsj!tWqiuqhv$9b{V^rh+(lTg_3iU(k&`SRY721LB)dfx9Wl2gS zk&&b{Wq}Y72-@-;qErW=Q5iQ>mVkzKWs!&sGJoBVCwQI-S&d@np!uCU3%@x=0-*=~19zMUcK>i1btWiW57>BPJ_~gVg=_eD! z$dpyc|Eyy9vp=W^d<&O%dR z2uW~eyO>eIs7;VrU#=YFaB?R;<)w{vTykA1Z7KU@_*^1qU7cF}2OUZ3A|gL6HCS66 zdF}D6yyy5$S|p_Jq84&15rCo6ph{-12W>sUhg(RQ%Sn&rw;ZqBgAlF<+{K ztD^Az5`#U+(OKmPUahhWsX01Z)qq{98p3FUrzNpIi@X-DJ<#tl6J%?k5CsNQ-k7M^ns6d+&sX4Ct=)K&daNh49zpq^b z_{kM`;v;UPNNQ_G4ihH2oK-I01b@SRQigCJmeO_ixQ>3MY?P#nh(#wNQAgVGefs%u z72q$@^$dp$Ig&OAs)(cUwB7$0Oh*1KKp-z5#T0_)<=+uF0{s=W^z-TVG2zoiB`v0< zx8U!_y^=Fe!2pt(5-XL2o*eYx^EbEZZYh`h+qchhkSf^43I$Mg2TA-$#yz?8jdP`_ ztD2A%dg=YZdfXV75^%eUK2!Ms4y$Ah1P3(Tw}tww?R?sR-KHeOV|dX7{rB zl2Sm+qWgU|SyiZsW)YnQskw!!phXm^nWehS(H6l`fyu@|Ut)c}wftG1as!*v#+*Jh zRG*S&5t*_;8-Uadk}bmvhG+wj^(lciVfhre!CKx3)g6_nX7ZKi<+p&QlK_RK=k&>9 z{EY(-NxaYO+S|^u2BoZ4>w*O>)i`!gCdJC8!d42M>(Ic6rrKN1ZHJI9UEMohh6lJ| zR?-S8R%5DLtwRQfeUdNKHdR}w$S#wnu9%Co;~o%Ji<8zY#9Fce^AJPf?JdlbjW`dn zY8>LCG{k}o%u~TzfZQg-;5@tVuVk8cbj&B4_cy(xnGs1oBwMmcuxpax3Ep&p@(2dC zxVtiGu8O%>JMKc_slRZ|Mw%yEE$+a~NJ3aF;m|ojrB>zeN;3Vm=i0)+wo5Vxu$Bnf z2cu3Xa1-`BqUpQ9Dd=QJURdb1!cMtA#Cm@3UOkYHta!$=fqv>Vo@rZ!S@JA4_&I6& z)1Dpw{QY#f{-C5|jW6okrayxB^ZC{*;GO?F-a6(BOP+@ti{Z=AZL9$$QWQgvC)f|~ z`Ex#fYbNn6QrOxSD2&Tyfw*@>NEBKk6Vqx;0<+Ae$8fpi(>s`ZW0M)IQx!Q1Ez4(L zHEK%?vDaj0h|dXs8jpT;%QaL55Es*!{NGSsOyj$y{YO77i}dLsW`R1=9sD{DPn5`O zhq!xK-l-FO(Eab4<6`U*9nazk;=LNE1pXz5vz z8;n}qn%q7tgt$3n90AN@N1ukGd*_a=YipPtTWaJBza}*p&nn|(DbTQWHorsD$v;D| zV|XWr9Uyrr()V9`4*9q4h&RZxI3>b1yH6v4kr$^02rwB`g$@nHi^R`z++mXb9^kP% z%t6uRCBWkzJ~Kr9{MwPIdv3;?&ot=Y(uojuC+ z!Zr=JH`B31b3c9G4#(O&b#Ylpa|e9Q;-DrLyO<q4-)P0j1lK= z(AAhSvVP3mH|7v23Y;N`k$jNDxm{^panAT?>FL6smymF{yFHPKpjP?|>3G5jV`L#= zcVOGcFW}d2SK*!-_g`SK&tjj@d%BZ3(eVlkOi^;ed z!0&nH#Fwb~IWckdWBar*N8M|+U?M*A*+<@kljo6v(}%OLIq2H%R(_q#<~@p;%F)ZhbZkT) zL)kDgB(Any1b@5#UASou{sN;%q(_A0C$$^w@5mg}h|10*V~x#{Kl`C0A980fa+cCX zy0boi{Ib#ZgR8{9-%CzMdpkjl&DMB^{9rEeF+$0=VXzps2hgYf_jcZkj%hC2smqwJX#H^nFR#hj- z`$SGQqtZjty1oao9I{D2c>nW(O~gCVn&qI{5?U)fX&gq&(Yn|oPr^Ozp^kCayUH@v zi4>>V!$m$%^5KVd{*w!kpH)FlE3~NP{9Mp|qjiQK8xNYMvW?XJK)UX^ZO|O7HoAHneqBQ9}<1z%yp(#ExL8>%PPk*1kbED#NXb<&=SwgTwIjyww8W)uSAM5MKyfbn90a5 zK==vWIpeBL#>6_>F{Anj#XG=H;PbOEbWFVi{X6zKA0^0kHdu(O_Bv`Yui(npzil!j zkBklPkM9#dz2sLp@23F40Dl@J9Tu%pA(8j*gq|N=vSzxM(`on)M%0E=J3KkB+$Omu z*T$KNFVh+LXud7c@t6L7yxHBST%z6AQTG=SA^lA5PIM4#q75i*qHqu25s5-epTT95Ep?A^SQ}$xCp{x!4mh`m}e($|8?Q6_i z$y}m{4;g#Ev-dZHA^R?#M(3fe# zT{2?5y3~zD$9pE>u+_bVZD+#m;g6gD)79;hPyK_~CoxuPkS*ribI#o^-|?{)TUxw2 zbEJYEJ=4DDm|Lse>uAO$E8`4n3#||DK43w|SBjC7{h7Pv8%p5p6-m&rU}Lhr92^*- z<)X~4@v6UvP*0RS3L8g1>_P&pR7y>)E}%J~3_4%XcF1z!i`i0HkNHa;!eKFE!`K=d2~Ip^ESCd=t=B$nC?^ZPci3t(g@9*8lVyTy)>m6MXeM zHrWdM6Bge(4S0n#NC0D*vKR{_XE|@rH37p0iBr4+Q>eK%u1NIPt*2e?Pj(Wo#W=)a z?}#>r>^L=r?Bq3v91zRju8%QmYmqIOCt^sAZp}{>?^A+YKW#{Kq&3Ncfop1&9ls_> zhwdRyq3xtzv6RxxYgU7nzUVPtMD=B@=!Fj1{S_YHF}=Qa@jJ(JUocoB3seq+2jX?P zzef)3I}BD`7g3SW;cc@k)TdKfcB7|U3n;b>n1%NPiEG#kjibYuCXZ&vkABLZ44Fv_ z0a2laq+`j21Ng^}O7%(%=wHwap2SD0;?a%E^$kyRcD z3~uQnNhdEtxem=%9L%a1fX}h*_~_I5*|~#dx2UIZ<(auMAl-`it&*<#J4UXmUxeE4 zQ71f_Q`tSTC|@^zi)JW;kA2B<&HwN!W>r6|*Q|mP<)ueIaes}rBQ4ZpqvWk#u7=C- z8m_xo4Y&$0?zMcBd~1oCiJq-nw<#~^VBY7&ble+-uwGpxNssT)nmi4oSGVaSXkyvt zmFD3z7JPOv>kC9_(ULkfKxyd=(r$k_X}RNtYIh+j7P1b{Pk)To76`zWd)SHB>T-m* zj%5EUGwM4)G;o^tb3c|<%U)ySJ1`}8B_OOHfFf*AGllbC5DGC$%T#fLfgBSfN{fNq zDK`rA1}imQaE$#6Rd7IwuYR&={lMQOv;p`2H-4q!HrNSfL>rm^1l+3@0vV5`QTs1h zlL(KgjjL{Re9#;LdV|s-~s8+>Wfb1=4i0fO~M+H)zHN!Xd0se&(i6+(gGc; z=^FC`&$Q{ds$OUe7EDZ{b)#RKk!ZppTmMm8&dTA`gbzP>$29@OFQwV);j>YDM(3hn0+M?j;jAEBqU`2i? zbf~otUhOdimS-|nxT+c|ODh5wEnM9R>)WDesTSgI*=hM95Sl6kl!+AEx6 z%99YiM%W?Rqn=3?O@YI{&JR#E-YTW7^a+2oNn*^CFxF3xervDr#|fAAVh*oY3q~GB#50pEEjA?jP!Rc#Wm6;GXX@ybN-l z-O-;d;Iq-9%7aoh3MgevOwgu+esQ5^oDZUXfmN6f;=`y?^xH%>f$NJs$uO4uUIg5> zKv;s=tsz)O!tsX^1V(r}cxk|v1A7hyyOe48s}_HNQDd+Cs25FO@ce30AR`89!1zxRBl7{W!XdQthe$g_s1c$bm@~Sf9wVrz?ugV@ zFX?(p{4-Gt#@@%FfJ?p%Qy7XZ68M~uKzB?RblB;FCjkKeYna3QUICy>{9P>dn>~X- z{}>ypYFO6OX8~5yTSWDDXh%E#`{2H!sE-tX8N_f(a}>=X|0X7f~fBi zhTVZJhfIyFCw-X?K!7T0b{>$p+7)=zT7sMfw5&=@0;PuT9lRo<0!?El`n)}{?J*&1 zIXt^LduZ7BM$TvO{5d(#ke@>SQC`l3;n-FX1{{k3f|TBi=b#7&8Z*k>cs{sP2z^h3 z#aCi&upRYrEh?xFrz6g`vneTP5672|2}caS{#J858*i?#+)B#JTNf3iH=awhy3reJ zP18OXsfDQhCUXv*SX$K6ZLO4G*y^nNq$QHx3&DF`vfDVX1DqzQGU2}PS!QrzAWAt@ zjd%2-nRH^Hd^33Aqnz*5J~mCAs+xDiGMymjTz%AVywI7-jPZ5-yiZ55R_x4M5h30) zY0}qb*X<6F0Y(_M_4fuPog>u?L2%u#dAFM)>F(lSvU5CJX9PCIoLjGqO2ZE}T7;gS zZ$w7O`qieEIgb{b#ikoXo%uS$XEsT9zj%!esNohQ;n{+znhsyX=YEO4dsap7*ugt{ zhUq)DkoxsOd={n_i1-R1xP>vUM@FRbAt&ypk^y&wlm)AzaHqUrq&Q@*Q?nqyJbFC7Im8QcJ?HJ%VKX}N}6Ua4_vkN{p_ z@(X-I!%WRun8hym4G-=v)*naG5U(rF2@YGUFV0y+fHxR_@D@*<>?p2K5m-TwOxAlK zQ?7x7SZaTcXgm%CW~E(h-wII3TVIQqvsc$;;<50)>KoTd3qWBqDNj3;uNKy^+i&kr zJ4DvWV>0xuDFF~N0r$PNkN!#WS{2@D-?nK4C{}HhI~BkWq{@46=2iE}aABm*^h4M8r!|Dz8ZgaI z;6EhdAz@))Jg<@@V?ujP-P#rsgSvxG_h5g?4yFael z7x{n9eY8Tu82-D&H8OD%v5sC`WmPmVJYQns6$4d)L}e%N^9xNLwT9Jaf&8fO7Tnyj zew(jnTU#WqPr!tEF$?`xny!qQAZ;n1l}~WhblcBC=uLu17c?Hw+vz%-4bqND?!?I@ z_#|p_?!EK7aQ`l6W68zar)>mbH5Q>>oH@4-C<9v&12Yu^Po2kXDfm>^+0_4ybnjV7 z|2Nj8%YsvQqiGI?2y>06;3gl&;lS11ovg{*Xgwd|w`O0ke`)`4@6s}2vW*`f#ob;M z=1?=#;PCO+Dy$99ug$Uy)5bgr=yh+j5SoY* z4`g}-lQKWyy6g<6au-H9dXPyM-mnvH7Uv55jA7*NkZZVw8*|O4?V*9q=~$u;8^qKS zr$de^s0obpygL5<#qFDFI@PoC7jH+e%R!R|%!)fPeDgp$`bYZRQhDJ>-U$kQst}t- zREQ@R;j=I7nk3@=YxJR{)#sexpyB565Da3H4N)!^URU2f?zMtIyK@t}0gHj>VO-IPBKD zePXbOX1g2d^&{0*AJPNB&hBxl>LH+zgp#A#i@L|)!{s~!?PGf5jkDZSOv9JzKgN#7 z+`$Mcjy~oC7H{HDkziz2ShwV(3_VG^KYKeie3h)_ZM06y5~=hde2a%M5+s55wMTb; ziY3b!^E|hhU;&=mJlm8;83*nC-M9EA{!MtA6IzN6OQX(ALqZ9iHvz#KljL_8j?yF< z8KG|v?+Z?&E;rp$CMLWsDv(Ur+kD4Hv0wCNU!)NH7Lt6X0y#(8Huk z<}uQmhQ5-QH{gYa0@sa0%CCWnJE`g|{Po3UQ*TIhqlal-qlcBOqSqkPN*;4)Rk&#; z%+mbmk}x9@Oj}1r@Fee(0t*kZFS$j2f9dTzM~tuKb@6Ww+O0$*F>&1bX$Lo=^M?QP zTJP1;e>+5Of;U6)NqF_^WuKP<3q^_Wx39eqcZf5sIDrGwdCv5&BI1OIljY`9_o$OynqQ8nRNO*3;MRhRk?FtV1S(~!3x_Ge?|h= z1Akfq&I5mX0`-IL#0Y=xbz!1i_n%jx@!j>V`jH)X;yLC=rH*%{H;)1hgJidXj68nj zKPCAic4M6AC{w-N{k_9K$q5tIc%L}vZq0r4Sv5He#V$M7CW$I}3g+75FbKJ7?f$ZB z<22A>P}DvW?AR)MlZdeHlypFY(y|G88WiYvtp}QRrj5x|Gcec6M%Fo5{p?rgmpy*9 zZK3k{SS8^5m#vXJ_)*Z7#fDQ1=I5Cei?ilH*NgLb^c$6;Z*VK{QV1#h9p54d%3No?_!z? z_nmq?sTmF;eOdEdJjUn`~bT}LG{vzI9cC=09qwAm!ise4Q%|H5;5j_18+r;H+BZJTZq9E)ZG}!!RoKQ~E&3GSP+)#OF zv}r#hNEhzFubWvw_wK+Y7$mg>jsm})98ey)g8fLmC;q_G#PH0HgQv_1@cCPNvk;V7 zT+Fo-mix+(cI1b;orBuciLA64VMfxBw~ytAY6*nvW3DYQh+5aqV+|a=$FA^oXc7Rp zemPizTR`sZFTjVr=FP70@Ht)n&*Teod3+iCWLEKtZFx;+7CGpbdm}W`$K^rm zyT08@AJ%CF)CouLOTmxuvAIcsJEFHW+TKZ}ZN$P!vTZf+1T{>37V5m3IZwBfSG-Q! z`}P81mH}1{84qb3ZeY+R&cYk^=&yl&zJ5#g1~!dib8+)e8jia@U`d?yV(B1$RxEnj zohEQjT4ZraQ)Rj^hrnr?O^K#XXBh*gLN-wbHy5j|wc)(twMZ7VXpTjL&=t-&r_a#{ zZsXf2v}afAqs|7`BO%;F-iUZb+_%CJ5P~=B#<5v*qeO!Y2@*NigfDDkmy5qeJm<=e zsqg#HOp|=h_&K8B9E9tZ?Pq`Yu=*X`BqNSM<)|_(C>nzzMi#95>~sA@SR=_Nz<~nN|XCYiKee#!NM{ZARCgNzDIdHOVvoF zpwPrH{}Lg677o;wx{mdDt@F9_68_Wk?Yn35hJNMj|1%GFjmr}YQXx2$&-xkST)TDl3M-D4-HcymDYa zf)1uhQ8&N_TipI>3_}UlCadmgb})q7nMpOE4aaZPF6n8OCQv(O@8v{&u&aTYJP(Rp zwz}}yfu4IzhG3(QtJOLWq(BIlfDL_UC`QsXyY_4dg==>wOv12>J+d%Zf&>qB=V^>$ zx(O9JGJYi>;Z4Bvrk(hPEAn{(nhXnoUqeATC8Cng@Ggn2M!264Ln%=%3AGs4BVCPohBn-7|+ za=79l^753zR;Ck@#bxflOYZv=n0`@U$8GaKhOA)Os@X)twH9dct>B2BB>Gd9cGiqy z<(>iD*%su>ySf_+{Mg^a18vB)PcqQGNmVmikibnXNKku1P2gLY(z4aHX8Q2v^NBKX zQ`6MGI9DY+{;Sy{8W`pfI%=fYd0iH{I;YYfU8=g0LU9LKQnN@lJABiDbOE;*Haih$ zL39DNST?i*YV}Qm>90gL`p2)`&PA|o?b5hy}O=>VgCx-n4hD2friMb<>>#viRXn`td|?(T4cb67TKjZp zTvZ5yp5vCi2!rAi|EuA4XVK+t;$o#a;f>3n>@K4Z@ud;cwB|LYi2Hm2-t zC8r$SG?rv#O4%Oo1D3UvuD4(ElrV`w{SiSnLuMj$=^8!cMYAM*ymHSZK}Pl6ABOlm z#wu27rBWxTv4 zw@HJf%9g9tMm0Ec9+^s>DH2#s0ci@>O~sco(3I>T8!4*-M<#Zr(&g>ZDpAAx;@4km3Nvt9PVR}k2 zzXQ`>VSW$oJ}K)yne0Bf>~3fi(IfG2j`jEAe1n<2Z|wc>zYNBFgzuK$5g{WV(!94lO)6 zudihf74hk$I5$251^7l52GGZ<1Ir$2R5O`FDXI9~&FTQvb`Fj1yQ|gy;_h0>Tz$bG zdiZDFM=y|N0#2~{jc~Hp=A^A~Sjo9aC~8fZM+>~#4A8;cl*5I%J}W5bhR(N-4GTUX zilPt+k$^jh!U5J|7JfJ%DOLi9P(x|!v!pCisb3Jq5kheO&+-M;`7`(VLQ4o13MVE! zG~IzPN5wJAk|>CEkl}bZ5$!CQt?{TzI>zP2 zmxxOv+36DwxxUsXEQ{hO3my+?&!sr>4;FWYmpH_MkERCTj#+oo76Hus(|ZTj(=plBHHS1Cqu%mS8Rh$#WwAWWN3idld} zK31?e^#-E?^*AF-%<}VOrd`^}+hEN~O)(tkwH~ve*IJp`65B62Yfi!)>Q`_cOuX$x z9n(URY>1Mm2aM4nHdE0UkmAP8f|}pftT7W%er9?#mz@m+vx59*UP0_-o*A8IFi-Ad zAJKN{88%g_18v9{Qu6HLh$1N&a3sSO8)k@--;)W$gH{i{^crSDMgm1wv{^DBq4{`Q z2O*eEup9oE!P>cKASLkc`&i*Rd^8(2TgSprL7Wb!R2^1At-Hk5SxSngqUGAcQllWifT(# zw>}3!>T{Bbp#ysfp^<<0YO(>%Lgp&5gS%@E(8B9hmb&^d?>e&i2$Pc+1U2pWs`{}w z+bqdLtprk8e+8~|BPYEVkNghT9dl*>P8_wKC*?bQ&$5a!io*L)OL}%1p&-gHNT_4V zrn1>0lImXJMdL)t{iktnURxHSq7oUZBZjMfkVg!!TfbjDqe=hnb&ecnN`s=~yhuxxFNY*;5s-keVS?iH@OKfZqTmHZj7)}HY871jD_AyMF#AHvjz z44*26VeR+&Zq#kRp)A5wC-!YODLq2T`F_Iw{b)`&x4!v)AEiP}TeHyoE&f3M$xt$6 zCJVZ-e1d`Tr{83l9;!A|p`%}E;d2S~xfCLot2&a~Q2`MJ4c6haQMvW+h(PpS!{=6j zPJ%69g(z;c3^gGd0y0_SmSXfnlvA8vqCKnTno7VIAk<^Sz)%Bh1By8?W58)>-t+dO>SCJ2*#5|p69cx{n3oGr zWUEH9SA8GZQQt>nQ0{|$za|SV>~PW1o7?+yeAFUo3goaYFtdTU%)-BK38dVgo+>D` zM$y*)DQ*5IXs+kGTHJjsgOrl6o%!4C0@&e2%>u-ffz*zfaZ*$`T=;5c;3c0()Lc8Cy2mKaB}fto0}dpOa7LULZ42$oO9 zE;1U!&=hA7zC=C|H;CuuDS%^!EQmj|p0`kG||7+25$K@T|a2P&AEViK!(u|W@9 zhzB!-nPL{EzhnEtV>p@@y-6YLP-+yc#KrykhE`%L6aY&P#^k3Aj@{8>+xkA5c!Izq z)KFGIuz{M-zJ%h0uA1ov>gZyJ$3-N@2%&HcCr1DT4L|dX%eKEScC`RzHb&3$ON^f} z#Wj`h}Ae1xA5< zWJuUiRewI>I2sXVq;%rq1MoXOE}iEw`>YiJnQ4+yTMfpN5#yEx&`)H@;A~WJ*wYVDldI546 zVG{JNuJtLPkG&QC*x0A=D-yXh?W>65VjF41unTm+=`#uDvBBa>)*U@2M=yTGeD*@_ zB?W1d3ZifPjaZQERT@bPX_FHPIMxM#4;LZ}1pW0hCVa}P?lK46FQ3J9<1lSx$g9a7 zX!-ClvMB&1q`|#b5gvj4z4?S(t3`NqP5cw=pTdH)!ioYJF}f;}602gF16Ae7JW@UdmOv=p5QLrihFK+61%)ndIt@@9* z^%etdWxL6u6Zb+C(qXe;=WE+{{v0=aFyOmCj2METcT6UkEL*@!Vc zFeOau%sQlb34fbi6R2-(?6#@i6S7f&Gia)3tKr2>aP`A{du3DSVrC_|g~f3KDCy{U zm)-`1g+Vp2E-BR~&XumwbPRgqaUuzb8}vi~*|^l0NKAz{%sNc3IZWFrQv->Bwup4^wkvQSNKHVdtF`NR5qd;EpJAo zzAmxfNrL!1K{$CBtG6G7RNotp#L&{ck2->!^muTeN|jcLCg2aO)CMT~+X)Qi+L~P{ zk&8;uhNm=`KG$(Krh~N)m`R4h1PfC7)eN<=8yNYf(`aVS`m(q7EKb6l%~fv1OC#0*Z~y z5u2D$-uwoIcZc1!TF*~#obMCW3*hXZG1#xjxkQ3!?BDK3r(?#x>vW> z+rikj)Ej19emycj$lZ*so2Z% z{tVjS!m3ZUx40!&8kuw|W`5)1RL;v2;KH7aDB<^F3mTS?tkO@%a-1SkyWE9$Tp~lr zHsKdmkTekV*kpz_>$9SY8XZf^V^$tSQUB=&mxxqW6XBT^M9L9*LaLm7R{%7Wai03s z#f;;)rC|9L@nEbAVJhX_$pNWdx?+70Y!(QI_8KBv(kmM^DRd(&U~FNVG-0KoSO8|# zQNoG#}Kncww7JtE5;Bz&o-4^-6=uAITS3b#OP~#>gjp#_ysAh45p7-?Uq)W zE9~WUOe`yjDQi+?tSgD_XizNFnx&5JCrOFzL5rHDdmvg+#>;3Q7jRnvX0?xVvSLd- zmcGYsuWIgiNj%p(D1fr71gTl+gZaltyohodNn+P`hDohMR5T+^31-V9>=zbWs(Mj< zVe8Q(B!i4@E)-Rx94FAJMqadt`nPv3E~t&89H;x4_pYx(|pVN(RmH zyhrVB5uM&Eon{r|nfm-aT|&=JtLR#gPNsOtAZYwFlR0gLL(fi+(Ku$WtcjRa)fX+b z7@tQDy0tSj7`&qNFlC~;S$-rQ?ou=pmB5_M}41Nbf%S6 zppw$m&BS%f5Pi@?6=Lui!IG15k5ZwW% zB| zr|zIkmR;s56~P@>C5`>{#b`9ikVD172##*vY|pc{`gDn4x;gJsJ#J%pd~v3dC-bvp zVNRu2_&l3}K*WXaqZPv^dKYGu#A1`qeH`rg;-1opQl89W|AT@0+c(w^Pf-@fIz|s| zvH!56cqrS1F0b22WIO`_0qlE*$Y%Z-PA$cUdkaWnYyqXJ?QpM!vTz4F&7tH?2D zH#;g8=IgCR(1Qr$q`H`%~_q)+GOX0(lL`Ko7b1TFscQhbseZZ{2*6Gjr!(8Vuo=g8i3WBBPO ze`u+kH(8!9-0tt=rzP5;LX^sxE6IZ(7~zNPq1?BBOcFJo@=p1?#&VJ3rysM2R(>-C zSg9-w3-1;+MpN^b)k97xp^kS(SVmp5?{F&7bYi|C+^V5~caF%)ErB+{*}rS+vhLeA zRA>LdMu9x1gWHs9QImC1vAnw<*4|%hKAu^BuuY$8Nn2;T&Fm6#oFR|no3PHJ)f52b zQpdW5y2i7QVU-AgZtIY?Z@<&>TyL9q|L|}B=ICVifn5%LQ|6I`MWN!RsKOwahNt=y z%Sg91+JxGoqflG^%f@#0n9|4$|FZT-cxN%Md+tPZ153u^-tY~qhC#2=2^~WtK)#rB8Je*oX-wHc#(e?3g zySsZhFPq&_&JHcHUFR(?mmbk$Jiya=8FYR)HJhE`ZsLdJ9c0W9@j`(PlJ?a?%gyE- zlTJ~%)^OIRy^}9VA!Zn)G5TU-vMck}sEDC$+o>f!<)l>@$`m5pFY#c~7Inh!= z9!#>=9`0us@}#U7>5VyEQ|Ec^Z1q_!xEm!*65VK((Sp0GFiXma;VuKrlH|hZes_QV z=*-I>xiCrya$%GZf&1a#PA%k4fVQ+My1eZIWz8%HC19MwIq zQHZa|KtaheLthmmxw;9GWjnA}qQ2=RIRKPlh*yyigPU~YRU{F!jia~tUZ)r@V2O3b zYfmB;uU3gzyy7Kd#!_R^O*-i)^d7`i{3PS~=^Cu8lgRBBnUr-BSzqO@+_EKSSCyf} zIUgx?mQrOYISE(~8A{HaC;3-$0_5B^U#(;J1e5HZ@YhylNp?^8JF7CJoIx-tD@M*B zn3NSGs|{M~<(xg`lT1S1MbC4DMTS5b8Jm!s&B#^Sgq-z|ud@kqo1YNmHa{WcT22`> zn-EXT62bYgbY+>7fi@mCA*TS^Q`m%@0%#9m6LJcmJ%dfiDPWxI`bn|~uK(PXT|wd5ZYt=ZV1D`i z9d}b!jI7a)@)EkAV91g(5)vuXF8PJv87YXAQ3l_&n6}u6WQ74C4<;AObBPOFr4vUu%NBQE_!4Z>DB!|gplf#U5jEr`Stae!C z8SQ{*n8S>AP^Lg(v4cBozo%s1l1@iwNED9Ek@5xB(asbpTBjmKV^X9Ta8o3i|6o#V zkFZL=AhSu?A?4TEIZ|dw`Bi3)lo7+PXLF>C7=GoPBW1+!Yjm50xfWKdoojJFiJ}cI zxraMq7N24K5aM;1F_<6}?J&bBRK{ScjKM^Q2@$J}c988Gvx=X<42pNY8H&B=@owIv zq1Y1m z1zLt;OW>D+CM9PG`M7}(He@Ka1ag6(x*<9SMaoYt6co;p?7A-J^?CEsc0xW%kt5me zk<;D16o1A<-89-^kQBxI^OO7tZv3hN(R^-n&WDD*u2~J%Zhb zao#rP_!73 zvSh!Z00c|sEear5vL&;AOP1{RV2H?){qL#*B4u{_D~Vw3Zqh{)PqZcZZDE|>E|1WU$t`5(iDR_J!glHIBmd1T2hrz#PY+2v{x zkutj+Fe0*Kms>^zM-%GV|K21Z;Ka4+-C79|S&*MI1cHrs>pMiSWNf^fXTZ;Hw$Hui ztJkM}v%BB6%dqFmef?C%cvpjF+-;66FU<-imh<*Q`i2Q~Fv58|d1>FA=?$rDHHmsN zl91x^U5Sw5Qq#8gpDE;BAwr5vmF4`}*sH)dc)2~Fk4HMtic7$-dt1o^LldBiXZ&F}Bik!a~O4 zjQYqj3;p?$h5nuQhgAVBSlR{9>fv&~ytKu*ukCON=DCvZBm4qHDkHEReJNnvo_xQ( zw`)m^e|g^B9?vh9XZpr(ESDlFTXVeMt+#jQ?d8JX1NZW_JiVzf^IlumbzFSLvBvf{a49i4Z?oboc%HT3=mUMas z&&iXse`ATVv8ts@G?U)+vm>TV%TL2K78?r2ndKX5$3!~nJQSZX2 zcVXynVf6W8D88v*Q`+FXe(t}*Kj;_ch9c)igXTtq=0=0&MuX-?gXTtq=9O3jyA?3) zC(U|Vnl|mB=Ns7frV6kEz3!p+b&6cINIKpw!p^mI zt;_WXMmD#lgk#+XW9Mnbp@&7O;J@@ z3i1(ggj88dR3Csx2t?K9z>pETIrFH468+JY6!N}ZLP|RC*%4A@De3gY?vI@-C>GBa zM4o)2xuAG=jt3wmy*?n}5AG{S6|bb%=P$y|bQp2q9*};G?zFmH?+&}Bz9bjsNm4~5 zQGw1n`TO4#1oHcQrPM2(vlCoClaEb;vV6Waakp-^)i*{QX<4AKk%83)X4rudqGIJP zq=Y`dju5oNf7xh{7wB1^`A0}qqLgL0I)7^1Y>12jMBIqAF`lzFkX2_G;X1}nA=SQb;>_4sQrJ(m}i`JT>~M)o8^}r{%^G)5oDGXLz&V zhu!Mowo3lyH7q@BcDJ{l6Op#yQHSgvDZZWn$4AZ+NDc<}T*=|FT@&2%D=8pep)!J0 zbkl44_psF;|4oYIKiHDEp$~K`?Bn*}xM5&XnkYhkC*0Q<;6?I zc4}}E9)qZ~Q*z`Fw%gP8oCspULz?Lcrk;893Czj@x$B)(JzjQ)JL17^>kPmfi|BM= z8fZ5?eHn@py;JOzqz4Rb<&|VLyx1?wE+?d=T|4w>zfX7Iz` zV@2yudW^?oTbFI4Ljzr8P~Sj-1{;u|QCB^*N^Mb#w>HsHtSnws&BdYwwGA2#NXkq> zgL7iZU$Fuly5ry4pu4Oe&zI(=ozWO={p#a+J%r~9o@;pK<+iNLY^kZg%G7nmyjZ}@ zEWqr-ij`%c7Vp^P9hZPR+W2TGMtLNn4CL1VGGVt!FrCuY;B zie^uz-ZSZGvwQxy-kL*aX7xmEjve+h8ZE(@ zM8iBBT)`>K+g}8EaqBvK-KsMz9u(GALQbr0HD`X;T8m2W33p9{W(4c<>jWdK9 znwE}J3Fu%7y77z7gx%E5Fzv--=mYT_`-q>ZSH<&CmFU-r3Bp@I*Uw&5O3GQ%aL_=u z^Fw%f7+(g~|xIe?9 zBxqo>e;KTD)S2b(wuQUp1>o%3WFb=4ZoL98a9D<7jtKV>94V z;2{YN3fHv)z#Bkk0uBge=|JLO^&pNa(oU;(K(nH6Wc!>JW~T2!s=P zLZWWk=y)`u+Obj7k~3FQl`~_BuYxC#a2P-d+6|xt>%2plL_iyrYFDLtqf+gvRJ$tG zt}qO-T>kR-PGF^$stF_AUmnE6K^{0 z*pi%`nW{3CCE!SPbCPVY8mTn@XxoI5TJcCt{l7DEVJ6k%enUrb>F8hDKUC=*Z{ySP z6ld0SJjn?u!ElJFe)FqL>bG=K8peqa#HZ;Cp|~FKHneBo3eE03LxOQjWW`}N6tnaY zW@$0KCq$;DYl1-Isa=Z13kb2&a2QPN-c6?sq%Om;Zg(QR>^dD_eDA>DM)A>EMgkYNaK@}6-do@((_iKng;PS2K??fJ1ews?q` zdQ()S5G|!p8%HM6$FBU<%M-`DlBbl$@vY>kqmfkb#JiqQ#fXCm;@NJ+&bPv;O0|Lq zZ!D(fIDvSs=tM;B-PrEnf-H5B45CzM499PCwNxKH(s4LvrZ$z@XS_|-3K{tq0;9(1 zb<$!=OjXWN*{*Xq#F;#$GzuJA8uk*TRdAuvR5&OV7Q39KN}psMq8-@(EKn>rX_$Ky z3U^Ua@hs_ViQ-w(*^0%pq;q6RJj)ITc}A?f$H8i}2hSgx~V>b5W85BPT^BaRA#-OM% z)Hepjk3qgM$Ulbmjk*2Knr@c|f!p{#M@a+y1QO6EgWV_GYBdGaJxj0WRfIXTvlI;LaK z?tMSed)3erCqpp>z}iGGmm{;#B+Hkec8beRW)(86D41DUCVgtAFU{=nqo+H8^&JiNEq@)YvghYaiYXef-A?*H0H%CjMuu8p1@%uuO z33CaUdq|T|lmqh>hO%M=A+S(n!~5O96F0QRKGodM8XI44ekwYP0;zay7XY^LA%+}y z{cZ*S(y#^w10=da195QduTI)dswh-G`U|0TD2mTN$X7TNY{iUw0{3JHP`ZjBV_b7+ z#dKBNm&SE2-p4LRkU~Tum{`EKMr>1dA_u!9lte`WWaM&UIx(~%4b>pGibqo}C=;&I zfvwQ>U?p8wgdihCBr%#57wssrP7sAkPMO$NwsUyOfEQHYiH8n~SEB@7q|OXHfepkk zm9znh*ri()9|x92h6GElQC z_HA;XDfghDagfo4oFZ9Axa2cNu&_9m%dIa)&ZaNt_05RBjP~mmasi!u{M2oyyFFw2 zSm~{fd8~~k*I;FA)a>n>d3y7wcg_`Svd{D;o5B<{IL&=^?m(&Nw8yXTiCFviv)Qeg z5Wtr|_glnZ+^f0RX71_oy`49KH`d<-xU+`dT>0mB2UC`J=#wKFKskOGSjqu`pSW+asUH^3ARVYzBoDdL*8D);o zA_8$McVHM09qrN)tEm-ILMHx>QFtdE#4-wm(Aq{JDj*VA#9$Ip_3eyhPq8$QfBaiNw`8q z0655E9X)k^QA&s{q0phMwQz_A&&Z}R$3RnuX#6Q$?@&`L6BM%!o>uxGsS~WTQ;16P zfi!Oh>QpFlLI5eAQ?m;wgf&Hb{D8EAfo9ZDM<3Jpl{-*p*PN3GM?yU4iX)^8fyyeA z18kv9I)I1ABtv8ik4XkR7uU75J(M!}h?nd^3W-ZAHV)&dV5Dw~JLo5mD2qp4J#~-d zvz~CeT8eLkNlPd0q^jfG)gd|_ssi+Qdhto;jJ^MXu72VHH3gV}puS>T8Tj#7gHRhV2+!qeNuJthd0uIA3 z&J6j}qCs|=q_VxxMKr_kwU0Z-Gpk@WI=P{Y9S>~}Ssj^BH;Nt?qMdw-DbBbF1XF3} zub^Uwp30elQl=xH!!vSo&=wj|xsEBs)yJ}Ly6}C_OPC$vdG7#y`O~dnEw%@UTMgv_ zQc{&Cec`jL(r7Kk_!F*9F{P8M-5e(3{0Mamz%+Y+rFUbZaB{VC5kHl)tUi7~0WaQD zr;m30pAFWlS`lco?aKe$XIZTx!+BIg3`|3~5BQU?GoBix=!TW1AhCJDl1VIV+@VlW zlYE8)a4L_|wVR)W&N<{EW8s#Ja5R)yDYR#a9M7>@R5k%4Q_^>0Hs$Ul?he7Gk6rV+ zbEoNJs+i>X7C)u6$P=@0;1lyBeFsRtzyZ;;HZ&~E*7O{2bq6z(?2Rl<9#rGdZ1R-S zb%5NGrJ^BlNR9j_giYCc1#JyC-PdZ~lk)=%P<+8frC6x0*Bk)4QgTI8ortMv4=RNzp9U*S|B7HVhx%*g)d zOa-c%{t9LN?A)5!gI}4fU(BY(%vN&l)yRcuEa9_qYw5*f*^FIp_AzGu+-V;E6Z3^O z&Kl|bNz6uCsJQP3JD9xdm(TFIQvV94^fYMLTrSVo75YWSJ%2sG*e8E73}M!@sy(phkLgcxL7gvV$%sW@Pogcn%NCAFCJD zMKUpI1|h@jar%jo|ND}8Jgfq;ubeBCVka3q;GBYHd=AOT^TCVi5IuFOl?(i02|XWB zhg1T-R7&|J5Ck9@Qvt|;LI4sIdfwp$B6$nWQ6cyRGk;R2@yZ32LaUyb=Cd+{Rz}TL z+?lhAlK(}d17*c-tU{qN75KCi9}dq<9`4Jh{xT^(AM=)djcKu;L5dHOY<*d8Gn*H) zfB{jtHuF7fuF3+EPy6dUpF$vYmP_G4?x8cb02Fe8f1TCSt%ng8a2RoMj(A#DFF;{f zV!}sXXY#r8d&+=6SMDyG!Wt@WoI`^HN$1ecBmLzj@ucGVzMUy(p^%sTil+a-ywD9) zU%iB&Q;Nvyxi*wie}A3l`|^3dB-rMzaxv;QlY3TTjAY@ZNG8{{!Q`Ep7WAXpPv0DO zf!aIcRAR>Vk)C7Q`AJm8QCO=HA-mU`go~YKvF zvxHwV>xVhUuT<7geFca7e<@i%@hsGXp9sRGV(>W}y!`p^g-L3XMvU&XoY_OE(cpA4 zQ$9Er_2!PSNJZ-z8u$LGhp=A#yy-!QPgXNKX8i|qRs#y8Jb=MR{R z{&?JeMCkP9`R#dmzjZHc51Yql+vR3^Zl8y*zxkj4=YRe4cmL5<_0gxFefq;cy;=X0 z@BiK>zj?F%!$117AAS0}zxR`N?bWWnmY;og!;e3{`Ozmo{^Uo0^2rZ=>$|Uh?Q38A z#rEIVUVrm{{ipx_=kLDy=C8hc^~PtP{NT5%$;a2P4SxCUtJjG8C)d9=_@%e5zW)8s ze*gM61~=cjdZ*=iJhmR>x2y5T*RK!$sesydzcKiMfJPr*zd86_0o5O0Uk!dwK-I_B z?+iW_(D38yZw~(1`&Vyw(Bk9k>%s4*$n@jupBwxzMdlx0e{1krip)N~{?6bJQlyn~ zF!;k1X`Avl27i2yomj^$&zPe)Fv8TQM)xqxs z)wb2VG5D46)K>A6)p4}Q}>v?Be&;2-#hR-#`V{FZ-c1^U~A zf9N0DdVXb4y?6CyYY47czdrciue|=||M?>AO83!t- z)wGRw_-lio2z=!6*9ZSx;I+r!82pLACm#RC;Ku^5JpSh3U;NzFb%%#)-y8hf6rOtc z-NC;Wr3^j(*5FT5_JxP927mVLt9OGE9QNJ8AE&Hy&-(V@U#9TP!|x3KRSJjJd~@(` zQaC8(dhqYQm%u?M?+^a{D=8k@{;k1(5O`?Kw+H|6mCd{FzyDwU&$s5@S!_P}lTW|@ ziRCj|*Jb&PUnHOL_SGBP&p!EsPkz`+e0}v!_}!z2%XV}9*0-#w)PIHs%i z9pA9ir$4;DdhP0!;q^CKK|>3#-)KuG(OzpwyX)8AyLvlm*Y%sPU%lEgz0y`8oqyt{t2E&KB6Pd;h>?2kUVeuWxFKTvi3N?UG#53Tq8PAbCJzjJk+ zYMfG=*Kd0;%_(1hgN1wtgnaGiuf7W%^!RLf-VCo_{od8Lp_{nyciTP=UB$p#s)4Wn z!qxXw2l`@Pp$f4Zxt#afazwKI`i)lPub^jVJ&Bh$sL61^@s6bZ>Aj zcy0lRD0kObY9qHLYe^?r8=N=7YTW@4HrDDD1(@Y!^ND(dx z0IvVS;qopTI0*GXNy!c59z;odj=?4*)yumm&~WG~wGjop+@epxdgMP=vp@qL@T1OJ zcT%(dj=I&6{OElkYTzs*)#qfN+i!^a#G1M(pr^8u(w+z$t5Of?3yT@UWJiSNN7ZS^ zp#tF`OFZ2marq|aPQzX>B8}EClA-cT{6U{-Ft>sU#s|uQuUBZO@%YR*rt1G6A#;mZ zNHN4#iZ@K9v%>FCp(xQRa}JmKqeV2{IPNtW3Gr9>fwi7>f#HF%LLDqO;4SX2%EYax zxFFJygHT3rz$<2kr&|$4Z0jP_*Og?N9nNdo^*VoitVzdz$2;4RVxKw_(Dydb zu`vo}1r34z4o$c4Q6^IDhaVz{CX2e9)owiIo|x zzNdPK$8nSX7nGE45I9d(5Mv&rXrlDoQiSO55d3=p(`V?or_^oAuV%Y?0}Lti+k$&- zK^YLooTO6u5zx(z1?^M?S93{Ebg0s9QY%xFDq_e2zqD(C4n49Yn|^NR@Suyad%UQ{ zmT3ILEF;me@Yy|`PdOJcBpx|%KL6Pf)X)l4=mJMVYf~8pi1hxLF`ZGfP*j`uBA2Bz z^7jgkUzxtcX{Dp%nR_Tjej$G z_I->V=Ux*lSHBcPtCf#^&>b=F0=D?^v57qBUws6dAx{U+{*$}!U5J*MlK4$Wl<3}W zwwyBZV9Xu+v|UZW=kyIzbsB{1cLf5mF@uYYaAMw$Q>Q9}11L6&N7`Ea+=1l zW6JUX@=$gQ64`X2enJfAl$OmCeIroF$M*W^42+cg+@T2h{TDAUOiW+(==op!GFFPx znfQn@TS3V6x+6OdWej$e!AJUm$~@*gS3birhtkH7Dq13KR~luoc{v)ym@jY!=1uR5eF zhLibue(76p1L9F!_sTQwW_!z4J%jkk#g1+3Rk~UIG-u5I>ObxXI$y2&tRuX_Pl5@O z>8_3XG4cBZ3DB01eWw5yfpB|_V`!?1it3+FqVZV1z?N>5<@ud)BQk?u<@Ak)>oPbf zyBl8?Vo_IOD%@daD3IkF^&x#5#wET;me#J?h&yg%B8qvn?Gi5-w2>Y+l5Q+;9O5w+ z@$yMg^y(exQQMIXTmA{MP&wlnd0@#Myb^>zVOjId(&O+u%>qQ|rK_@-pmd#pJ{z^*q)jZBg-%L)4BI|E-&y>5De-13uF;JC zP3|evP(&~YwgK+Sw6Ko({Bv<^JFTAT9CRGciXNCm#Id!*F%T8W)LZ^%uW8*!E{XS4 z&{y`ZSgS8m7A9m;JR~Ob+w%?DtkSKeKF7tLwb!AjM9T}^)S6||EX|s7FBX@K-r2Zc zP??-Z?>37_$M)_Oi~p2In2bN9WVtXi3!UTxd}(|v4mD-%j1L9bP9D%>)k#2 zHcWKsQRhwfC;Zq-Gt}4k_1PpP$Q{Lf2Ta$d$K?3F8@j#ASl^x%z6hw(zZ-EvC+YEC z_ib6Bs2_yf2*fHO8TE)tMGk|@A@Ok}d+9Ro^esf(rt(%`1|>VB$_TrdT@|bXE2nw8 zKu@T-uV?IDAY=THfLQs_km}$SOFLzC`pOX=`rLb9*b8yn7et2HKcsp5tStictzwgc_tggW09Mnpkn~33L`hrktZ>r z6;i}-02^^%JoJ1R&UXwA^n2RpJ>BVVu1Pan;+`g&K=m)Ke9T&QtJf#^j&g2RYJhwB z1x3G0aa(9#-G9-kNF4vB#0vZ2kO4HT2?wf3S5bZ`xt+koKT%pR`3Pd?<}4)BPgJb8 zkM-~)DmZPS@SwwPkx|$ z^*sb>_vMC-^#T%gNw)2fH`(9Bip0)pFYrAyroeZM7}cEfNihe8GLG+E^HYf+q?q$z z4Vso&Dh7ut0RpgMQd?0dk@jd_E&U?DIo$@uLWT}k6@VwVEM2w?CFjPe}dY3Avw0rRP;z<`apvT%4lyY2Ci{g zggT%q2PyJl_b*5?j_8?x587)T{jR1_QMHQ@hfy@LmO(5Ag8HHYNqo->pi5T0} zdvovoy2@vTa7C;fwch+vY@hRwGM1k3C~q#&ph>IEu>_LLMe0oM27{iX###Z=_(j?5 zOsc?($Z|yNcCV0TZiv{3N)vw*J@t?(>70yHkQy}vG`N|&*kII=Gd&WK7`1ckHaiB; zdeEufh(TGYTW(btEk{!a7?_gJFr!%2o-asBj2jC;&K}6t<@BihV2e!N6bpPX&R1>} z9lbWoB3{hKpA~CYG%=yMh0CvsFrN~#$iMShX^hRNiE`|CV1oa36nZ?I{xMEr($l7_ z$9llSB)kPS464^nsl&D*{s={9T|%pjMD;t@6wzQ7$(&&;+dkwlO$t|gh`tq zcM0I4jn%6)TNVr~+RPBSOP|$An)x6(0CZ>betQm!q+b!J9C-j#@fG!!3dS*IvLeM^ zPejm{qsXzATT;qJ!|eLfiOdBBgF)_JIV#wd@Y`C$bBRxfBKzl-Y=a0#5>5u2)Hvy! zyoE6)R=`rK4lP~Mb@ku!ix?6W{TcCs34DY?h2Gz`eARRx;stN^yzJEaAq@xJj+ z@b@KBA6StL8eRbd1Lk#DV+XR9I7+PH#)7$V;k3|=7rzq=8Y=C&?S9~nAxCbX+O?<_ zOb3@Nx4u_C(85y;uZ{UzMW}ak!i0d#Ky-Y^3BweMb(kM?4i}7$2T9GZ4p<~TrszgD zAJH@eA5COO52x}91mg^Ny>Ly8zmcx{YmrZQWu0G5;~3CWQfYt{-t=m! zY8ovgfYSJkW5-ovl^!aoOh*TlO+_juUil0VPe(ghfp?ltv^?m}+lY3(;`eIfWy%!0XDGq%SPbdSs!|~?ypr;JT7Lc7p4Nw@T?u9)pLZNaF5#8p~0+b2D( z>G--J93Zak+Sl?w;&b~z`E*zQDER)jIFYc!T>?_)<3-5zEW+Jh?o6rlv1W23XL_C8 zKG2hJVfl&@nONVT%IamKmk{6p72jy*FA`K$HQBwTbG@JYD}17!bn5G3Nf$|tj6t(Y za6~oZp_5*&X_R@=3Mvs^X#Dnbx_kWVuiGTuP`z{(d3FOZ!d&~Hs!DUqCNa4Z7CFf= z#l(U=6^021?FpQ@Aot_k^k22{FIu@C`rf)Sv`A8>277xbV3$JM(5IP&{eZ;w+?hAZ zE<9Z$U8My2c9&?O+qmo7;++NrFd4^$o>m3V6d|9?POf~`gn#tiuP%Q>K>1U7nJY0} z$u}t6xccs^e1mg5+v!l@t7Aa zII&)&;Bk&yS2sKCEvBh`C^#ToIT2`9jKh-tDs`e;J;f?fz>V7?K0cTb_e~|E@B9dx z;~y2QZ~dT59h;c_rt$mmJd=vV>CMc{kv%9&8t;i2SrO&Tl|Z|_C%Y`8CW4MJ80QCH z4pZJND%gf*I%HelnS}E&ph6Epta}ty$SdBaWsjcIwyzg>v$PP{J>OU?NJx)5QZpQk z*E_ecgK5kXAFG0bEw0a%O?`G>Aa4Y+jr1tEGwFLQ^C}&k`_W6?A z7`|(*pW^uS{ch@2w$NYtfLJi^8NBw?N+=KU9iUAo!Y}SjC(7Lj<;Q!?AqvB{Pqj;; z%pv(0;@)bfBzW9~?;|AM5t9I8jj)XpW|Y`eTv|@vf1%z%3>Ee}d!;?l*Ukit6g!7A zCPxcl!Q7@O)3!G&pqK^$N6STjZ8?$QEfH25&1I->k4j?p;OACG~E>2pbUOm?aH z|BJnd3Znw2lv)p=6c^>}E+$7Qia+DKRuNzQblze*Z54t$fKo5pi*sD?^c-D1)kty3 z5e0H3PLv<>!_3D;?5?bmdJz)Bvz)IF{^*3_c^XDvj;cnRZJ=Rwe_=2@W=6Ju0Vd!2 zl>lWI>L0;kC%41JBY`YaFlBXy=Vw!*@r2Y~Z=K437mMk`16(y}35(^8d3 z#(q8=$fF|QP9xE7!&RA8{5>5Bq&1JMT!SL5)YY9DkAi>52&q^iovbrgk6I{@#>OW_ zTOV#3uUIBN-V;ArZcdBcu3}b6lr^h|3U^sf;|B~s04ib1Rw8Mj(u4n0 zH##7rtORekL$vO49%~F}S6b@>ly$n^#wTje$qbH@lE&sn#LOJ*POj%>9@>DfP7#%1 zHna)e!c8DFq`n_G%5rLxUJ_sv&Dfg)Ee`%Gc>(z(YvC<`pwY&h9tVm-i&a$4q@SK9 zA~?EM4!eDx61d*cqdWsbfIB=VG7E@E`CMlqd@m@6c|X*Jx3`4hJczA{XE9UDjWnKJ zNQQ5vev0Xxu3{~dB_U2{$ZMQngM#VfQW9=hnm_t!Y3dy#j8qvFEW`o;i7YEUiinf0 z=Ei=0%3Gdct>Lg_duO8QWLo0}k5gpd=V*ik%$y+wgf#9v5cn?`vuM{jP(da{=T^d_ zue3u7UKzIWV~#pu|F3J7Hv6y+n5ka{pf)|Dm^b8eL0;qa%5`c0XqE5`+=|+M=3(Um z@VqU>HQa@;ul(jLfm#@qq9l_KdoPPAapQ3nW>b?>U~|qt*EwP;bQkmI6U0r#z-R_x zEMvXD_iJ~I(u3p5Egx_%Z_{eZ&aSK3ji#5hZh`|(^z^bDB^lgXOoh3K5%0#(5J>$x z#))wn4|P)nfpmC!=(WG4*H*-^+nt#;ovj5<9us;v`xIj{9b9&QCOQ@o8+r=QTtbM< zZ@FhTMXCWkC^rWu%)j&uF;?>n|4H6qXW;^7%or(IeORAtp^;DHI=DF8gRAzd-CP|)~+ zAr##*X2wVAFp1?+G`!Hs*Wmp5eDbxa3(@mxoA5#$gwB#jq5ed9AUp|CWu~e{R`o^LbySLKVAxtYO9QZ$+jdZmFS4k4ijiB}YOYtrd;E68hfjtnCU1L)z%yrNmm%dkgOiFP|4Q4(tte-Ogh zwC^%_dldjK?6k3(p)tUSV`4T@|I+z^t~MW@7GHv=TQ=F_gqO$NJwMF;a;zAesGA`P z^kZuW>BW}LjwZ^z-C&VBG~9R4Y_b7I1=Cz3z%0f5;eSh|MDv36fkV#?3dSV&;q6uj@CcFNam~y_ zK3jeI+{}ujOdr=Tt5`cj`?K2=O<~Q`N)>X&kfU6afMpohH#?uAUWbj=WRFW51pX_^ z|C5_tJ2bOkSf42OuP#BrfAnhK(~%M7P0+F1uEKwNxj+59RFkDg*rxmJ_3W*?NB>1( zLv?S=i`8j#4?i06r`m~<_$<*t`-OV7cxg=!2XeN@%55+PcCH%QZ)KW@0)Vx>U1&gS zlIt#xifL#V+)joQd9Y{?@(^(ADw!3y* z7jwSxA7uG#^R1<-6?njNTJPXfNml`xq$Y#5Hm;gyUEcWpr#U_)ciHAYyRSzn$(L`7 zWX`G%?x7)k_vCjYQA~btEOh@sE@uaRr$}jt z$Bb%b3a6z;FuGx-kc#{BZvysaB)BFS)UuVnK-k-tHI4F#i0X02_0>-*42UrnsV_fm zd1z5)pu;vn{?JP$qgiVVmwC3#-gId=dDVfD4Ej9>{V<`7hPQ6O!rZ*8tMt89FA)7J z%3{kzn#&i!3BH`CZ@&Fp`(7ahVscsMi||n>wYOO$MJ2tjs_(4#(!qvA;_>UrLx}#8 zD+JN$%yMvMELuuvuVHWgq?2*&f8YPE#zSFyxN}g?Q$}X2=Sq3Okup1wE$44&+vNBv z+*s!AH9!(Da>Wi@xv3P^$RY%SZX0s9I_xUBM(6pD;#k%cTshbefuy1)vsj|tob{EjPX3klppp5FB-2zIfI8w>;6mNBM6XYZw7{n5+c1ls@E!0+0(Vg_` zch!8}ZMb_cFN;HXZ=3%}gP%=#r%j4(`HHPE$&C-eQriOjJrZTWtz#9^A-zs5KcgG` zuY*i`EdvKd4|(%CyLUz?xv@W{RYAh~VJ!-y_gp#C=LGJ;CEzR6jy+87U&bofhj-(v#&p$K>5bLv~ z2LIe8GcZNJbBMn$Mo&$-56@TI6Zy{KhnCP;u}RCo_h_;uHWJU=Btfqb2n$Ps4Pj=| zKJtMOseki@&C5WVc_r#;>>P~gG#i;6s?Rc-q@iR8x=EYV<`>zMcF?Afq}VNhpr53d z-*}i&^_e-$Y(AyhTI|X2^S5#;q{mDg3)e!%(+G6S1DEr{?z^`J+^gxk^Cz1+Qm}~U zm$Za+45^cz3-bWP+jPwGb=z<1v~Nk5KCocEqks8vbjKuz z03Q7DvBihvTAUvSCTGgzxUSXGbW;!#MRz6(si$ClM>C$JXr|FbPpG9|mv^e5Y$A71=UcyZ{os$| z6x`K<9VMV#Q!va|rnqpK^C7z<9;X0Ydn1~Ye&Rjm!9}O;7 z%B{=YPysw*TO1HP90}6ci2rekXxOIZvxU&pl+{g-AxIkvzb?4KY(%J@bFIe`pxJ{x z!gvKo1?T-z$7Y({m+khtDwyFu-4sEkD=%I?Gd~`Q2U)0<8Rk5QPJ4HK@r=jn|FF zR5j{5{}iu&ZK>k-Di@wn|c!-aG6)IfcegS{}Y*etUdNnd+LGIRd6sn|l*CVlwZ6;@xq0t>}kG zXC@q+KQ|-X*BF-@H|JjH=KM;d7hvIMd3Ek7sN+$U4!}Je5S+1>s{H(q*;AXcJZMNH z&1Ftc!=fZNXd{y}oVloXkc%plcJm!0gRup2n=vj9t%p@ke{52+Tol-+zz5Z<5`xdy z_kDUd3-{TwtZlDWUmZ_eZeDs0CP2vZ_(c}dVZ8Pn&%dbyQO3X$5YZ{1^t^M!OIQ$P zPKG-NrGsZovO?D$nK^WR9PxU0g9scM)5-#@cMjDvM;Qhp$iR2qrA=tSn?^Zu59{Zi z7X@suy5^stu2~I&3QHX_n=+%J0*OLL=>cM7XEtkGYBRwDX%03h|HSiDGO46eF;UOL?jL}|6I^3`8a(X}|VwIp}-0;@c-Q0PwTKmzx22NgvQnI_QHqj_$>yaSnJiJ5CRI|?itlr}9_m4{=21N2{w zS3euvIs)Ww_La-bqlFA#06YE#dRz%1y`AJgq2j+&So{mvhwq>}-|nf*T4BJ|{pW3+ zc{G%51IB0UL$X8|+iS9~WheVSWUOP~vW~{sh14q~vJH`4C|Qy%4931>OH{~`BCi=D zOLj5&#;eX(ecyA=GiTGN}^T^=d->vEN(|S zjl}Q{e&Jd+ZoacYmL8syBNJX2bP%R6%VNhd4eRuXe>QC&M@R=U3aH2&70C|gV{8&_ z2Q+fa{7CdO8%?t_K)7jYHOrnMcafXJ;Ia%B(#@O{1Nt6g<;SBf-S1gx96oOLv)-Wa2Rncsn@2RIq0MY!Ml7MCNa1)1C2JXhf{RRP7V{N10Qf+riM zQIxLf6_>l(S+Fr&mTT{HP+I(HzY#5|Y3QLug&@B&L++rU(d>U*yJ_gmIVC59ngoxp zu7g^KgIE>{M`qSP`h9A;@Y>ioRZrcqX+6(+*>JV!Z2Wum8E#jM6e6`}sXRe%sOQsU zd~FPHWR5Oz!NUlMkr5L;D^*?|ANHbnY@)uJNy&L42hAl-{2)L!&>T(lgv(zpFFv+DK{oV6!nbJK=oD9dJMpCXC=rbmxj_m-`et`eHC-PuJ67EdKptMTKQ9#n9=r0$yBry{BjcO8c+9ddW_BiJ%z-Pkhj;JHKqSCcY@++z>+* zR9tMZOWklxWh_%-33+IyF~IYE<;yEYnpOt>qu54UWXj(b;FVn?EpOqrP0f9Vv!H6I z-q4Gt;IZc7-m|*v<=;Mk*|zi)8O6-&W4-X+=DG6Z@GhFWvo$lqVk~_fv~hbJi3;X< z*wIkGU7wi(P7LsSPqoKg2XZO>SipJae-aV>vB<&TK+R3IiD(HpCCQplOzOjKZsiDu zxulxKFjIF5vkfzjub7IFcXfP?|9lmF+(WNCm<`tW{&m7o$Bnz~?QTe`FCE&S ztjtTTMl=U)l5HqIe`Y^>b(tgtCcOrfTby5-dLmGv#s*$>GC{isRZ_E^e3Mq2$)>7VBa(vy5peI+U9`y&3%@_-)3&&L>sAxM=xDOfVil+`B7I7b6 zU~JP|%Oa-dDQ}y0c(MtlfwqHbQ*`cI7T`zY;0HctI{LluHeOVdXdS9=jozJho5gvq zNFL*(^mYc{-`LFRdRDOY29J5UGG01cR}zT5t>OGx_$vP1YgWKA%LYa7OP^KVg!nRH zN4^dk39n?2>xC?3odmzezaA$LZ*rl(x=Q%=@ zhY<>4s)k|N!c{>zma}X6l8NzLV`uU<$t;%)5TBFqtDh8OG)u zJN$6SL`ajL^w`x!<9PbUNtnGS80puJ$R9+V)lj0jc|1kIK~^hPtoxv93@B)2jm4u| zCE(XGd%CXnh|tQnyu{`1)DZM_8_@L=xun*C@&KNqBB@9coYE1gSE&Q_^~Y?Zsz-a} zEQ%a&v2kdl5>niArE+LPDHuCA?p2YNoH!euuwlhiOVFRk@zLpPrPomiEWb46q z+!kM(*-`RARi>}7ye3=7K2LlEybWXe@O0QjB9OK-3mm{Rm>U3L_erI*v6@f5u2F|# z22+BI54Rk_Q4r2^NS7z}p>BjN2a&!{kmTj}w>0(jwR}ty-gvDoXl0*!Xo7OcSn;|p zPWhl=O zVUT-Xy7uDCeHBs+)nbU0gEy7eC1*iyVJm#B4c8KnpT=9s5hQ@Z)au-Ur>nl4j|MPWRak`@@|EmI7EYk1}syLb_7uDW9O zOEI$d$^i{^3SWmUg{xKU2XkGXMrlMwp=s5D?BgvVb5`lESRVXN>UcD()b%feO-OJd9 zt`_q8aesc+y}jK_ddXfQin0k@>o(%YaQN{vUS1)HU`i?o#Tz2)FRZx&TFquMQrc*r z_}Kku^nLsI3%4p-`o*RT9)!m^1NmUSFzd(uR(TD+NMS}DZvH3ZdDnP#s>zbo}8rZrlZ^b#!1* z)mG&`lgB`0j&r$SCgQWe0eDyiHa0KaZj1gPyW)(k0G_=i$)+Y>mnBRkgJd;?Pyna$ z=$c_7qlG%w^b6Q(y0468JZ=vH%Gyh+OVRBUyR z8L>k*G~J@Tyo?p)==_T?uW}w2gRHl5Qx;{F-S3wqmss6;Q&ty1sxTcb7>PmeO3@3y zj=8~sB_T7NhfxV>G=f8Iu*QqVk-fvnfQ}?1R{5)~p2)u5)Zsg&we-{n5|h!9$QCpI zhkN}>e3}{9`vn!s=R7K;0!u|Kp{rGR3wuX#4xa>7X+2#0+m6&$d!e*AdC(xvD3=pX zJO&pozLtMjMe>Y^JZ!+M{r5y>Utew@5R*tJCPMpLA_!M6RFXO}N{#8x`k@GZQjM7w zh1g+w#-y%SQ@D+3#L5_?+gse3YNt+}I^6?v#JH0~y&$ON_EJ-){^5gj=ic58?3P>Oxr;CqOmh z_>)%)DJWWqC#|9^)gGhu3eF7YfA$Z4s3pfKW*gpcfxv!rVPv;?Ft`_uSVi;1Q;Bdp zitS%_4}&-33-n~A74Jpp01tQM%K82E6BZH&d<$3b{n5(ArEBqWx`-vE_SGR4*UFu> zuTpX)I14MjSxTNYckM1%%{xu#+;cN`uAJwN#s)wVCeqWgs}8a3`gDXrJA#-JFBt$p zNlfbGB7OuMN1VKKbs<(?QC`17CkCSIpXm$^r_%OB+AtUel5hz+zRGy7~ttHBI9nOABaR5DFkWCNc$j_{{yT1Q9%Fz literal 0 HcmV?d00001 diff --git a/examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_power.rpt b/out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_power.rpt similarity index 85% rename from examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_power.rpt rename to out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_power.rpt index 662e26a..1a7339b 100644 --- a/examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_power.rpt +++ b/out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_power.rpt @@ -1,16 +1,16 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:27:54 2021 +| Date : Sat Oct 30 02:58:19 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_power -file ./out/edge_artix-7/led_counter/Output/syn/reports/post_synth_power.rpt +| Command : report_power -file ./../out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_power.rpt | Design : top -| Device : xc7a35tftg256-1 +| Device : xc7a100tcsg324-1 | Design State : synthesized | Grade : commercial | Process : typical | Characterization : Production ------------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------------- Power Report @@ -30,14 +30,14 @@ Table of Contents ---------- +--------------------------+--------------+ -| Total On-Chip Power (W) | 0.101 | +| Total On-Chip Power (W) | 0.127 | | Design Power Budget (W) | Unspecified* | | Power Budget Margin (W) | NA | | Dynamic (W) | 0.029 | -| Device Static (W) | 0.072 | -| Effective TJA (C/W) | 4.9 | -| Max Ambient (C) | 84.5 | -| Junction Temperature (C) | 25.5 | +| Device Static (W) | 0.097 | +| Effective TJA (C/W) | 4.6 | +| Max Ambient (C) | 84.4 | +| Junction Temperature (C) | 25.6 | | Confidence Level | Medium | | Setting File | --- | | Simulation Activity File | --- | @@ -54,14 +54,14 @@ Table of Contents +----------------+-----------+----------+-----------+-----------------+ | Clocks | 0.002 | 3 | --- | --- | | Slice Logic | <0.001 | 102 | --- | --- | -| LUT as Logic | <0.001 | 19 | 20800 | 0.09 | -| CARRY4 | <0.001 | 12 | 8150 | 0.15 | -| Register | <0.001 | 66 | 41600 | 0.16 | +| LUT as Logic | <0.001 | 19 | 63400 | 0.03 | +| CARRY4 | <0.001 | 12 | 15850 | 0.08 | +| Register | <0.001 | 66 | 126800 | 0.05 | | Others | 0.000 | 4 | --- | --- | | Signals | <0.001 | 127 | --- | --- | -| I/O | 0.027 | 18 | 170 | 10.59 | -| Static Power | 0.072 | | | | -| Total | 0.101 | | | | +| I/O | 0.027 | 18 | 210 | 8.57 | +| Static Power | 0.097 | | | | +| Total | 0.127 | | | | +----------------+-----------+----------+-----------+-----------------+ @@ -71,9 +71,9 @@ Table of Contents +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Vccint | 1.000 | 0.012 | 0.002 | 0.010 | NA | Unspecified | NA | -| Vccaux | 1.800 | 0.014 | 0.001 | 0.013 | NA | Unspecified | NA | -| Vcco33 | 3.300 | 0.009 | 0.008 | 0.001 | NA | Unspecified | NA | +| Vccint | 1.000 | 0.017 | 0.002 | 0.015 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.019 | 0.001 | 0.018 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.012 | 0.008 | 0.004 | NA | Unspecified | NA | | Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | @@ -111,7 +111,7 @@ Table of Contents +-----------------------+--------------------------+ | Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 4.9 | +| ThetaJA (C/W) | 4.6 | | Airflow (LFM) | 250 | | Heat Sink | medium (Medium Profile) | | ThetaSA (C/W) | 4.6 | diff --git a/examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt b/out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_timing_summary.rpt similarity index 77% rename from examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt rename to out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_timing_summary.rpt index f7edaf5..f440085 100644 --- a/examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt +++ b/out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_timing_summary.rpt @@ -1,13 +1,13 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:27:53 2021 +| Date : Sat Oct 30 02:58:18 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing_summary -file ./out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt +| Command : report_timing_summary -file ./../out/nexys_A7_100T/led_counter/Output/syn/reports/post_synth_timing_summary.rpt | Design : top -| Device : 7a35t-ftg256 +| Device : 7a100t-csg324 | Speed File : -1 PRODUCTION 1.23 2018-06-13 ------------------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------------------- Timing Summary Report @@ -128,7 +128,7 @@ Table of Contents WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - 0.000 0.000 0 114 -1.016 -14.689 17 114 4.500 0.000 0 67 + 0.000 0.000 0 114 -1.058 -15.369 17 114 4.500 0.000 0 67 Timing constraints are not met. @@ -151,7 +151,7 @@ clk {0.000 5.000} 10.000 100.000 Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -clk 0.000 0.000 0 114 -1.016 -14.689 17 114 4.500 0.000 0 67 +clk 0.000 0.000 0 114 -1.058 -15.369 17 114 4.500 0.000 0 67 ------------------------------------------------------------------------------------------------ @@ -183,7 +183,7 @@ From Clock: clk To Clock: clk Setup : 0 Failing Endpoints, Worst Slack 0.000ns, Total Violation 0.000ns -Hold : 17 Failing Endpoints, Worst Slack -1.016ns, Total Violation -14.689ns +Hold : 17 Failing Endpoints, Worst Slack -1.058ns, Total Violation -15.369ns PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- @@ -198,11 +198,11 @@ Slack (MET) : 0.000ns (required time - arrival time) Path Group: clk Path Type: Setup (Max at Slow Process Corner) Requirement: 5.000ns (clk fall@5.000ns - clk rise@0.000ns) - Data Path Delay: 5.612ns (logic 2.277ns (40.574%) route 3.335ns (59.426%)) + Data Path Delay: 5.298ns (logic 2.291ns (43.243%) route 3.007ns (56.757%)) Logic Levels: 8 (CARRY4=5 LUT4=2 LUT6=1) Clock Path Skew: -0.145ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 2.738ns = ( 7.738 - 5.000 ) - Source Clock Delay (SCD): 2.999ns + Destination Clock Delay (DCD): 2.704ns = ( 7.704 - 5.000 ) + Source Clock Delay (SCD): 2.965ns Clock Pessimism Removal (CPR): 0.116ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.071ns @@ -211,63 +211,63 @@ Slack (MET) : 0.000ns (required time - arrival time) Phase Error (PE): 0.000ns Time Borrowing: Nominal pulse width: 5.000ns - Library setup time: 0.043ns - Computed max time borrow: 5.043ns - Time borrowed from endpoint: 0.792ns + Library setup time: 0.051ns + Computed max time borrow: 5.051ns + Time borrowed from endpoint: 0.478ns Open edge uncertainty: -0.035ns - Time given to startpoint: 0.757ns + Time given to startpoint: 0.443ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r - N11 0.000 0.000 r clk (IN) + E3 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O - net (fo=2, unplaced) 0.800 2.319 clk_IBUF - BUFG (Prop_bufg_I_O) 0.096 2.415 r clk_IBUF_BUFG_inst/O - net (fo=50, unplaced) 0.584 2.999 clk_IBUF_BUFG + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.803 2.285 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.381 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.965 clk_IBUF_BUFG FDRE r LED_PIPE_count1_a1_reg[2]/C ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.456 3.455 r LED_PIPE_count1_a1_reg[2]/Q - net (fo=2, unplaced) 0.850 4.305 LED_PIPE_count1_a1[2] + FDRE (Prop_fdre_C_Q) 0.478 3.443 r LED_PIPE_count1_a1_reg[2]/Q + net (fo=2, unplaced) 0.871 4.314 LED_PIPE_count1_a1[2] CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.674 4.979 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] - net (fo=1, unplaced) 0.009 4.988 LED_PIPE_count1_a1_reg[4]_i_1_n_0 + 0.657 4.971 r LED_PIPE_count1_a1_reg[4]_i_1/CO[3] + net (fo=1, unplaced) 0.000 4.971 LED_PIPE_count1_a1_reg[4]_i_1_n_0 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 5.102 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] - net (fo=1, unplaced) 0.000 5.102 LED_PIPE_count1_a1_reg[8]_i_1_n_0 + 0.117 5.088 r LED_PIPE_count1_a1_reg[8]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.088 LED_PIPE_count1_a1_reg[8]_i_1_n_0 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 5.216 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] - net (fo=1, unplaced) 0.000 5.216 LED_PIPE_count1_a1_reg[12]_i_1_n_0 + 0.117 5.205 r LED_PIPE_count1_a1_reg[12]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.205 LED_PIPE_count1_a1_reg[12]_i_1_n_0 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 5.330 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] - net (fo=1, unplaced) 0.000 5.330 LED_PIPE_count1_a1_reg[16]_i_1_n_0 + 0.117 5.322 r LED_PIPE_count1_a1_reg[16]_i_1/CO[3] + net (fo=1, unplaced) 0.000 5.322 LED_PIPE_count1_a1_reg[16]_i_1_n_0 CARRY4 (Prop_carry4_CI_O[2]) - 0.256 5.586 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] - net (fo=2, unplaced) 1.125 6.711 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] - LUT6 (Prop_lut6_I0_O) 0.301 7.012 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O - net (fo=1, unplaced) 0.902 7.914 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 - LUT4 (Prop_lut4_I2_O) 0.124 8.038 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O - net (fo=1, unplaced) 0.449 8.487 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 - LUT4 (Prop_lut4_I0_O) 0.124 8.611 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O - net (fo=1, unplaced) 0.000 8.611 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 + 0.256 5.578 r LED_PIPE_count1_a1_reg[20]_i_1/O[2] + net (fo=2, unplaced) 0.955 6.533 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_1[2] + LUT6 (Prop_lut6_I0_O) 0.301 6.834 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8/O + net (fo=1, unplaced) 0.732 7.566 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8_n_0 + LUT4 (Prop_lut4_I2_O) 0.124 7.690 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3/O + net (fo=1, unplaced) 0.449 8.139 gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3_n_0 + LUT4 (Prop_lut4_I0_O) 0.124 8.263 r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1/O + net (fo=1, unplaced) 0.000 8.263 gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_refresh_a0 LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D ------------------------------------------------------------------- ------------------- (clock clk fall edge) 5.000 5.000 f - N11 0.000 5.000 f clk (IN) + E3 0.000 5.000 f clk (IN) net (fo=0) 0.000 5.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.448 6.448 f clk_IBUF_inst/O - net (fo=2, unplaced) 0.760 7.208 clk_IBUF - BUFG (Prop_bufg_I_O) 0.091 7.299 f clk_IBUF_BUFG_inst/O - net (fo=50, unplaced) 0.439 7.738 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG + E3 IBUF (Prop_ibuf_I_O) 1.411 6.411 f clk_IBUF_inst/O + net (fo=2, unplaced) 0.763 7.174 clk_IBUF + BUFG (Prop_bufg_I_O) 0.091 7.265 f clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.439 7.704 gen_clkF_LED_PIPE_refresh_a1/clk_IBUF_BUFG LDCE r gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/G (IS_INVERTED) - clock pessimism 0.116 7.854 - clock uncertainty -0.035 7.818 - time borrowed 0.792 8.611 + clock pessimism 0.116 7.820 + clock uncertainty -0.035 7.784 + time borrowed 0.478 8.263 ------------------------------------------------------------------- - required time 8.611 - arrival time -8.611 + required time 8.263 + arrival time -8.263 ------------------------------------------------------------------- slack 0.000 @@ -277,7 +277,7 @@ Slack (MET) : 0.000ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (VIOLATED) : -1.016ns (arrival time - required time) +Slack (VIOLATED) : -1.058ns (arrival time - required time) Source: reset (input port clocked by clk {rise@0.000ns fall@5.000ns period=10.000ns}) Destination: LED_PIPE_rst1_a1_reg/D @@ -285,11 +285,11 @@ Slack (VIOLATED) : -1.016ns (arrival time - required time) Path Group: clk Path Type: Hold (Min at Slow Process Corner) Requirement: 0.000ns (clk rise@0.000ns - clk rise@0.000ns) - Data Path Delay: 2.225ns (logic 1.465ns (65.849%) route 0.760ns (34.151%)) + Data Path Delay: 2.170ns (logic 1.407ns (64.848%) route 0.763ns (35.152%)) Logic Levels: 1 (IBUF=1) Input Delay: 0.000ns - Clock Path Skew: 2.999ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 2.999ns + Clock Path Skew: 2.965ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.965ns Source Clock Delay (SCD): 0.000ns Clock Pessimism Removal (CPR): -0.000ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE @@ -302,29 +302,29 @@ Slack (VIOLATED) : -1.016ns (arrival time - required time) ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r input delay 0.000 0.000 - M6 0.000 0.000 r reset (IN) + J15 0.000 0.000 r reset (IN) net (fo=0) 0.000 0.000 reset - M6 IBUF (Prop_ibuf_I_O) 1.465 1.465 r reset_IBUF_inst/O - net (fo=17, unplaced) 0.760 2.225 reset_IBUF + J15 IBUF (Prop_ibuf_I_O) 1.407 1.407 r reset_IBUF_inst/O + net (fo=17, unplaced) 0.763 2.170 reset_IBUF FDRE r LED_PIPE_rst1_a1_reg/D ------------------------------------------------------------------- ------------------- (clock clk rise edge) 0.000 0.000 r - N11 0.000 0.000 r clk (IN) + E3 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 clk - N11 IBUF (Prop_ibuf_I_O) 1.519 1.519 r clk_IBUF_inst/O - net (fo=2, unplaced) 0.800 2.319 clk_IBUF - BUFG (Prop_bufg_I_O) 0.096 2.415 r clk_IBUF_BUFG_inst/O - net (fo=50, unplaced) 0.584 2.999 clk_IBUF_BUFG + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O + net (fo=2, unplaced) 0.803 2.285 clk_IBUF + BUFG (Prop_bufg_I_O) 0.096 2.381 r clk_IBUF_BUFG_inst/O + net (fo=50, unplaced) 0.584 2.965 clk_IBUF_BUFG FDRE r LED_PIPE_rst1_a1_reg/C - clock pessimism 0.000 2.999 - clock uncertainty 0.035 3.034 - FDRE (Hold_fdre_C_D) 0.207 3.241 LED_PIPE_rst1_a1_reg + clock pessimism 0.000 2.965 + clock uncertainty 0.035 3.000 + FDRE (Hold_fdre_C_D) 0.228 3.228 LED_PIPE_rst1_a1_reg ------------------------------------------------------------------- - required time -3.241 - arrival time 2.225 + required time -3.228 + arrival time 2.170 ------------------------------------------------------------------- - slack -1.016 + slack -1.058 @@ -339,8 +339,8 @@ Sources: { clk } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin Min Period n/a BUFG/I n/a 2.155 10.000 7.845 clk_IBUF_BUFG_inst/I -Low Pulse Width Fast FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 LED_PIPE_count1_a1_reg[0]/C +Low Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C +High Pulse Width Slow FDSE/C n/a 0.500 5.000 4.500 LED_PIPE_Leds_a0_reg[0]/C

H>1NmzixG0kpz-&zM7K)n{NHF_z)PH+SPIYviV%E zLYZ?TwtZwxb4Pq7%z$uE@OVYABlMIWKQ@1ZOf<5O+^M@mZu6zhI^*Go8y)V$(VX}M zhN+R?Lq`dDx%|gETJuB?#J|bTH=Tr z+u~yWB{*o0+q031UvWBbpkkYje`;uO>|E(4X_A_M-e9kFYe!o8QqBwYLe*_g$*YQd zk*wf01Pg(t$v7?x$J0~=XTdu~STVu7SN^@o$ zS9%=0t)o;dtrKljVu)PoV%d&ydpZPD_o(;~7oBs~KU6W5P;0&9i6Xgk5!TCn!k$$_C=q~y^V&~Qtq11Hb%g3>eB=8*%YR32`3DSlq{5i{Gi%gh>de8bJ%w;p5=f{Y-a+=YJ2)ry+ zB1mbq-`5u2f=P_Q{8-s^|Jc*T{qFAK=Cl?VfX{W5e*l%YHGLqDr>woY zv|-@%pf2k?Wc<{k>Q%~QF1Z9^!Fh@a4@AG5D6>sD2JAeyM{zuJ=j-cdv zrgm^k-XTlprd3(wc!W=HL{ugz)u8-SMn%9_>4HY>jqp69p+f@hbj#13zH@O8xwC|! z#Pt|z6IKgPX-AHWnONTF2?i$V5eYVu0rQqGkToNU5)963(3uh9xi|wYn>ft(P1@6; z$$rwXca!#H2`?^aZcIE`;EM41`M+b3OY`XjoTFaIEeNn_A#L}E=*P^&2`Q-V7u#;* zTZCVd?C02G7sod)tMJ2Rc-!B_J_A-l}AP;@{5T4SIQ$Y1Wl=ZKRdF) zB+FM3jxlX4)-br=<-oS@scv*%BVhjyzi%69907|7$Exzs*RI=St8XUu>hAT$em5a+ z)bv^^=bxOnyN!Z3IM3lrhX#uRH2|;8J&6A`azzn`S$Skph7cEP4%5ht(nB}a-GcY<8qnO z19)4jF%?*Iu7lLn3Pia_>9MJ`{BFY%L|X9c_Xz4hDsAg4cTtnw#oG!S&i;xdb$b&x^*Q0tXTK9aZx* zZ$mn5g3|h%mh_E!6T2z339#|_EW$#IP6gZ>Rl3@2CnbBW1hvB%xhA3GFuv10o6jF7?(~ArXRaSQn+_Ivv}mkG@81zl3wv!TAq@R zbv)Sl)IWZ(Q@fY^kZ7;MJSW-0Pzr^V8Eb^G2D8(kGC zwseN9nAw~lYsT<)W@UTy&mzNQMX!Ygyeq-C+G5$egOV4PA68}&&Qp1I%4F;gq*}@d zYm<02cug(f-3hX?E`q#Vguj((bQePv<*33b5IykQN@unscBrCQ%~gG7GI&TrqI{G{ zDZiQ18b#w(-4}qL#4pQ@oVrv+RESj9mCm})j{bS}fF)KEXEZMFm1j%CFseT6lI_!Q ze|i@$^Qltt)$iAO?m`g%KM3pVWIwJ0<%S3Zdm1-MS0B_Y|D3gru&k4P#vYnWOMHEdyRS4RFaj}T$)?^W`KGVkGZ`oBgyAoVEMdS zTKRymD&tt>G&%g&`%cYbx-Q(Ng;zk1YW&YVr!SB5tG(PQ2C9?0lQRph)#?Yn+^d3) zo123<3`NQB_W5pcJYE=S2AH{=J>O(J6q76LTSUIceez4D3eEmp23dXz4hn6%~! zi}%EzL_;$=H>tkZnX<^{p3BBQ02wIDJE{wZxicGxm{z{r%pjV}Ko{mMcVrWsJ+V|~ zWfm#Wd31Jl^^(|hC?OSd7fZmVu%S-c8X>wuXSlg^r_0SAtJ^=@xVg{_&Iu_~@m5e5 z$_gpYIv6pY_%^wRjYI>jOSdXUxv^}^cyXFoecHm(x~MpMentU|6fGt9Id5-sJfh`z z)~69!&8Msa&x&9VTI?9#Y#=kT+~E^aXS2wHG@Qa4CqIP+-C+icR?PP$teMhsG_W|j zX0{}OE<(5H4h)k_R-x)m4bx*o8v_0aSot}4v^?r?x-wb!@TLdf*7sqpbYD~=A~0b` zW;Za0a(6XAYDM2&!EU7UO|3YZa}6ZL>5Q2@ay;TrI@I6nh;a|zaBVD-#-t;OnLz{; zj-abr%2KXkA=eyyW{kB3bcTL@TS~wI}K1?T`+`^I2_N6dLjgd7VwUX4j z>POMS&0RyH-BEOCX@0*4&MPLJUVp(~{0Zh7`~CcVLLbF2Y=ydZHV!Tl{v>1s<(Uuj zj^O?|xtR^(c~6?P!tX@q`^pw=i;S_hs9gi`($pRcy_8jV z*YaMmGql%WSrme!vu+8c z0q941SQkeby%N?Tap&B|7i%P~f}-Yp-)>ybGF>*H+iX~(_t$1Iv=^4w!%n|~#TjJV zcZ*MK)ZZ&txm>VWq)rDDspt&(7ny8SpB-0(oNw&qsl11##eMxDt{CF>BIH=BGhpYS zZ|JPq(?vxrKjwTQ+a97BQtXug&UV^#t->WuYO`M+8%ljYqlGP{oPdxLqJxHal3PGPF|>(tySgoBAb1N@!oBk7i#$Lud1}(?vci#Xh(te=1t`X!mBO zc0`#Ti;vttuCvS%ZJw?8dwPjri36A|h`MOhD=s%V{on_6);6)Qb&!T^YZzZYcJzL9 zvEQt?pI=+zTih9|oZc#on24Iacv}bQ{J|NuK_V4d;vNea{mBLI#8TIQI!yW5cT#Qe zinzW5bGoOjiSA`1ayu4r2}w+fBe{vHzno)t=5!`OAcFYaaz^QTEz^^%iGcn7fzNgq z6L1=f!Zvai%&x4QlB@x5XGq22$VP;CZ6(T`zttbY2~~1dzA;1#^{G236Q9gB3@1h| znvGF#hzGwnm^C+_WMLJkpI)LExJ!;tz9rIRSC%9w%(H#WplK}p(6uvkbANMhmJ}Xz zD$Z~(GUdFIt}!;a7;_kzD8O%1K7F|r}0KJ6uzFsQty`eh7IAuQ9bz$BD-c z3WYT$N*kXF5hcwA4&KFBRVY~qtF2RSG_!F;fU+6THBNQddN7l5F_%(jdCr)f)O2 z^A7@ig;fx!R*QYI!7&IV>Fzc*yo{^4I=6bbj;Hy-cYx3J##{^TGGU@fW7}jLzDG^e zd~Ke;&HmT`ffxDFB<)elWRYgMR-+gLZ`jxD zzO7~;_X^{D1!mPx!cBL}-$KI@PA@E4g)MkhYoqohU1GtH8`{L{_pwYmmhf z$g@(3ylC2nF563XSe-6!z2LKDe4(NGW|kT}n9;G2Pb;Kx7)=VMt`6?dmRXefa@LVx zZV##crn#2 ziQPZUJu~LyG&mS`oS7w6){Iv^>?GNu71Ng64@=6Rf@!`mm8w?S+vchg>PR)pczq#k zmtwC;e_t(^CNsxLhK}Y~3=>fowXMS89fX_S);X2pwalq{|G_;!K^m#?Rkz=n`SGVB z%G$B^-$82bcR1K3HLxeg8MZw`aM!P#Cg(C39tmVRvSS(_x5&zy#$!5{eVZ(b*H7}J z2vjH({>iTuacO>WIxtG zk?qfo!S}_Q5yzLbyYK-u{wReSCq?FRO}@{bmfInxBN(iAM4Q-Uu67@>=@}+TwneJv zP@=cP?iuf#>Ler|37&B+R(ZeoNuvmUTd6R-2s?6tlQs2|iMrxu0gG7eJ498~qkS@+ z_CbXGlN8=Cdr4CSEeGTx$;{KZL#V}Mie~SE2=Q_n&D+FH>Z@6YsycLqg6j46k{{`U zhBQ#nHj;Y8QWD(9WeMf61#o$1bWhc}WmF|EI+@IlyVF=44w{R*%L9_(Ts>TE<~TRj zy_DpSc}zg@!IA_TaQozooty)`2~g;K(@pUCuEpL>_I1_v#Hx~~TFd0otZNbYz?96_ zOJ_4j*jQy;CFUXw$h{tW+p2aI$*a_=BNZ1Y4`vg}SJUE+d2*^ObK4;i++gOC;swYE zs^a`b))7onm-_x}as4;bnK2=AUV6-;^4eRPi*;I$<71R_N3J_wo=WzD(`b!Y)sJZo z7wYlST5UQ<)t6{TEmzdHSF-iR3qHJ`Qq13>cVu-2Je;VjsGCLU4ZfTMC9Vw2ECd8O zc+wTad}?#cRIISfwzI8k3T)q`wNU35L)K;~*cNDcki`NgEensYU$z3^Yeggu=TH;eW?lg+GneVS*TQeZbS#TbMcQ?qz~jt}S!dt}a}${z5x2ch{ZQMVSOASO#A zP#xKM25)$(tQwPM;fdGwUBS3$d>B_^kc@XV#Jrx42xi+#5O#)2w#KZObSQVLQ@K%< zV$9fFhgQ~Of3Q%N>i|`FGP*TkvUo%iS2Zt9HnO^MWog<(;KI0&k6S{yaVaxq$Hh$R zVaQ&QTW_9Dp-_X>|I!kUu=K>b1*;IVr`hwX(WA~15{vaMQSCv%)vWHz2TRBM1uKok zdHo&jPVL0FDrZycFdd%lUl7Y*eBiWp_v8T2{rk_f6d_7pla6FjN=sKc$Q&+nUS0tV z1-Japl4D2$X=j%==Gk8M8P;k0@zk+w%xvI>>Dz+lKUeL#H5J2&LkEXgoU`tmbV)d_ zZmztg_14!rM{pVg+k}KmH;T=^F0v?x`}e0k0{L-mG^5t6*|rmuh00xjGmb{GAV0)VJ~Lk${fM*p?X;({ ztXODKWvz{lZh79$6X{FJlaBsUw0)(vq-&r2-jPlKGJG#Q}U&*VOI2TaYj?vqGlS8?~*IV%f)L< zY0b;*cy##(S0bbdU5fzmSg=I&_SkA&yvx#qd#dWQiO!{U(|tj4f+I}VsgtX`h~*9S+Y_sX#sIe7XnK}6HkVDKu4iag$nmpZnyfXPrV8gz z6AqV7Wk`NgYxoN%sX1M-vH#S_`sN{soqMFD8|CF;9l2}}BZpPYaB+F7@#+Y3w!RYX z-XS2$jCe@$h~U1*&C7LF%BwMNwfyQq&EVR}=}IloK*G1>jG|spO{{krvNhH0_qbi2 zv0`wid32jpv1WhwI9Q&6h2z5;+w^08L<%P! zOTyZg2J-+f?jgCgBhO~W=$^r_FD`HU=h3YZ-=xMib@orvGAWAna#;=J?~wC7zWQ^K z)*aV(TCc+^-%D$#I#j09Pcv}8b8te;ncXw6N=Dw^uhz99EWB`oq#iN}9MUIE`F^p8 zwmxOli7}vX>XZ2uo~FQm>q<}95YTw6`B8N&%AB4JCyeE{fn@!m$Cr5B{n82OJ|MHbBtVK`q_K(tr`(i!mX9bFCtw-5<@ zL?m7tu-Kk`w1q))JWvgUvqQGtRrjwBs4~L%nct{ePVZ8@rQh0e^^tu9&dx#oBRjef zctdZ~4jt>y)}HPC-PXg7_3;o7&XCzMYCpPJQU72Ncr@5-Yb;O)p>(7qM_MDO+qQIs zX7)pw#s5dxJAh}_s|%pDZCg{@wr$%}+s4$!)V4ddjj8QUZF}0eU+3RvpMB1`&%Mu+ zyhzr{_a!fqtgK{lKDOOlNZ)}F-hK7EJ^K~(;@Z051jE!mGSDaQM*zXCuxsq++i`Nb z>OD2>jgwh0=cCXJBEaIt-B7;_Jh$_qT5opl>CbOKK~yNVqUPrN+PalXxNT{I7b(_d z_e+&fZk&a1EoU3B^^9LSS6RCr^*g)OqPM7yrILcCZ0^qrG|R_1R#y}}GunrEVM=V( zA9u@%1sM=3;pRBBRX0kZC#tZp)6}vWKb9QYdoe1ArTHVLBLzJ?wZ|>r zRliv_tA3ML7j4;-LB6!12SC2?%SW=O%!I@IP629mle!*!v_VSxAh*K47 z8eO>|-NqdfD`ws(PT5xbxVgVz`D)yo-Ve>xme#PmFP1j6Ul3*DRL~9NMA8QCv=)TM zRS*MBaQEA=%2$4)Uz=?0aDF`;Zmv|)=K7EBRnNNzkAT0gA9rar9KAyLU}BS6Fq!Z3 zi;%`gb;HQjQ*EsRLqQvtBZ3u^VG5nI|Ks5ML|hUK?6!t7(nH&*=m8z`Y-?ImNTX&y z4(5~*bkqi|(Jo$UUAZb@+@H9vmQ6>~v3f8A@-4%InyXyoL}nFd^h9Z8)2$70(%JO# zY%N<8jN=U7KMx`-?kog}C1pB#n{2`2yMW1wiJd&D73*4fhkpGX+lVpWUa%h`@h&{C z1eqi~?~{?eVv_IXPJW}H&mv`ZXFaub!6=`d2_f>h`^ZM`*Ka3gT5yZR%J9_R$Rwe8 z4!a;S7x@&4(9OVS)?V>BYphiS+x7hu*;E0nfl4G3I0vc@Z9ISPN->t*b3B0LQY zq#syLXh!sI*-P(5Rd2z*oMxlMH zB#oY}Y;UhPKV3PAzAj_wFPwsk!}V<|Zg-*ZD3ZO7*s85@rSK4~s;=b7r)W!$3Bi6s zf!NUx0^Vpn7;*jc8jq+DT{dYf#~CDoI=4JZ(B@0lCACTLW}>(-p&L*sNr|XJ0#hK0 zHu#N`L$XL)r$-v)k;gVj^d;Bm@DQC>qfQD9CdR)TyE7h~eK^pk+C#WiZKpH5s} z$HdDU68!uPKm4n^H_K1p$Kf^LM~W3tJl9safbUr#r1->6b&A9krGnyu5o2;lhcdF$ zJZ{!Pf{aypIO$wGzBQn2>Q7c(=pVM-8BOQ{M9 z5YH*=wx)i*F6>%)$W%D}4+>m}qiUwl{2QG5NA)*1ef|E-v`GJO;GWw0m{RseU$j*fZf+6=0J+ z>ET5%akO8Sy&n3zZIP>{{3iJjyE-iF4@Iffx<$V*!%LjA0q`<+IO$RM?-jaJ7mK+M zVMt~p#K-shH4i(_A~PFQMlFdhk5n995T!DSb+&8%I<~M+-PNr+MHT)u zj;eBz4cK@vNmHsF&$Jj6{YAvgc8NRruGXnw`ia!fZke}aP}yaW=F9%E;=_V~*M{`s zFw38fOB<^ei50+?#IDuOpQpj@9CJgGZ^ zLghjbmD;(ERL+}9-T{c5d!ruGLd_P^?%2u~QZpM1mrV|15}f*G z_RC^wtbI^`75`<@N&Fmzl16=kjkmfRR$GWaU#GI6jPD<7+p4Rx5hyiA$^Kx3Gmg?l zXKt9FyAAeX15KNs*hWHnBP$~iwANwz&gVloE6>mzg?e#W?zV{jG^gHx&6B{Rx{31s zLg2ta4t7}_-`kap+L~4X@}#W2V~&?KwSo@IA)ngYD?gIcaojKVjO;;v@H6XSI1sPRY|GxbpTF}0v z!h;ugE6?`=ABkhxZp_tx`3v@H!J1$864ko4mf|%+5jTcym!DUnUYg}ZVxv^X{Sh;F z{6I&I_Ydq8GjqXktU{LYZ<{!}rL;9?hpE&OJ*UwY!xc4H1pl9Khgskbi2j}(bz4Tv zN1c5J&P0=Z=Z9I7*KS_H_(pfSSH02>c~krvkE0bXb+ix_hHAMfPP;p%DdWr)bNz4`Pw>4KD?v5*N|r2OAJ3TS^p1P-+ef*Ff~oU*DKj#$o(Xi%j7vOo9r*1%p6ahcbW8GPoCl z?b(3R>#?^%@>tju4qBY;Eu`&nIWsRbE}td?GxcHzVtkld(#Zt+Qdv+S>jVEr{KF5c z_+_yu+f7gX1rea6fqPE#gDO$on<}AGE&1YP!#rU#dV-YgXX#RRw+cu|#DL4rZ>G}) zYqM>-&T-bK?P9_~@wPN7)j8Iydc2l2gU&ar6Tc3AJ92kTh8^m;kBGb)@7sQ{&;e6~ z6glj%>)DyOi-;=cFF9mtj`+g$dtU_}dYP#?viz$Ez7rnBj<$8O2@f!(L>=QU zK}tHNWq7;!ogdHetP}6tyH>#Sp|XU`ckx;wlgy^-#El3Ua-+7sDTT!>xtWwFyw#8J zrO5OSIJ+SKAnk@K9NitZesFzK-8`nU;{1BXrieXJRV?j6S6-MnYhD^Sx?g>SF-B_XdNtt*$-JML80ipe->3(hB0#r z2PFvBg{oypHBUq_5J3o>8*DM2afY}|FgyhMz~@(cgXD` zvFeIVQUo!kcv|vsh;AOruJ$ZBR$nM=q0G3MFnt7l^`um5I*bo+@-|Dzt#_CrJQIip z5PB;}SueUEcny&pg04_&FM0^!9i}nGrh( z^@3!2OaM$a*`&B&s65JH3skibW{M@|bA&@+9MmTgYDKCcm)QX{2B2L4h;0FdGZIdm z37r#AT`9pAc@oq)@zB7e0GKR5OOWLSNs`DRwAVW1r;)vg(BCH}yM^c;lYhB^YMYT! zK1FuwPOD-$m`oOYY1C%?fPupD2M3E5Cgeapp2jB zp-%pmi=+B~tCeUr_bobOcWuCpFSu+KDL|c`yFrGZ07)2wvNyp#76~PVXKw8>K}(U`ksYzNkU0 zh~y<1C+!s_aE#*nh2cF&_ZXpbj%?-N0JaeVBJFeMD@L`g5pw%q2pr_K3n%)D#_%7b zNY@nmr$T>|0N*G(!BxyW<%v@4ZiG4jpcspnU6Ha;a=Ut&4db-dA$rFsU69=Ycj!ni z;=K+qECbXi+H7Z3s_nSmXFssvCsM|4!oZt%C|TO?+r`B~-MG*P9SMp6w5XVKB`*A8 zN0tmgL+zGO$KJEd_auznn1MH4Q2!+h9x$wJ;@)RzpuN9TQE@lGH}C-35C=nw_lQC( z=0*=t9kr0#e~@*=t_0qvp*Q|lZKVFAHf#~uzaU?xK!)8htSbH`l?AROpMOi`3?OcD zKv}|o3Kn#V8prBvQVWc=K_}aLGIk&IJu87k6O#81qCAIT*82{?E?QREd*u5Jz#ic0 zQ*B=hzl32@FNul3Y+sJf`EI=|C9iTJfd4;4pdv5QZ`E3Z!7d45O{Xr2`Z9?c)r7%3b6c@;m}PVa_dT*Tnn4;qX)H! zWU?Obx3tp^u{<~0*++-7(a=|oqbou~r}P_P{$K#0tnOI(zY$22w~a#nWtAY5!02V5 zpY{ntnLq*gk12!0jE(SjuH*0&MZ)?N)r(}dx(3^fp>Rs^KOrjtEZX{*%mLu!{%zVI zz7eZ9PGI^ZUlBS*TYF_fU`3=*$oD}w0KE_D?k7@=asYf|1hZYrWka}ZMve^fhF~e3 z78)}hL3Kws4+Ikgy%nh}3`-~r;4R}>X|IWPK@d@DimQ5qLtuF&TLH+1suLmYkd-27&Vu$t!Bm_9CKnEc* zWcmoQ{$^8XJYYE}JR$WFV`>L5#X!;k7(8Ir9jH7I2_W=i6JC1sO$?8qCa!C+-5>{I z-5H5c*#1z5{O^OFk^cdqjiq)dP|S6$eZ2cJe($@>fDGsM9&!MX!R+W&ifI3f9L_d% zB-Qrah`Th&_>)h*&orR{RV0-FSZr+)*!Xqvv4iY}MwntZbI5H9KzwkY3NjAx^na6J zhCvmD(Bdf|l>}RfV4yHXfp>qq=Fmox7TNA`Q1w^|zrY}mYZNLfiJLR82##nnXlNc56a zA+RVgyExibk-s2o5l%9;Q$lV#5*7V4P{yyMg#kT9Np_wvCG-*DCv23raylmaf(_j! zNX&J2V~0yBISTH_I2<2uGqli)?1U9r27pALF!M#>_#Y{7f|Lp3GZ(_O|M)8g5CxqKKDdUznqfZDSv!{a<1*rdE{RGt~J7kSMQW;9ejM9-^v9bnlHIcq}A!gkWA! zJfQ3QE#KOE6=GA)dCjwnLv0m_(wglMxDJi^7acf<12jsC-xCX<-TS0-0OoHW1}+am z{i*2ex8FH(P)0EGh3T&oZq&-+VU6dXnN>%@<(az?(47L4pq3Q@J)zS$SIMV60d5u~ z{}m6<_1~R;Xg=8(veTytv_}55gkyl6$c4ae{0C}CpW3HHv;*E#D88M+VEnkpk);h3 z=z`c&sO@{6w_*GqZ&gNlt*AnyR+{gJ>xT#tXSD4>J&esQlfsk0gLm z+eBGArjiN+A_3h|{!f;_#H93X6jyh4;(-V~6lQ2wY4cR{>iF;{e2d$;=8T;@>78Cx9aoFSEn z30b4dh^Y4q!~Wg$Fn`+-Fp&612v$ycvA@@%-M`cJg832Y?m+f~zw5W=ZNn}nk~@Iy zf=CG7;m{%ew=Dy-s25of90s|AO%#q7VB*kohm5*|S|JWbtr3k-f1T2&Z>s*cMB1fV zQ|F#Z1V8nNSTPVb5JndYphrZh0Wih@Z3q?wCm@rvE2sX)H_-#oUp?ZCcL)T9#{7#8 z5ZOgPeUkcLpG5Q5C#C!yL`egNf1|bQ9@5F_7yx(l>6JuLb^${oU76HgIo6Au)hAdJ!v&fWaf~0lZ8a*nCH$fQ%jza#LIy4=ddNyrwc5T7z^%(Ep$C>kTM< zzh2z(z~0l#uFDGkQ|SQf$n^8W?ViKBf!_`#|D8fRS;=+}zdo_MVs{}Wt=qP~{m7er zBhXdZhiA>U2qyhnN14GDT+i4*+3$PwU|d99@11ebG=kP^z+q|Q_K-{~o`zZ~<2#qP zeth@_(;cAeUURK+&T_tA=L3u?ACm;El+xN>#>rr<&|3TtzldGB3oRuFRDA(%^PNys znGjSVonoUCmO~F?Yo^L{O78#2ooT2^o2>xX_q@c0KFCQ>yu=Q2nnsHE4hz^|0o%_Z zCVGMcZnJGPV3+ABfP5j7(Vd!fb03ueu^5r4&B(J_mqadn1M3I zCDDNnY6HOzXG{6h3@BC(3YxUXTbn-e;^9Eofc~CbLG>HRF|mzr+iaQ$sS}%20k)pI z%jWz;Rt=_0R~4cA`X9hnd#5Y@-XpXvb(OM(CH*#F2}J@uu>Dyv!T%RTE_D^iOIFq2 zXSM+MRISQ^NHpPK`2`MgPz8J&R$kY0jfT+e05O@}5 zp}HZHH8@70dY+C2liDX?7-@ul3@yMQUfuhvM2)2j<3T^lt5yuQMZQ+7Yq?;{M(L`# z>`8mUTj8n`!&N_uyH+gQg7i5ZybD7uN)cSwi(F%C{$If^8$jmp{}a-3_&sFH=a;JJO z19>Wqrslv^v+$XQ92)~8qeP9)l4W#a?i(FbPILW-03)T85$3^?09O(b@YDy{_56WW z*?VFUR1cHoM}hUf$rL||l^C7xUMVm#aVV*9W#O&Js`++cUEHqM1VUydHz(8{ad|LX zq-8-*P!&6TL7(h034W)<%+~~+(q)ot)mQ-k{{aoPrlkxI9KT>IRNfMdCPip*;%9)* zCm=7YMB%DC%7fleosmHsfIl<$VgmCcUi0^M0OiWH7HUn?spo*6WI2QJLC$d29TW51fjDjz<~#wJ}{ z>0~jU5_A&-*5m5BEGX?HJ$6l-vPsCfjWx7VLeJ*g zT@6M#ZjRuS6ui{!ZFL6Tn*xvK!DRd|Cgraou_XjarO_2TZ)c2D-Iw;^0uR$LF4HiR{UFQBxup|l{C=YJ zNCG67=`TO=eHGX=9(r`Iuiy4=a2kzQ*F#_J%o`ogEGF*|))i|e``rmeGVb>L`0yY+ zN4~D+kG?ItJ6eKP(>68D02Ur#A23d7Su6D#BmDS%x_D!2gU>!VFA28~bEy~oD^#D# zm4kK3VUm@f&m6t^av+>#a>;;rOG$ldJwx}Kf=J4+dh%zl!0zXyLHiHZYWTV}yXU+nxC5!qEN{|+rOrH0R)o3E)UdBNE6`8-0}q^r zh66j}gyiU~rCCTFLJP;#D85ZdG7I=5I-siEo|fryR&W~V47lGaz%`P>T5xqQ8DVW7 zN&u*(WqG}2uh29XoaQb#D22ApkX(m$rQlKFzOb)HM&HESK2r33&!uY%!63G$r#SY= z4Eg;eQ1O5Q^4-xNdw36n&-7dSg!+9iU+h)*#K_*;S!5Dli{hUQ;Y|yepH#ICp=3Fp zd(MBj_hm*lXRhN0-V;DP3paMpE*yF&;Wbh#CN^UY=vxSDMflxoNTt{h-vgM6f5=m8 zA#x2=6;2)lr^#jzd#Z%*=)PX;eSBoDC7#ldZ`qB!FN>raDP0?dIdtgmm@k@&9MBGt z2Q@U**FV9wvtht{fRCJhqm%x^P)g11Jbo}vEe02g(6MgUiaty=m2|V&GElR{Gd>F= z^gaH2uOF9V0gcSvy!3NmrE~Qn|sb|)9 ztDbt$4#Dx=?SNIoefsU>cJv0^LMzOF8?nQFWZo{~g|PIEkpwNc_sMznP2v8&BCVh> z8Om6CX$bHNzUHO6S6-PkyrlVz~x10+o7vlV|`}B?Hg~vIICUIxT$?mvI%0w^*co)&ZHQEJiJ*B zmyj7dw~*Nsw-7F@+H1C{x|Z5-6;?z(99OZea{>Xg>fsowsZJzWLjEs_;M1)ugZgfb zeaKYv6*KsH!yyLPk~102n~5OwE;%f>dAOxTU&xiOfA)U#7;dnH*6-WmsNi)N4%Ne| z&#eY|K3Iv7$ZLr{WybAARSAzdTTG&YSn}^=saER4# z99iBxtW@LTOTT}X%3(F03E5RRB!slpz{#_p&%)2jalLKGz_WT8$MBH@HHF;tnlxba zCoh4X4|U3YbRGD?zQPe;PD?Kw56X3ck}rF=ZTargQJs7Yx7xLh>SEUZ)|e}NG}n(+ zy*Al&YTzZ=`Cu{|)H;gjnm_WW>uf933|E6HdZ$2L(WG|VP|#BQZ@xq(;9>$cO(5#O zUiXI|@o&6291W1;t2w>>?8C-}92P=FeAI~?pddJJL4lO#U9l%e$o8n)md*mbHSD?J zG}!O^Aa%4G3w}S`;Z25os}S}(>+8mjKkx!vv)}%C7j`XZ;c`lI(|Wf^Y7%5ZyKY8( zMTcI~q^8ZkqFR)m%RxbRCobj#dL-3uUpEP|FJNg86R*J0P*f1{aT6Rm2J-E4?xk8( z{GiW|CZW``ZX3_E^t14>X2`c{(Qg-h#VI|63WP^SVcx@M_6G@Ux?|NlbJF0L*;K8T zihRuRMWg@X%Oo&{D&~OCTN-XP!2$Q$kLs0%wa{y~YsI|ERdovW2~7k``&2`0DaKI1 zJnHDjsKPupYsUluXswvKPe@J*qb`#f*AmB2yFKv|RqwuG-?9E-!G%|F!z=+Di@peo z5O-v2P&bkG%A~3IdTUY}7P-FbJ1kgA-KIi5F!1z*FX9oi*i<%|PEppVXiwbi7Ei>| zUTzjN5|1`6v#PZmK-RrcU#>}Wnvj<$k0JYo)x^w6vyM3ujGfBWzeAVuhQH3oy%qsz z(e26G6x&Bl!C{v9dC3yn$EFDma1@{N;pTwjnGMcqYK_-cY7K{K z&cU8dB4U?@UXo7)_-W?8h-rB(azHX~6=7=HIH{T1@;xtEIUrq3yP~GNOHy=^Z7h62 z1#q<_JAl-vPT1l8=|7Pv)3-ElbMCY)YvA_Uu$<=X+cuLXiNrGZ$2bC|F&&7>0m>n;SwK=YLVEkVFQLns2! z^hLnxU$P`YX}@fI0z4^D(`Pnpj5}gixtxe))CD#!1br99WnF;`6S>&7lAH!Wpm2If%x69%>sAAqa86Nc7mSJu z5b)FHiMsMg5%OIiEiJrd-m@OWHw*K;XFI=fd$`|}5V3GRCOb5s23VYRc*Q1%C_E5b zSRUEzT_d%a)$k2Wl2Y#yMQhsWd3M?b1bKUM@^pJ93o6AwvwTEtMII6~H zt_wkqaUIHKmG{2Zne7g%ZyqU%*AEVt?zPKSh1B`W%j+hsi2Lt*-%yQK;K!XWRQLC| zW&0d0)VBBh2+6M%AI_mKJ`b{h#iR@C$f?=(8?T>pYBy7q-I{)N^Mpfny$o4AYe+%p zfaxTZ7s)>1u}eZgNhhs?T~_1$_7!i2ZdKtf>+J2x2uA?h)pjb)D9r!WFR?lNrtEMB zo6|KruJPP1{QZXb=#u`xwu7Ful1iG?ekMYk@rW`ccop~XT@^9>7suo~^zQB+{GGor zCs2h4|a%56_k`sq^M-G!qke-saw zG%U+UB&-e+tm;w@j@lZ>otK~*o3htS{FsJjVkUhoo4Oxf>?B?#3L*ShTJ3n=wWqlA zVaYi9KE}JvdFQh?c^AVn-GUA(4`KFe3U?%%BbLWrTy40W;&PONi+ICw)onQ@!ud3qfmt12oAw~fqE`aD5`iPtNniei7n3iP>0WqvW(4k# z7xO)({xt6(gt!EIG6CE1r(vv5#MfxzcI-(j$X`e%i@*zW2&H&l0wxhBp0CUvYwvsT zC!S;W^OWNIs)Dr!vpq3+DoxI_iFua}cpuT7R~{eQ2$iW`M^lYE7Z8Jmw3_!iy#?Dr z=mLA^56EbW)Zz&P*nm9t4V2qHETw zMNbGa&vOy_(UyoLqK7ZjnPrsepOtHQ&M#@{R$#^(&sDQcs7$kD*lcQSoz`u1t`0Au zrfp?sy!kn?#aqOe&btUZ5itl%J&jykzvgt;`}X*3TuT)?#XBEYJmo%_UVIg`B{9ZF zwr2e)qatrmQ__pBJ%wW0^!t9AGjej9_Vv-3*MXOv3mKX&LAWm)u`=PiIwtk*;X}fH z`xp|B;Wz^%BkFPqf*6fB{x|jLGo|33T|UcKVI>%T9mxGFz@yHSKynn-JWPX^H!JA- zoT+V7nLNy#7an`)d%)+tdFTW6qwYsU0rO5|e_HD1QEzVl0BS(mbD=u4%h|p5VD5r6 zU@H6}s{z8n{*k8S8-#(Ot!-&We3kJk(u*ysT9>!nI%T7K#0O;qg>jFEM1z8HKN$-6 zl&jZag*rP8pxoOFZn(J1)Q?HQT8WwH-?1CTDro0bohW46d1!gRrD z$=`DQU|w{;uJ9;kY?K}@2GNEzwha^{9^MO=2dZDy2bS+fcqk2RkOx8A+<>F-&@K7l zj{PL-cGO5%c8~Uakv`6}{Cmd61xk_a*kT+ACijfO7A>-CuHxd>Uv3X3!0jz6lsweL zjW$vKRGzlns<~Dc!PAhIk(7I$6lAH80lW(Dl_VA7Z|DPE&6(d!L->0Gne%iferz&ePsonEt>DlxH`z}vL`|%tVD<`RH zrxJ)y90-b9(&cu76AnLATDH)p$N5~ zV!P;?=4S&x-91kX7So7IRUIQMel#pNZg=HSUYNB&gkjHma+|PhB*#LpSA3&XHM|Ld z*+`Y^Yq~iq<{x6RPR`alG$+4Z7L(bpRqC<5iGh|IJEJ98n3!jql^EnDq1M2qxEGe7RYLL$aMYHagE zK_fVd2+w*XcSm(E{Ex_Y@S}Sa56cyH8`V10Qpd|94KwM-&e;uU|1FK;&x5!73&2C9T3f! z)Z|w2iJ}H^UnLIu_H1tTm{Sf6Sl#ygAemA%he&!D`g+Mea;GmIYJ`7$X8JB6@eO=u zZWjOl(CCettK98L@C72*%4K=z;KI>5p-cCB-_bg-L(A;{hLFpEl)9qD5_<^Exf;p# z9=$t`e&@3roiGWHoOXI~$>kx*6R#3K>l@8m%(ji=iRNzIlZoPP-Q$V2?(+vTZ21Fa zsb-#cabZlG^CXOKH7tr@SihToo_MYM9+3yRNa}e!d@77Vd^18eiGu{dz4%XPk_doG zJ->8!5^1Sd?9CpOZQ#!o$k0}PIQa}HgG=HAotP=S%;oy6-t{qfgUqDNOynTGHBb^^ zn5CD?EqlBxc`5qIcGK@UL4a&|?#=V~DI;kqTE})=@iVV?J z7)bNwXt;ViNI!=Wp3qshW!FAmYmBi%=J~a$s;PI1_YIAp>GCS~1?Fv%4FdJNcXn@G zG3cqU%jG_+=TSx2@jSAZ$E(Hg1KOYM=1PLQjq^>^sb z0o88v4jhXtv-WD3D72RV6Kv(xd;#to<)^szI3uywOtq5F{ApXNAgr(O-b5auh5RFz zwBlc{ZKac3kZHNL7Z_#-KOnHXGhSiKvc4 z#@zYamF@7xfa)vkNhAIibm5mBf;2s*Gf)U$U)dH4w0?y)7P_y%SP} zhRFG{tR{+Mp#8*6X_os+-T~R=^E)psj`05WCRm@}Z)Rcy(d*?|h=EYx_+amUXP&hn zdW~HRF<|o_ADlsUnLg*G!4cjk?gr~K`^?CM{X3I<;6E}&^qEzc$#VThu7$3!05?8C zcIp4lTaF^U_X7MRgWt^A5TaMtvrsLj!12Sc&&>GcD8THX)S%mcX5t0W%kvL0!;^DB ziNx_0DDUwbFyiZ0UvRg;b>0;!fCWc4dBkPg8Gg~ z1>12-HDEbIdJ2=7tNOMvG^=)vAR7=X_vp%{PmpQvNszn-wJdjbsb6@nG*(6){qDF4 z%`Flh-W#%$C&Y0_?si5+8;Y(7quPTt1Sptl72ti^Hr&ErTl6YOLrLHLs*7rSjiX^y zw?%SuGWqw*`Qi1Yii9;nD`(Vp%~afc93JLb(iqHOYVD)YP=1fEFg>R*fsqtn7URN$ z9as|Wlzc3lDW2@P3}F@@l&)bMM1+xts}>5;YwtIR)oAaxi~Y!CHl<_I%6_O|o%dRb zUtk?gHOHX)NvoZy)x@gOrI0!rr?Yxq^DE>A?&Q@hiVHKQ{e$HAwcPIV75bo_F5emR z0GS~lZwSK=Evf%}ALKTkk_gfBFPgpq*YzNZ^XO{n%Njz|E|xb_z{l5x4tojH^<2`_ z`z>2It{g|bRz}oCh(X{;a8%@8cdN2-BS>%!ZYl;nN{t?$!eGcW-|>5{WkWAVU(mO~ z1#BXix{kLDFu*uTDkjzRsRD`OP!P1xNj;#l!~KsBHXuvP9lK?TzWJiG2z!L}TIpkST+q*Hg(9l+*VRML~MpR_8Zs3fA>eYQTyuG#v^^ z!yat?D)|0u%90rHuf>v(@3o6Q^J>L3ThwY6qVj5JQs(X2ER#F$g>Dm1A9F_m%Zl>) zDC1**m9X@y_kA%K=UWmYN(K<8TELoVXaKJt%qVGfz@5~bUd~=@&&MTO-Xy2mNd_V& z9TjI8S-k1)fDEBqGcvEk-oOw6_AugU%DZvYySFJQT-0YSO0p-l5LhQdJVw21^hB{%SDGcr7?X7_+}tptx~ z&P4HPrb3mfN{(f*J8UaZjYNjr+LY*BXIg1=*%*TAI=;clBM%01ZJDMwUeos!6_r5y z+GGOFeW!@#v|ygw-e6o_oM480U%%dTnKRsZd}0w-hqs09%_{<~0S!)oIDF8iBU^zV z@S;T%Fv1ew>$jeb1;H4l@Y;bk&aZ4#+u zG}Xq9uF|DMgMEFeL3F#l(cY@~-$6rrb^FFhFiQyAfU6v1?dho{?(O+eCZ+b`igU4% zC%QHzBstT_x+2S&S(WR^lxmSNRnIC@syR@J&6e$=6xJ_i)>UGePjC7J@MuS-{cwS? z8jt=InX8MCyHU|1e@ocfMIl~=kJ4ho&?|j^PyP9g{!VbjTBW?7^t-4$)?%$&5_J|N z^L`UduU8HP;MfH4WH<-QvhIXjV1nZM`{GZiUn+wI7&HY{Lue|~E?5VUe?=sw6@K7X zfRJTr&Bw1SFhQ0r8nUi{XwAPihp#I-M#nLfs+=!^UYT>vNLwV7$M3;5ZC2|^S9OPO z-ih#j<~T=ub7JN|U)8g-cE^}`xPJ|Mdfu>bc`(+ae&_5aq$iATW#wTaJQ}!K9=Mb; zQGW>g1KhLix9(`h{D;3MC$X~vnl#iPrQGco3fGTz?G#>BfqvVF%_7Rp$vZP7*q)i_ zp^#R(Q7xSFsxddYANCD*6gvFV2lWScs({_m4K_|WX9pfVSz`V%9Y5$Wq-2A?T0>j? zg^{ws2fu6ddl z(-K$fvGQVK*|UYtRtlMOxs3-W|A>Kb_emVxGpWwbd{JA6&Q2xg&-k;3f1Uku-!&xD zMypSD4{h~Ug(XJCbY7vYap|XSy~vluVl^_EO37691lnqn?{iMI%4AQ}VotRcW8~ju zH&;oP>ENq%aI{-ko9wJCH|J*Bi}PJ&L?%)x4b_+_zAyd&)Bcg!VEe^rRe8Ii_}Og2 zG%B^e-U};<4nE^f4WOz}7VS7p6^k=pW&GDu4_oLWe^mdl)zM!0ao_UwP_i*~_Qy{$ z%O&&gU*@W+-_1$5Y~tw8DAwl|O3bodCxFh>o6l0u?6qIFn>rolPs_fiM*XUUFpEzK zO(}_>>)B{pXs{|boA)~WQHsX`06)Qc(JE-%X%TF7+&j1m zzTxxz2C)lLW{uHb%g|<;c@50$Lh|Lsq3RggI+iB+)yOvHNYxZX+H!*9=1r|ca-#bbhWF&JIhpOXUb0Nxh}CFNF)DcCwe)uJO*)}cC3f(j3#Nw#PaZJGzVdEs^v4&M+$jeL#05NsL>tXp7?T`19^j9H$c-W-Wnv91iJBhnl; zl!2syEd|%%!2-7*m2red8X^~zN6k`R02AB*>nno}QbGkQq<}KdB>G`83T06R6afeo z2ITN+=G%gC?MPm4~ zk04pe8-rDQ&%3s!#W+uGV)1$ZRDf({bT*(6avqi63&Czn;%X^SwS@_S+?Oy#jVOUG zs1ifWMc+9qQiwNCm1g0-%6YSw{N#onDY&ssgNK+asKkrT^K7xzY*Zh}2Q<32uCM+e*xzXLnZjHBV>dLCPD>3Q#{1s3tWP zfk4Y4N~3=!TYsNO+a4I&K(2G9yMgsJ6^lB@GB=Y#72)T%+z3f?EheD@SOIF$5> zWFj*C{%Ws>N1jA&)(JEv0Sqv<0Y5e@l=*94}lZPb8v8A|(>yeXu?Do>{C&a3$A) zqxoRI-mq;K<1gfE+|LlW-F9Fsaea2Z6@d#Otq=mv~@NZ#)<-#izU zV8P}IxZUJ1Vlxe=F`!KQ+tyttEs3RZw-Y$u4_7ouDx7m!iqZo|;Lb^S`2-nRRMV%D z84E9UE>zpVJwS+ybEBcSI&2ERn@r%As%^qOQCkdxx)^{L;A2D}Bo`jTS=sRP$L&TC zU&w-3iNF+9Q^ecl39e~?7ce5Sp$)|qk5Vc|=%UAC=o6hn2aLi3b1m{L<>vz-ah0sZ zA)MU!M0+6LT1;Rte3-Am@(JEI1{_-e*H3F>hrDN7 z^a-wPgJw5MP^7R7BIKe?#TVL_dbvF(xjv&Ju3y`!zx*uADlHMzJm6&m7w(Rwk_8n? zo9snu6CiT(7u^2{SV0R)c!L$cWWZ|cG2H(MnhlX*Ogg0u#+od89V6Rdm2hU&2Pq%| ziwHs@f)NhLI*md1a6uc@?2C>isi+sm0fsZLiXR3F&LA>9!|e#@liV|*Ec>3|4J=Z0 zvw?`kY_x5;aRPH$X3UB(8sb~%i0rCvA=p-!Axv?o;|hCN{yj^d(aEbX8rm)v+HM%k z!Hbd|tCWM3foSg@<kX82d$ZAku6FsVUJ04~z{NZBh z!*|AmDV?<5iAZqIC1gB({BmG>|l9UPuYp$1s40E-fPZy+#YaU~ndGhKj_(8Vz;PYsM# z4$A?n5Q?c;h%`960pF?zwk5TnwaQqL-7qR|c{E6*@!asXO;}h?R_nXkEQ;L)C_rHw z^P-tDo)!cpYy%eVlj^L(NlofPQrecVlzBrv+%x;=zGSaS`=ZwYsvmR4dA z`GPaA^sS)5#6GfbC{E%;s=ReJa8xj0)M#WkGfL@+5YnF*Hee;Q3A02t z;6Aii4F@tShIvC0L->hEZeLUu-gelWYruH)OYwSeMOh)&2^xjod9ai(TzwLm3gaL` z+PSo~Tk?>dbEBOziwO?Vc+Jd>v?#6&*B(O$C}LGPtWKIm>8_kF(T4GstE8(9UBvQS zE93By_G2fw&9{@sJoX0SAR;a8FnRdhQNl0!^1uy96hQfCt0c95SWHp)S)7}0yrKn4 zR?+Sh1;8gd6?z}M^){8_lD=6Rfiuqwp?08%KcYtuJNI?Ij)saFj)cnACt<>2Ld?FV zzD1MBmEk{Km)C3&@m^=RNJpO-9ZYrl^h@I@j3+*+SRWRPZcVLvprz$ViQ~lm%3O=q zDE6}>BL;<4=f8F56}aBseLQe=e|E*W9+K(tB!0eqXnk{i@!0Usz7{H?*yh`{`096^ z$KK=FUz>Y9z5l)CMJVH|-%E&p!S?0qUGA~}^|{~XPVS7}_sjMBPC16P`v$q%wflBC z`?dQ=v&%=Q`;2@G_)v8PnPkKR337-dZ}qW5&;N(3caE;4`ND-`XJXs7?GxL!C&|RN zZR5nogcI9#CYji_dGr3(_s4hdTDy1euBzT=Rac)=-KX}mABx7ZRDOr4TkFW71=PDT ziq|rVJUX*SHIlm{-ZLR(v$v+vceI8SqJG%ulq;1miE*Tz5DCngXnG))_IIGfdd=IB z#NkYHhez$kkn;s&o~`?hUPtuNnm+%^{jd8Y748nTPe7A_tt~1}q+h3hqH-?*K7H zORqa;E!VL!C+tR7*uC7{BD0{7KE`cL*cTgQR>{cNShiLOH54imrhvH3$*KVEu-tWyv=DjTJg90 zv@V!VK<^5)_&|OeSnS`1@3)c8_ia2ZnEtD@r-KIq9A=;8en#8#2?=8SD+Ka)Tx>i$ z7GiGLbNLOHiR`T~>$r4S?u6&)xaitGW7J@7EU$IeaJ(O@N88&X8k&EfUFiL7);Rnp zh{`^)@HV%mC>f*c;aXpm6Kd_kUAvEGh;wOGvlJRLaMeJJzx;H>vgS2l)@?P~qL}qr z6lNsV+9scJO4{|d7{c>!#Ua(koU+xxwC{$2ID5&ciWp%pXUV9}?`y@3BuB#4gw48w z46{OX%p**MN`b(Y)aY7AwJGnwztFDYViBt z;-UGr?X`7wqp~p6FccCVC!NPK*ebN$bpz7cwmDH#--Mu5uzx8?q%$HRk7Xmse3e_^ zMKYMw)fy!EatoYPwH-!+)<0TeaM7v&a<3Y&q>^SherFA{!YQx}LUwo~uQ|qRx#Wz^ zCV2h@@>0zUuo?Eq6a9`}HVNZ*TTCfWi>ls64I?FMERSV4gz)cbFHERC3(%N@Z}T0L zOs^3H>d-CFGD}XiTqE$%URMXw$Dp{&KO>6$X-p;Y&^{a8`f9aAC(9D5SnBRPD8(wz zUn+^8VU9mDf&HyX{4ONqyQm=nbxM^&MbyG<3Uz8@u{d54{UyW~=q>Hd#erdcSz1c^ zU8+{xmYo&Fe}#L|b-GVIV{U!5Q%3r|15!yz2$D=VU$iJn6_d^|YzWqnNUT{3sw5u8 ztqS@lMe%FuzqQKI-s-tt6!BGzIxkHDt7`pg+aD84)%M#?1z84-GH{WOvPiKfOdH-0 zlf=eD7)Y~cQdaeZHG0OZrO7c_wEf1%l9revc#9`>$Qpot2=hrbI*EaUW@m{R9ij2- zoUQV~x?HX5!MdES62aMAt!Xm4Q6e&!NlS|NJZ2NARlsBC(L*-f%_tgaxt6ua6D28) z9{$UO8p5DRJ-HV45NWgjH0;lB&F)|gqk5Hau8Z5>Bt)r;d-^TrSTcJ{4Qy%Vo*aLw zDNDN0ySom}*{Dj*>8MNpg_muuNj}yG&mWpsl=e-iC@SPKef1G@J_~JvgITuph-*~ltbpQQE znurxfM+lN0qSQx3n2T4F7K;CkYV~`I1o*9Yb#(XS#l58q63NZ$KVcb2!hd7n+i4&x z@|xZrz4$i$(Xb*JNElHUc1c+nzIV}D`S2 zvPE4YMe}7oqTlN{!hzY#jiO&*7*Qmj-*_AB6SjR4jEF=*TV$0$?AL6lWR~$L%M2#v;BqONj*UzZ! zWuIv_3F-EgZHf)sYx7+_ST|uo5@;=FcTT;N6O0EhqTL(erC0uQBBGVPIi&F!Xcu=L ze;&V&)-J&~ECF7G{e+{g%_~K5rZdpYboS#%N8j^!g;pA-B*g?a(G(;&xrE0$P_KY!fP?>C6txv`? z_?6yJOAJ1LXB53Y2q4x;;$9}TD`S84^oky`17dDTVosFl41eDz_9omqBfX?T2t6T> z&j^IvI>+BP!n0sRDf_cn+MH4{5(o+|ioOQsQ?%SXhl)8k;)RsgXN@YwM7hiYDmOnyxzML1N>ATj|+Ujq1#Vwp{%!$a(^eh zwC60y-_)y&*XJnCxf?M_p-?`wbB#MCyddc4)Id^^K=$J_#YFT;_qehpA;hQyaQXx7 zgGMSyI-mxP*2|!5Uyhn7A0n?S(f85_#g4lpXyRCX`@@!aOI;UA#g(x_@d=X zF-mx&4~0hYALQu~V)vA!Euet}IKT)1TZjxWq7%A)n(CgthxEU#FwGQtifTMk8<{3< zDbt)BoRUxwg$BeK4j6$Rz!Rb<4%eI0M@0%rPrATFw!l=B_Md#rrg}#Y?3dIB+!VNc`cX^eY2j8f-Y7xQ>HY?uQE~nl$nW@yJd< zBzc6(EH>r5CZZT^MAI{bu9ESqoTU^bsA2cX;dmU<>TAvTEo6+L?yH~4;NZ;7MY^CC zA>B%3-O_YYO^%Xytv9cjiL?_>BzTNdaY`mA;fCL00U8&`~5RcMLaOd zpK50!-JW+c620Q2gENtOofYk2{bFn!_p`rxJp8CE;D%sw-3Vnf{DMe|!C*xoFOm!q zBP)cT?_)O;;08f3B1jB#Vk`a|gnUt!Lak-&GDlTniB}UZDM*z7xD=ADwg1)PoP@86 z(xQ{O#CjNOfdPmCI#%VHhJ=6aOckr7gB}#xx_wOk7ik$klODOj?hue~WFnCUD`04x;S$3g|gHb~Uy~zXH|I$F5x$7hz)_&ca=| zw_VFH+D+^7UAZt?c>A#VHzLv0N9wvs*i6MxecGc{6bzS&9CN_;m6*09`~G%VPNRJP z`Z>D*ce^l^t9n+F{7{E@^&SW=gFFxLkIE=ELwIr{(+bfJAws854>e`O@pZ(2k9 zY~iTif*dmQJVV6*@AsLnSZ|yQo9N<2{~P=%`deZg=5B}^tDNxb0PB!Yr;y+&efY zhMI(|MU>%-zT}dZ{NA%lGtjPAI+b~SA}Tgsf?eH6ue&nbB6@>QQl{nMg89mVxSt-K ztnUHWwx_~F~{wOKxO{|DgXx8mxL2qz0uGiBSy z{S+ja>4{b0_=^gmp1p|#?fhzOHtd`yuWvOn4qbDk&dRY4M&g!!x97$~;OD=czxnxj z*SQCjNgRwKaavz2Kol=gxhH|)8V=g=aQ|%d)>hMHRXg8v^z;_E^2dLQciVi?j24AdqoN-G-dufwjOp?aV}6NZ_J?r4X3+c*x#=A>-{A^J{9o_T9AgJFg$3zVo zxq^3d%(pW_=5NPMe_BXpO_^deF8l?mC<`(qVf2*~uF+%u%0oeBR`Wh=zvUCxek z23xt2A!e$qrXXahSp1#7X$*6sHXBW0buDRa8KoUX^b=UOPlu2505Tmh4TztyzkBlO zG5s02c~4bKJB*C6g8nV-{CQv{+B(u;Tk^t6HryQb-Z4SUWwu2Sw}teU-q!MyMoTAC zR)usgmHrh5p6=ryEA>u?^Gd zQm%(+^P@~{`pfH^$ZXxObMrcGOSFvCwSMH_qm|F6i{R4TljzxcwSdSEUq#IDkk{9V zuZP?e2#-3XufVSBudXofe|sft z!8`}oGI~qRwPpVU%;s`_xO#b?7dE~JVsB-^hAyDZWz8*|&MSTN>-V$Rx-K2$Hlg+N zHKo6kuok+HvZ5rqb_M4|&Vp-f>ejv4e4;pty7()E!(z`3$n)|W5tfe3`e^kEcZIe- zdexC*?V|7kL75XO^vx_IDrb7U$C1^Eatyx$pO!0$V3z=M6ReOcY>VT~V0uj1>Hwr` zsl3szT>JLhQ(;p9oIuF~zJGtnxyZ8{EuFxUbHfuFJa}O9RyrMj@D)yydKFC0dl!sH z`-jmoMc|B|H(`$d97M02t8GZJrEZ)QQs(BwSK_uMP_F0vRmxXrVn>V&P^(6S7>I~! zu3x-({qT6@M#7nTNfMOQdpQMvBY7IJ`*N-|s3fqJeIwToj&AOVGM7Y(F8`afnb014 z(ezWP9yYxmw#wZV0V5mPa*B*rV_;sIW|`2UB@=q`rzBFwY6~HAW0!!D1)fhY=65L3 z?@bfD5er*rU7icA|66)BL9qXEH~h>!vj6`J!G|3|wu9wq?khnHqJ78J3&VS3m+>C8 z(;mCk|04?(_}u2b8H$TEl79b|gn5g`9Oh}M2?RKhH!`NPX{hT0*qVM750#A%2L)}` zzD-QhM0}HfmR`x>sP$|L7QU)KqcBjViqAJuniDH}$W@)HkrKFRycig?n@7w`cfjN{ zN{hNMCOb(3TnKZ1XnPml-EoJ{EK`Np*4YWI&IHN?Q-P5VVicq7wj^Y=Kyor^PsqHHQKER;J6fuSP+rhbd~OY#xnzg09(+=K zwgk*+M<2eNk)rB_&%U<5O|4E5wBA(FSaQ)HU6tm%3~>Ik>T#a=P6MjUl|?GJ8h&zh zWA>}42(SriJetWl-Lrw>@{1ermOwAm0Lwmdnc?25CQl+?7L9&tm&IdL+x z99=XoZUpxhbhFP_>GD7(eVI=yJYC=+42rpb3=kJ=bs$#lO5Dur!S0b8q5EM1O0aS* zU&Yk1_wsyvwFG^~z7(i}^t(gh<;?+#^ySX4WSMSywL-;{3YabKdhoGEyIwlC?8mYj z)YSVwMDOGcxMieFO2-oF;fHHjIl;>mprAidPAY6L1eYE46Ve?xufiQAMti);}d2?7b zC0CuD2_`-q$StvXuZ|DhToDH z;=d<}dv2}`pGHP>G3zk-DoCU~a3qH0Xy$qdetgFcqYegJVxNExu=d#NV~F(@4)WS6O|n)I5X~!2N3z| zyOnL_pPtgX=ej)nXy`sqaNljfk0%gqiJ!)I!OL$8gd^}34xVm&&D1;#@G|$t%1sYX zMN*e-rWd&iRsgT$wVkDDyWhVO2Za?Fcd*;mUGA}e8kt}&gykJvGW@dhi;e8&nJi~dl| zRJkNXbn0Q{&5%)YM!d8-wm`C%!|cN6^T*2Nwp(tqW#r}sAF3t&aZ1WxQxR)qSPDea z$%=ZXqRRY;NNR(kvTPR=cv*KTjFsPV{x~W7oH#yQ<<4@12%Jk=BdpNXCfbN@*6;Z1 z>~Et$FZwtb(!lb!X1+bMNoa-9d+TBx*%?25+)#5>i1lMX$rw6A#phf1JpU?(NvEAv8|euBaoI)_l&*k%<# z_9`H|?Jx3z-O=u-WP<*H#`!S~FzAdhd#8DA40l(ysu~X*yZqYd*nM0L^%XW%(`ht1 z@zQ{?X>GNis-HB4_=|Nj3vAmpO2+x>lVNa^(PA;yB@*o<{!4LEi##I>^H0#`reOQzP30* zgmkA#3C$fc)AUNJn}FzP;bt635$wc^7)cB|bJNBu>PkoRHfA5Ih&2C|--Rfg2j1sT zd&t>xI>lf-hAd=Aq`*kA*<$bKz4QI}%YNDj6F7efR*0lK z`eO1;MV^Yl>)g%H*TV?gKuhEdbbD7e*>)17AuO9Q%Q8k564h%W6c4Z4P?W^W{DO(g z-lv_3Jz+w{ruEXPx=N&z^SYKeO8ctjOg3lJqT)b=&v%OG_2@VAoX_GK&A%deIIOonrS&8|M61FN)3!39JwA+8iQ^?$|Y}6 zX)GI6GM-2M*mh)BE4q&XSaWo07XNZp_)b93WSdAHsFVrlw=$YBZnr0v8}{AcBDGfl zRC}9i28}@A|D}81R&Fps=l{ zC8vWTZCqwbFW6E*DHT>dRm&fHf@6n_t$4KN&Pe!gtC&z6{i(N^!n3ER|8QEVs+o*Z zyJc_{{;zJtymtg+;XEn~S5vlrk&+JW;Xv){-%M4tySgR^)tTje9G3^4}NoPrx!7}WkYd9LpTvy44Da`F6B#v6mBDQuBZhVgZnFMrNoCzV zn;PHWPg13dKQ>zJYH*5Gg{L}qyOIB?p>NgDP+Z&GC!N9Rn_Det@FvGwq1K7x125p`NK?GPt;1K?Ud?(w~dD);#I|t07o^IrC~&)=1TE1 zWZPB{dOfiO!?7p4%6rhhZo)gf&6emIu=8Z%EK@eR5$h^7d?h_9Rq|Wa1c|n*(GNj* z)nePBHsD5vRoP7TUlpAmPCewFyYdv9r+K{wo$9EOXv(Z;E_qPfR0C-#>uQ^#R*jvg zC5;IF#5tbxYyhEOzY}MUSx`HGMsVW9JdW}=tsPV;`A1J|P1>9{H5gqE1YM}Qzs+xa zxX4CTcmCPFWNYW+ChcDROWk2ldc#hxp}RZtG}&KMf8%*ERqJIs!H)d8b5P}o!EFG* z`Xl$`frtK)Qo-}|c~kP1k$pq|uDI~-F4{Td4A>vyA-0i$)Bh#CCM9*Y7IOcI`goUW zugy?0&g)FJe1(@S*O}hyjr2f?{@8m9KGHr8*RKUZhsSdnXFeXXoLSa>r){~Ly)jcg z-5_ky<)#Djc!R^lBlUU7@VPXB+lie&$K{p)f#oefub_fau)Acaqf=J7{~p{ekrvd& zu}1~}^b4YLqnh}x{cuuuf#ao#c&eUFr^M(Wl^LwZfytV4uda38nYE_67NB-s9& z8)@EEt22)xL*sNkl zyWH$@AX(Ge12+Pqb|u5dXHAq?&!jYX<_uluHnZ4?hO?G|g`FW7qrCa|p!tz2Bb_Y! zrb+OhoFYv=v%GWu)D_Ellcc){YXP#CE-7x;!xNSz)RCrL6-H0>A;S(DI-!#4%=5{V zS+}H$y3F*>WJ+0e*QR~pJLWvEyG3BxrkC>#-`JgUUeB#h`q79Y zrMWdrMSJOsuZ|eaiZu^ambL~I*Bz(8%%Zc>Joi{eS`M6@X6rpiospv}spdG-;aJ(# zpN$E82f$G$B#BXyVr}WkJ-cN!6CdA_V|;VuKIVB&WR{Sxv!cW2#dODFT^fWtg>{9la;)RU#C~9+GB=hc0BWi08I*C!4VE zMV8uo=0A9;RhSg7t!KR4;>k*5Q-hwX$r#$GFOdji>YSqUSd0`t>@VvNC#7o2F`WV~i$k(BcQ! zb{Dk|%G%!OOSO`|Cd!kwZLZ1Y8=*|kuuLHpwgxScNQ-Nx{+}n>?x8b$6E^ZKb70bcO1AV`=yyNz+#ZRoQGed5>ZGQCTdyKcRwyw_!%H3HWEqN>YO6L=xl1{)%<6MA zRmDHNs`Dxx3GpOFc#HBJj|-UTfGx#W|M(qi*8uO~Bj=>Ix@($5Mp$$9x|V01c^j49 zLYy|(jKvpzGig$6C(CszB}(&tJH+50A9zh}oCng(YIadezNAE$b#)a} zlU?b-n=;ehvC_16{H&pWdur!UJcxOvF{!Y;E6YRpOoL6?1A4MzE9Fp=Lal;nhR%_t zl?=$)26(OSDOzlDm1@Luc8>Yf8MM}Q5|69zN!?fUfQ339Sn`G1m!VJEQk4^b{Aonr zKjah0Pn*?DMMM+Vfq$g|f0KSg;K9GSP^$?@8!r4ui^STjTbnX%J|diVor-VK< zpGW1yGjdwL3pTAV)`-^VdLq4hg8b8$hr@x_UB_@asv^!|O#K7h*Cg$S&+X@EBY3g@ zc7W9fyBqyxji*y52f<{un}dtpYkn5})c5RIhSYk4!mmyT4D@jWB)b=Xa$0iIS-V=V zp}hY2CPq@w(rC{=^UuBm$`IP&@n)Rlg4D4hV@N|*6ZmC|4=tDgl|dDSTZ zr}nj~6@44nZlx_ZmC$Lbj%4&zpXSF)+81EwPqVnVUg)VE!fscU9S`0ibJ=GG+TSPe ziNqC1PmPspDQk95opl4*;6Di*Ae~A+Yk?gNUs(2#SyUfPO=m|}HEo#h9jgy?9oFWS zRlHB9=b_rwv_}^;OGJe5?&o=zf@!%uE?JVF`yZl2Sg~?9#peL8^4CoyJnXrLx~(1A z=1->15=)Vhqe9>Aig}^-87>9aMtEbky)jIz8vn2k|1Z3sj|Vu;emNiFaq2+v2^qv1 zfiBFIHLmxSfACGC3j+M^NAYgZ3warhRZk;N*R7wSZF4?Sr9ZRgl8ila{7%llK2qO2 z-eJ~!Q@cbN+?sJRBb2ff~-JQm>WTO z3Y$R2@Vt1oW629c&y`u8q1`{56eg&!CNXuM#_R+g!e{>}%Od5i|Hq7E`xE*Ux~y9! zHLa$1#_q=P3E^?)^R=eOD4MCx(^mJc#vD^=>tN}6oBxg$ho6kJQ z?H;Qu#`XTJ%z6H>IM8B3v9gH!tB%MrjHmOB%rH#cw+8>RfByRh_)zUVJ&1k9=*?B> zYqM*w-yhZ8;|HwM`6&x@a?HE1zx2d2O^{GYG^(?m$#%bNF}j@cd`@%4@_OCR0Zh&d z9=A2=*De;BVJzDYwst(Zpw(het#VZ}n)5BqjT&}yY59&o(d)}2#Xi1{m;6!W7AVn~ zC3456gKg@vx;l2~d>VPPct6Ax%6Q_^oSD4&s>S`QOX&m?_NAj&9 zh~jFK9(tE3>6tRvo^2~N|47FHoI8D-o$Wn={_BMK?VS$RnBLR?bkOSb@$dMcEV&-3 z8@LkIWZLRkH`qct2^;YII{UZd>W@ts@PUgBm|8Gq5<2-j6Z-1;+VcMbZq)goeinR< z7}GZ5!o_@@-S+6d*dn_(Z%(dMUEG=r?!#AIBy>y~o!?t>8QyPr2^e;bI-J>uJ32OB zT4Ybz+%(W19Jq^!{21@`hJQxm8T6RBHE+X_owTT0>KsulD)g%`61)=!x_>>NeSJ2+ zKWzCIR0>XXFS44dGfnW%`0sjWOS5NEjw7|&TQE;Pn^{j-aC&FXS zmFFAD47Izveq|?K{gBp#4~ZaIGXnY&tDzKJw!NgX-l*?{Ynwyc;agg8>lxMy&MJN5 zdmi(kc$F7BlwwtJBGHRJeU%#mE~XSF=X`Q6*3d*z?+B-13@6d0u0!Llrq0z^(a;uP zFPdpH=oQLKOs8*CO=0P&WZ;+xigfiq(=b(Lm~U zt-^3be{u|W3H95mLD~0VC&vu6iOSO}f>Sv!EZb4Y6;{LEgM+g860>xNv%#CFwrirF zRacm0`j(zU_eGt*H1%7EEY367L<&;#m3~uu1{ylfxCgJeM+b$S8snx^n@v#Cy4P{~ zJkfp(*1wmt$fR{Z>g-YFj%2myS4d09R!EDUYN=gLyoP-`!h?l(Ln=cexKtNuK@-Uu z|J?CNYIPBMVOKjGVR@l&)N)D#!~7KI3X7SKEZDnI9U;@ zjA*iH2EGerk2a&zqqUUWjVr4qF2#QMS2YjTSHxTL-_{yT?@ncYk$4KFC>QJXM&CqW zh9ZGf|3|xS_1rSf=j8%YgP8jnk4*nyIkG^dcOo!19T^^cH_WrLeBf?=!zjOiP#?Ct zebiOjBDfvdENu7s`2nmahta}YTEO;=RLJuOG&W)89#s33tUyQPtgKV}1K2ffNxD zFwjn@Gn(klsVkO2P(#nVD${1rDeb4a-3Lh6iUed zPEv2r!=JC{MZiZiUOr9bC25AmNa5T{3Z-#h8|>OEjhUQj zf!;XxT|$Dx5lg(8zhk0;XEH*_7=Jyu=k8oVX7*em?=RLJX$Xlpx#OQaPZGP^f|M}w zl~ZK!1PH`A{m-zq?^!62He$znFzWf6MQIAkWJ?Dg`_$ zWTyMn>n84#lO}^&kWS>OOYEz;A6^uZaFdL%|A;?Eec8c#xE6#IsHY`Vk(UgEp@|IV zuS&O$QPAgwJ=&W(xlAq2k-loqBR47r1^r%cNoP9Fkfwarr9g+uR`9}ZD5X&0e5M;O z=_%=vrR0i?Vo@cI$<6qQ;K!iQoP+46XJ+vIH*Upkh2T2}9`?!fEf>C6Qr-b>FvzD= zt_>uf6l&?4+_Z8Q>_-!GV7=Dby-6WUrL;a*ygaM>_=)zs{Wp7R_yjkF_R+Gmz$eN8 zK;vFVh(R0Dj|PqBT^m(XddVC?xF-Yx8=|EGdd!2lQleCh$+i_-50eZs1W4AHS#ry| zh(tWBLnEXpEsA>#RT4LITB0~s4&ted?~et4s0?>vnFqQk9ikV$5$noJT{}87`JS(-$xm zQ&NV3$Znq9UT_IJk64t)EEk%#SBUST^@mL2ueAl80LhThGZtYELiCRWN^(!X+|tVI zJ}4N(Yd8|8mRM~EU}Hrm1l471?OfTMr{H>%2u3*(k0R?Sb6`@W$SDjqe>qtM_G-i&vLHk>37&5Xuc4OQITBa^~hL}`y&D#+Z3YbMQ1DvcrMQm}YsVonQN zxNVLm;RvHd-kda(zLLTQr&6T}t15!R=|!Hk;-1xt%=6Vor%j7-KC2M|F~&zspS4V} z$YRq)YfKc!Z2d6;dS0GO>6Ksp0fn-ipZk%4e;GvrvtE-Zs`dYU0B+K316(2#7Q4k zZQ%jpC8Lufb%s5#)v68VsFQT8T1kwhG_3{WFNVL)KOv%##@mqE+sE2~$%>e54eG=| zCuP!hkPnFIyG}pAZyV%Sr^GtA!XV7}GLW67@t^qlLp5?o(K;jsW`aZQw>(-QiOF8( zm^J1udK-MCD#Jp9Fd>~2Ou42;{z_9XH#*>qge4CG3zsnsrnlja_1J_VS#oUZU}rw~ z+OR7gf-6NK1Poc+Ws%A@!rwS!f@g65Ns3pA01Ta6V)flU(0i4oZ_CO3Q&*@>=Mwib z$%stEAq{dSI)An3l!3ZUAK&9=@p=XW<7d_tmop~9ahnW*dWpidU&As(_>Cjap(wjx zVXSpK&6sG`WpNKV)WMCVQ*WOqMf-`X?TM0Y$VpC~d#XnvVdiL9eakr>g87l@tf7^1 z@~*iB0_aeIg*0xKPVrwd4Tfa<>iZaHIO^_NURVY?fjuBHxwfLH%qyOKb_Ez%FvJ)*f6xz^4_yBBEJ3HJc2Dfkh|kUX962lig_E(Y=zVQcKxgk^N>*J^f} zwKlZ_hg8!-8)S9#x{1*syD3z3l+`$xPAR>863koOh_PrqDk|G8Bi&@RBB_){!Q-;o z9M9E|%xw`8?tT&c)Y#zu)$hrsliKDqba3YiGbPTx^|(z37CJoJe`}nFa*&9X%ZI}f z$({R@G;E)xAmr{+#A6b7qPf(j>w7F-!U{YY6(=f})h*cv6x(xUO;dwqDo)+K$a$YB z0kqN6G@yx@UO-l5opl^BjRC2J7$#TXtQ4#165#cV@2!@SFOFUSQwkdsgjEDpd_Lf3 zQoj4sW_#_)KhU3rJD_01&)L{SM+lC}=`3;N>xKIT4A{5e; z5PKJxJWFv3jD8)ldg-B&JPVaReHN1MB_=Nx>(M*|gyo-y#N{YU=u?a4H^TUVn9}OF zNNVa8k&xAuC|CrI1`%|qY@IrDtX$b%dg~0599nbVFhp@x=Pa7jsi+@Afig-qOL02X zCI>Fo1=uL+HCiN|T9#R5AZ1_-r5_)ZUy&BHnh zhi$fFbgJo~rHja_^F)ma!0aP7&4j|?vVy{yut+GtM`&OyEtXiGdnF8sdPLca@zuH% zVYcDHHlxoL33Fl|)#40BFkdqzvmxAU5y{_KOke;B0Yh`UgEFby>OA>vp@Uk<w6= zh@vBGfEpB;bri-g3+TQtOyvX+Skav;3u8a*G`e#jnnZwj6yW-a^CcN?qkM>Th2Y|( z@l?%PVgV6Cs6lIs^H-Z<6v~MP1gV1%@cWV)nXybmpbX6PSEu+@Ib34A%ynYvM99E# zdDFxKS;f|@J9|}rM$w4UPHU+IWminVhPh4&4!S9yR|OhNmp2Jict9GpT>HncV^zrHi@XXzvP%)MUOVyBEm-_iAQH}wT=6^Jq+f7Kr1auXN%MUevaJ{G9c7kmWLOPnSp9jOeJ2xAkY{`_ zUqLcadT6=?bQ6$A?+QRF2w)y&+*S?NVcKR9fv-+@DnY=b_&x8#t_6Au=^&Jvj2`i+F!Nx10wA zw{%hUH!6pOmW5H_N&{-Er@ZX(Y_f$8SfTfEh*vLsg#!&7NOxZ0Y<;Cg%Rqgs4x=VW z+L*+7(;?TM658i(XnXtAn!ZCMSdsQMwQH$$puBr2ohlL?RN&e#KZ{e)dTOwGVurj- ziri(VjFd#%Bj{2(-^Y{_dOnm15X=r(D)|Mj`C6yMyF;j3)#&8ikq8_+@)qTT zWwXqYNChb5f~V$QlqK3=*va!Yutpg{utqf+jyaEF7ebx^P0kF5;JmCW8*(h|muujd z%I2`a`K29~>g$L>e_qJIveeyN1#}ByZ-gdzDp3hloNahdG!nJ7m3UlA?Q8$0g1g5J zDdR67H$o=%6eWcwo`v3%f!6$En>vP?H|QEp$cAG z`NayCC%(q`U4^-@Gf>JhDZz^2u}%c)q|N_of8SX=tON_rHD+cqYoYUzbn3|BtFb4! z(gY;^h0cZLb7p&t6azo=AeKWPm#z)*LsVgIlU>b! zeFQg;j@2U89T=rQ^MWNxEd2LUz8z}KJ+&%L%druQ2uPO}rVT|-ZM|`Q{eW{Hn&c*! z=t|1-JS)DR+@YvWn--({4XQy7pAIn#QfW;?k7XVZc%<&=8YthsYYmnW)dy0sQGl%C zbq{Cl#Uvu-n#gMFq5gS6RznlQeF7t%l_YhQD)TA`zGO>{C00P3LCo!03MreJ7M)gc zqgBfZDU%18Hj%{`JS0qGgmfUI2LgW*njZ(k*&hMHhL5tLkV+@7159tI;dCIK<6#=goys0=7qj&>h$>m@thiRMt=g7nYWgnN z9Q~p_lJ}vTuEK1e&h|1WXg0l*2&{AJCjVjHWH^=Hv<97@p?E?Qm~o=~TpEhs??hkn z%{o5U-~@3w*B>#6x($QqZleehC8oHBT9LNv+dG0+mti;F#jx7MDjx`~){GafUTh_f zVt<|khY#7q9?cM9TJXY1hRB{fFBPoOyoSJRlQFVPyc3X+P--*u>sm}5twIf4p;-v= z_b*9%2`TR0%1*_SoS@1;!Tz=Tx%_9|gH+#znsnb;%qabPfFpVU)J*1b{$l|plrP5Y z9~I8{B%4fW5@Pi2nSK~{UK#-st@;E%ZmTF*ZQ9-_109TfHXSOPTH9A0S`(>hWEO#; zU(dTL-lAtZ>y|0`(%F4m$yTVb>YEYE4BodzI**l;BNSw-R4GdPx5X0rMjgL-uSJb% zyD)soz3x&x{H>l`lyIUZ{L12^MPjsMh;0q-m}id-Y|@skghhc;WY5TYlm zidq3$0T_9dx|a8a$$gZ)l?*b%n0*OJGP3ejS#xz4j{mm8x1>s;`_Pif%8in;HR#$( zlJxlI-9=FHO-->w8dg1YYB=-KtoIy?DqMIUIT^bMji#Vs3dQp|bs5Hdrv`mcXa<+Hpxp(2`5ONj5(SNWUR8($v9uh1w0 zo+^i3U5v>Gw&1cQ2${|dp#g87wN^W%xCdMR(4)oo{qI1m{v3Vi7#?N<2z!NeKOF*V znZ7YO*zo8$snEUzqA_-mk>g)Um*(xjJnkWD@XlZC>Sfc5V%QKXDNxH&c0570UVSE@ zXxra3P{idG4a^TCZHdXqRY@2lMJtG)6X+9wbZ#&Kzsm_mKM|5j5wG@&#p}Xr(UtB} zo(wX18U^%lB>26la%0vEuMZ_$NMEOjegUa%&bYD%LJ%Uccie4>(aoreIS5em3pMf5 z&(`PdsS`%EN?cuP_t1m>Qj#4|s>r&!4OOm~THOx3u;_5(i6L;vpmyW(`1Rkv@G=dO zG(N_<`y?AliD679rKC5QIaI8snzJI6e^|KV|1C~0jA;lrUKy0j@gq?mLJsAQKD;`! zrqtn=mf&V$R!?@U^TMWt98;t5#Qx?+g!;t@y4d_+Ao(ixzMf&WGw)TIk$9kidu@hOODqIuXq>(UWhExwo50kfnQ>kg%+I>nu zshsP|1a!k1OVRH)idX)USSa8QP0OtlU5YCC&-q1|$Cw>y%d z9cuA@5K81!rLAgCEPiUFITlQ+r7DG~Tj;dP4B+mvS<)ee9h;bkAu!n4g5-wEc;07f zX&=kZm2s3ab)i(lj#}qDRBt8)L3A{NzZ!IAifJ=>wLg>VGI?oLUyENWHAB6S+QtUe z17$#QkKBTPKxiqnVTR|sbNKgPd4sN4+o0@qM3nwmb``P$zRW<~j5t@6BXt^Sfb|Na zkjg)NA#3v65DX$)tL(pus6;N&K_W^Of<>Mj4I^ve4Xs|YcycYP%e)X=16yZR@qzm9a%AVsXm#>BNF>q51NbWguvUxQE#2J_fbo7g7yVd7%gKS`XRhxY?g%I2N zi-4I%eEuksX&@@a>f5JS5>N*s=C2mz+Oy~bNn5y8Z+d>7NEM};eimhhEEi7il$z1IrW(msgQMQwQKhBFOs0D}N zhC#_|+GViQgm5A_i|X)aj;a=ckPH>ySF=(bqE|~lQTO}uTfeK4m>W0_R3iW>8VgHv zhbujLK^h8C5E1WrK)i9i;=BRn5hn3WuZjNv)*nzz~maSox0%Q=+p3%UI)o zXi*2pi)st0&Nqa8G$A~yv_-^R5yOvUJq|k+SUaSInx=ZvV`WLy_RRCbi$rmDDzUD~ z##8zmh9+pKJ-1{Bp=civG}iGUwDyTgI)1<3c3ub@v-a!5X>!K6OT`KH; zA2x)Ri&^`PM3e`VFvPiWH^*k1)f;&l zB-v3GLJ>5CBMhMoP+2{2fMEz60M#@5Ru0Y2t>~ZP#Ujuk#8SFek8+H0YO{&Z3MPx{ z1R%TYBfyO6Cn+$zae^KlX+m!3sMS%&S-_~dGE&f&co~wZjb^4|GFq=}bsb8$uuchY zbwD~%%YI`UFzh$xQ`>KdV*-$bXSp$OrR_I#)as}s_p6s#GrHe&8Sd9cbHB;x@H{kU>SqDILaSg}nQqgaynBfeLjzZD;3uQ@1`;9qhASvie zvJB|f0@-?P9* zb=2xQJ*zDJq56I? zYkV6W&Nr$H=Npnhu=m7a;6dv3HphpKT3zQ~bS%#|UB=9&ihq;QdcL}jeN|oYFDFXR zmkae8&X=x1v|k_|b%w^^Pv}zW3*9)byQR&&We3`*20Z+ zvumdn)rHg2h_Rklk`cjU(aRAXZymL|&W#$g20RnX*iYG1+?b5k)6#YH^9x(2gf~4c zF4VN&_@n5s-#A~?_NzDTy5Hn>o*RRAV*3ppwYpB7mJO}@O_$+*ZM6P+U_4s)tLt#T zVVx4*biZ7vPMv6==^O1AfS}H%)%<*&HnFEUs~X)iilu0#fTb`R;3uPr4vaP|g^pUC zoR$K_bz-v@x8k@><);J_y8?xaM`6GJ5L0{jF1eT(7chr$lUQVciAfU;!vL}jGnXU= zzsop*z`tqBZ~oKY{&D-GdH2-0^XD#nIJ5A)_zw5YEWG*FNAH|_<<*PA#A5i*oj*Ur z*LV3Fj_&;`uFb2Z=GzUlOFx%r@O(wzbC(GvSa)wlia-jpk=Af z8MoJexR#}c?mGWb^DMpDGj5;%)LLd4xf}hbTV@%baZCO)?XyI28h5?_Y|AX8Gj7p; zZY{G6+)@Ae-OaNM&$tEuh3xJ%Ofz)H{1>y9c?M_PN&lra%`7Q{Y{8y&U zQ-!_VVgJ=N^&Gh4{_AZk3ei68zt+|&^tx=$(|@~d z9--q;`tMAer@H@iJN$QB=IIJ0pYh*Y(>w#`{P)}D5n4Xwf3T)`hVE+r!?t;Zn$P(k zt!bW-yT<>xZ62YYSNxy1wF#(d{w&u4{JKka|hwuo@Vr~I$hw8+q1^uKOdL`_I=tll$8=4oEQ@`2&i)@s4 zrp`B6*KsfUpKn+Lzyo)g|L56b`Dp3_jnPX6FWtlb7aP_!oL{=S%l$7~_AW#?{MGpHmzt9GgpyQjFf3mGv;QB`9m)n|U)vss%sq6D=CYFUo zbHT6Nk$-GjiTjK{+&F{K)NB4=)1Jigc-9}fIroiF!M^!&=1;V?3us@-{PEUyS@=tt zf4XhgvicV@f3gu~!S;TCsXS%z+_|3@ve z$no6d|LIz08M!O`KgqV`8_59f_nE)Nbecf&i_G5}@Fi3JB=fh0S7EC^%lsbeE0q1S z%-6nEDzPtPzag6|Rr++i9kx^a$R$L>< z>iD~I9Ya;{68aMc1TqA4T=tnd8 z^(`Al?D7e})ZPK{xh20mrNa;*$)~1t7$DlXe@cfQl)%9$9b{k2eq~CB0!MOSN{22& zx`(E8=s|!bx@<1(iP3})vSGLMx9=GIPb4x>aMtH-^kVl)}m608jeYrgXARE%?{lJK4>iSd-@)8P_7>ZkK=Kmi&e~b~%N?@{pft>tTQl{4KZU zH#YXrQ|h|={B091TIkY6h~(}G7wvfjPdNA*zh`HDB`s9F%sqGE-HYx6|4zdgPALj_ z>>p@daDd3m?XAsy7+!a@HW#qn?rd%D!Th_cwYh_M#64|*&%z44x2;ta=Fs2Q)+%Pn z$lu@AI)KCXU|Xwf`C;E_Yc1dd*Q2l6pu4 z)W7E6PwK&NsDIsmaBc3&ddLP;zvVxZbO&vt{=EM%?mlbPZ}^Ym^aa*^=--aJg8NW? z!G9{Q9$EF9{?l=F*#6u8vvGCU{X711adp`IyZ-aLqUx~si~bAnxU1b*{d@k4NqyMl z`~FMWb@^50cI4kaZF$*$Icu~rLma?u@o!G+(0AAR3)4Du-EID@Mj03w<2k?D-af(w z_L=tfAuilM*((1`e{}q<5lzdSxqmdO5dPcdpNJ|1s;&O^r?v}M%=x|1 z2w{OM{Crd)TymvfXrBVvBJ+OVln!I0a6B1JDU0s#i&2GO!}-Ue3K?>_e`J_HI90n( z+7)h(|7o_keaDW!`TMKJmJYOd_Wg4&o^8qs>h>G6f_5V-XluTC>HOI@&R)3a4sOXW zn;Y!i>$XTG3I|S{a(7*xZ=4&foH*_rN<);cM8}2G9oI$2#pNzKKE64>bWR5QJl`~@ zV`tHP=)`f9#~6L$*wUiAT}IDina$FS0-EoX=6No21xj3IJ33;S4m#R0kI6FgGI*CP zGp}PuXtrgB7=vV{Com1y+apyASZ|Z`LjnEnk>&-iw+$t(w^gQGm^*s(bl>f`GT*Aj z)j4$E9lf7Abkto}z0aflrsy5**H`ZgXummnNBa%c`y$$BqIb042$-?;2LZEf{n73D zKq5igf3(CaJeb_upw_&=| z%LkW_?>o5Yvhod+UnbBj0)rc69uzRo-7<8M7|c;31}{fPfc=1gvnT_03JiZp#Wu=r9&+37f1wBna$11 z&JNEly2UH%ruJBl7ya6|cqo!qe_-;Tvs4?Vqf z^yu>9l3S1kb-JenHz(&tEI-WhnB|A0$H^s(eni$c#yx!Qf;+M?&pPgM`G41K+mvsZ z>$(h&p4)&&;V#9a?=Hh*;5LF;2S?ltUWaZI9?R}NJWjdoU@>XD3A`k<=kAyK`DOCV z{DFm{>mESGDR(W1PCDKQl2YpJxE%tRy#P*My^?Wc1&?KSCni`v=(gf@zq=K$ zEBoD5pvsl~tMfef1ul6n+75Nx9G_jD!@{ASTi~;Bi~5AZINcRl zXxSZ*N||_B7&;!7-4$}?3CX#`g5>3ct8)~pQkZv63S8|$nedQ%N=C}Qm+YHJmE*auA{@2f}LVF86&Y*o-jG9S8JD3khZmDqk2UHB@f?BPWO z8Rx-SnwI1HYZzE9gOd^Fc^*m;6hFfI5qAWSQ*Nt3Kkvr!GOwID-*emXDBKlz^xc(s z44jiyOdE3AC!ydJ`lA93l_Q`h?+wXjRFew*S#$}|ckmLRe+u(P(4WJ=Y8mj2@b(Kh z9zc&XoIm1@;UUsipkHtg$;(35U5!VN)W1+Dr-^xq$H47^0*j!30t~3oABS`Z&_k3g z3{@&r(7%i>0s2Cud8B(e-6w=^BK^C1$epQr0dDqsw(12fEWJKi^@1K|uV*TW1c7p6 z{MvQ97&D9Ff?jdh5A+qPN1` z3&ezz+z%W_C;2*Xx#X_HYQZdE!^40nmUP*zwa=xk^PXk{i~vgah}i1Jo}fH4lf-IoBxnX>228!O(XXDoNV*XaQQ# zU6TKQP)h>@6aWAK2mp{1UQ=>xx4&&I003w$000I6004Ava4vXm0cb6k(pYSGRxvn5 zLLeX@AYw6QWFR0QATne%FuXnn%Yb7TVfVr8s*Dj?u3{C+LpPS6eb6NGIG7a5vTeU$ zY}U7^y6M`3aV({{<32J5U9lSQ#{OVnTf^*Yi-nemfOiQQEeaK&7kqT7Yl-dLpT71H zA~f7)*n=;VD^WXt{W?0}(-9?U+mrkIP#I$XX3(8YtxAgRwIzWMl4$dj_e0c0@DzoHk>RR!~Gk zCO0ZxmeT%Nu827lzEIuPKHq5WUpBbNF@JR2$e|4C|BhhA||o2j(cPIZbUu zoR2k;Hb?ycUL}1JRpAiwn8@cs$t-lMHIL7S{SVL*L z%+>N9!(FjhSx!1Tq&Y0VX zde20lE6yH#wOm5!n=#8OXtWRIAXo7o&mK(K1IXO{m45$zTEDt}0ggMwzIk9Ku)e8n zVw%!SG<1EZ^iQWW8fcPx+I2gVX3uy^{!r^$@uWbFZ=ueM3(e#UZ?2#wB>>FgHK%;Q zZvK9m$WJ>jsCRTcwR}t>-)QAyhwGluOECdgfmy;+Gj`y@q&(Kh*c$NY52nCq#~23} z^0mC^(o?U$2OsCzjzUfbx)=Qs@@YtcUa1*LR~dH;FXoEJ!29}?_*B&8C#vK9_$h(} z)@1h0vb)z?9cQt^_mq7%Ysf<9AZWl_=kpsoMUH20rG8nK7}+{^lW5Bq3DQr&DRABQ zZ5%=RVy|30%1KXaOFY(T3hH|M2vW-_%{tV!;G%?D5aPfu*-tBd#Q~+@UNvdSJ~Tm` z_<$mw^~L73_+LqWjW!A$Fc>Xw5YOF1m%OPa? zoHmvsbJ)`=3HJ2_e>%Zsf8PG$;yu^n^Njjnn!H zz&sLq^0?;!5q5M=1nF+sml7$6`&BDvrXw+rm4YSkam2X~$wPdFUWWIxbI#2bY?6`Z-9RCQ0-ov`V(5(nhB9`k^8B4TJ2;*RW}hRTstncNZ!JF{mIm{}B#FcbY8c8F7_H=hh88_+>XxP{eO5@@A>1kTdH`EwYE+B= zCTlnp0ZSe?nGRq(i@UriX?lz6^grJ~59~I+n6P;8Z*1tc629Sx5li#ilY-)+ufF$T z(+Fm8+K%t1`c7;2t7RR*)dh0Z4;X1Syx9aAJ?D+w zaJShEYOS09r@RPO(<8V$x;D)U#R{r|aivfp`0j0Y$5$O@YP|c!xea9$-M%X9+Mn_) zq~xL2C4_nXr-ICV4R9@18OoI0C>#umN;$}BgpOYq#ZRpUnJ+>OCH| zitp(oGG|^KLQsxk*U>ZQ4N)CX5hWf}GXoHw zFsD)HTBVTH(#hf!RIaFxCePn6V=sHt0(T16TeM^zrsY8HdS5DXmix{Hzt&h=94Kao z`oNxNb5C{a9*TyGxM^LQEOii(^}mMN*dO!!a!Nh1nwz-YQ`$X*d6)sm3{Ufzjei=R z-w2gbbKy4X8!+yIqCrU<&~;pZ1e_iwGK~16gAG+}#*??VT7Un#XyG50^Km*O`d8Io zWN>MvSRDu`u%S15SF+v#hvOLRduzM^bhZHi-vChTP27+Dl{~=|-}&Bx(`>bdT#}uq zrAMuc?1z%)I^5c&;6=mQu=v9_n$vRyJyvT8!5?q;;w~sw@Shq)AGJ`yb1ykb6S*GW z+ZVWBi?uG%qn+j6E{mvvpbQD5UFwJ@{6!1?e@I2+TdFOpNDQ#ms^A6ypT(0>@p^co z)aYVZb0-UNoD!$`r4Q@2f;wH^$-Q0bRh5_^D%>}x%>CWFsFK%HVd3|uKnEj#H)>5yhPKq^b|#ph{R)-i(ciR0$O z1HneXp3r_F?$GqMn6qR{Sb$3$V62@ou66W(1V^$fFw)c`x6VMq4s`cTZjb){%W(pS zv;)insEBF=)?)@qN|xgv%lj^>EXuO;5%CsfX}l0K{6dp@;j$D(433`r;Jcic_as}u zURA7h>MSHnpZxd*II};y%$ZrLt;%__&97#9}|!J4P02g zIZ>Qn$rL(Lnky;iz=7gvzq41Nuo!)fufGI%*5Ol_B80!80spZUAT`^&F55HtJ8jJB z=IYbeg1uJ%UZUV;b?qX<_`FAGv)KiBL2qBB6E8Bq0Kft{-6Fk(b|PuzT(qgKA0ep_ z+2xuGCsmBk-=ce~z|E?o&Yo|(G%7R_<#>ei7&_>=yUq37Ji>@q!C43Ak2NdOe4Fnw zpa`LS#wz`o)g{p0X4C5Id%4bEgc^Jo*#@8}(w5qNp$2vjOKL`K_ts2y zg!_qkfqwj}Q}B>!q6#u5DmQxH2!CU019g9vS_%#)a0?SY_h7IRu}@d-K;7RIc{hiz zo2@vv{+*^LW(pfxOhFFc4fu&qasW?p*0@+%@s08EgNRi3)j>i{AcA;k(KLN44h^Z=YE=6? zu4OFHsGgU9L@D^MT}s^dx63$;;v7ezXRLm4_Cq}%Jfk2()tFtl<;J=%bOig$&UT%j z)W-==>W%jkw`P&g9%8of(|D0keJiyK?BAC}4%R_2a5_=%0?C4V+Z3@?Q*HNEOW=Bw z9zg8o;F~^f8B=enk}DiqOY`!AvFy;zlgH&9LM&_f6^QeapsGo6i}sl2mRofH?xo!L zmiumRdt6=j-@8CQ-r>IrU>(x+TZyRyR8z+X0d*pK!% zL9*hZZf#rioEl}i%#e6#1+LHJO`C@@QS_9++KJb@BzHSgXh zu=v1KU|Mg2eJ}=~u9C$0pEc{Qvm7vf&;DbS0>J6SuATkyw3hlbNRBG1wJQcqmQ^4D z_7KSOB}_(Onxj13F)k0sNTxsk1mlb389l*5#s?hc$6Ei9Fk`XRRBBU&~`Y8*9R&g08&{lEJWnVv9YX66Ra>8QM%x-mp&owsd7w?=oDu`{?QcqiQjI{2V=6mh^#k55 z2g-gvz(WQlhS+Row2*l38i$!wi%VL9E1a*-Fa&HR)u7*3W9O$$+(lCoAu2FOuC?jD zZW!LLDaj+HPJ3N{3MgzD&jUkjZU*yP8%Bg0Gbr`&`Y}*MdgJ?8mXDR?O{@V6Q0YzY zb&PCjhxj4ert1OI5hPOsE7%f3ivxF8{9woNgt;)v8eI{-MKY=e|Dn4xxETNzjfKgD z(!+Ju4V94LO@IS4sHk(tIF6ZwC+9V7GZ!oaEOGD_4P4XYi7`lAGQXjFS$Z9E|KH?R z0@r=20||?-j107STGR2KAV%*oiuS*rSg)~kgm;-&HESs8^;t+uQ-v()nZSak1=*kU z%`UnLeRH8oPTdXq=9>jn;~^n|)NgT_mh|9EpgGgoro z3rcKR$2<$&ycciUY8LrI6!aW&XW}GPPm>Cb`Hs`NxPjYGw8pwKNG)_raBG^V7%IjV zg0_OxufNs~w`6?>mJGyQKqWnYHq)*XgJJW8T^Df-Qd6$4Yya(zjBxKq4FQ(#>P8&* zC5gAXQ`6+FF7(#%kO)?{Mfn#Y(n*`pB{v#D)3T07>SeSZb~9cR(uefEuGxKGzN>yr z-RbyC-N;a&hW7=5$JMR9+?OWuklYSu)4Fiw#d0&yZ2@mDA|vpMd=lxjN`7)U8X~tb zrekntm-~GEW?x0^vyxT`JI3^g{_dutz}(8O8OEavi@Q`~3D~d(l6GM?H;fs4rddH( zEjrD~D~Ir=j#=D6qvlR(oaWpcrGI$Hr`j zPInqfAob%BX&Fd?=gIGW){dB&@ThP9qhrj`3ZG;N;TKf{(^>7 zAlRFID3lQgE&k+lkT=+s%SW<+6YBvW6*{&CsqtYWvv;vsQk3K-ERWJ8V0~_mC~-H@ zaCe|Uvj_@s#!_a8FRRhgVda;NE&_x{ZHddMDTswC4w{7k8_M z(CcZMtctz(ESt`BF1HO)>A&zG#1Bi97C;xw)yw+HE?*sTN!;%&OBPmTMEV#o4>0#4 zKQ5Dh#1R!j(okOr4A{gfS(A-_%K$~y`q?;S075N;#f99HD~C^g2nB}C&vecg4CqpO z0ud8++anr~`tXNa)F8 zJusm^mBzoD&&UIjLbo_*`;?M=yNJwRsbLdM>l^1u%;JXTnxDOZ=8GP+vr={(F*2|m z`C0l$+)WCPLQR(6*!9`%UroIK*#d-Cn~?(+2*v})bhl7^d-9slo@BOULec~l z9v1hydaeDpdfe@38Fo!g z%i0>&U@a?#4@A-Pk(1c23aPF>Yh|OH_uc!-8aXqM1y_>ZTFzc?d!A}Mjzh`_ML29= zx6^SvX=r^nHaWBk*;o+=9{jJ4RGm+O8rzY)7N1J3%K`<4NK>08g{G1$NgB!m-HQ7B zXe)BvKUwGSWXCtlPI*;ImyQdT5uvf5#o~tnjroK?;$>2+a2xAb8>AZ;EY&OKisbK5 zzq&nwvTdW?=R^Y1Lbr4{zOG6iZqEX!bB5XltdT!2&2LasCLOvaANk>F$3s@6%wKi<$yEH-9^JQ7ef&+E8E%pdF+ z4GqE1SK;|Ex905qb!11q{8>YyAi>SPn9|D^=wD(egnCH=v#YQ74O!DFbpsx)HQ&6G zF7wLw6dz2Wu3D_fh=b=9Guaxu)DI_D=DXEkpDJbUP}{ zX4SCI*Wf(8(N9m`oI4M~RoM&TUr5`md_7Sk) z*EDI|d(8jNr{&Au;CEdnPENi)E})rxUtjy#FDda(wNb4)mXEF;2-7CbZ6h)*GPWer zF0rj-PwmaHW;8&RANS=T%c}u`w2AgpIL5#%1!$W(G;C>vi6;MYDaqd?y~DrqJB7#? zLy+JE_^Xh}0na^?arT9cHIx4PivuwZ+j34z zKuMz0J<;zFCgRo1q)SlYc*C_V#_Zwv-tl z0UoH9acM+ah<@xy#at%Oqlx;zTh8O&bYavCQ`r5aXV&&1k1_*zlu_iWvZ5Bp{_0Uf z;SyHH9>*tSxl$7+liCI2 zLvGNVGi5Y>a2tScBxz9e6xeDB&tIT&mn|7XICK~5;!$$c&m?S6@Ph|cI0lQnxS&?& zQ422%)GOoi?;0oldbpsC;n_H@BiCoF*vDxJ4zZhO@tma5(OEjv`q;)bRFP;6W!Sdy zA83;t;5<5%`cprL0Mx@az!q&=)(-DG<`ql)vcS(y1{jG}@x3RPYV~u)w(3vsaVib# zqk(OTyqXT_$@pmoCY%BSOf zhS=?-N&~`zSIENai`6B!TVj+WsK=5))qySTG^$lk0vq8y-865J6%vaI%;IG}gLo1e z(h}ragK|?W0FytY@~B+DQ{m=a!cFEf0okXi?~EwNHSlWXF>Q;w#%^~DY0t$nJ3FvY z1-LwXZ`uAx6VLIZIhT0c_3DO<`*%`Geah(Tx`eSl_!!jMoKWGK#RvoAhLTmSrBH3V z;`7{4Y&PP}zWsCEA2K0WGGSKR-8$MDct*DDO>|-F(}qyDOr_IGI0PV9xoQj%Zv*nm!_T~| zT6L-{SuJjPmP+N%JJHeeLb9oViSAL66zN4jm`t*mLuuh#LP%1d@OP@?jlsO~chO}$ z)UW?WH13zwszg`GP{!;GkF+v!GB6gfe=gw2zOe1^Qv!WL1HL_HL!ZP|>F+7V1Wo5;BQ~P^~*jRO4d1+!) z!+@jVIe>rM8{JK&pF|zQe3}sOIE|+c4osFD9=usP3W%d)CrV&T-KuzSIwQR-Q>5u3 zAGs64?+s8mn0g3t6vly&(1?&*NmC>|;7_5fdKNycM`{XLF$EkoRp-_oDo@jLCi-2lI$ zN1CUnRE`(^)WRqbj{rIC;7rEJ?e>jK>g_D;xk}h3YDLc(*{I>l7Xx^&47Pc3F-ua9 z?!GEOiAQtlttVxt)>hSu=X@$Zb(pAD6M42H3oh@zB2Za?$=kS&=i2tqh{+?HCoXZg zk{Ed-Kf`L8*5xgrpG&`3G*(^<_E(jAofnlI(xrv8&FKXNqHu(;Krim{p+f8T+jOH9 zaOsrvgcbyUNg_AK`dZ+;ekz6%i8e1_&z(}0E-HV_ln7QrSq*5amc z&Pe{>`bB2Kf2%3g+RmxUx6c_zz;+%=g-F13nVLBz@G!Pa*eL zasdGe(Ir6MU?3BXh*x+gw4l~AKUf~vuq&!-ZJxaHrb5cg?SSfDl*-;+pez8>Zesxk zY^NGc3=r2Rv=k=LuHP;GORTTeJ|9f;A0#+R^*4wrlVyk6)-?>BfflH4D#Q4?gq>rl z=c1=&E(yf!TUEmFJvIWiG5t6?2U5`(J-Bx-+bsrGQ*J@wGg~PB$vu08!O-UZ6+5G3 zHv&5(bt(RRZS9UfP@C;;WOMWCF)-;56xOFaj9_MDchk+^;6Oe|srzDA8q1m>QXOp;}xmvNqH z@v!||Ob_XFOX*}pdstk9AtEBdE*Iv)sAfx8X~C)Ey^mm{j~4}F5Y~VyKuzmkF=rfH zdYxW%7qe#VT!?(6yT(2gy?o6j!ZyPgu)#@A=(7Pi@W7Q5(>0N@G~1%Bsla@P02F2L z-rTDrT#6wHWtX9kz;q+F39y8zQCwekg60A%U!3{;MOA(z?4Hy&87AVhnNUUZHcn6` zTJW|&GoRehoATf&BtpX~WKpQwb@{^gDQU+-rpQz?tcqLKP=OQCeLqH%`679E7RXZ* z|3dT5D9v7~_ig@It-v-NYC9t-toelpw5Q5vsr#CW!R|@9LM`=&V5?i4H$o6DmUQ=< z%VJCuwmMYoAy&h{2x?t$DVlFQQ%u0)C}vXc^oMX;+v*=u4)@ozExmo+wZaqRVvCq5 zrFQXcY>;)Tno$M?nQTsZ6(v_@xQHRU3Zi*dR$A`79uX8QFGPJ!)tz|v-i(5|Tl-lg z%=h!qZ0V{_-9sH~+}0Zu_LUiRrxS(kMM~}BT(gPo4OrwzZ*guD(cD%3609rgBcMAD ziZbp4K+vecpc~xjRVz(;uz^9ZGWKiq%yff8K|9MK=Qhz6+1`1h#nougP&jX&1S(lI zpd4KkgU62G2~;s1Dx}fR(O`7%UFDVsnF@FQRv4xoH$IOml1bt+Op)$W5a}V$IL1Q$ z8?K-B%X1U}Lw1F@9l#C(0{^3(`2bWaD0gXJKzp=@Hs1^iT88@?{%u#CN7~`|ilqk|0%gv2r zrxxl@e*jn zgZta{ygr88_oQD*ZOKx2S5oBEaVz-zKRy!&D}+)RJ%IK(to2s-elipNOaW-I?EAg9 zDJfA+*LvTwnLVPNCJ6)=?-T6Um0n}JrD#*P$P$DI|5sFi5(%VQ zT$yH(nvb@N#ULyu+7nkFh00AYL!-o7yd;*k59z?TtmC1ZcmDIiGKBDFDo^xzkEhjk)cb7_3 zZi!#KVn@YyFOSoxc1Aki58D&o6S`Kv(R2Z#V!bFc1?opYJsxHx8iLK; zd(C7{ljp^juvnACUxsdbmb=t$a|n9{+T>0(#@m_>{pmzp@|p1TZ~R6>v3a z_mF7|N9~m09beDWbE4YMHdS$8?#$!WK*AMN=6yR9uAU%eLIfRgu4VOLjkft+1_z~p zMGSIUu;II-H-PpmRx3u;;^EjC#e+laH2M8}imR-=36Ac^&uiu*JO7-)udenP@BI;l zfApaV?HOMOoxhzV($j{aaMPUB*r!luz3`lPCV0<;O zNtv*jjYvR>%VqD9YyI~zB9k)8h@acm*xle)I{J~@EFY`Oa3O_C0%d@PuPyE?l7t|W zZSgzjgxua<_Y}4Dvo`?dj$%L};|5Z>mS81Dcsszn%G%34O$g5Hu)eDrv(&sD-=e8X@*ML@Ti2U5$L;sBSOe%8!ttaGxAV-r1-2of zavh))diwekjC(u*bslKbAWYgR49KDYr2@cvDj6xjhU3@8di^rIdh(BLtW`^c^wE2* z_G%VQF>1ZdzmZ;v53@pc2^{HA1usVe!{AtL$e?|PA&@vCKE;%&`V?+vpY)apC?183 zN?K_;dw_BsA-h#`pnFDPU$_M0;8!qz1zwXW@4LfuY|ML-f>_rpVxY(cxK`}4%44?t+wi>4dAtr zC&Y6EfZrHqWEZk@SVpqg;#PTaNaLwR1XV}93$vRll zF@NLRpoX z?!Qj_N4Z>GK&)hzJ$XKz`GaWdITwNCeV)nu`Y|m>%Yw$(QUZBzoxQ1GMfPLJSX?b` zUDcST+5MYR7YV0YX72FR21FeirtY0d{Boe7UiF7 zqc7!SAxcQX3_gNJ--g91OgzN%y}&}MFZTM(ib1K=B#ypU1yN zIl+x4Jb?Lj;&*v}zt@m)xX%QmU18iA7yP3%x55TiNG52WwpzI%miGfBqTmo@*D3(z z)R^w(<*phj^4pox^=V2u7|ZB2@E?ny6l5=67_>$0G;k5S1GnMJ-l@@twSIe$mjL3L zFC$*H<~-mtBqJJrDhtPTNjedN>`#G9L4MJ75!7!Mu$In{nY{zON~@qC4r zgBhBEcfV73T?$=wa2b5bS?5wkHxKM(Z71bw4?=XR3mLqW9{jq1*T3-YVvf$|gClRT-5_w?gg=_9#(~4W#<{aXVsrCe(j~0bMVhc{=exb`{qe1AXk@ zU@fUHTkaepbYI<>>!p)9dBlRN9FqhnRKusmd9~yqyCNY7^F*s`M+rfs(d2Ivxk9dn zeHcy`Iv$XR%-f(zfD3x%?X$HlMoBEEy&e@}=WN zueQQ|%So)FPMzjezz4?1Ln@yhtT)Ff9!sa>G4c^2D z9DFVl{6Ok`q4gfww9Zl+?SQ?+Lr06a`R*FpLNH| z%Fbr|IDEXUsc>HTrD?0p^ZUL^E--mhG(BXKvbxrXd>codD}h}zPP17|)E1l8snq*p z&*rw!H&G`Khu5B5XRWYH$X3Pcezu41#;1-QZ(bL()N^yoF5VyU?xRoEnKb{>+coRF zCLiVE`_*{v>DmoK_rnDn4UPY?8EB+!d;h$$O6g?#$(~8sA69Vdap-J%opj{Jp3{3< zFD+nvRZ%?sjgg?{<%qMN=bc&G(DOL$V2k?2Ij@$LzCC_SHf-(bT+{{GdU+cw<3Y=_ z85tOaAq%qOGXpXzi~S2q^omjoauO>ab3NX~aazaQ=VZ8sv5|q%1>+!(RUs=pw9cOK z3_Ni@n8P1&VcG3ji;*wUIE<^33Lw^)IG%|rAc~aq5Y2D%!UH3?|+KE zU$Nm`9(UKR$rZ2GiU>N|EIFBDZgRFIC2aTqdrNdXrZ<{@-uHddy2IMXEqDIah_JD> zyQBL%wV(fatc7U=`{hSRt8-Q>CVS7kWPUSj<+{VSUl_fRNIf?3?GsLWKQ|XYChudf zmmDoT@Zi+R7n;Ft^O}36aBG~|=eNTkpy}AT?BWA`{t_06do#0-ADeuxWyNLzuAllh zTAQ->1Q*Twe!@o8dHSwN3)b2Sr>`|GS)m>7`F8!Yu-JG#nfxAm6OX6emkvzc8>=~` z%YyUNQ~x=#p`TPj>-FBv|Gl1RjR9B{A#(qM_4dEOkeCJx5nhxK$wEaxYeXD9HZJ_KZO1NC?`g4-^K<`vk8NGX`NV9`O!NCJj7GQp z{Yu%L{`}d(dF`Urq2-rO&3P9%L+wadc1Ur8gDU&WeW}t$Yg<;|ZBaV^c}j0{OvsOY z^$(xE+j^oxCSUGQZsf8aPvvxkJtTCmR23Q``H2yGZU-MMmNUaU(Hvm6?yeM={;Gn z@b$A3t+tg@HiVtNwM2a4=Ci+dJ>4rm^M~DXpYoZz^ggX%o%tZEP0Ar}il*?U;550} zo8kk!8JR?wA)5;(0yh`jySeemEMO>Qu`n>O149Y~fLw=W~st11H2LF!{gnoHj&L zaYkZ6DzY|^3+Jjm7MTuoi=QXDT`G1^Z6zpnfi&%U_qBIEFyy{OBQ!z0^#CXW3X5{g zuz0qxX7LT61FQNFVF7aC|HdWB5KR?HDapw019>axc)gt)(5hT-bgLGXL3N>O0=ci$ z%WCDZ|BMW?Y6RiI4zY^24yGv;C9*(Pq3<~X2OrphKWmwwJ5bP_hh8iq^mMHT>p?Fh z(G5T^$3O-^f>LS?!~jUChOQ4iwGhj*ZhwoAD!04APKlmGw# diff --git a/examples/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt b/examples/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt deleted file mode 100644 index 55f03f1..0000000 --- a/examples/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt +++ /dev/null @@ -1,119 +0,0 @@ -Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 02:28:36 2021 -| Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -| Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt -| Design : top -| Device : 7a35t-ftg256 -| Speed File : -1 PRODUCTION 1.23 2018-06-13 ----------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Timing Report - -Startpoint Endpoint Slack(ns) ----------------------------------------------------------------------------- -LED_PIPE_count1_a1_reg[2]/C gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg/D - 0.419 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[1]/R 5.276 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[2]/R 5.276 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[3]/R 5.276 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[4]/R 5.276 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[5]/R 5.408 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[6]/R 5.408 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[7]/R 5.408 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[8]/R 5.408 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/R 5.426 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[10]/R 5.567 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[11]/R 5.567 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[12]/R 5.567 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[9]/R 5.567 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[25]/R 5.612 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[26]/R 5.612 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[27]/R 5.612 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[28]/R 5.612 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[14]/D 5.711 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[17]/R 5.719 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[18]/R 5.719 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[19]/R 5.719 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[20]/R 5.719 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[29]/R 5.759 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[30]/R 5.759 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[31]/R 5.759 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[15]/D 5.795 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[13]/D 5.815 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[10]/D 5.864 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[12]/D 5.872 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[21]/R 5.912 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[22]/R 5.912 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[23]/R 5.912 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[24]/R 5.912 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[11]/D 5.948 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[9]/D 5.968 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[13]/R 6.051 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[14]/R 6.051 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[15]/R 6.051 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[16]/R 6.051 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[6]/D 6.093 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[8]/D 6.101 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[7]/D 6.177 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[5]/D 6.197 -LED_PIPE_Leds_a0_reg[4]/C LED_PIPE_Leds_a0_reg[4]/D 6.612 -reset LED_PIPE_Leds_a0_reg[10]/R 7.328 -reset LED_PIPE_Leds_a0_reg[11]/R 7.328 -reset LED_PIPE_Leds_a0_reg[12]/R 7.328 -reset LED_PIPE_Leds_a0_reg[9]/R 7.328 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[30]/D 7.332 -reset LED_PIPE_Leds_a0_reg[13]/R 7.406 -reset LED_PIPE_Leds_a0_reg[14]/R 7.406 -reset LED_PIPE_Leds_a0_reg[15]/R 7.406 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[31]/D 7.424 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[29]/D 7.445 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[26]/D 7.447 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[28]/D 7.466 -reset LED_PIPE_Leds_a0_reg[1]/R 7.538 -reset LED_PIPE_Leds_a0_reg[2]/R 7.538 -reset LED_PIPE_Leds_a0_reg[3]/R 7.538 -reset LED_PIPE_Leds_a0_reg[4]/R 7.538 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[27]/D 7.539 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[25]/D 7.560 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[22]/D 7.561 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[24]/D 7.580 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[23]/D 7.653 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[21]/D 7.674 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[18]/D 7.676 -reset LED_PIPE_Leds_a0_reg[5]/R 7.685 -reset LED_PIPE_Leds_a0_reg[6]/R 7.685 -reset LED_PIPE_Leds_a0_reg[7]/R 7.685 -reset LED_PIPE_Leds_a0_reg[8]/R 7.685 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[20]/D 7.695 -LED_PIPE_Leds_a0_reg[3]/C led_reg[3]/D 7.702 -LED_PIPE_Leds_a0_reg[14]/C led_reg[14]/D 7.717 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[19]/D 7.768 -reset LED_PIPE_Leds_a0_reg[0]/S 7.780 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[17]/D 7.789 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[14]/D 7.791 -LED_PIPE_count1_a1_reg[0]/C LED_PIPE_count1_a1_reg[0]/D 7.804 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[16]/D 7.810 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[15]/D 7.883 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[13]/D 7.904 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[10]/D 7.906 -LED_PIPE_Leds_a0_reg[2]/C led_reg[2]/D 7.910 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[12]/D 7.925 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[11]/D 7.998 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[9]/D 8.019 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[6]/D 8.020 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[8]/D 8.039 -reset LED_PIPE_rst1_a1_reg/D 8.040 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[7]/D 8.112 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[5]/D 8.133 -LED_PIPE_Leds_a0_reg[2]/C LED_PIPE_Leds_a0_reg[3]/D 8.299 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[4]/D 8.304 -LED_PIPE_Leds_a0_reg[13]/C led_reg[13]/D 8.307 -LED_PIPE_count1_a1_reg[2]/C LED_PIPE_count1_a1_reg[3]/D 8.364 -LED_PIPE_Leds_a0_reg[1]/C LED_PIPE_Leds_a0_reg[2]/D 8.471 -LED_PIPE_Leds_a0_reg[7]/C led_reg[7]/D 8.478 -LED_PIPE_Leds_a0_reg[5]/C led_reg[5]/D 8.481 - - - diff --git a/examples/out/edge_artix-7/led_counter/Output/syn/post_synth.dcp b/examples/out/edge_artix-7/led_counter/Output/syn/post_synth.dcp deleted file mode 100644 index b5731b0a1c35743b11586367f9e3d077f72c9b51..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 142779 zcmZ5{W3cEzm+i4_+qQ9!ZQHhO+qP}nwr$;GTkn1kGd1r=Qk~vdsqD(C&R%JGDPRy3 z000080C!|vC0oSktUy2j0AdgT0Puga#zyvZ?l#sjNji3c3>YDGXLaW7n{=lDpzK9- zf)0rU7<{E}U^W>u@klh$-=F7KrPdpZTd&z?-S{%#anE$1sB|yEra}@HQ;SFCtPE}L zkC{q|jCWr(7D(v{(P%;vsiHMi0f-xL+8qni_1^oV+&sx#jl0+9oz8B{ffwa%D)u#Q zc5A^q_Ey>qH&yIC?L`g2J>{9cRoPGRr`o10{3!$*W0xp#Qld6<6iLVRbgy?mx)a^Q z#h63SY8+~%_PL`p1tYZ@dGRPgcf9vP1jyRKfIu*}A!~tQ1~9jE0=4WRnHc`q^UZo(dR?mSbX`_xURK=nsNU}OZO&s> z&(V|63%x$AYu?>`H7#yH0S`opX`JSvr-XB@##?t9k`eFBV>*a_cv2+eKG!pZ7G6Nm zH1jHB66rh}7Q;Rz3&b0;-O# z2Xp&8XS)Bu$aA6_m@MLpbk)2!=GzTK$ZE5LU!#k4B7txnn&LlXwsP_+YhB%M3kj<~ zq@ozx^dp*64sLtnaTD;n!CAph#3w^IVV?0?^r__AQ=H00TC_vcR}7LnE$td`;j~q+ zY%s?1a>S{&scEB%cxBB^X4_viD--nxBKL2@BZ1phcSfH z>-gzQO`h6%IcFD)T>u2=t)s+AB?dB!+l$#d*3=eyGlv_4XrPhwM7 za8@R9t{2@Y78z=Er)??#MioPgEPI1|cB#sjZ{$1WK;ed2AaJ1ttg~Ijm zIp>8`CoU@{7M3aTbc2uAtCZoJ@H3^}RO<)DW6B?`@2liS{$wK`57w(XrDaZExy(Q| z*V%nzv!eBbfbO7nCSrr3VuC4%jdyz>?GtOFkkI$+M4H;2p4uhtWD?d&k&j@%zlHTi z^q{uLzCU*Uaef;`^T3M~y8#9dW4#MrTYvj#WH_I+NdxY!NA*HZgd4d75r)|R)JvGia# zk=C;lXnx(`JutF5!e{+4g6R6xhR8K#BDQ;pEtJZ`1(GgEwkplNi-IpRr@C&~V)U*= zqgT*;&bnj#k#n>N`!MbC_0@8&-9#RcBgZY3l|tZA=AczS^N=0Re=T$evMEa-zEsc? zov}bm>)Fpk!=kO=p04QHR3XY!UbDvt)P4Qtvp??u=}6HduVw;j;l~=m&q4J|0>w7q>@YvU>S!GP zTJQ4E`IK0sKJ0+YqU(@Pl+i3RBO~K;f&oExa3)C0*jiECZ1FwaD^)<+gfAn0o?NA- z4?(Qkd5_(RcN=eFLfyt^M0AnBwzu>ztgtdo=(nsdaU*F3q{S-Z*|;`GDq3e|H1D|{ z6dTey&)`N{zNqp%zSNcJex5)ka`PUC*lB`?-JP1}xPN!sD z1yqv0*1ng{31&&f)P&@=R>f?UODk&T1QP|6l+~y?A9t{(qP$8XOId^5q3`@zc)(vR zMF!-Iq~mKd z*%2_3#NcBPHq15t<_h}hWZ36uEWa`R&^`%8A~>Y;mgHbt<~=#5*MFlhdB!Xf^}dS zi|H;l3xO&pOg7c;rpcYt_UT5z;9)j%>IGPA6|sLU(Pu@7CLeKfw7AG@G2s3_0ywHF z^L|+LsN+$Jn}g8UVy-ta5Wdv<=i2^33yCRs^TE7H+w`U|IJS~@HsQe&e|`kkK_ce4 zqKw@0H%i(U4^>yT2sL|~^vYg*xEBg=rYt{Sh0;iXp)6nYo&&Mhpob|r3Ijf0lsD>< zTv!=ghPjDIK57X3s|yzOlz8zrQlGPsU@fubM5Bt=?r%SS0xA@k!&u_66R~}`mn=4Y zah`Z-#NHr_n1I5NQcRBAX#t$Bk+MAN_@)E;d3?0wbPiICYE_!v>deBD3BJKQi}aB% zbbg~d^Ow5lw6=ANd?mY@7TkzgLz_ldJZRk=lTpVp@DSGXz0@5pGqL=q5LP!_;_yYk zfw|i1T0eVfe5bfgXjk%jygGqWUPsJvY*T4et-wD2iJq-zsCqgc2AEVooNl)h>;(*g zd`1isL)lLSR9{*%F-F4^tr>;M@yUCm>h<$yDnJ#>idn{O^n*PbVYmD5TMeHXF(?%I$CR(e?ZnS&`fhC^AKh2o`R<&nPw8e2_#ElWa7|t>y5(aRZV}PMDQ0ED+ zHtbNYnMPgPn}|MsAyp8Hml|?zK$Ocp!Yyvy9g1)=6%5GLSs~s8U3IGrw`T(b@WsTi zpz5${O>T9mapn^G_weyxz5~;;iFU4mMF)qNl48D&#NT%*=-lpTe@EY z$Syq+o7Zatn}lj*eLTbAx+pdH)qbLu3H($)7loRh`yxwr-21mv2mJ_xYsBf1Pj*Z9 zO%-b62r^IjvIdrT#Wk{l1YKdfR9%wA9#W~-0VkeS+N^(nn#mKXTm8+&XFm8W^#H{t9CHE#%RVZu8RFkBj^)4B>8d9Lh^5f#FeHJ*9pcizD5 zSs@RiRXW}C_0lGDJ<_vQc%47Iz1jO^^^sZ#(XP%`lmwY1zS4BPY3N33SwVUGeiQMg zDsWe&T8x4o5E8>gHhTrP%nk)9=CYfw{8XGFDP-P)1RZe+z93c$M2@?7JS?P`0#g=> zIV;G%%^-o*mj^*MT*0Xdb4!fyE4t88x(U?1H4;5pt%{|5b$3QtLu1gq1D zX{rmDyZuzJOcW8^^Xdsv3@!Dw$DKOaps|rFlly2vTBI-%4QT!M?ZACCzV%s(+j6|Vw{0~$ho4s#Q`D47LYaNONH=L`Rx-J#I z;&KPqla9bkyrpqkdIRDtRt%gG3pX&JS!Tl(dGURig3?c18E`{fVj851^oV3s#O8g~ z`*kJ^we8?N<{A31r;`VR(SO3^xn#D znIw-p`;XwJt8JY-*|m+V*j$KRoo-64N5&K^w~GsVo?4ZyGrOM3l&v+J9_ys-vS&8k zz8`kp=U+H|6#2sJ1wamY#ZH7Y@CeE%DYCr+yJ5-Et}wGbu&| znxgL4XFjN&`N-M5A*e{pw?od$!67ZC**Syq;r-)D%ezJ-g?&Tz7WK_M_cI@c74As* zAB?k0REDB8VfV9?LEY(4)hAIT)_rEc-JU9m&db>1N%~Fu!`nvCt~ElDs4QS!(HcJ} zvLVPJ|iQWP|a)ZN|(&4{cFKH4Z6mNP95~M>nIaAXShys17pVrs>EM{NBYrU z=p4U(`FHdTKgJ)uzh6SQTi!o0C2@wZ+iy+X4c!IZz1_6}C+N?UrH31< zM+eo9(!%xN$sAmbk;1DD@rTm=%^14 zzHa*185`^wyZqa3VX0mpZIEr3UNSg{#d}t3lCt=*(A$xvds(r35Yc?bTVUnHX8z56 z{-=GzTT!)-KlC`PBxM1oB5*e6O(Sr<=g%W>yXO-laQlRFDD$Dm5}5<0%ZB0DsjCY5 zm@bvXXwD5vpA>Gp(aRJQ@yiSrvU3|>IggN9yzkF%y~K{{05%YBX ztP;z{GMRUAF}Byc^uDwKdT`>hy7Tnz*>7F4o#*A8-!A?zOpSOS&^0}@0Alv?I;~kl zy}msFbnWg@h~D(y%#ll5i$3ndNdgtoHM+X0H|Ihan^`k1DygqOkvDcUWU z`L>wIJ@l`$V10T^o z{G!%+Z%4mh#dfyjsacJgn!8|x#Fi<>M0xk(ynWeyAX7HRBiryb8Z{>MmK<+xD?x>3 zgtinW|Ez%mhj?|gYGea`{CkE>vFY-7L$L6y44IqLu_vd(7}^egZM3Fz*V#c?HM3#$ z1zr-qL{pm{KGty(@jyv~_+yO_sW2GdS9#BiqDNUc^X|S`)8!ouN;LC+w^`%#or0@4 z6G0Z`j{7H_4)K($otx5;-%Qbu?{{rJp%NxaRV^g`86^eE$u6mX@b#ypV7i_hAL!S~ z@zzha^;dGi5)bn4(Yc3TSx}I23!oD^b#5h-ixW9!>!s`VcbkY?eEsEbt2y(?bzYYR zSBH*f7n$}l@fQ3?uPmOR;n9>6|; zlZM|VM7qelZePRMy{SU_2FfJ&A^FAJtTe#KB{EEn;Q>In1d@P~i zQ45l1N*tHou00LLzaz;E2#=cuLvqioOY*26jOIZui+SfuSP6%1O0oIWjqe`(lE1Ti z)s>CO(SBz^-ly0Hi2b*cQS6hAWN)*?rjDa~DVd0ndP){&19uQ^JTrOtc9llq+u0OD z+^%*GE|zv$*oJ(Ni>=I0ud+BgDcPu>7@zk^QheJfm;+}P0Ael;SP<#Ic129IVu>Yj=Oj-Ug-ApoI&Jf3Gz>j)V1Qoxc|7}SBkzNv&7g>it2f!vg6^f?%n zMo%i=7}W(}p&(f{+;1O=meWw69iCk7r;?O@7>%Ri z9bX1pq!nH^R<$s{0g{h?&@Ix2&G%+8bag7A`M`ZN?9IOgjrMQCnss&=9e$01ps&N& z+tBT63=ApNX;LIx%9C0ulzgzZiGT->73VuRQb#!`S!RTdOLM>1%Y21AX`k=ob0BWs zreCm_M)<-OXbcsRKDg>0`8oNnpyL&PSsdy+;NLT8LD9@o+)cv!1ePd7%qw3Fp?O6@ zisFbJK_D19f>4UN3=x>A1#@Jy_rwSOtiD$rzi^tov*yVAnL;OlEgRLtl|)=UGH$YA zsv(CihY=Xm5wNc$n-Fz|=1!wkl#;B#V>l2*F)Ed0Y(h)Iih5Y1mC{$m_7A}0GrGeH zh79@rX~ZLfWK@~+e7PdjU{MI3t~5h^mc#%%EwPbU;2Dj#R}KjtaypX7Lnv0y2{<=K z)5Sb5$D{Le(9VFqx1HlvEzJn)1LSmWJv*`~wbO!an&uzF=B=q5vBbe@V-dOMHmj?` zHd$+3fmXw5pdP{C)A9YA*`?U-h*o}q2;N{6|Ruvd)zYzvEmZ%f0J4&=o+vJe$dJ$lMiQJbO$f zL2zihk1(HQXoV;i`D>FpZ+FEP60zm^-9}Js9|yFz^|*K3@mw^Um)H1EGAX%k_0FbL zw7@PF&Dz8-+w;H+1eBIN_VlYp)-_DH$~}qThF5xl1SB zZ0=4c8%~U7L+n5zIC?qrHjk%JiL%{4Yg6V1<{c$a?7%wgnsqHG-^YOqz31^%=cl{o zq%M3Vz~SP_$WzG=;iiKNfx>XP%A^g_)bMVn=O(TrgFsItg@cX4J9XfVaw{YP;m&!3 zTwE*A$p)AkwM9qkS0SAS@%qoK$@E0+($dutT+Ea0f!A}bFH%TIPOQpL=^LjDkVlb^ zE6#!M>raVLyN^?>joydy(o}!emMD$nZ)hT+QHoN-cv2KDAo|DS#kie*ONt9e)W`AU z4yXN@8ER(w*~|-va&>k<)J4hGPNt*<>^F2Rd&lKHBuN#RRtu?VqCmNrn?|lPce> zxBl^;jagb%C1!Bfx6NzfE^l8hWlrwB*Zf)#;WM!272CFl%hv=Q%iz%C6(;e*v0flj ziiWcGv1CazF{eso1a!#5wv&d~1XNk?eb1uls$_Hcq|+Str!G-x3AbpfvbZ&I@>%v2 zmvp5XN6jS+39RH;2->{~YR5_1;rF5oGQke`MUUdz6m298zOkwmXoTeN9enQZq-=pQVQ#GeH;@0GE;qQXWpwJF)n z4NBj^@<4%RL-$ndI49|NXEvX&&@ZFRGpQV+_L)f%4upe1Pl!Aa9DTaQA6R|@9^AZaU`9FbVmvaE;wv|6d-~$`QOcs z{vpX2FH!nh9D&52MA&|i0;3E`3i%HM6nf?nf2UD`S{#`~*$FTN|9MCmQfta@b$2L+ zhujnRTOLon+v)3Un`mDFJnQUtU2S+hG>7=FW1c|6EPl4_E4-H*z3n*_+;=GGvXztO zdNwP@yzKhy=3i;^&^F_82}{2A4+7|K7}oYwJU`EduY%cox8m2e|)X?56G@J=(Bz;#Vs7w+Z)@D1ZW$8>*j*6#-||K zqK7yzg{>djxYA=VqSGJKD$*%<0~WzVzS=bGI98Fren0sCQ4+Ov}vdOo|k;w3E`) z6V;M56Vr1|jgmByv*R>UDhw=ib60M?w^>fJv+c5|q_BGNwmqx%UqwP> zl2TxuHD7<6)(M>&f4yUNL%XhS^NPG4GRj0@Z|c!pGKS+80xkA)Z#*U2p1EAodxzjF zt}kV7LXOU5cE_=$Ja$6mKMuxSohfN}pW3Bp4=$;9Hqp*)npd-|jH_BVZRiJZx`F4( zZnOcN`*tPveWBYuw)5cKT6oQ$*E)+gYFCig;{dw+yVQbq^mv1`v(Y_lvZ`C#xLRx% z&j2;YvDhL-kQJbT#HGk}h6{p}%divx2Ax7W>^C4A(qYT34`vLF|`{%j6! zRm{&3^Gft2eTDnaI7;q6TD;=5B|<}3q{(HuqBHZU(6mDrQ@3Y8d4;n0lfH|luXHei z&-`SlP#3ej3c@3);J!wlB=;Qh=#L*1!@fZx&>aqJx1Y5{mAjovQF@*E1>`+JZwo<# z0^7~N=4kUrFBnog%X$6^I&iMa_X5E-(h&?_Cv+Du{1!=w1uam-a?5d2F|n zJc)=pv#piYm3y0bML6rY6+Mgl>j zDw3nmjI*BS-h@e-y~HC@q2QlUbq-k@z893G&tJmewPct%kR<^lcmkV1wfjoQpmP|% z+cHp2em$t5m^2D~X8_tozO7AXF@|}iB5&Fw0x)<;(5LGP5(%%Ucwb)}DoDq14I9Q1 z3+&4!^dtHHgg#4LYyiR+;$RrS)1tugWQGA@E3w-Ha8$}5$zS@z9n5O$(hXNpqkNCtqwjBJ;>!$sJh732i6?v{_=4BZh=4zZ{H2=eo)0N*3Rgy6 z1EWxq?Ep{TsF$Wky8b?+0Hl5!^C;Hdo!9`$#^4%+Aty{G@RYHQ2}W-5*pNEHpyY2O`G-9Fik2Wj}hn^bnNvQmK;Lgxznhe@AZg4^*`gPwRv_Ld7QxuYHGe zMWg6+?S?D;b`VGq%H0TE+lu)E0gg8AuX$7~x%s^vzEJ)=u6rPCM=&~g6L+uw9{?yE z8Y+z`wU~JaO<$iwk+1cxzih!y*}3*)>-dB;2V6ueCW#fL{Ku+150oGBvHF#MAQy%A znLra>1%~$wG)v*k4J+nC(^opq;EpK(Ai{~iK>w4tyC`H0jt~I=q?iBzAph@=EKcSI z_9iQuR`yzBE~B83cl$sDXwZDAo`QB0sm4IX6qBvSK>*@|M37hnCKFIG^GG(7rp8){ zdJcySuG^h1dbW5ro24toIi(56@0i>*dX|?K%*bxmbH|JsvU)eeNz%-+o60IW;>LGvOugpj6 zGUn>Yxe?ZhTP=y(_^VD zQ6g7Bf=!yMZE%>91KBdlKR?W%{*Xmq8zQEe`Ih#J=E`2)kqDb~$zBUG2IFk1dlV`L?3nE#}F2j%@9)fdMlN1|o|H0A##BHR`t2%WG zF&s#)!n?4B;8Z%pr~Eq@A$w{+JpW{0z{SMs$pE&4gn^wQC??UL*TMt@7Y(Z+-!11YQQ==gMxur50-ZhxH_p$T!g#0SWE9m0eD#s zK20?#bX|`(1bYLv#KJ|IC`#7D!Os^J_sWwWT9PmyW|h-# z;^=lC&iYwI*@TqbHy&jWwH9WPX1O$dT4+26|FBXcBj&~DE zZ4wz>vFTpRrBa=4<~*RHXxYt`$}P`gk5oGv%QUkk6_XL%LzlQP&WAac$BH%EO`?4y z!bI7g>T2Dbia#SGjWTB0E=M`uaa)#A9$fG-rLHHPi>`!CC8IJX`CjNB7|jXCY66Z@ zQVwjY&JAO9lu8D7M^c)|aHs>(dt9^|LZDb^fp4ij?xG8V-k68xSnfc`%Rt7B-N2>Q z-yR)+)vRBs>}dN05;lGWX&>QIOfkO>nbBL@pFwjX3I6Ahi7 z!q{rLQXxqhm(-yl`q&{E0&nBZox6i?!T{~LP;AmS3%SWPhXBa(TgM=^xgEOsc#GvO^Z;9`kO(QDH zARmi((MJjP+zGWirq5#G?xHR@Pqd?mTcWK9OVOQF zD$FJNpFw)98*C2Ae_vzn!-LFg-Snv5F;OiQ3SXQ|mHTQmJRRAeM%CGSa_kNs< zi4r-bfV#kOnvLM+q|@H#EU*WOR;3!&Z?ICKp?9Kr;sbV?jl62S zED3ceV$G3}{dNcQ6W$f;aB=5`2eL4#c7Fr*T8+g#94l2b`=gc8A+_j~u<_9DC}~o5 z@2CG1Fs(!8wHA-3M7B&cY`W9B)hmxcxec0WH(%rf@kIsQORyF(8QyWHT4&|1^DFck z5S3RGIENlI(098tVg<{iq0MH$d&ob9%V{1~i|p++4VTe6^trDh=`{~q-WQU-M6|yp zljb%JuTUX`is5->fPn)75#r91~)TG>+^|w9?pM3zPpSX&&Vek-kK_ z(cU9VkqpbxHi&v}A@!L>&>pf%eMOMsH4d-Q-g_Gz(sJoB*q9gN|Oam7&DOnjSqs$fFVm7FlI_$I*ix)FH6}9VH?-KNola834Pgd!m$6ckYB|Q z{ddf1{MP@r5Vz0l7V`If4bmGP?rQeEZ9U|^|Mc$iBV*f=XaC|~JlUxms(Y^vwsuKG zNBZ7ZlJ=TL=-#`fmWMO2{?Zn9tEXk*9nrPznx(xC4dN@M`7I#@9rFLp`9_!e3LxEW z9xh6C-$bHUKZKPMt{B13zxfRt<&!&){-yuuUXp!}iq#9&-GrX$Nb{wQHMF>&hZ$;{ z?G+9gY;rod4-wNEyz4NlcVx@{tqbWZOd4-AI5>FSg*Ys%*;j=gYu?bTBv>YVOD~Dp zwtUtMs#yaQYj}g!v-Msa)H>63%YC|)>z$Pod?d;cV4C|4pGFhiBWy}pcr{lKpTS4M zGJWJWZe@Jk8wU~f`(qlsAcpVDOkvH|Cug1vX_tg)^oVUdnsLX=w1w#tG7XaTD_N>P zsAWvH@kN)hNGZ5&i(=A=vRvkzYDB4dS@ITHR<2iv{`^JF|0z|5LLXltb&r$Li6WQu zZ$JjSRPy$n*JmKvV4o^YH}cL;k|fn|2LgMfnEea)pEJJ{MVjHkqw$5}zwDhT@QD*8 z>Tl#&rBe5pf-aPJ#7b$0h)kr&zAs8FO4hwHLtN>1bOkxle8SswY`;nKwq#%F(j$N2 zE!5$&_Q;(X z*TGJiIumA`jBO1E_ZI7Cq->aVI{&>lY(hR+a~@nj+aYTfSwU~zZunzfdx{v%_EB2T zMqSqzv{TXu4N1B<`b1h4dZN z_Q=6$82~(xxz8kTO`d@vW*3l~sTl-^5_c+c5xswF`(*&8r1k&BpGoYuo@2Tt5&r{t zCUM_Q+Q)1YKD&UtG9e51K=Phx0+;I`bwn0U%OJRsxI4>5ZHGkLX6J032D#1!@jH;X zE6(coNjjR6j=v*uA5bECmEpU!b^K&PhL8(H=Y`C*f98}wVN$Dv4bJ9utSk25@U7ozq)^fXbA z79{W|T7*bU_bdVD=}{d0gApm*H#)iS*MkJ}n`bfB z7cWAbU(|AuKh?MdpV;RdUrKOkj(FsJOx*KhED`M4h(z?;NJ7gpnx$+a;9@GQVe?-W z*AI_k{%;eV3O^bNCH^>;$~-Z{l{w;-i!t%uf041zkK*(H+^oMbB(#6k7d!s} z>GF$Sto;M3$0z2!!j~FcpCb;r8WX4b97{BPc@*dQWK>-EX&@>4cV8>M(IBmUG?X^{ zaU^YdVw+oY#E3Uz;%=Xei6=j2w1Y+kH~3PK-$=WlXWs+{wI0R8yck@*aFA#*3A?Kp zJDhkl5NY<}bXL*E&7*&dU-bpd?;X@Zt)iD1jcCGn)e$s(aM0SYh!>_Xs)bAcs9|5@4i!%#{`#XT;(Z#aS$Zh3le&|MLhwDH;$?(7V${&+4+BB z-x3DK2nUK7kp!a~9`5x8#6LKyjaWoKMCSrPV!)vTpFThq=$i-cUaY>hXrl8B#}D0F zLiX|zUR|)=w1T||Cb^N%JW1VAIS4c6DNVwEv`?HjBQJ~oQGZ4stqtUkJQEMU+mpR( zD8LO0>=R=@ZGM0+*c;5kpE|rlpf1e)o7F#}@D2fhAc-H^@ix=*C^r}~8zuOMKwj=J zc><=Nj6OF@cAOIj zQ34?{!M1QKrbN!PlnjWSUdqE9&YYB69L_}*<(NWi`H>kAJ4sZwM9v+RuE+)08l=*} zB>qTbg0D4_nGiasl2~E3UMWm0iNKXZ9L3biWd#X$@;YRKlfo`p5EGas(Lx^*&lp&c zG%bU|;sF&YWP(UCHB4|894%Nm`qELfEu$R8k;|Ib_1+2=$b>lstC(RR0h)H^&Q4XZ zWP)ndo8~x+kCw<>w2ZWyE2hYVE;X$fVI-BCmN<(omRTA=J>?fn&YBjs$J(|;Br+?m zNAa#I+|5IWs@E)tio+LB$b^_Ro-)DCt&`~x5l$(rh>EwBbHd^eRldjtbC8y45%b`X ztolSx=R((Pa6VV^L8Ap-64wIcC`;(sor@gKQk7NBLuxghn;gzRl|7-OvwTPKK=NxC zSh#){nn&}Pm5vrVRIZ`Z7+fhTyYqh~Ik_qC^ zF1!2q@q4vn5iY(->K zhe3^InN%`ics+jYmcx-#F=J{;erC(NotA-Eqh3@Re076~I2g!64l^CjfL6h2uoi7T zG{{PBYz9(JN|te*hlp71>Lj=qUGD&%iEN*tupNFHyo-rAG-Dw-ewBgfuw8!&LZjSO zNhi=RNV>d?1X3L&51vKq2%buyPs+UvD}_*>m~%1z&(Aom z)1hW(DcC>cN;NEN%ppP2C*K1nZN7+aohO!By}&eUtYMORWoG86yG9L&ol$^BRQ z+MSkIwW{MZTVO_`$acfL&SG%j+H_IVb9HBg1(cR};|Ekdbm#N^`Gvde2h;4M?P)|JhNq}On3rL@%G zY8KbhX^DaDOtz)O0>kSOs-?vOL$5~HMWZR=C@MuEjXmnnDn(^0OXU9ULWw(5#9`+9 zBGObr`+;s{izbp9Pd>v`VLN}PvQ7hKim|+L$JNpIhT@m7VApxGpZ6jeyeyXJOVVXC z=oRye$|ViFEG_P?YGns6+cWE~=OUL*YuUjQ7IL?Y@1j7d3fVWNvn`=_tbeWYQ_&TN z|AD1hS9WJZyhN1TUEHS_ao1+QyR#3`HC0a@-zqp~1$_lLroQRT;Q9UrY+d6sT(cg_ z!R)%|0vT^x!&rjXoYwO$i?~2fyG)kGlY~R3S#KqCtO0y%S^ANqH~r|Mv~(C;AO6MFEtJ)cBq>Q`lrZ+y8dq*^9l1c2n?Ms`!7p8BI&w8! z#*b)cjXV(I(vRs6hw+xd5B8XY0qND>uluY=r^m;yXok?Yz46cc$EF=F?Q)cm5U`dQ zbCIB*54mRZBE8^+{2Ii9U*P}Q3EKaT>x>uvzgzfD&IUedTIMKbC}U>Q+OkdR z?2N{1+H6V9fha^yTRR&i^qCm2GD-49u*nAEjawLwhffGBT=tv%Y8R(>8u@e{-BpyH2L$?e^qt=k{M- zS6~%H6fW2@IxU;2j zWads`n9aPjVDERu{jxZr*F$=;nAVH_`4gQNSN#&XTLyQ{swLE!>Prvz;!R| zwX`|am-Wdpw`QQVGG)W@?ii@k_m`$Gs<%|tRe@gI)9rROO=17VTt9zCdsy17|4F^^ zJjz`Ff=wxBSHTbxL&}UNqf!j2CmRDhq;<6JyuO1vG{r2vNW81$2@HX3ooa|_*NRn2 z|7G>~QC{b+ZFYlc0~IY^7Um)=L0c?L-n7N;j%t<=49d+=DFIY``zvTVcc6KDf24~Va z%_Z&ZJ;G%UP}c;9UDY$9ifs*NbBBx_7W%HXnjkuMTO9;;2Y6{;zuC888PrnlqTbx%0Ji0W$ww>{wfx7YaDbIg z5^9OYF_Z->l|u*&b}D;dK=9T+1T3g*z=uw9)g~~^xSSrdv{b4c3=g?#b8VvoGk4x* z$NV0*&TMSg7Jjd*`#$14!_!^TSSTX?4XHYQokunO4#C-LZV-JpmrD9}494ND5R13L zknr}|Na$A%x>cs%WYJ2hQvvAF#D8=f9NNUc)fiu!7I>BFtrKRx{bSz~Gd~F|kYgLA z3f5`NKw)`k*`b~G!r+v{oA|=uki(n$qNIB-g6n#(x0mK3L**M>q0D3dl0VXuZQkmD z?wqxFDSCc~>n$VsZ>3@UQx*j##W$rSnWSGtV#p$~LZgBwl_X^w+7GHFgFI*VkH?6x ziL5-0ax7G2%%p=r!8kc6Y0RXBz(l^Is63AHEY!cp;2$Tx5w6t6@MxVWc5w9EkV5r~ zQ#U~V^PTxBOKi@6h*G7mI#5)4XuAcfCb zEz*3dbx;|1l+v&Z#m*6XZRfOY9dgTQ+cKyVyCv}!Lb)e7$O~q42@WGmdVmje21@#ZInN}99&tUg{tF{yz@*Clsk?TS(=~EG0By$_SW)3+8q?f zR8GPGT=GEA>Oj$rwhUrg2|*EFSiZu#-rGS2C25nt?>98}D_$tl=XgCAoU!YU zxXWv2&etz+^C5jJ_0OiRa{Yk@nHtS_Mh-BtPch>f?JNwNmEnw0onPOwB75cl9Y?u{ zIlJ>g0RydKCLl^gMu08HOCT`B;j|chN8rgCtsCO?sj3gp>7F`+Gu;*oQcaRrUBS88 zY2w&jLFPG6e&PAEpO%yOZR)o+^t8&OZ1eBPn+s0py*=kt3U3{=nEokOw4W_Ef%jLI z+z`1lLZ(mrN0FSp1s~VmxbG`({NIgtKCtFHA6)Zr{kDDG-ywLC)?E1I2 zJ2&pm<3@3%!dCb|91tjbw;ngXbGIF5v13h*e0}YFE-sx6iPH8sZr#8>**uxpvnB?v z7?DHv9N zOP$basn91=O^?hc8zWOvce&jaD$5nqv9>13(h8O38Q7s7)J`4Fq5NH^$KlFyW&i!DgubBE>f(_ zBB(AZ$~vpdnxeHB547a&S_{1o@LY6~qOl3lyRUKnZ65PvYPKcq%w70AIoxrT5!`*B z16~_|w&{5nNWmzrFOLYb6UsN1rP`}cGQhh{H>xWYi!{wfeQx-wEIK(@(}K^kD-1Oi z8zYO)D(XqLRkLxWRFq0n%Htm*#+ z0MY}LXUVRD5(vu!_Ytg46#ys29;R2HKqI&bkw`kuAb1Eefk+Y*?;02mf+;8-NI(pN zDJ%{yAPVUSNeCn`3h}@q$OXwH8y^J$2$DfC4jSx?Xq-p@ydOTFb5T$19Ow%rAOY@J z=!+!43wIpsgD21fcRc(J68M2L4#^XfPYib~>zoB-nd_L9Q|_^81GLO@#MUMMSiN;6Iy1Iy zio{y5b!CCPd(;Km8+RKg0GC38j*K+tKjDm3Yiq^YMMC(Y7N}KOo2dx88Fnf&6ujCB zWfrMss*i`?eZaBJK%zu=G*W&q8<3UDjKOoywV@_B5ocd&Rxlia4!a31qLop zQb}UB6{HuqNaktwM{t2?`Nj%UMP0$J3N>5}dlC$CmUyD+qO2gZQIz`wr$&Xva!9ft@G^regFTSb8>aM`l+e9yX%?EHJQ7+ zYf5Tq&^k^P#M4CH4-6;@GcjQr@?dJ3HT0L1-Ihw|qmO1AMt?N?${B3*kp%e4N&e%z zD_+|FqM~~8tK!-|ryrq^{+P0Gl8E<(0aZ~JF1TP9#*s#a{gjgX_$Ng!<-_D(REgw& zP;p_E-KrKH*^elt-%wqMg0ngPI;JuGV;PQ?0g zZt|lrb8p7KfVbW1InTtMTW!w>6glRN)L@z?O74Z3EKYQWTG6DCVQgNUqmDU*z%uzM zZP*N(=QtfWXE0sXVDi&M|w)vSN5)d(LrtFawx30$Z{Ed3Lb7e>%`22WrrG4)Om3mdmn2k4 zj75oJY5~V9)8P1aDrK8B3XX#u$8d7qLO8_xy1u7{6nR+V$S%`N%!b8`UUCZdjqp?Q zAXuIzdutY$HCc$XOW~5Qew3`*`3EHAQo)x{IWIE8S!TFtnYeZe_5nea8h#X|C?%wt zu67+!JhQW>hfo!%t4(6;^a|!NGp0@Ge9w+J2TrC~@e%9M&`Kp8c6P}*{;|@1Ho7?$ z-S;9G$4-$rfUG7?jG{HY(c7Z+%qYw1q@6^g+_$W#S*);()@qMId0MPgqb3vq@mtv- z(c)PH8qxZR&iwV;uKa49wc>Sy9%U8|p5}@l)zINP2(H>Zm1~}p<~-%Rs7P=}dJ{ZV ze9;oMnjQn zTSyL`gaT*z3h$ftmO0t1R1-bOD$id)JBE+ds!A$8Gi-v_kR0R$7g!E{q7?H$V!#f5 z{#@Gv=d9BX+DjM5^%-`;^jAf{xRrzHf%nzx!#EwQT#?CtxZ zps2J!5qi+i`Qx1|ci-0B3of#7*P~m_k1D-8IJq~nIyZ^6LiH@!R9_rDb0WN!zvR&s z7`Mk1YGN5}%Fi+0E8_W`F!zeUTnkiF98;&FfP!YZm=L#CvpC$v2us9*PQ5-oOX@85 z0T#Yy8AaxcyEU%ZXN0BHtRDPIV8##;y$s7;H!-kwuY{y9TQ%HvU(wtQn|K6WS9YhbaN!i| zaD;6hKr>o6#WEa07YxwMJK;rG8aTO?nO~(lMA!~RdJdPN<$eQS-EEM2n7Us@is42KqMdic zvr&9!1!60jZ|U~4>4e*}_n2rF4QJcYigO12S_Q0KFu^GsZ|4r_k4d_8u_@@YAW8et zZ}+7y4A7xOyA5<~9i4xSj;72NnO^5myo; zQ1SI5-T_y7vsQe3@o_i)h)+pnqq_y44a=4@7O4_<73kOmZ%j~Gk1pmLRSlOSvVP(6Ii zS2*4AKOS#?`*3CZ>(TL#$M9bdGEJ>x3Ey9cnprud?kDD6pF*dd8jI2e}y;4rOS|t&5|E zT)k06AK*}0+nsxTTcILHUjGX;o9&1msDxI# z@1DcK%X{T!67Psr+2AzA^a9jy@|_(&3qEk}`56R%KqT{;*psvnZy(jw#^&Mv|bOeHzMDDxcYp^W9*zBt!+|``gh5L|95@Wz9YTf z0#ZaZvi-N=zE5vdK^2S3tM#wfP}?8T(V4o}Jk<4(uvo&}F)4c^p`A+zCBcn3@hi|V zsQQE?GgdhjQpB|VsmCsm3B2zh<>%RB z2L-=&p3ayP#0qW>CU6~GCR%z-GDXA|@{X_MYpGLpN8DGDOLO3owXP#c$HC=VLpbpKiX%eEhl(rH;n*{}PPm|nr5 zPqwCRm10ZrXBs*Fma5BE8mMa1Xvy#w93JsjunjeG2F?}-dpy#=G)!H!wQ}9eH0-9PK_z@ZDAO$=C)yPyBDvn8Xx!qJ*MUGX%SM7_}DKkV=tHX4wzcg7rt$U`rj`iShx>9Bds?aZdb=CiN* z0(?JOrGL6d#dGB*S!6=coG7J)=kU3QEaWF)WkOUjs?lde_C7(>y7HzrB5EHK%ON89K9>`v(d7o4CPRLKrIHtN;2*wr<~Kj>mDWD`7mO zUJJGCigN!hZSry~{g@+k3-`pn5`X(jrR+{N&J)}pVz8u&K`QXn&)5Vb>}9l7 zv_v9i!6P6aMBt#!dsqWM9=G;ZFXkdA95RX~$}Q6+#DY0S1;5ib5B6)tPqHHyT^Z5xb(QVeoy*|{AA9SN?$Y`MPVw3Wxu z-lLFsNv$b|a+!d#uS5AE7?Ad1F zL5Hx09dtJl)ROD8U^aGvJzOfqpvRyJ=0#g9yocwkN8rct9>#82wbDzQm-;$)#8sPE z_me45#gFv(8@>qkpBSfjO6JHnR*u+haB`UpP1#{qCDOYmnPokm$rwI787xyw%`j@H z#)skWSK==D5lZ!l9$a&}M$48MYA*TY$_-bU6+UU&bcTAX=1hr;=lG159wMLP4LuQb?GYgPjTlSX#jQOqofO>y6OEmZ+w@K=W40R74hl=C0}xu2_SzDSIbi8 zXtnBl-MMfMi{5ry)t-n;*K3S%&4elsiDw%M5Ug%W-Rmfb?j=lb|MFoaZ@pwP7g+6e zlX^viC!E~% zvW@oVss1iB#2b3TfK3Z6IW9ZbQ}RW){2)m|r@qS>V?S?v)=uDNyO*6F?=96TG^_Xq zsA0biw+H1aG_Cli=FhRq3{AH&S^7R3Z?a#8#dn>PoHOqE4{eyLBV z`)0XJNL83?5H3mipNjYYW@;v+6ByTJBbikj0>M7r)O%}#e?-xlbd_%zY&0;DoxIe| zNL3lyq9Zpen&9rQ$w6u4G?RUQyoh2N|lol0LzqCJWnuHg`iIA8C^%m+q@t;Ul(%kR9sFYux-%L!DF))*t zh*=cZnNRCfh#}|=`#^9E{jsR&1Ba;93|K1|^cXZJSIj0H8jbn{xvY|fT1UcC-j4BA zm8(YhC6yQ5yXo-%`LQRueEE#=c`x95g`5c`_`Hev+=`Y9M$&7vp;=*nY_(c)x%8ycYAl9ca+^ZsyFG4mq*n%JRN1 z;Ct!2`Z_q58(wUEy|_7VVZC$xx{UF8wC(x2zF8geeJ>#R`dn>Xn_QndIw$vadb~mO z{W`xS_jO=BpH_L>#v0yEYcXv>j4@4o`e@j-YJmpNshVl#OI_mQTF?9l<$DXXmsz1y zJoy?~G-=3qT7NjT@^tZeyY}*Fg}b(U+|=($8{VY1L3U&(H{sTl%ZtC@JW$Kg=GMyI zwnr(}PYtzi;=*A?^8VZ$xnrAYd2&@X6sOPecEx>q+icX1+1=ecdB|X*-02vwVY_(D zGu~f~-kliPm&s){?bvi#IWK;o{Vg|mH#LMcR-gTJw#gj~VGH%Oa~qD0Cb<^LM4F>s zTe7_T1iY;})|R?XTtd*#m+|mz=c6?S1P{76}M2 z>$N}VAkJW=lOal+wcb# zUF%g(zkdx3th>9id}}JvVB2ra7HW7hRjtXvug=cFFTDV4UgKr9Nq6b^K67@q{3JBV zf?&Gt&S%BCpG`t)vL;MMVXR?W;ypV-miYAQ=HvO`;j+MeHImyL`!qH6G4wJuz=Ac5na+Yx;Z6V-8#au#2dsxP7 z-=}BODs(gZ)kMP17l%6f*?N|!%(h`Gs?M*)8rX=TV|M3*jDj<{_lE;?-im%lcJrI_ zEOyHEM{!UrRjNv?Z5Oto&(Y7%Fllq9%BO|aO-1r9_xC3dU*8wE>9)WlmkOG+RU5Kb zPP0QmHpHX25vkcx*8w}24~$Pj&@O~zad!AZJ;n-s1Qqnk=T|#t$ImfFvtm6Z!i84Y zE}W1T8-2qt9PEV-8WwjWVu^#hv$GDW&y4tB;O0$;#Tl!*Wws~VYC1z;BbAET#Q|p7 zw|<$yi!lV&GloVt0_w}x%d|=odVOXHo|;fJXL644Gf(W{JAT%7-Ks}J`eT;7gYoI3 z9lT+86lzxyUcX(<{y<)rfkt&(SHd&F+0u+-`u@P0wVFULt!ZJ9DSJ0-l{;}mKPG9E zltyUnhIKG8W*TrA6b#`43nuU)IYUfmCWcHO4491O3hh}pVGb7fLUWi;H#J)2E3J7?}7203i4&_3>}l3BB2-p<#9m)oP$Ob7z^p-nQ(37@7Co35^| zPIw+ipXXnrv(FDMU7JC164MutH+w88Y(G<~10;_ao)b1#y-zM#e(0f?1e&)XbTOgU zpIp+D!|kV68n4CoZKQna*b<7Y6#?UIOn9tSLfl?FN$s1Do*&V$WMRAMHhBvbH?0S! zktO25czQ^q*F$TlTZdd&^cXjIc)EK(aPua3uFlSGM)%#w93 zYMR(dJQZ!?pqtqG{MbF$+!Y1&`GXy!ru${c_dZnDGxYNcr{>e=ec!QWtL<}~>*{@< z@9n7P%^LxBX6y4Avgc_aCmRoNpCEYOBzV6;{F+`pl>7YjeO(~<=p*=~o^0>qoc4X+ zX97Sp-VjSuQNF;gfU)>RB)e%Q;eR^%a&~( zPx8B--qo!SBBX8ir&sD?Uvgwv54k^dJN>>$134qto|vB?A!T7#U9ZQ!(=)nW52uUE zzBlVVc8}8)s=Cs?ojIS+$X_>?fDfOq$HcdXH9Z(#&ys@O@v$|-S^&4uuZu595nrnE zYKxco+LdSum&9Ag8Xfz+UY|IE?cxPNzJdw9uAja>^gjr|2!+IGSg)VDDXsKAu&j3OlHtAZ*MJJ>SYwN% zS+8|0L3L}bce>|S%Vvf1{Hi+%(f#`AX$1N<1m?q&-s}64H3Zf@N=ENh|4GeyO$q=9 z`xo5A612x?y_bzI3I1FI=efp5d9Bwp4Yu2AwKpXXp8nMURDIkCbQ=(CiZ#yjQc1nP zH6Wme8Y!G-YKC1^YMf`7Mqp80tM5{2Ry(Qy1XaKolH`m*GnODeGnmXlbBwK@l>vRi z{M)As0HNF(bo*0@HMSWV1Lyh5M+ngCD)DQ&F{o}JYww>3c%vT)@LnZN{=QSq{#Ra< zIO6L;5%BL%zES`~cin4e03yP_h>J@QJ^(}AkN-g=@csE8LwJB8@V|x+fKfTGL->6B zT;*RQ)3Vwj_(*Q_zc8)!x7A?1mN5g3>|WtdW?b!62aGYq8q_pr9Achz*8ucup@-O7 z?+I|KQvflO{%dI4$a-zN#(ItOzm_B0=2m(SV>^}bQl`FVXE~OfZ+FhbJ~xXU+wP$m zai4|FUU7^xA>U;Da#q2_d2%y8ht-BVnvH7(V)1Ijn3Hd8mKpc>m?NKas=cW-J~k?P zlFs*SRUeV;Pc1)>D%e<-XVidtRije1Bv^AN&+Iz4~10 zsBp!$!mG3G`Z^3!_!HKPH_DS2B*jA0DzXFP?+CHKyJ)sfVO-W#h%1_i8~|!IOQaA; zIW7hK0C3ofJakhYyqx0q@XMcv!bMsPH z@H|%nnR8_LPBXQ?e{yr;_T=ULcK?x9-R%?~`9UJY2fe*zmM~lQ)%|OsJHsgZO=9VT zM6+9Emh9!=0wVwW#o<)wjX{7gWV_W|zB3(K|W$dBOA2?uORE>6+gl%jt^b_&MzgbvXG>CQbMU3ox0 z?`S{blC=r{?8U8HK3yl>TsTSWx-9;aQg>0j;{4Nzg~R1z!N&)5~py_S*|e{Xpe!sxR9_{mBOY2PzIEwL%thK$HdYsjx4n7fWBh#y{VjSI$p?^srwN^eE}f8W2f45a zs?Pw+HbeCbE0O07P2--U8sF8Hp?TGDZQw*SzElNwXqL81zUCM@SDU(aoVm3rM(5LL zmY5BX!+xA)%zaX$%WBlfyySGX%l&8{v8q#AJ86Z0-GM%TMXOK#O``C?kFfJ5EjGHU zqxLW}o@Kd4UQ#5rl8?+Kr>*vERVVFTGZ}!Ahji{JQMiO6a>?aGxMT&V>gqL2wyxy_ zbs%3^hi~o&c1e;BUtCY!02Pm^Wyq2{{)Mf^9QI6sY0l-Zqbm-DmGikz6@scW?zL^P zB9^R~r;wtq?6b$`jWc^+s#q0A-m5Et1Ch| zHl(UQfKjHknkg^KIfYN;CTRmy7ynUt15}cw{Fa?Q`9JT4&;E*6+UI2%t<%j+__K@j zcNo5G0)&x>n3#J$x$^=N>Yl!!A7%%7ibY3a1rg|D%i?WxSB3? zHT~VecDjiZj`JuA9=Q+KT4>KKi7o9F0uG;`JTNZ5#XmlPWk(F+e})e^Pe2Bj*Xlr# zNLr~dV1^>PSfKD=)MV2L^=ix$NPn75oh27C4Vk_i*SKNx%Me_ns`)$y2j0$xY0$oF z1CY%QzM0cWuO<|_@^{~@C#W3BuUacT`k^K(z1m8Xo$THqLR!EDq9){4D|)IIb>ZIy zMrIf4i1uv+qKOf%&p}R$4*8d^uVgb!wtWW^AQjYrbrgz~i{Qj*q3AjUS);P3;Cl3wASOJs-dvrVnUUHGGCnpI zy7C@Uf_~n@{NcWmp3ZoYbK|JO2~J-XGZs3JRvB)e;PDCwlNCiT#h|>OIL{6x3bjU> z-rP7?1SN`h2@}TYFvE z|Iz9z96}7Z4Ug59BG0g6v5uoxNyq;Jz?Mtb&Pf(n0oU076S11oKi%LQEvRtlNkCZD zVcCEKz80{UEVvd2Q#GZqDc%85N8uzj<-Z}=j8>rr@6b-y#%U;pMbQl8C#}T(9Yi3> zK)xh55F|Gn+|Wbx8Po`Ywr@ALZ!0&SRsD%RQV=oqZ)!-J-Upz-6K>y^c+$w97V%)=G)Dj_4zpF^F zY)G--C9d_R0g=6R!9`HkZBGC~B5wNo5$S(5rO0wf#E+hUQ5*E6?7B2!A4P%Q!{L+# z8}Ahfh79TTPQ(IyZv^YHZ-A`5vGK3eWdNs@VWrGi%?ZxM0t>y_@8i#}ER7J6BvE4f z8dJC886F1QoQf0^ClQp3f%6;&hTCZzqGvo%vTL20(YFG%$K68AMe!)sz@x z$C{z4d*i#>A)eq$-079CJvLzzvY0zCb*_!!-DZRqM|R$G8@rp-PLX5^@Yn(|^v&45 zB~74vnDsUMT(&Os!-I5d3+taa8Eb35r;8tDGa_!xZS2@p$A(6oM7fzEEfW!!DjNDc zIM~#D^lRVydu`*s>33aYKW}mahDA>n&KWUINnFp)9)uhkBEPruZJY#KFip5CV{D9g zVh<#Cw2uV-J6&qj@UCb^VDyhep=f&h?95RlvgwMM6A|p(aI#EV6lr4f#02%+ul_GUXI2+sC<+#CuRg@P3#X#DG&zbqucXIV3A(3OC!Ei00L z0_uSX$anPeH9-&x8ywoas;V_!5Hn59rb$q<^=6AW)x1S)#9V9ZMVP4@ z^F$`#EQ_qV>F4aa7$@l~km{_Mu9!uaBGa8S!R4}8pzk^EKAd$Q?yE4 z^2q-6%ckJuPxMQJmbHvxGke1$!J1 z_G%O1X!C%r3+?PVN90~mQ+lj4xdcs z$Z&A*$CpXmESRq4=SioSEeHeoN3@M)mj@A3UiMFea56dOGBKG}1adCqpCbrqB81g* z!C7;VjK|Gh(!;o=SPI{h{mJfe*E)9!vRZ7f8gMb|IZw)QzdgZ9){f$h<)~9?PQYrR zY$%X>156JdP6lSI(xv;3_ZhvE;7TXcnPyN&$&)jEvHu#o{V*Lm@);CS6&lsIXHx+k z)30kT-#w^p?F&29Rb*JT-qO|4nZduLLwI_qDhKDo7u@O?`F^~zqneUpI}@`UlT&FN zT~s*MD+Wq1iOovZz=M-4D>HeqJ|Y(hBY8c)^VXn0w*b=P)7};*Lajj`zA|&E`V99ff!V z_D@wllizz5^wjM1*2DhhOuU$_gx+n_%e`E1wk*=tG)Phj9#OmX)RsH-`4&6%pBC$Z z`jyTIPT!-Orh(B0{g>2%`6aQFR#;;Vpdi8tF)2dy3M6we1N;rt>~b-O{S7eLg8FE) zRms0WE{U_(iU#e)(7pi?|j?VoqMJJA)Q*=%0J!cq!0ZMfk zaNpIlZF1ypWcfU3pWURBFnIz-bqkv*iydp2YtYbjc~gl;>6p{N>>a+~6T z;S8^?4clD``%9-;-ok0JTpLDjF+A;ae}D0Yf;=)NM#1-jUHVX^CE2o73#fX|LA_P9 zrEOTG@wcI|B1+KWlP9R{h%$N?UM7|ek5ow1qg-+~bs$ws?wP~5k9!oQquTh2xFb7$ z>2a>#xKT>nhR1k7OEvYL{h)ys$6jc}nOL$Y>z_=(=4U;jLZWI@d`K!&(e!rY#~U3N;t?OD)Z}STW=NFd3!wR=<9=rLXj-Q0gRKmU zrDG@_Edhb}q_9aL?u_HY7xreRBh$4QMIOj{jFit^VljhW9+3gt5RrN zVL*SrP{K(EDG%sW)7)E4t z?)BXc{+)fG;ys>j%yG1aI1%yV`E+l;g5y|xik4#uL3KLKTsD-7Ix`y*b7_HTAmOL~ zNK&9x`p@A>%3PW3!^X7f{H{N{oRw$Q=6GhNjOiMv?Y&V}ewsR2iGiN!>--$}H8s4g zK6n1vh7t%D7f_45De}fFk;y_iuz-h7INz+1uec~aovyadm+O8MCp(WRra6P_^t*9f zyr_O1jaH(Fqi|)5=?XcSsJ`}qXmdn6g%2XW3LQDC6lMAn9M=Z1}kzXThjN7^gw~ zytJ3~Sxe^pL1~=#L6=?*bfXus3aACul2(>|Y}=4jz@d zz(h=3Ku-;lRa*sHw6@1O(i9xO>#D&iDQD;3kE*?brq?hqo3vTK-z>h6JS;qdD3)2! zs|?Ij2A$$TGqkYQZY}Al0bXBK$wsl^U8g&x0BXX{v5bA5FpfkETsLpv_!Q)!xnWA=uJY1V6AkgEo4c23~ke!u%XmE2T2 zP_nCz=L7a4muXNllCEH11$@Y6nAp`NO*ZfW2c3SDO!fCRHI#dzFeTbnvc(V~1akW5 z`4%Sm2po^pB571uovz*3>(eNw90vK$*skeKAeMBGJPH{cg`&oErBeu!%hDpX)^d$e zWN1+L&bYlP*;&SN`Bk#MAP`FmuM;r)j;IX72%H4>evuOytdNHzhyf3(Abla}uBrZO>`}LA zq)ftstvh|SD^vPP9a$P0i!PZy%_}9lE;bDNhkEnAV=rd=zKUHHU05(H91hreXN~}B zUZmKeDd2kTMOcTkxCU8E*$YNKxlRCYujxsoi4xN zV4Cry60%#5(o+QK6?~g@1`Dhv#&p8#%jNjnTEUA6Np&NsCl6r%!#^wl$%w!iYd`BB zDP-FinRQBxEPOi{p6^&!UZzl*uf%=d9Y(#2@IB8`mc(f)OevzL5y!^VpO+)f1~gAj z)`&w9QM?{96tL>HVV23XnR>hdLa>oUCxG3P+Wcp+#cDwERPNe6Q`ueb)P6{i`~7J? zA>GDNN><4HJFp&c?{A-gm7y|)Cb>GRd_q)>^F8tMoCzQjT+*sm9AU_MmNratXJ45FQ9zDlP1?p} zVL?j?lK+T8DwbEsyfbhFOTl)WCR`){EtYPtIBJ0J%Uto89W$}r10f63gI)6m6g5EswiGw5y(V>PtV*U+6HdM!A@<-X5C0ZqE!1A z9cV3}0ax@-=E+jK0>`@GKQE;H;~3QVhZ5y_tCWyz$otu?Dvm^zxI0I?f)t^(&rHq& zPJKDd(^0L!Xm-R(W_I3B9e{_0lTIX(g`!{&Rkqm0+gz(|GuaE=;iduj5amGho_y2> zE3#5xJbPn#B$aAF2b9E>=Rf+#vxzDr8T&213#$!LOsz>cKh0}M!CLsJ+p!SPv|_8l zcC$`Gq_d+?>R7DlKNO!f{i-0=KvJQMtW|UiQhpLvb2bS|5)oEgl?zJBqrT|7mb6iU zNW~w3Q9d035fz>lcoG@7H7viYmpQ3yV0spqCLhfe(cH`u%&`GYOp_AJ}+!Z~S zDP6T(y5A*Ibngk6Nm)F7;xlhw}!k)`1$FtP-C^+*H? zl7A;HL6`p_jCHTT3fvfQdfc2>4)i*o4zz}Q?_T+uW^9qYeqXM9Z&(EKZK{y2js@t0 z$O8WQDm@$(#%##ofo;Y*B#w7%5vX4LQ~d}cHsG!V28Vxqi~t+eZ?vCh2_zrxbt4r> z80M8d(=1b5AV&nnPh5ZxY#rzxiyOF+XKHhaTdLdoO?W#Mg`Wz{*P#kn31(I4lOhM; zvnutz+6NI!c^tFF;-}>P4yOq_DRX8#b0>EV!>>g27Q~mj40PV2!Cx3#9n)i`K0yiz zb5`Sy-X)r&Wd&p&lb|$B^1v~N@g0Ys)yM$U`uDO%KgmZtu0;a#js(K8#A}*F`ZI~A zUc8RMUXH7dis`+_EhqK#cDOf9&bIS&)eha|kS&z+?bEY&$-4{!k)4kQ$}4msSbd0f zjbGhQKc&2br!JU)Fgp8-A#&Rnu?Bs2^8uI5UE>s~t<(8I%?pW6tC$1jlu|Guy1o@D zdS|0d5AeyR>6fQbZKk9F>0~z7+o$oCHN!=^yQfp#jcWY%IZ>~-{~Q=GMfJ$q$72gB15oz*Ccjl|cv z)3wBoGvKOjy7GJX)t33kM93s?wP9^|(Ug>>Qm{YDwU=n$t3*iugtej_Z7#gX7Ph?4 zjb%|}RUas$D1#tb&z3Y>`tg})gBCRFQ8NseNBig6;sh8O;P$Y8s`gs4LO*B zN;#iWcUns1jpcSLR+2%jIGdKjl9a0$8HQ5$(Rs(!=5Q?M&)=eP#GI2NBzfyFDWy|| zExbL-lI&yC5e{pX9V}`zB3(~l(q@<47MU;1k#6$ip4!tPIcd7y($rj`Z$6kVj!THx zcA?R4RB&6*LUEMze6T~u8U}babS;D0fzKRd9m@hzxawROW>F&=!NTw9%!2=NM27oh z*>7Vc{?k+uR`+BeKub`bG{ho9>prF)gKSl-jz$R_!#=y&F zkr-Bli;u$!q;3Dh$xs+$fhx>KJd^C(`v1!#7rH zwiEhmoL1D$ih1|>1i9=NU~^dc@*$@`Sy(ix&wiV=RsSqF%+iTc6p!3N8bArrmooc+ zkuMO;#H5Vg!UsjAjMhQ^TdPn51&3GYy0Ot);nNKv!9A$=pxf{@5xg3yd>mBwyYv#2}C~g$hz5@LGp@fa4A6A{w*9mT90HhvwYgp_NF) zOsm0`b-yqN8Og6PqDan4IoaDr+i!;yYC^LxYu_XD&G4C_3h+?fiSZPj{Os-ul2LK^ z1BieE>BIA(*1p%yyZhUG6Fo#N#SBt_9O4uwRtdes5e87F#m{KSjc^Q5#E=(km_d0+ zm-f@>p+(K1oV-!VrX=1qY5s}R|KW`@}TCRj~{AX*QnEAxg7k@i}< zxYr1yJ5n(oApAR0V&mv%;@$-=1Xg;q$GmtkeVZzrFw1fcAx9 z8^}LDEtrG?u$wbGvd>Jde|gTC9TPPrb#Dj^^Vw@&>m*QF9=(OXtSDmqUskj=$+NdB z0l+L>W&s9be+Ad-$_OAq|EmHO^l!d!9B6K&1!R{H-~e3SOFq9Tn^&5*J$l&w-a>y+-HWEEy766Sy_(M zmoJH{@|O@D2;V^b%akHeF;c_y-KmF&P~8-=@0ip6rA()c0Tv}~+*=|^Zuoy0Qn?S} zXnnDBP$p_cLxR*@m0q}r>=9o2zqZGJ(Y*CSL{?W;jnxvPvb00s;hTjz>J9yxr}OF! z05$NsT{Nj`^BX6|)TH~GiAk%qNr=eMipXD2ZDBx2Dvr0#PG8GC4|1*Bdr4qE?;g)xXg z%hi5`R=08*bsjwMxF zk}L}O<0D-YS{H1Dt|4xc7i{G67(^KkRA@?k`CREU3L9I^zcpG7Xi#j^Ea9v!)@%XK z}5`FCO0$P5C6xpXN|Q0EpJ}6|4D^p|vVB z#y4&fc^6c1=O5&!ds^iKd9<>)*z93K+L-{y-$Va203y)l8Z~K;cbepMUpgNk=4G+j zO95|C@SwE+ugInbG*l73;$$mm{9vjC?fjZ2b|`@$|7_$cKf}*9vvyk5xJUr4Eva0@ z0W|^$Rzl#aUed-U<#pn}BrK~K&{}iV8bjHv#Q@k}rquw)(e|PA_5D!p&ihQdB1`3@`yKgo!AOlA zaZ#_a0@~P=Sk4E$rXhJEvo3{06+75JOBZJ&iy1+N9C98O6mcWmKjcQFKbkFWTg@o= zIN2Y|(@-7%UYVV2H<&GsSw}vfQ2L6b#orDBNMZdXk9^{cvn3>PfKO1l%Vh6Sd3@p( z)sPmNJLy=u)Mwa@V)%`TtkQ7sJwrZr2gUZ+PJvhIH-z$5q||I$eBuCG1%ZAGwy#lC zl1k!2t*3wzOT&Ly{6*Cg%?(LDtX9)0R0LW+c1f;xfx@+b(~GwBYPdChHrfuC)x9bt z%}V?_<4+-K8#{xtK75|%`#%dPg=a-+Vy+JR?PrH1vy%A}oqj7>69!b`)Xf2d|Bt9d zNV&iSMz3%?B~%ezSccHqu!_KVTG<=QYHqEfc!(%s2z^~$fU3yhiKxV7v^rpc; z9mz0Lnfgvuy@)HVjF3b=+HD8ngOd(TG+{y(-SkoejeZ$670g(O;8x&yH%COl173m1 zul|dTULLrQAN;(N9!{w@9|(;eL8+Hr9(bQ0JQ8QmZ>#vA_Zn*JFwRS>8_vB@mnqcT ze-$axxq?scn|`I{N1Q)YiZ^UPpxeerRf18XX;U*f%oeuPAYJ5rP)mQIMsPnsG-NLG`OZUmfym?C3Q@`0IoLE@!cj!u%bhYy za8|g#Z-6_q3qFJ@K!a0u`)%6yS%6Z=4}mKI3OLJvnUm!^M^hdwu`^dKV`P!d2Vuc) z<*I~HJFY|~I17?i_F}_ZJgG_I;oJQI!(U@bLceMqppaA$2>X*c$~fB|8gW_&?W=w% z`;q@r6p*6$O5=S88lncg46rE3n?{FQBO-;PaH#5b0(X8-MHNlR`X&kPIvVnGRHWz) zs9dzkdtTNI+?KpIpvJh!o)$UIEnaKrvfUCqkL+}#33GcaApT5|ss3~bT{X_{zqQC5 zBdG0iw!qvmTgww-jJ=JTk7J4cn)@-P4AGQB>w=Sf?C{WD)Tv^)tL=D**-a&jMyFjA z`nGDpP{*=anv_N3Bq@u=Q9SnM1fu+0@qhy?FjWnE0|ae#jekUe)y`ff4rU|ctG6GB z44b1`)Zf}Lsu_Y8$aNW8X4T!+zV1#DDG)BMQHXMpQZsnJaOa|xveiM)ZUcQoG4K|4 z!|^s_kkq@wT;8sz`8RD*voJ*y4XtzCw&D4J$2ED(ocy?95Nob9d@y5DoCEE8>pip1 zt;(Bngq}sTxjl=(5eLM+1<3TCUZ;~nL<+6NO?d>ELOTV@thY|>nP9s@o??))9-2N{ zhzMro9u!1{L;$=*Rv&3$IgWZ&MuT8}F*voa32ktcM-slXAsCZ-~sEWKTznW0=?9SWsX*0u}8gBlF`#Cao3szM_FEjD+#=} z40i}*$s0}X-Fth%npE=1m@$sR*Bf%1h*-EzqiAWuf}pAO!uOe2oSrqSI&b*opGe#* z-)^7`+w7Gn+uU_IiPYrHa6h)&=`|~ej=*&aSg?s$z3t_%Lz=waLyhGy|{t{yjf2B#?>8j(#o^xEzj!%LnZ2 zn}jqaycXQg2%UCO$00M8l7Z?W;C1zI7Zz0y5yv7n7S&3A#~}}9Ra!~2=*dO0h&)im z3(VSz1y72J^IyzRf~Bz0MErf@iI_uzrPi>bNnwoT&9ay@CGY|h$~RJze)7uP1--=* z1e~!Lz`Y=@ycf|HgN%XCVTNk6Zg?o5^Hb2m?4cH1r2Y)l33*Gm;O2P(&iuS??J z_3!~utCV!6VPuIU7$i+qXmW;jItu>rM?1o`c6LN+?CeO?xS3Gs2(njwI5AkF>HMY~+TH9lA5!|OqqX8AFM6lI5VbrZ2hyCcid{5d@ z)fBT2+FX|?8kMD@nmuXqTGd-hhCl9$u?IXd*vH|V`rXbbu;d6xR1YCDeQ5C>n%1%+ zYS$(Jzb_H=X2{BAlT`g4mht*sEMxSuM17)3lD$wBpsoU!`@5g|R4t{T_(zEyBX7$! zhutpOzp{Z)O!LXrxY%v5I=)3A#*dRhM93>sgJF*cRoLi?B81q-_)i)Ar@q8?7Ilb| zj|v4>HT3LTWLLNQPVz$+%MBHI9TCqkD3}T|@pYhgf>$Dn?y=Y#sz4mS;7!Svu4_#; z1-PgCZL?xRZ2uc56_j~^UM^dJG99fZE8B~eOJL53cQ$!SSDf-t7BR~xkr#33@E&4c z+exb-sH_GZ7$*2{(Om6-ieO(F`HgoE2lmBowJmH{6^{m5BQ+H;Ib>a~_2)B@P*L_&!b2KeqeVA-)2;DQcS$;`QRk%6*CT5X*aQNR_nk+pM$Nty2;(lH~UVnWzL&-wUtAUx*+`+br}$i9Zy**T%R4Y zHtS$dsuZivba3C@t3B;fUqiTMbcZkVMT4pf99ZfB3s$SydL{o!>7rhx(gOxAUb-n< z9yjXg!MPGq3u8}Induv(9XC;7!r#u37e@AfsCvif%9`g5G)^Y=#F*H&ZQHgcb|w?s zwl%SB`^5H%F|jlEyubg4d+%CZUDds7b@#^Uy-#)ZQ|QnG#Kbglm}v<+FA>{h4K%vN zwy?_ftt-~vv8gIPv8DBQgH^`BP>q2l#mzvqM)Sk`v^2{*I|*fAB&5cDgMWN@;cu> zp@mzgatrOf_`ILu{#E`;6M5G4m%wkBoEQ$2WcZ!dP!%)^U9QVqs7W7*vy6N8$CVXA zO8az>4Z)YU;pd>Dc?Yk0Br6Fje2ppK)Ql=2tu>MTw9Q9zz=Ge$%YQYGpT4uj2c_l% zv>%aeBadV&ts)1{FvD8WtL5AGZ!suULCr>`*ap$kwp#83%Z)!W^Na7^#$?&`wyjo6 z&>qb_Jap`(!~D-`D&Y=siTSFR$mhUfL0BI1PE;%S9zKUuvbIp%wg4iNmYB%;JG%yZ zC2azKD)d>H$dG&KABDuiapE_4QiaYKx6SFa?h}QtinsKA*fYfbAfwWp)ZE=Ypy}h~ zmR@LFaIw5S%tD=wBo7D+0!mOiw|e`l_fG#R;#b+_pGF*VqSOL1llpv(``mApoy;k6 zU7_J65I?0E73KlQ4y;}sUUULZ{Vhp#h0Rq=D{O&dbyjZ{gy0eMcXn%RzmU3Yv*r7D zGpm0m3OcQn>@m%^3Db>=6I0ktCK$!!lQU0_DmFTN*oi=(uFQpLq?1bIm(Wx=1y9A7 z$A93y;T7ys+D?}2YTBw+IBicc6)LHkkomKzsMBSM8s%feV)Y2`;@dZl-{dG2v=m`~ z73eX9v+I5Rw1J#IIm)81Qs&vQfcSzgEt7u>F;NRyLiq)w3D%gz3H~dkZDIgM6S&u~ z$RC}yaPqMMB?@D)q{@}tNT~z!%b}@7+2rWbK<^R$BI$UP*anLxrC^c-x*xI_aFgKq zK^=jJcGUA_Qe;7ci^0Fs2ZXYDx7gkHEP|L&13RQj_)!IACKUp*vsSA0kM1QWc`Qh4 ziB4TL-9gwIS70viA2W6y4ds(N zER+`->vSG@5*nVX-Kpj$rN57^7rTqI)z;PN%CSUS_8R`!Zy=w{^PI_NVb)Pfuza zl`K6k75Sdu4b1m&OO_*M$QJ7zR$_icudwOVvr-yc@nSICJOO&8$~KG^1OJgTy=&h! z##0Eb_>cDuo>8V>E8nH{Uc3$}89zEJGC!e@R~k~(zjfnO!3BzIPXUbZ#VftKkP^+~ zbi#t0qFi~x>Y|MQDGN+Z_`!ht>Opi3Hplx0P?_E6jP4}U3r})Sjck)|@=T_VQ?JrC zJ@^)@AJZe`YR@mAb}(nY@a;0&Pgv4Bh*KnJmZ3+CG{-bcbztWJtIRYzD^F-0rTYkr zpll%7zUx4$R)YfJk{^ER)Ted-x879v_uFCbE`D6*OA8jPQMsJ`QOb1jvj25#+T zEVcgD{TNqZ`+D=)lyVSz3BE=m8QF8H;4+nl#Q0` zO|p6k)xxAK03Ao@A#-JFSE<(9br|ztH4x~$*XI<4Lj0IqCwlQMBp}=BZYxD^8he8A zjvF~X*ftD0Z*uDmW)J;}=X{xtgHo zkr7(FDVGQPYPZ3v3>HdYIp~k5Gmch5Fr$Hvd3wvzOeMDF2sY>uJDS0=*M&;xI2QjZ zyhYM@CLP$%3Z-nui&I>W(ljK-1I?3)t&#+||3vC`M(a2-&MY)iHbP{{2!8Bk_BYKV z`v67a=i;@-63(w#0@=ACJiJ|1g#5Gxwp1H`hsk;I@^}09qRovZz04dwS6+R*&)wd> zUVpv34BVb`)J^B@^!D)TK7gHuzn3SyAAgx;6QL_q8CfTyG0Vk9HOPsnayl&iM{bLf z240J!(o7p=M2NSXT^BDeA3q6duaV87x3$-x>cNfal*e3LOa`tU!=nFi{Ym)xG80C` zW`Q`69yUjlLuQzFfoYP7Ik$k2?o2U7E2xlI4~*DYPGU?A9=4>Z*jb|~cv(XLv-5Qa z2%y%qL`WQh>E$T&(;A5?7JBE+F&g!G_jY$8?73cuntTFmLQP=e29`fL_!byBv1@56D&ON#6hTFbDLYP=rrN`1)zYTJ zYH^^9zXf_j&8GnLUD|oAFlNX_=(}CtleK)$hb`n=XVm?{PTr=4fQ?axwDw~&(&Hd8yd`-bVcd9F{nv8hjCQds*325p0?s#`) z`M(s_XLAh5Gx$4!mwtUVbG6#R_uK0*7Gu_=!Av-ZEAp zz84Tfn4Im~tmRc;5MA;t!g$4DUoc~l?!n*!v`dXo>u6qW6!!J`)?B_U+$HEGA?RfW zXf8c%pbOtlUaOtmQt2efYOq`cJIudel2|+8zSsWMmm2jjZ@*M_s3TVk96lAZP*t^uG^}9xT~l4 z+1I~>%aQTwadSLhtc7m(?KepuiL=dW*{MRfTo=RP^B2(-mf%8{QMw18!)E4nTP{wV zVZ{5o@AkpQQR`vw*<-vy_~lL@d@~5zjukUUSru&u+&K?@Zo|h5l$+*sDv0 z`BlUIVn@-7Z{ka2+s36m-jq|dyML5Y?wg|1jR+3&Q#I=>gng$mVeoIjx4UH|*(TnW ztHX&MH~x-z$<^`CiX@boj%Ge_?y3iC&xn{W)Z4bZ!z<$KvfM8hWx=*R;~^fvrFvN*s-u{X8X1-(0$8d~p;LMrbs z|L~|jkY{n1PZ`rp=laoU`bS8#fytUQgF#4jNiiRuCF8Em(ORVu5B7v-3cJUfU=|a&Mc!q#HTv;N7Hy$Ja!}d6Um>mr$SfZb3r8Y+D(rT=akyi|C~AtXi!nn6sQ$H|NKbfW~(QDUF)aq2~F zp7jf}dCLOR%}P++Hz$dhe`Nf#SJO@}J=NEP;ljtc(Ov2V7b=zKcZPr6ozy#s9<3dNU`Ly5reY<|m; z1Ru5vRKq~TCnW}Rrt2|LgZu|yFdBOxRosC&D6(bE2%U`DwiI|ox+NPwN#$1(&4@MY zFC-wOfBSuufPr_DN;kA2WjUoeDtl?dte01WO-!5;ZFxt z@*GDM>vP!EI^u?{vGTv-eYdp}l?fHfY6{7fWt9~&>D-ho?u(;q>@^zzl`HE%X&e6-c*i)G) zDNds-%af0(b62)bZ5&(i`1H(-TQzuHxti^$-_#hMtgjtS3&Y3m4-Xgh4xD%hoU&9J zGOw#trCCa{9JwjB{4~oyRcYBV zRH=WYw>VsHzC(UO31+#d1U3)uFGZQocmUTm)r@#uOL&L`1r6J2b_59-KQWhnbhMPI z;k4GwDn#U*pn$t2>+c!}8S3XRn9+9o`@RDnKS%C+{-S*=Bet)v)KQ*z*(Iv$^_+AB z$Vf_dQGXUGTg#?#=O%gk$`&O*^{teXXDP|JCGAng0_XRsFk8^|aDF77HJG@`wKq3g zOzRR_w4=8llYEdr+lTg-^E_i*tmUQHx~f(smqGeac#6{gF`K82_`68Xo|DmHjSDQY za3(f)niO5|nb zF8%~FMZ{#6p8zRT#^ifW??#~+cT~Vl4Xw1Aax$@@zl*o4yQ0ASB@Vp8F8(^CLO@Vd zTO$S&urtOE@y)1UY?;k}p6GD=7*47UCbOXBFJII$?S;=LQCLea3K(U+1e&+XRS>fhSLMZ{8nva5fSQcV1dglEuf z%n215knnSea{oc59k2(mX3nAd#)T*EYtm`b94C%M_8d)1=_d>gD2vfRwPo3tb`2>8 zC~2${{^^A^Dy)As_CI z{7j6<Iw$>Yz{F$#`GIPaa9C!;lAVgD8eg&fI?D4_3InS@5d!?$_77&PFrl{VLU>D&L(A z9=YGfQbsg*4`e=%L-TyJen9_s6oDQZFoD@(U37$+H1N-3LBy=LfQd3r)AdhLhH zaN=ghZ9$As>mLLz7>a%63@>Mc!_l8vw7ze%LeG`)A*`&+;a8#&+pf^9kIYX+HB;Vpp;oTABM?^~Ksq^*fZC z6={*`O8T{8lz&GapMK$xwOuFWi*#Xh`-F%6i+-?}FDahrY8N?LX#D`-*!4-5@JXEQ zygB2^S6W_EtjzRh)B4}+=IO5YJKf7GZ}!(^AJy{pwLwpSPx}Ez!iUyNu%Z4#(m28b zNo2P$V$!%)6-XdKN*Z@v_ygoHrCCsDWyDL%TI=)hdE-L8`F@ z$sy8}*(G(Hyc6?s3tU*ddH}hF8w(%r6}g2r86SpqxrJ56+@LcG+>mDwRwBytc{|kj zjshu)*eT{6cDy2h7^`XPu$svLZ)yK+*7p7O{DWkc-olZGVfjTUi*>^{Z)Ovv=uD+Y ztkhAEf#S6RLv1n|=ppO9xvof47qCGC1peYH?Pjts5BVc#=1qS?mtCrOXIhcknGlwl)IAbOqjdd>RvTlJ=wS;^g+CmT*3-=?)DoC{6=E5Mp_aE*Eb?S*#`T?x zYmRGR%j3a68^|)o-`qGC$%@xz4u!+z1cmc#1JxeRQKP)TA8|5i|MU=E5QpB7f*qBhs(**b^veR=of z!6KZJ%pX9}WK!My4Tlk4mFAQVxmV;PJ`F`h>;W(P4|*s4o*+8J5Q*bx=`zU!xRsk`w&y&2?35 zZIJye8Ua}GWzjGZ+NDsS-4 z$|~>h*5y*lK0d2Lr_wYMfTsX(c~_w=MurkJ?7VStz*C^8YhnH6|ovMw^sA*Vw*-mY7KFXZY0{@m*Y>rixte$wLy6wxj z>82(xF9>Q1MiG^3M#(%3(7Opn3uapQ0Lq)Fe_>OyfC{0Slz{T&(|eh5u5YiDq;uq( zbVr#X{K=OTHfExED&t~fky%!Sb@fov4STl~tYH>|?X1*jh4*sie)s6OTpWGkqZ$$p zJL%)?{2aH-a^@fCxS*=rR|-}`B8!rDkjmUIo5Wj&E8H6on#L~wUaZ7zhM0Ujx4=^< z071>Nb>tD z+YV|LjsT6D1oc_HRnFEshJ4DEkTHpZg_ul`v-(JY`{sFa(czm&WM{>q0X8N1A63Gt zzU(Bq(`hAoGZUIqM*A4{KiKS*PT7r)W;zmC1R<`6St+U*ja#}=o756E3O2x+d5Ndd zoN8}c6)YiOM^OHq{(ytygUSKtabx&NdD%2N!e2E#OFdaSD>b7?xtGey!eO?Lr6uJ9 z5+7PRuoXt=u*hx)JhZ&p?D8^v+t3}(s&@tS3 zmTt*r^w~Q#GTm=huaz`SAx$4k6-7{O59+4MK5y+dA@$7!#rJBFfY*lWsO&NYcjZ|n zg?}qG6~Qh6w)SOdU2(Y`y=6TuGh3WbZkuZx4rbwPv9V$0l6EZ|yPRnOn=wI~mLXf5 z#pQ8nPTqoL-jp1w4gd`;?v+F~-=^5%2&p-ys zu?KY5zTqwR$@GjaA6uaPxo>0#oymr&?v$N4Nc;o3cj+1L6vxNqvd>H`&vMhBT&%~( z6}Lkt(dDa7c}&;DVwVDSnk+B6^HV7KXHtfTE%#7F`9?5APUnGD=ysFu5b zTjHS7w3*tQtf;rp(_8mu=|g)v#tY4}P5Mv40BA*Q;;Uuk4>N+i26Ycn(eZ6p8V961 z+N^xn)Zp3)beoSjemwtY2TYHw}NNg>IchnEWQIH-FbR%H9 zI4?W>Z7_m(FCd@`$b3XUk{k&9NLT2HJv`I_4U(YEK)9y6R8oe+WqX(D>95zfneETS zUP!G+;~Ap`C4-^v3koN@($L+}Znpyk=fnG<$$}L|3ys!qsXDPFv$n%!)cwGkFnfC& zuGZ!fJULy}4vLvpGKT{Oo3_?wJ-v-wG6v@~M^8c<3@4ecrqb<(W;c2b6%*kGY2__u z@yi%7`*H>3kFJe`X@*CP3>Ty=&15zUB7w|Eb_*h3)^ez_X=l{z92z$F1PhD_(o9n& zfd(}QwM(q%ShhN!Wzg|iEtL~an@qQ6`RK+6jc=ECnonIxS#b6i8i>B33?#o6k=+&hxgQZadIoQ+{TDRb`FIDu%N-G z+OnkIJ~Wo`m>p+G`4EQ#hfIl}6vpf*@^HyGhTpjaFQ##_az@a_}`TPr@VC|-E-=dQZ-g)i_?{e#9h zn;^Sq^(9Qy{&Z}W0IuXp3@nJ%W)3^BKz@f~nS?s|$sQbT@`$)_1tfcnk#oDt4{&;X zMM@?&#peFX0UT{;u`sOmu2}PIt^mKxr`C_@+j8}g-Jq`U<$e}{Lkef8rt>8J1%t9k zY6n}ghxo02ykEOdmahu<|i-YKNG`f*~K6SY|f%(f%KhJ(icLZw~_p#U)e$ z-V9uW*MO-_(FEjkosLDvd<`CYcGs?iRhG(IuT|#<)|WxyigL6}Du2nz(-L5ia0O>8 zvklX#8G7c?@JWZ*jF9;+5N0wkYzb@%6ry>ra!y?=;!YS@xz%s8J5bor2OLURnX=~& z9CUhyD`2Q8k(2=N8AiygaF~E~E8FN}-$iTwU|WNZdhzi4bG!1KG`sRD5VeD7H0?eN zZ)Sw^47UI-8TuP<#xCV1&&E%M1c!Fo@fxXX{)NTqQyD&=EL?$^n7qt52W?tuC9ww+ z=Ikxn)loA81vVe3IO^)X-F=vX>jyONd^B$mJfI`!1|&Y?CO+@yC%_cseHMscrfP`h zW&%|*@=UeyKxe;(K`Wm=7NBg>$shL@t8D6!BY4ma7LghTfBwK!cq#!rEi%SQIJy9w zA^qk1EmlODF=SK)Y}^4m+@!b)5bRKid$BmfLl|%qcyI(RvGlPGzwR{d;Z02^|I9-$ zPJM~1vNj|nZInOGXBhgA2Y>V>dJhTDACuTn7f_e)Llc%!w2o%0=7urd1C?EiYE~Cv z&=x@*nqI^t*_Orve_nbz$>IUT>2i_ZRy?zHJ6&O!_d6-YF~e1)csHals~)B?J;y>j z*on&#qaUg?-%T$16J5BuM5=jEs-2%uq&4*vf|;v3>ZmtbJNTBnpmDb5Fo~0W5CK>| z#esH@K9vE03|z3AYglgQ$gQ=kZ*TfAlYWv)CU`!ATep-O_qT6xb3Bxe_ubH2VLZC6 zn>?O#zWoe5Hd9}(XEo+#v!D0KKjjqPc5tY zvf$2snTRQyUvNX&St>?BIuNQI*<56p8t9E-8f@|!3T^6^Xz!W~=|Z1*nQF#rynRWO zq*S7{v_5Dh>ONvszBm79;ZZMX1%(HM(M{ZFrBg+Tj#?tC`q2VOO^!2yRhcWM(shRv zstQ@7JShlYs_$5s@{2CTr7cwTt6AChG(|EvQ7zGDPrW4XJFO~8Rn(GM)sM##l|&!I zivQ3VRO8#CmK5m{W~cEkOW69t-*^|F*W~6INa6R%*W61l;Tz7dSf1$4(g8|nsrw~3 zoh~sA4T@2Pn>A+gDs@|85iMJ#f4I^wy3lqsaBmpHeuWorCkQ>(MtVw(^lh51N^PcN zpX^rM-L1O>ywQn!ymwzn>|j+Y`MyWDJy)?wPxM}9d6B7|WWYq!qVh8Kx&N3a6i?sf zMLUHlvWu9t)bkp{IO?ai--px72$+#5s1Jp--#0dr0bT(&Gz3rH<6c;S)_amHbcpdd zdCd0u+3buucv`GT;IS8ZE1@R7=Htnv3|8@~-mE1+%`k%G-m1&Nd=ME(^P`>xb*T^Qo*=0RFT!_q+-ZHnQ($l{F~8-_QD1ET||gWWOay0uOW z&%|)6yMC|aiaWCLKj7ZjX)n#gXqI;8FB%{x>)`@5J-4b>3u29DDT+%q`(!My0X$HA z;sRMYG&j4K(fai$KK@4ArNJJ{ATI*B8b`g3?&Tt&PsN1J!svxBwuQ`Q7Zc8nsi;Ys zT@^jyRQHUwS$p=n7`J`5+%dMi7X286P2PstlbnUMi2q_S9EG0NgF%R7D!-rdLg(5E7dUc% zuVmi-$*621EO{h)stySu;&Idub98>n3}weR1}wrRhofU^3-_x6!M znDgCnJw!avh*)0JJso75ld9{VCTo%%tbV82{eS4*90aMBW_NOzwut?A)mvyX&9!`? ziA_0!@%iD;erLB@T}iPW@K>(Zcy52eXQaaAEXZ8j^7j8WQQc zT#zI^w=t|*K=EwlYv-@8L@-;xD=P|=07G8F}qkif>eCl0;7u=cx zr;A2Z>QVJ5JHRr^for5J+jQH`UJGBWE{?@%NQcIw2g={!kz*&7O;*`_vyvp^`V`Giuw!!YeY;`!e__XvCR9=St)E5EDL3sK<`wd5^3_b8#&eGC?f!x1$Tqe(fQ2GP(mPX9CNMyPam9!*K}xKy-EGYj!! zZQR63a7;)|$71DH+ohPq%-o5JN*cCow;fJatt~dvf_zjWOQ7Gp;T|94Zh0~yL^+J9 zsW1g}q$Z4GDKQ!mZM`l(KIs2JjY~lo1TqKa&k9o8?@Op?WfwgvU%YIsBHI}-?q+yP zu{O$Fv@Vk|QLU_Ivj|+aj3x`p+N#r`$Q6&HX2X|eql zsdw4pgq`B$56@gJqvzYfo=i{J^(8{z_{XjV9R5i6V48F3;(IhP$cJ;+H9MR4R7{4R zvvJrIQh}cHx*8>YB$&2=P(jJ1Jcg{efA}$C!1-fM(v%`!42iSUbXk=2+1ETO3w%5~ z>H$xP52+b{?hlLH%6i$7hkW4@rDEaIic;ZHm`dT&tgelYmHFG3{X_2PDIXHq<#;nw z7Mh3o1}7u@1HweI0;pZ~fxs3#XPO_MK}6vunlA z&Wz8;T-LY}h5F&SC{2Xd>55Q4%Oz1kHe1qskVpIyjFY8gvW-HUrMwu_CPXIdaJ=ltYg6$fZu}IT>hH{Af7((r)Y2N|Wof9bmDJK=r{JspLuba(RzFJ1+EBa6 z+EW0WotQEnbU8ViVm9e;ZAdrl+FQ|CirJh_mD0sv#?dn#xD2OfE_p^9yW&QBmM}Xn_rkLnS21ntNWh{u(KktoA#v zQ|y#5?mf~7euY;J$Mb1>T~lIO!5H^sk64?+}AdDUx$4JTEwnCLbIv zDW9-9@C?9!wB=Gx7H${29z+w7fTxw^ayRWB8#8;DbwJ1q(II=Ch#&J`KtB~^K9Zlq zUf2h_o`|2-o}{mhV^BN5FbbEX*TGQ3YKuBA2rmNvoTe{?;-}c>frItH=JzYyU9)!o z$b6IHnpdg`UG9H@ItVBEd>BzMD%Qw?Tl06Ke{hi$&*Wy@R6|SwBT-J{6Gz zsq)bcMH)HcB#Iur8$yoV_f3XgFiW^@RXcU^pZ>hZS8PMacuW9G z1}=veYcY(zWlH!&4ADktBT#ZPBeCJWUDMu5t(cE(k__})&I<_5#Z~iuIDoZ-%Xy?( z+T&>3CheZK>h`Q9Rmmh0czZZnY*MQ8_W>>O=3h5=vGr;)D&!u`7uU4_R`EsziLUHo zMOosXS}X}NCY$RxvX1%j-v5esedVj4*Vl$~?YL8|JpL_F40jjkzOChJcrkb$Orj;k zwqs3=S-0vol4i z_rTIEj;CqJvoKNRq7S_$V;Y|3gJmanC}bJiIye5wz2`~5a=;{BaAOr7l;f>pSAxUT z4q|Dd#tK9cf+piq&B0JrDj|4@H;#@0MpcF|gi;SG_|lb$P}Pee(Moq0iXS=L$!dTu zQl1mQ3i-$kwnel{Grq8Mlf?QY?*^^lhW|E?oueCv)Es5`pw-jXaAFa-PX4QB=U7H8 zMy%CH&bq~|eU5gYA%O@v_S|UXNRzYae--@rRM=7p9TMC@)6GJPG0U=L&Re-Q1fEuS zW7{3X{u8m|Jd51dv+QvjY_Vov^6yFUy}Hy{57tH}#dX+bxM?;<45R4Gi*Z=bvz2)7 zf|2fWoKODf7cnS;YWwvi3gPY_;KXr%wZ~9y*J0w_-6clP>U%lW`91Y=Go4PthyC8V zNMMRRoLP$x=BF#Ri>50G%ce6NN@>=O)h~6kY*}ed$9Y>g#%@!$m3+not=L=2xd_?J zY;WrBV1frKz=97$EPzPu$%>S|XyW|OhQ#Rw5)r>7w|nC_bcXHGED0T$G*lxMcCuLX z8rvdrC7SJaqSkPr+-b?Jk4y#^uw(h;Gu)f=%F`w%e_&@~nQ#~&wsxX7RrkdB;{UtI zEWKTzXb9Lz)vBReoF!``s^s*uRdu*nI=W0umU`oLX(|f7{c8xpFB*@sIb`fgmTO?rmy%uPznszw7--95IrUcvDQ7GTX#;w1S!cG1tL7Go@hLf-*{(bmg##cM1t+`xL1{~tG|WHedG{& za`568N$bUmaailL4iJoXUA#IRxk&U7Ov5_f+>Fn2y57|{S_qqf^uIEX+|dmZstCpX zVke=E5yXQ0_Qr|C7~LcsMj;k@s}*`6h|_lU>U$UpriqS2UZ2`831Rnb8a;zCNh+rQ z_I&^R);^*pa(>w$`{XA>;9o7;5MA6)v!~2&Syl1xN9N1rn&_iOxG`m@BvBCsFt3nQ z%4Z+nuv0>BR3!!?+lBOhvCA3j)5qn{g>etf553Ow$IdJ+@z2aGc6#eBE0wHkI(<;& zVpll^QdC57xQxA0P^ihKUwiz7wt=S&)F&i=sYd%z zHAgbqm~4y5srfmi&5?7~(OwHplG_}(0Jh2~RT?Dy!fj4e7uWWHR!|VLId-zTT6dH0 zG|Tx1%W27~#Ac`8*Chh~CA$D7v(L~r!7%k!81mQyxy_?}eV;NMe?}7V%(XJ&Xku$} z{BVxaq34#0f{^t(AJ2TNnPa?t+b+R_3FU*vA(%kwTqe7@%jGJge`BW2x&1{K+xcNx zyL-!9v?To1y= z6QM(*OD}?uAo6k|OkwB93)kvrG7XY@nO3oYLIW2E&)Bz)>py0V9*SSXHDVi@6GjcK z<7=ssZ0m3_F~L?T!B(@uT&OR}1;}Tdi<-*KGDDK%s~c%!L&Hb*@B1=4N0-duL-5J> zN6o%Gj1CM*0uc(lhC#vba(|qUc&fJzK3cTHLH0e$#*3x14B!#&!x2*G#Nzdljpto6 zU&lr+e{>mEpT^l`rS((J$mMWk6IIvx$hZA*39~`xY0l>PuG=R zIg*~$WnIre^Ha0JE@N9qA{Un98Hr*^AD07WGhm+g+D~)-b>`}G=nX+_x& zVMW+EzTtPXSN6+f+wX%}X|f$nu>v&R+Lkms2n(BzmH$aA7BjlFI#V2W9hNn9|Hm0t zWxor7Nv}$eiIbPu5eUcXV$jt@rmhSZ6sD%xl>&8bIy9Y=>w=0t9q}*EpRNw6{LI@S ziaVravH5J!V7FUE?2MOu@LHCN9XBgDn9slPTl7H-q@udDGE*Ej9h3~KCBUo$%5#QY z18rtJ8ua3ZI*?JHgbC?a=!n)3Ln{nU5>s!TH46zb4|rsvUNR1nLFn&0>%X*!&FM?k z%*sUWS%bpf=8Eu;z$=&?fx3q9srxS9-kZ?Kb}}6NwLR;oN8v{S>vMZvqCxe)C5PNN;T09w#(*!Yc@#{7edCf4R5G7G3Tm+J|3Gir4z%hrpPPQO2~~lWlb&jUB?`BMhy*aZKIyq>nkqGK$qEJ(Az_19EYcV!h0e&arb$Zc@ZfQSVCw*SN*b-SmtEH{uoa%wnOmg0@$cTtgs_7@85nTv^;^hUtm9eLq^~rlQeP%!X1JANQI2B zMkcv4xk$trSq5Dcq8fK6|ARDamj7ds|I4;G~ zI2hkk}jyEV;-)+Q7P2#jp!iOG* zBsQ?@#^XWYn_APd27dBf94U-~fdOxURgYAcC$(nmdz zmt-qA8|JXQyoRCt1xy8&O3}G(@Q?i|W4%Q#x`jy-KMNK1$74>FXCac!vs#9aW72fL zi!=-n#wj~q)T{cL1Wj4qMum6mnUA^y#*OLNUy%Sdrsu`Lf1gO2>vs&f0GG$oJ<6Q) zvrNk!HIC~Xlb{ZZ7RN(qUm6%L6p z-n@tp9W^Y}-Z&Lw_e$(9q9j#%p)zk{#5|I?>)Lj~`1ko+Sq8j5f{?#plg5PLn5Do! z@$eSV;F#s1l);||@;6c{xHu9%#lLF-xSC^BAtW(NJODXMq>PoIQTn%;MTJCXHv$i99jA?{!8yo?ClPY-jV0KDUmgJXEB7&&$lh-bRdL{`bH z9pSqQn`K82M=*)RrSbd9uyr@InC`KN@~}jMx-jQc*_r`n))2I=^TZP{sN&y3cdu48 zT7tRoN%!3m#%n$V`te?@#NNkJ%=VOVo#O$_h**k(u(})$hT?z1I)8@(z#ZVkL|)6t zg9_14=ea{XXAEi&rwkb1$@6>-KY@&^QwFu^UHV&@hI~fXE)ok}J9jSW(K20nhSx3~ zisSA^gvl+gy<0%j1&6Yl0+42{ro+h$pA_<$S0t!}aAy7dzeX5??~flr706cEi~rXo zLkVzU3=-5#DqagEh{ftEy@9=ej$uQ34@{hZbVGjM^?y71Pq49ru`&VmPjd=n)cW15 z|7Lv#8lytb7O;wx@E+9tLhHLr`o$NJ@w=I@AS$4H3mAoCefxhMp+nxCk-)|EfExOi zwJ?zjMX{(s1KvECc`y41`{BO^-AW!@((Po*NPF^pJEk^vkx>nX7wP~bh@{ywl?D2n$yLA+nW>oH&d;WQ@a$mw2Q;}+UO>p zYWeiSED;yyIj6d~y8FMYOU7!7zt?FJQJ=vD^na-nDw_fBNShM=1$|_Q9WORUOqW}r zWhzaRvJ&&GlIH`O#?P-&e%x!z)DZXn>pppuKg%phDeG!1D|jRLUDe()krZ4BGt!eR zHV!k>rOLtkDR!$i`?60VV^?zW`rf? zPRLh~I~og$@>7q(mA$PU2=`lebID%qmAbWT92dR^aXjw>4Y}vVFg537onnhS{_o-E zXy@{fZvjOm>;{HKY71i)eGg zWpM{c@Kmm5Q~X~QyU{AFOI}ctSslTNmH{RunZY5bva;?D|nC#o$dY|7816DQty)*#+)z{b|=YId#?5cR!~08!;atMr;Zci!jA#7 zT<&F^cx2~9i?pe1Jmd@Q<^D|&dqmwObvz{LvYd6&~1zC<>bWL;Wq(5r5qK;c;huIUMBp_Wy zb}6T-6Pzw)){@{VTm%Fuiz2GjKoR!R4b00id!UE3++w0QO+tyFt$LEqY_@5Ivj>S} zQBydMh#I}DD^Sq8QTf(|l1iSFYd@pg`+RHdf$B00>M0FbQZf!<{^k1XmDC;Nl(VL` zu*L>#C?EMR*~>;ih5t+M@tkrrA8V250s#_7Bz(LyQ&=$Ox@<76cQoF8ng8>3h9n6d zHiIZM&~dk2<-Xl#k(W;r2_k`0CI6cyY~amPaUq6sg-%bm`dBem24&~81-=rmPq6X} z{O3HT*k{40NVs&|xw&^-^wT{#?BnvbNW95dU3tFU55S6O_WtML!;{Y})t3P>v5Qwe zo2@Q@*X|xz&w2Q4#}?oqNVtL>KD2T069;4aAMn)-@Y#+&%eHz)V4>aHI9bK9?4oTk zk`*LaO>^qZFl6G;zLNE`jZ@##Zpgi@Z1|=um2;YRQ0CeJhyXI3)Tdj;E`JFuC)2mZbD^5M;`b$~f{g3E-gl4?~`fPJAljE1J-0af`1X+*Jy>t5>ym6qNBH5WM@%4ybUjyej z2p8|LHca}r?_2{qyt%vTglxBiR|Q*DsK>vR|zO@Yz(# zm0|zSzceV9TM;PN*R{D{zYON)cKhV}423SspZ4y3dw-u>*Yf%HDmYlX-Oeuh`MXX0 zmmmFGSp47`e=aM3b^7aWzlvf+j8i3mvmk);CeX(@4=Gm5ixjy#XpO$BvQqMPNJs=A zjJ1wHrs0LK$q>%&-`ZbQEF(7p#Y7n!iZsgS&N|#5Rw_q~WzxdMV_N~_Ov@jcExQkW z2WDDX^V5cn&dDRj);-|k^*zt$0}Zv44q4{N8*tTt(C$??d zwv!#(&dvY(?ybIk`=zV8A4aXU=Ig4Od#^EnV~n$(GcP@@bo1u<>qK9N5hm{96M6aD zgQJ9l``C9MeiaX=pKaaQ)UGk&rWxm?7UN?7qu7>XleV`HFI78l{n#~EBambNz1Adjx z%Glc^!hV@&scptRBOBPh1Vj6QEVz+Om}xe@r72lN(`1sL7Rpn zX+@Wx9LDi+$T%NGP0xco1e%XnW^RyC!+oO71%=lVO;1m5wt36j=lxJ;yYrmFpu5w@ zB$2;swfhZFl2h zty)exO&~$=ak2uTc2s~l4UTbZRiv}(tOX;Qauzo{gMYIg;L+Ow1oab63oT4+@|=2 za?$=MzD;$G^+`RirP{o};MMl9g0Y}($-v)$)`*JpKUmy3R-hJ$`%jr#zj zkEZunUPKx0z92da@U^)a{5~b`XVw5>pf@5wUZinm8f&|wCvY0|a{92cF!4#3eq}S} z)JnGSl_I@Bmu_>mW%sAp#J${J%WV^mD@+ruP@y7#)uKt-dNY5xA+IUA-0T_Qh&pFZ zl?i0yQ1p?#$qkf)pcfY)?Ge+?E}vt}7TyPZ5{9MBEj)8GeJ?0Ya0MmtVchq3m#+e3eC^)D9*g)$+H+ z>t!2uVyv3;ys41HQch@s!tHZ0U)yi(D++WRdoh|6X!uA4(%)cNr~(De3`bd_z*!>K<#zUD8n#O*Z(2XgQ8IAO{*aO$s+H_e-NzmXv@~MfK)n}-bL;8IB(C2B z2dY)!{F1tNdSQOwDklZkIj7n(t~qc%y412hlU1VQBq03i&hP&Su=@y;t>EhSVSUCN z@J19Je^oKc+H41++H5$Yo9T(!%`JCuUjD95o!=?F%?HY7w2Vbi zUfC5rvw`u(~sMQaxVJ(-91F^hzkI&=z{puVdaZA(aftMjj zqTe6hT3*kM!-V!CHpU7CwH<#i-quOE4-cJaG}wp(R<(*GZiqQb&3>A@CC=2aF6GF6 zAHPHLF{)Uo9r}{r*`nO?}L|1LO1k;&;6K{P1Y+^vTvhOm3V6UDKnBN_EmPpVmTJ z&0Z2*#J0q43ds?#iZ4~L)VDyzEXnWK-Tj?=Dx2Eu2%X`((yUQ%`j*YHR|s58#~0d4g1 zj4R+UN9}_CAFzvO?9rNCrE>;t*18JwC=wk;ZjM)_svCtN#%<0#JfHDBFPu(%No)yIJ zDlIr0HS~zJdOG;}J?*@`KF;obpJ#V|I?AS$wv_UCs_fa17I!ZS=K)5SFTk|HNn#W3{f_?TGUFQCO@e~(m9 z)7{YmOmv5G(7K<#$OaxWp zr9x})(4%mZ*}#jBv?*#05^|rTwV#KC6zw#VH-JDskaSe+X!f*62=Y^Bq&BIxh{pp? zutP8SQpeUk-*_PP!?PzHAO-$n$f!rx!{*7NgcNOnpH#VRLv=Q_a2d_k<&m;Hr(h<68D&ZFtVh`y!*?dtgCuhkTG9!QsNpPvtr1 zP-{de&YFFY@%^&g@nafj#)t6GX4 z%(|DL7U8ww=pU?}KaH=P88s8f=b)N?dh%~xVIgOZJH{NuIY(~2*WA|pIX)g9er1dS zOO{|2n^2vS(fY|aeZ;&V80Lb%Zb<7%InMhQjS-oiGWE|8^pgpCS@Ha;nC+14L<*kM za*o#f`K5WMyj{VF1%R4ZiQ_>Il={!ipKjwc5)7GZGxT+2Wk55HfJm4Ej4cmGPh&#H z+qYu{%c43vv9L2X%}64SZW)%a@4L6GKksK2q7cka7<-Pxj~KU) z7E@?P%FJ29v6G;e-Vn&;1fQ}MIPD!&-3d|^6Cm$zCh>%79-I{1o3M$y8*cU6=B`#= zJ)t5eIf|_9OoOj%_}+;*X->f^Rig-JJn%b>Za8B}-V0xg4Lok4M7uWm8%M16R4GYgX>H8!!2#n|Kz9i=MRTQFG^A)VXr2=npP~DQMSDYKq-Pheel*IOf zmSpI4m%Q)wfvj)Cp1ncM^Ow3~{qpo8;d;y*(Yow8P)4Zc)K)3rDrQ-`r|BOVuN1k6 zYU8IF@LZ?Wa^5El%Q_xWn8}v$jvRCbye+<4k~hR~)FSo2!n@|t%5ST*_0~Lhr{Sis zptanu_pF_&ww4XL<7c3*2~d&kqWa;O3}n`G#jBkdZ@!S|?HV?26y>KgWYsQgey)QN zO-Th~l3L26opDaljeWQ&(E!>pI5YvPj}K=r&(F^8t`F{&hWDp;{m={QYuJWhJ7fV% zbxL~Ys6TiIibm0*^4bv>IZ()IXW*os^z`xIT*FJaaXrJ^pj=T{;M@u!wx&K7?_Xb* zx{p0NvB!E6Z%b4VuESR(ePz0V(Hauuitx&8$JDxhOLA^Wd!ut(*!0#c34?kiy(=Ok z#J=I+;41iu)UfC(8uEwtw$~<&CDJkZPbkLLe~w6S`hE_~78->?sGVe9Y++<+o9T0GjskZ3{dwq>MCJrh}vfV()A!MNi@z4P3(@bft zfkquv5GeQARmGTA<4FE-Bfp)k#E10fd%lx8CcvcM(`&7VvUTU>_}R|KLoj7!HW%2N zFAW~i*$0Qg4y@h%%1WU&LAu1KNU!shtQFGkX5KCulZ?P#Pv(r&B4y313N8*4=AA+{ z&9Kp?ftzM&2X|cFpu;>xr3X_GPJ{tYktI`KY=H=;F$N)tcmt9pL0B1V9#i3_^`4kY zwwXQGK&<$|Mxj}rBH2^9LGdk|P%^VYQy(NMA>>v^JJRP`J|E-Q*-GOy1ha zPf6_4l|7=+#65$5S#zN!jVDP}b{A3X5Q)wa+2_Oh%zH{LHRlL&B=k{K7{gJphSd3G z(4qpr$n^U|b{-0`*<25AWoHX34ty6e8rqXao87l8Fi+G~MGuu51sivj8*AnBwstDZ zl3k@*myKtX6xzy)w>y<8b5d;y{;k}%JkR`lYv2xrb=x_MA4()z;Sz9*m_C(3P+!|~8s(NzaKs}V7pi?>~B?iF;Z|Iqk|7pgxd zcL3{%gPbv1=PZ`yXG)|1qSXyeKK%7O0sh2s9r(xJ>ZG6AopAgvkLgV6(WppML|YGc zro0Ydn0wb&93ZbH{&E(I@~6vwulN;pRp*}=-TF`*Ctt&?4xGjmajrL9_{g?*&G(>$ z>Gd|A?Wwl+t|yvlcvSaXcJ{tPw@>5EEwd4s|Ia zlEumX3_E(d@-#4S4|kWB2Z{GVieS>!&VFn+}u&UZJMDG?#?S>>@QRqmQkpk5c&h16`MOkYA z#!WP|w)U1bfXLl~*30=8FjJ`PksadwV_< zhvPlw+nGp@sG-8Hynsv(n=Q{}>9OseBY7>)TG4>1yc9)CY%^50oK(4mmq%$(Mk~vA zHF73wJ2gIJtqiNZB=cRFDhb(bZE{jMdXxm|)YD@6g0+2Sji1sV4!gvH-aZ_5JTFsI zMJfc>1cB<*nff-Zi+=SN7F0uSbT0o3AMVO@sZs|+W4fXB?IC#dU5dV; zU{#=b`M!vnejPLm7l2YbT$N{YqZcndzf!M35@kAd4BEf6T{oHBC$FabCA`QcRoQ7g zwB5o7`oHg5d$VZEOYi0@9v0|v_e|_6Fj)=fNKRZ%=It*lAkHux^!foQV0ZJ1cI!px zzD7)8Dk9(_OS8AY9~p!5SEr3ZzqSyhb#M!qsu*#V`UlfA5%7~qa5rKLZVL?4MK9sG zR)u=|5dI=iYj0Aczl`%zc3NSB-_R~rp#`=#{grG{rJSt_DsE3^_8M+)>aWyFyi{{d zg1{!63D+lM9_Y9)2Ji>ry0sSsEZ<*ZsHp!y$3vyL!E;+v}PL>--Wdi_dFqNqX_4WLu>K$w#wfZ!ALY7C= zNVtbCc6W{kMmkd(RLodrHiOw9rra4NZmO-k`u|((N{;~QWZ#r?%p9_k2IM30H?F-$ zols8b#r&-a<@KYXP}597z$rpOz)NC4z)kW5{h2(FW0L!VK6j2?xQCyhU2ax?zpSV^ zw0?~5XFH86j_%k)`m>)9&XVAPX2@l>ZrIRG0=i)L{O6$%oRrzod-q>C=yvUW z3?K%|z9b|?vp6ErVWf0nQdPAZhef!5y1FMN%t7q-!Nxe$DQJEXj&ObvV(7WTs(jqg z8sL;(ZyE}&c`}Ek7eM9yv@mWSM`r?4XQj|FmAePDE(>^+9X#a^Xg}F1p&}Avvk6-! zXzC+6!M)4ikO+5Jc_v$67(j7e*=C%0n5h#?#J@LQsFh-HRL!M8;R+ANWaTmyU>J## zJUb_Bi%Ym74o8TAK65i&_UuIw?y}G%6~pmzCgY{FdJECYgd-x5jk-u?1~8~VW>s34 zamm_yeRxMPA*^8kXw9vZEX+pLVvs8D@?O8_%NrPfYw!pmCI-H+%4`T?%M$<5^;2oV zWm$>%rUeC~OD9wf9z6I$>orTEgIVjL6&7%l;*F>pQ{kS>Ht7>rmQ?6Znn z-%D^|k6*3veoEhuGfN(CwlH8(*s1r2%D!qsRS{?=;O<-Y%*$BJyAY-{h<)cYh=2%H z{NaG)W76hLrZCkUi2URde=~qNp<)@lXvV3L97>7uKlx(Ge?|(svQMNKY=br*25jH^ zxkR-@86>ZOC?hh5bn(XXygDj@ne7LnMu;|Pj%ZfRKyC8>+?v6krT4R)ph8xaGsc*< z_}M_uO9QshSQ~I);9o*Q)FdZt98w2_nTR-(f$4c<2v zT|G#pzUQd^z@sIo>LcbWga1K7EpjxDfzz_p%qR%?UB~a>Pp}QlMP>TkW2`Q!ac7># zBKy-ez{p7t|3s*9Oj0+liKke~sYt;H>2QbhCh)=wyx~AfPNehJ*nh_GWF~!k%=1eC zB;yVv#7(j&YDT|yTx!Lhz6&VTgTpvpm)nH~zED_~YGNvI}pfF+V z)xyN~w%H{$(m9Tdt7rG{YpA(T1speC#1&8-dU3v;pxr?1y4ZXx%Pr-FA>lY*M`0`E z614W&rV+4u8uwnExe`mbLE_!-0&;lAzv5rxG@^CQkN0ZeI%y(tQygoS_tAq43_%N6 z#2ncI9)uS%u#~TaLH*2gJLOIv*yP2Dd?pYgl-S;=Pe4+IMXvVJs}55RGH@EY5P9D$NiaKMf+7<>s40wiSR)~mhmaeJhxv~6M&@5MJm-L|3(OHqyH8%P zHDd-`?QXycYqzannmke)GL-}!&EcOJ#f$lY@fmq9K8rZ_K240qL~Ej-@u2|@m;T;} z1Do+E3KQT+D&|HcqbF$R7%oKI288g3Y2KDWU0MU6c}U!p55zF^!Ner_`YL2OB@>}$ z)!oRgb90+updCHPDvG8oAS#kJ?#4omq$3H)WAi5WRbmD;ep|50ch^ClM#n)pM?v{7 zjV`P!hv8YXKlz5EI$w#@sik3h(klO3ASZ71MT*>G3jKl48REQ*wouet(KO z?w4k=m;t%IHoFQ)LYTuTrgq1@$crcUv7hwzg`*{cOyl@uD6AE)0vO|kTOxjDJQ1O0 zJ^MiVE)96-KI$}Hsi@rt{aBkeCO|LGe}3wlYqOPWh#e&v!UHp-auE9Z0}OpnEXqMd^o>C z3`p`zZI!?Rv-`GYshY{;AFs!VcBR1Hg;gz@rc~3P%-J7Dn8qzgeNn7CLNm8bVG*P4 zOLg6>Jowv-dFe!&JMpDy)I2>W=dS$QTj7Y4QfrZx`+77pxsFDS&pe8o-eTx(PQeDY z%!DfRJ0};|ue4a&lCPLrM@YGfMw4H3Q;bNRoGCw&O;YW1hU8n?*B$S;XXepLWNaBH ztlr!GNLx`}v)Y45u)-?R; zIy>UW59ZVqXD7Gh^fzLeI7O`+f~_enAK`z7szh;VQ5=HB9rUsS zR(%hVZnuxcJaY@Z7#u79}y$ISbo+_Tenm(4Q@tH#!F{a=aB`n}S1ql{pu zoNTI6@Hsz^Rru1-t^c}o{Oxs_LiO(eRNDZX?x!B7!y5V;0os%Tx`sRd40l|F6y%$i zM)+zqRu?ju11&nx=Gus(D?MUFY|H0EKApf9se;3xtSpX?D`0q)UAtEU6maQ~cXm=E zGZxj~sD!FVoLJ(-n{{3%nfbO8%C;D%n43DvHHx3^h$j}e?Tpgb@iu9d?r-9Z+w=1G z(AlR_w%~u(Hb@uTl!`O?SG=T1(`~Sl%DCi0(!0?(mdXQ% zn`Ee!hA0lTM5QsbV2$!~4Q>Vpqo#DZA-1KFRba6&96NzlchcMHO@`qf>5o(PdlYd8-s;CbSj?B z{)quPMVD4%4_q6pWG(KN9%JRFmZmxk>Ghq(7O$mG`{`m!XR5@;ly2eJ zpK^W9gr1C8$H?}8yBp62(JF1Ty66q}|FDE9EtfRIt{8hZ2~TRYqt%)tGn>GETvsZN zm`?@9!S@Y*C_RTi&k2?ZF!y=Oim0mAy>vjvt5TFS7M)1=X+l4I;!2- zIHReX7p=TT4VBWO+|=q*4ebn>_pGkrzjK_lRPPHj@{YJR<4l*{{@rix#8GTDr|`a# zXEbciI<8079APaBIIGVj&{L58f$c;!ZS!Yofm(sz>=61g18vTrP&w{A+aw%n6w;b< z9B`{4*snd0dE|Ai==vGl6)Q4I@^%(#6Bn-K<`^gshxqV*-0{_B^Y-`#JW4{2nAU0N zAb@hD<+nSuD}6rwuh-!6RAtLMcftc8C97dB^^5<@yP)K10@&WOJ<9GYx+R;Z05`H7 zgU~%p+eW?7bP31>@6ePyrkJ5jzU5_d2l@4wR|{JQ`=})5(<=uy^PEX^KhvR^w)Gbl zZ<;3%>k9f;*{lyIaXU>p%D1k5IW23VJt8wPw#SI-i~cL1!}UFpO?mnLpRXLt;9JfX z(gc}-oD+umpX7|;R606%I7j!fVu6IN3=Yu;ReuIPj|@XBy~+nx3YixE9{T4-9ez0x zYZLS*g0DYpFQp#X$b-C|Sj6KkAqOVKWX`LU+O8`Ml zrAn|s1DfX}yeW7xk2?8kDX~kJg8r4KgQLIbi?y0x6EPvmG>)pZ?{DXT%aM<Wl94D4_V}Zrxjn&dUK+8`D)cZPvhF2 zoBovf99X7LbL?%=KvB1NcwP6vY`3761(&#T2Aop#`=V5{R#gVaLkyw2j6_)Iid40` zNQSd#;7}7}NyIp0Ml5$91_ACH3eEN;ahq|Z`icoP)I<7;cl_^1 z<0`RTZ7vG??O)U@^cONsE|FsAtpMG^scj=I(-v1kqV?)r!A70*Kr_AcRDOHMS-d3l zLr0-M->B;~HM`TP9R5 zXi)Vd z1_g=1u8(UX^@XX&=yUbb*Vy&V^Qh5tO%lXdv2J|Qv!eDl1zbcPos6g6{ICayzX?<4 z9fAw1|FWFwy=V`}d&%A9ykjpOB~eLL(cg(#pvfmcE|#Jc#>8<8mT%9fTHM6ZTwJHk z6gE-VgeP~tHPUA)TFSj8;3t;^?KvHq-r4VsNK^cfAIwRdPKQl&YRLbylqW zC5iGer07$_**hn8Y_KzhWrOVs(UV?w*RX0nG+FE^3UjOsr|_7YdXxB?emEKNv`v!Q;~F^Uruhzj?`P*6OJFE{yCb5DW;V{?6pNn-Mnm+XP1DevL} z*$BkpJxIkt2mb1nbxN>;v)F;8R&{C6te1fI zrTsKRE1Zj0XJ9X%FkiI+0@e0zEhz@-6SJ(PQ}WlA)u;lM#;sZtc0DP326O`l_1Vo> z<4bS#eGjYjA?wYHBEN&JVK4HU?Vsc~Y&=V?=lPrnL=c&h>@8CDX%@_^=E7)o@fm_v z`TG!v#4)1PMDyvE*azN6PA{8=sB6tmWjo4)_gv;+2l7-IZW{9)ij%AHmdR1K-X>Wp zr`A5tD3*^de5|LhR#-O-WE$|6S#dtIQcTs2AF(MAEwc(|4a`FZ{_B>v(*Dk-3f|Q+ zt5fUXa0_*;(cR6&fIXidod?J_@P5*nm*T#>69kr8efV#5;zGviPfJn0D(J~Za)$-% zijt0f%&6b5>cmRXN+}% zM1h5sq3b@#*?)b?w*5GAYj;2V)6SJ+ga7Jc6Om}0u%9=lcdPE>o23>}T^o}UimGRT zM<-9!-OsH_%#yXd`tz)V7;ky|j68xcaq$j0#$EmLd2r{!n;jbuQ!&#P@aup>UA-;^ zJ=CMcg)(#mH>OG=4KwbL_r+1Gdfuije~R)@!S5fQ4w}f#bC;QU&%-jv zL1PCkVocwlZiA3z@#fM8G$Giv>fyZX5{&hA+G|HY?k4g5L|A*|YF(y?DqbOM&!E>4 zFn}`jOh_E5#mz4#XDd}2`AyBXk6aW%=d4igXrf-lBAX_Z{=?C%jXweJ2+$cnN-aQQ zx!~jPZyy2j#|+680Y%pHx%I7#${wQwQ?q3~-Cg0NYtY}|zh^5wYn;|uFkT#9??S49 zzeX59QdQO(Uq3QfUwt%Im5CatoYZv+CYZ0}XJaUq5N5Rc!EL=W2m7zr3ojs6iY**h zVT;o@tG2|a4`aM*T`ebbX@uJ%BkK)cFtfBFS(ZlSNMyczpNeaWN=r(l{aZY{DES9J z51{^biK2n?8K4tSmXS{iZ|=GJLjR-kP=~7KwO01fHy75_Y3Tcv7AEzUYXP8A{eRH3 zwEsIzleczkMf>^{?`w8QKvLu-e>bz{ee8|TCXtqZG)l~H!$sls0{t(HJi-#te!E^V zE^1KSeI)I)e?~Ju$e8z>L6w0?PIE1~QtfP7rP*P%-JCaL`>%5%^ZA~1GIeFfYQCgp zQH|k&g4^F6*WZd`Sbu(-)dJO)<8STf#hy^g0_K0yG&Zpxng%kV0j3x>4kq2*hHOuW z#ln3j)w{fIA%d1!Er6=hj7RYH(VEb$DczFq%t|jU;BH3fLo(}vgHfRAI>8`=TnZ6b zW671JDC3qRFa;~D5-{AVY^U7l{R@LmPX(S%Tgy##P)evUCf?TVvmpX7&f;i%Q*hJC z3=an6&{s1i8%jjp;Kv}Wi`O7F#$BFKpz5?Lb|CUf^AE%c5 z8-!KSG_h;QW4t?fd3Y0dJ=sK$gPH#RH%;(CQ}htK=D*XP~clVO`fsUz-APBj5vEP)G~Tg=4cdGv%!^Yn|@4a^PK zA`;rbhZ%`!n*fLFo(~Hu;d-Uu>dKLWs_)aw)8}L2bl5*xU%oEZ?`DnSBWKQu#jF*R z^o*6gpRX+LEaUbv*Qs;6lroJRi%oQV4iSWWRdDmga>8g$IwhPHACBK4%k1U(PL)c^ z;T3M;T0>A)i6~1Oi~I1KR7g zPQ`Y#4#2>(uDYztkVXv|o`xrkCV$^~2|55|@@Yl;<8tEh^syp)gl;7D^`3%d2F481 zluyocI`z=}N->dls>v*&geyYkZuL};T!AA22s2X+4=h9jvPxDt*IFKL>j|Yf`>v#$ zAJmw5)@2?_bMVMsfrLjE5?k*3Ca zPVe;10ugvio11+C(?~7+GhQ3k|I!G`-&Hr{=xUl~_x2QUDOC^Fj91bwtvjQ4gKjWw z$L~#M;!h>Ed#?Q%oE1lAb_0m${(fB;@0rk_;jn<1$Jk4%egQmUqITzecRy%VeV~22 ze5KSC*=8mfYVXSFQ9OV=PxrK(M^@&a4=^ z)?3s$c>jMjYqZM$FU*>wBXX=mXJ=In>d%>p;v(;jo4IT_0Iw<7zzN|bYM$|GGy<(Wj*-#b|+iq|&jW>{Yn8E4SCK6q6mV2ff^CjbxG z>Yu(+KvC8h%vGmyR(mv1-d|1i-z1bd=OEyYI=TAQu&9&H{vfXyrAyY>O&$sbboo+Z zzvXZ*6ZWRs3N)<~u~PucmlqEbU;78MkJ7J1UQc@sehI6CA` zR;SEsY>KhR2#Bg&%H)>6a$qprm@pM^DuaP4=EwuG|2l>kM+ixFe=5so&JcxI4;2dv zR|YpKb+Yy&F3NEj7$P;M_Kx~w*A4nyRz+N>ZP{4;Z(0rLeF*^kR51bi)1M))Gf&W2 zea&gPV(dVo>SwZ2CMwi&J_3lr2_aGlM8FSK``_f+9s%*Z%#FDM<7j^cA$(p-26d~t zo4(P*9%34Lc)FT`(UA&NhC5oI34R?h(>+XQe;+XAUSifxSm-U42;}VtW%zE=Ug30@ zplyQ^L_mR3NQ77k97RS8Yw;eH$OJG?kt`Of#M$2k^4K$b@Qwgkd72a0_EIyjW;Qa2 zcs{%O421t^wR#tAa-+*u>64^ZHcY%@DeGT>CzWa^)i~Hcy`D2BVQ^<-FRFbkKhLN^ zUQ5k%mo>Mr#Zn4>n!-G5lUrV&UN`!+x5G4T_QkG>p{N#I2$wDd8JxNRx6>F&wcX$I zV@;gpEdIJ8#T~i8uI5VdcljyDX_UbkCa)5hC!fSK=RsGj?(XiBIs*yS+){0YIyB)a z#y{5p$Bs!5o)DWNR7Fwd=f|tYI`jz4!28Bk>=VOHCY=5Mg{|E?`0)}*_xeLTWB}oM zN%sRm0 z#Y0Ti*8Qz3oJ-tv3pDF9b8}livzq-E@ou!sI}|@l!MD=e7&sl`Gq5)gwm5<>eZc3s zJm;n5GopdA534Tnr2i>|khKc$Ulh*+E_eUxM_T^}OwQ5xwJ3KAvT>+Ncl<>se@a4@ z#EV~#U>4*fVE$NyRr%jLeaEj`))7#j74!SshlK@D>EK_Lx+LlV@m)fT|8O<*y| zpb>r)@nmfph{g1tVRbuTO0GZ^A3(*YKvv1=M?HV8nfRufg1yt+GTyJCM16oP%teX8 zhf9Kd7cLHn8c0Ws^x68_voavq$AHBDf=w(-`snk*Cp&i$_$y+$yR)#ew#`ZMzWGE+ zg2V}fOmMveZh!JbPd~HBu5d_l;U%Ggf?4xzfqweaXfOtJN1HwQH~9i}L;Oi5*)%k z@boXxi}o`+l_C>@nvHH~{!xJ-jF*jAb)p_o5N%(Uf1L5<i?K7>T^*Emt(YOSr?LtYDxjIDqM(vPQ`HJyi+9rqIl7C=lA}7<6p_nXCU4|0ybCKaq&(i z)Brp=5L7?Yn-;GLNL2pvH0&RSpAw;}7Q>o^c-%Suu$k)Gvf=81Jkh~_zoAcNb6HHv z)kt;tcDXobvU>2TnoG~ES4*uuOQy`m-DeSE@wtgl|26cXg%!Ho&tgg}lwuUJ&X&Wh z;#>Qv{C!+=uyKC;cv(dIJ)#2@Z;T{lPgO5OEU9ga5ywh47+g&_(wZUCnT6ocyZkSe zfQ#77)aUvK@mcD6{7;W|N&&I=4D(*~C>A0W&CM38cRN(!BUu0^fzZ^v`zApGB76C3 zzh?H42tcHOphJ)Y(gKecsZD^6+Ia3J(m^S=UTrvE9Uyi|O?e00>dLaIL@uH59$CLk zcApr%&zy9(@Et(7>*p35<{DPoEV>yEI?hhC9~L$~trsR^fL^5>?Tc*WkiDQxEV>t^ zhJ#efrX2Igox5E7o~w`kFL#+zzFzr;7-17Yh46#XER8z)elXgnD+sxXOn4=F0<>EOG%Nz;jh;DkVZdb-oy=z z-PBS{pA0BzI*qGxx(ML}j&TpsI~y{l-;_11ICGTz!Wd41H5?9RLYrFz%O?myW;7VE zeUppM;JI_7Fq>bx6*%)>drkc7swjh_y1TlZboQsw?swi+d}aH#8?6@pVPKrHJ?iD|egPN$8gh z*;ewrl#g1|+ih)mfCzwaw4hzbjQO$78G@!X29dceT6VRdTC*q6uaEFiWfoY&`=9j1 zDu~(M#jmCChw=B0so+BJfamFmnQWTTaEUs~79+a_~(1a4FHZOO2y(+y&IqK9B2NM^g-b;Z+Vi+)$@ zd9&pScuv|L=x3T&N*djSeG?V3=ZF3%(3Em@z;%n=WZ|Uv*CsX^Q?zV8~7<~Ht zMbLR(lwp`4`jE>P2mT_4*_#x^i(Zxn41uRZ0_)_9zr&+Jn|&>C|uAQ&1K5lLCD zq@7KY0FXggYNsBjc=!$fR0?Rprb4!k*tsLPw^U6nh*y?wHD2Up0z+NxEr9-1a+aWZ z^#rs`wV^`_FabwQ#>K?UUMMy<;HPVDzRAj=CwSU=;Wc1_O~fqq2)Gd2E0I4uZ5WSt zK{)@`oeA15=#XxcE6jj?#j#6|I|fND%SOqNZsBYR)xTH54Q$(7OBRp*Tz6`i#;`Q# zI+!?mH$)KdyWFiC2EE4FvgKo03EtAcs)^|buQJX&4d>%?|a0Uid-fs?_s^`6eHJKj0iyMtcgJ)T;2C4dKNflFpf&n|M@>{v!P1Ady|k-tB+U7Wo3u~I=#^Q>Xv^#gc%lBzT+gtH~fE#O6#+{LxHU9L-$?e zOTNQPsAmg{`uere&3+U5Qh=9`P9gY_I0#EnK@f zPt6rJ5tP_cb9;{!J24r!w^8kU62ri`hg2zCG1>-L4dO?zPv%A z9&6D65kvwlXyqO|<%+_|)Zp+b<(Q1|yY)a|gcSxH0 z-o+jj!cAegL!6pZi8*Sl6vpT7^Y;-)($;*4@)gnVcG)~qYCV$6wLP5?26CP-gNagQ z@u&p3Nov8-AsUvRBlSuR94H*tF*U7`LzSm3l1NaK2?*;X9N@A()LE6+9J3uuWw~5_ z#Q_Juw&{~y)&*TldDB4X5&vhzKBkx4(Q*&V1}5I^n7>P%>$1YXWwZt+w7aD?=%Fqk zW8#B=qjwATT?yvun-a}xYXH5==SUC?CrYm9MUHCRc@x~?}u`Qh7$hn$^MHY~H)1_@wuDAVcyq5Z)S z9GVB0uX6%c#&ri$hANYwASwh3wjHHj_j!z+h`qruVm4b;h_BJdFJEBBEe(ie`GC*0 zVlGoG&9~xBjzO)X?1>>SO=|!Oc7?!(5i7|nI~ir0E1;rG(L^kc5I#{tgz-KT%9G=x zd?M7&0S`d=Vmfxz|Hunsa??ED{SzPG<}SlJ|p^H;EbIVWaBlup6myl(f)w5 z`X6v6`5$nGjs+@&u9A9TqUKM)iD`Zr`inK-zFWM|+3R17a z9buImL#$O)FsgcLMrb{;{1{>wlQ}IsTjNHL^2Rr7EZjreE`1Uz{Ugpu&zJ!#=oaMX zyMIhIrx9llQ6Ztm2-jqunI%^{+QcEfPz3Rc0azF2+Eaux`SDOqhMwx`SsNtXm90q( zWf{PAIb3I&V(%qlT%0M{hk4Qg#33%M`laK3m>zFE7-*Pm^rk0eUk`zr!H8{OEw}k9 zLak;hw3>}jx_XV2YK>-0=(L&?b!@Rhjb>~}beiy@QddSZBtu)XDZeeVCG$S?pw3&vf0AM{W^_Ma;hH~Ls-{X) zn3eiOZnzn@&KU!HN07d~sbCO9F1V>X2$96@JUgCQ`PO7J%FPhgBnCK%7)*_9BSu%Q zkW0M5>xccsuY!d%Y*JB6$@GC7)v|fEr!mc##uzfN->V=wb@tDV7YljB_4+tm|RTw{vv>1oVp>hQ9@P` z8#?I%9tn;VlRlkvWA5JI+Yw`cpyS$OWCz}u>`Y4rWl>L{{C;{W-YIuMh+n)?@|Yws zu^;eS%g(6<$?6G)cu^|*ZU}#qlhRn&GtbS^1 z*X>MCX&s<(!v6xNs3ip~oJm&C^NDe#{*AbE8AZJWi}P?4ynyI~SdEP-c_k8@Qy$fe zDe^DF5LLgm23A4@FBG2BfIw<6Bc!m&IeHhrTA+>2zVj@8i#n`YUhp!M--N@B7~((@ zBO^qtZ}#`p|A!{g-OMWgc)_r-zzgdSJs{f&Z!K5-Ds4#F9bn1+OXu5%rW6foq5-lW z2vq0REdxSxCl+?D1u4t~iF_jb4PQE(Fe?Rz2NNAG6!HEMm&`(a5 zIsAmw5s?%HLJKqjIxxM?$YN+BXJ}DjtCME_7tzH%MCzWAK#=sLgl%@iqt$QMoWm3> z%;AA5UPjU|E%(*-X3`aU%pfqP)g}-KE_?U`vY~`d!CZ3)w>CSh!`m zoOzUK`bcRr-f19k!ugg{Ij-9<6f0(1i2fB|9Tv=DlP!rg~ zHcoKhgNVW~PJ=uUr!8Rao0k7>Yb7isPAdFy@IW3Ock)2KkfCURyTi?IE;k?I`%k^q zQ;dThDuBWj+VtUd#OJvd*8)ZVL%iM}W1vCRwgr?htJNO&s|A9~oCBM1-G>P1L}wa7 z^V`sgZuIvsg3A&f`*|G4`SagsqbcHZ9+3?`EI$)IVv4>oPNdE1MnTTg8{cI*ht(py zXU-#4ez;2sCO`h-&O(t`X}2$}Px1-Cm3n6}b!13a4Ngw0gy`tuuU}1%3gMR{%ETGJCIe$XWk>Uy{LI5u^Y6E; z$djpXmp?b^j`I;0Y7$>VSzLDL z@|j2WZ8^}D`LWzi+;2wT-cfH16U^~ZlK$XhMLzw6Ghihz#4Efa9SpH4)rjB*8s|aO zqL{S(|3TYZheZ{}>!KhbT>=71hjcg6B`qnTNFynNwA9coHAr{o&>=B^Al)#4go<=2 zU2;BfpS|~e?z#8e^T&N2R?Pb1m+!3iw^(b93d`M2=>k<=425n z->`e{b5+s1`w1*`&LIURK{qVzu&3nQi&Ho7bV-wB7hDCSsSH#XDy&co?`4i`xHXdn z?4Ae)D9}!H%G0Dx#I>_Q-|M^=7*N%JJ3gj4?%Hv~^XU`f=JSHzQdH2OngM!u<=@$h z6OA#81CQkWbruHJKs(z1>r%#IE%z-Lxm9^IS^LH+r(^}Kc)j0gbs@sJl0Y{dz4ol} z%c1fbN)}deYizJmsw^(u(#Zi{Nb}&E>=k@80kqd!gIRW-NQ&D-r>DPInd+x2Y2NcV zIi4dP2Q#Ely)Az8hI64tb(c~RTC(VKdq-N8L805Jz~IzgyH(2k;w-y%>t(8?7kIp% z<1uv_^(Tf&j@`oh>DwuVzeYHRjw(E2jH}l7paHF|1@i8V7?X<}W}Z#Ki}zh+Z22;l zs9c&}Vf8tQ;>Nnt$G^yN%b;>}&*Uk`3w>Jd2Bp$_WQMnTC$w!BB8_6{6^~Cq0U7#TOy9X(NUmr^p^^ zM}txP#yXpp;K)lO3s=9$^1Zj@vDa$devvVA%U!>(IUX-pRe0F(zSquj9$Z(%{ta2# z^0KnrZ2lax#oV<(HC31-pQ6c#$(i$Q*7tCH~syJ^yK! zxFMKK#Zo^TMx~=gKH!bi?sH_rpP@i}QI0 zwl53r-pU2~pM5##SIOkmnS*O36%=-M4RP1to51zd6=}_bso_TSr)9aVDSzo#GW42} z40VuFooPBQ$zGxUq3*8JT*9CYmXgFj`7Zj$(lRZa+Jj=C@;YSNa1q4>rT7bf1dV$f zh4__B^7UY?prXsqASyJ~4Nnws@0Kxr)7$J1>X0~{!!TsMIwVs!q|AN%J2OxTR|q) zkwCqkxTZ6|z_y-Ri*ABr5{uZDUUZ9Yp5t_}k$|mnbn1(LyIXq0Zc3Cqdn=TSPjeH` z0#YkE{-~hm`d$A0bN=q!&@2L8{$UPt?7Zed_F(~w;`$}=$C&LLp$DI0w$}&QK03;k zMPnaYkNx4^ct<|}iL?&aOewWgb&ZKieqx?G$-+)osezWWp9zW4Ca6K6hQYP0Wd$51 z8C^lfMzwK~TlcR27*hb@3Y8JHlg_2is+`U0E5f%``U}x@{Y0?PFz9$u837 z_c!;Gx()-Vl;}h|nuyXJTwy(OmIsf2xKn?uw3{xOd8iIg-K3++zh4XW;Wiar-6wlP z=;ixh?6*70Na8HNKUEY~N+U9*U-G`NjObs-YEqa6s~rAJi*p*mM9#p^sSwi4|=cz8wP8xbI=GP-eLh4vQ?RFr00xWT7w|Hd95> z+x;g}z=VFsAO$9TOS1jH9>x8qaH;zVOKC?I6AiXTuO}ZLHfBmlj?T`mPo5usxO!F#e-^MO)==qfi2@!-3G$Uw6xAd zwO-rRklPLRPDRnJzP+Dd!$bDL33Mfbe~&!~PULn{8w))!!90boq&gZYw;Em>yy$62 zbQ?}JF%m^UCn{e)uvf9M%foCasB0S!+Ki=3c4=`pj|))b3&Up`UjJ5bbZU@YhOs4T z*g*=}Jzuf-9DOL`gll(gh*7bQo%7p@7SUj|HvTSxN#Ah-mVLV(&t^irEBYy|R{c|5 zF(}of&!?rzT=3LlPjB-6k7dO;^Cy3)dv5a1{NnCi_;LM}47=IyxjD^9`K*$-Ot4Ia z=0m(p^`%kq_pas#@4Ma0tMcVcF~o(>#tl}%zOpccAWMeeo*sq_Dz-F+4J`%_C+eIj ztq)E_$`_kRBlP8zy608tq+7|8GU6_V#rqRYONg2nOlV^82q9`Lmh?d}!GCSw4S8ko z0#gT3_8>t@C>@kWv6jGI<<}chh(pQaVVP19U=d6FN_REO`IkZ96+L{@~5k-u7^LDe|p5WlPksc}B2UjjeKf#u(et zjTYB6*CG3E_D%B1_|4$^<+xP48_t2Zij!^Et;_+hUf_!H)_Xb7^_dwGHs36imuJ-0 zxXlk3LS-6imOStbCpMGUk8Jm=<1W4`B&Ehv2`{%j7;=oOEd?fj(Ch1AaE6)~&|*MIApbTp zlPgIy`n@)AUUh8fyLpPRBeW#xgQ!V}bvo%&HV$4JHXr9xEeySj)x83O@}vGm6(c(9mYEsc_LPb^z3@b_r_K%N%xqr@BYi5) zt%x}C6GCSBYJKzipj>CgmY7}}!^~o7DVzrJ(s?I&dEhdD_?&X0peGbd`a?0nx6mLA zVi`$svEv6dd1b{56@7=Asv~?F8n%XU224K2ujNio|K1EPqh3#Ge2-b5unX|r-rZW5 zN+3oa|Kq#oPBhhCBz`QuYs2Hb?swUK!?3CZ{t9rpg zex(hpVzsU$7K)Zcx}c{X>A+F+r6GB8Ys^Z$45g&Gtegw^*elIhjd5a*Xd>Y%qxZtX!*)vmlWUmT*uqxS6!)(>mW?GUdI{@|1jU zjF?N(ajH%|=JpJ3{6tOHUDUHG0bkVS6Q|Hbx?rI2C+Rwwg(~~Rx{8sgoTsfn>f(5O zHu~{R-p}*L&OAwK;I&#q;!tOGm{(LuP(I}t)+`rOggq3C5TDoFS&T8$a3}U|%R``0 zeOWqSK8aFc@ErM@ssHewtzW#Dk<~qq)?(`L2IEs3{&_ns$R{06*A!efpbxj46Q!iT&|( zRXFUoU8KPE@)1F!)(k^CyI{W}%u^yl?O4;kA$Is4z+3Vcg==QP14WjUAH+YNl__ZY zU;A57O``3uVO%c{#^Fxh?CGv$Mg$XhqEG$9E@&C24X=ekUGq6Xs5KT%l!onO1kVzR25sskb$p#79&*`KpA8vNP zBP@5pA9JF9a=kU%Bdd$Au6*8GB zML1A0ekqK#eD&m#@ZM(d-wuaYl6JXqn>Q+6l5QLHsyCS~O49IMcNAPMmPf-UzBdTtSjP7r7L^d~}(Da?RDmx`%$mhkWrmOy-qivcckx z*TBrpZfSi*J_<*D3k7YbS5l>oW@B*BfwLh7SCKJZqyOHN{$N-d@T+c{!#3YcDip?6A_%MtM=_zG3t|=k3RJKg;P{ z-9OvW_*W5G)J$Rgl1$8WddBCCre$*r)l=^uHKaOr8&0-7l{6)=@pa2~(tRCXRy=Xx zeU5`E{S+SI<^3_mDy5YC$I`cognAMgQCQru?tm-}=9&gr>M~+8Lf8~0skompzw1GNywLT%6Mg?Hk0EB%feoc+T9gIzbSe$^^bu% z!Wg1xqkOeX6$a;|nO|z&ry-JzGcCY`D5oUmAFLJId|pj*crR>TWu>Gu4%I1dpO9Nt z3k~Gz#&W(w(mBsEs88q%5EAKSKDTYEJ!L@d$$wjL?OEOTVW4D!k| zX3%FRgiMnPUur|~GpN+OxNTl_@MIwxQ`A9p&wJX3E#}#k0{`ccq4*w&q4&VI(EJ|@ zss*k-pYsR5Pgfg^&mD%SZ;z5=2Z{S`jBZ{;p>^TCdT(g^VDPEAU-cv6jG21!%fQ8| zuXQjmq0N>wlV?><E(o(HRcCfQ^T58c|Z5j?FQGFU0f3T-+#*lM+$!KImYk`kT=jc zAvKE(@S~6%uzXAz)?}iK9Rxod5%SI@(IDKmZ-TYk5+;<1&#QmCQa9KvXsdw2fHPNOiTT33~vWmaBYtA;R(<Ha z9cLy+`fg^R=mMg#b4v139ZRzd7qGM^9fC_X8@i_68=2b9`&LzOt6-~nk@GkwdhQ}> zQf+<{va_Kk%T|{Ypblb5|J&r)94Hg8|Ex11FG3p>cEFXpfDF)hfRc(&9Xm6LG^H-7 zeOR-QHcY;m;HAndyoCwJX)d6x;dFV&V8#;x`6VT_MRzSDVnM|ekT9?p^N%gvAZKHD z;bEEBl2UF@ue6zzFvQcDuY2o~$P%BYt}fa0bq+XTjXB}w;qKyNOFbFg zH@vqbRj~e5Jm9RWAdvc!M;*6h6DTFhA?T7$nkKFuIZRVDZ5sE|#m>-PP^1DcZ#C6u z!UwR%Nr@an(6Q^$qgw(&d;xO1DEPp6e&z|_Y-xEzzm`EJ1)Sp9e|8{-+2|`gE11T2 zaSj60VATapy_aMMCYR=KPz$L&iQb)x4Fq#}t#Ysn0!}mhNcHfI2A2qeJvW~Jio_Qg z#0$*$r;6a91#7$R<5){XV=gIC*Psnxh7BB~T`FKFk+@4m2`-p(2_{M;{ZDEDqVRMN z*jrln?Sb=rT}9O=0f%{}Xh(1scZlKLd~I-i=kt;Tt2Y8{K#rHakFU5Jh)D+3(Yk}V zgW-#}8Pf(I0JhsZLOTpWS@$@)7TFS`59W{`qX`u-GU5>E9M? zf)jgU8tt&5Ge?9(i7a>ogf-YnJu!yS0VenM>E5v!YZG=AT@dod z9=FpCwj7?vl4rPQO=(8HLJLWI$j;D{@#!7y!ylaT5N`Pa=ZF2rU+0PFa>$6QpvXi{ zX~TqJQSA3ISlV3(wXTfOpN&D{l0PAZuRZ4C#YoZh>};gn(fD0RPNV6E$g}cCy~i6Q zY7zF!U;G{VvzJT+LdF7woh?KtaN7~DhbRGGI_D`-0(6P0Xm`2@)ocu_1L3Mro|=vbvW{Bw%QpAXUZ<9KYG}H$NE#cuq z;g6U4yxk<>8vEQbecXgZ{jZz4{;<3W>fyj(5{)Il=RqGH;Bgfg+p{~bSo4_AidyZE z_f2ul7}BDmRzqxl)C)4y9#N(%3^pPi2En2Gj%0EkW~k4`kgOQdA#tm}4J9VrX^M(Q ziVq=GvcKk4>q6=CQtb_Iuas z1EXXgCRWjx-@6OHj{kUy`gK9ueJ)RW5Xh#~8q_#lwti2qcZZnw^2ahL2%wSZL7tTX zALC@o-bj_-qX>JVf99IA!%v{@Q{sS2RGKBt-i1yWnIRptY4Y*;D;(PUAwD*m)3v6!HR<`!n5rNfn8k z-&fazee09!r}*6O&_vHRPnGy~2A{e}{yl0rncOlQ9jRI`+CE&6tgI!yQrb^o{~Mt* z);Id%Pjj%LcF6kmSpci|si-s4Uy)eE?CgZp^7*Nx0SDT!-_1!PJ7d;OlY~zu_F7u% z!>hl_b_h;*XD)&}d+!lC>AZ%OjZLJbJ3MT$w!mER$MtAz^5A7-V~YISx}!N`MgQ>@hY z(%CT{`6A-Qsu-M?KaKs`Bs26PW6DGdryqOsGk9&Ct+lxvrWYG0h`1)X48+n8_AMmG z9xmr_Oq;&x7CJIq@|jqJ1t*gsRM7GS6iqAwv=4?msCM#uA2625u*;91D=XYUWK zUFS|2(Ne!*xj5)U9fcuPQinBpjUTL!*4NMfz(Y_{7#b`YyX{Z3 z(D4UD0t+$q)BCYQGAg;=_I3O^hS)H!gc7%DjEmivQuVyhvOBzpIFrA@^!4=q{#B;) zKC(%^3R-lQ&F@7liOQA0o6oCkV{!LJ#!*Q9jPd;2maAVX_# z#9;NsWekeW2OFZZt*k?kuQdGd4K{eOg*`FyIR23(mem6GzEmu*$ogLhM{SlL+TOu^TG49|~ zx0Jv$kB2oxs#l|QZ}?QYv{o3(>F}%D8{f1xPqK78f`c1_LE~9-K(Qt8s2#N z-ZWkLSyw9x+~$@Eo2@faYxgSB$w`s$!RfWRV~94=^_eyyGuCn@XO$Z9)#HxOe?2`5 z`!TkjW_7gPgd4to{Ok2i04t7Pf}LMuObQBQFJJx;dm|=q7aD)2#-hK`+?a(I2Dt{BO$L*fIGjg%P=J^&o zC!ZK0|J4)I{sktrGZ`l*Wk{q-==UEJ-{DnJt}l)~+df=-^?H|1%71(R{Jp1x6pAcr z6d_VjJJKbF@Y(Oo08a(FKls^>w#$*6H4t66Xefldg7lB`Ei#L@l$t4;NjK zuJ&r2CbAEp5M%^zTj*5CF?Et`;6LJI!I+XG$IJeVg*5dF*&lNC(svM6HjDFe)NH{j zsj2gn1uKRH6{{jXM5Zi1$7I6y@6XHodNQuLD7xB&+2D2dI%@L%r6m}`j#+Vk9+5$| zG)AbrJiWdBd_^aa?NE^eZ3D8&_4HAhkbXl?&!u6Wff}KmzRx6leHpAQWNXhcE*f_N zJHIAYjQkQPA+QT+o|+uQCOP8!{uBJ9PFdCO+N+DN)_HCQ`jEG|mny;toR8V!$r`meqxh%&z~t-U_(i8gl05GT~fg`Lod6g5W*Y`J~F+ISQlN9PsnVv;Oc|zx4L>o8J$% zddS5j{cbM)UjITdt`W)L)ERPL**rQ@zckTm!nY%*x`vgFaPRxfIQ!=rC7?XMNquaR zdoWs;^v<_yR5b(M5&B2yr@Xl5d0LKT{I8*AMYaLn)I$aZ2hNTy+BswH`ORQ5(rgHP z&IpG2Ck&Q+_&Q*MSh$WDTDCBiR(C}9^Kj@a|0hT1vtCK#%)gKq?OVrMEs<*rdQZM3 zjpUC%4wk)C8@BHpOg?=ppXpyW@~P(6mC*WQuGe(CufEg8SMOwb&Pm!CJlUKwVa3e$ zd-@c=b=_5Dxxx}@A@|~NAShyBSvj;Gr;Iw3sq#vmjtfsMcl8A(dU6hu?Zca+&dxuR zvP;?5h9TXCA!3q?XQhp2zx%Kb?8Q4KVT}1GDnXk)!PZ9{(6R}mY<*&h@)app<_~|I zU%jJ1M^Ne)nBd#TcPftFXtaNqdQpuMH%;z8wvAJkg>>=R`fNKq1TnDo1dSw{?|vuo zQl0dLkJSCXWYzl}&l2%NIVk8%qWtSeLIoh&C-?lplKqnpy_3Zox%1cn+VW;1xaC0u zlyHg}{dpc4lH$!VeJeruBXkWNGGyylBy);tC>`QcbUgRer`hghV(Kzjz{zS%a16L* zjLN9}zE_5zQ1Dtu_#Zrac0!IM#^n25_U*=Vp}~dLY=ZU|I!va`Mxf8edLvb*ShU)^`iw_y^c1%9A0XOg7YDm`tJ}e~@rc{52nIx%-@xfRp&~ z0Fm7fS%Uzx`ri9N;GU(Dvc{PBgz3}dWJ;lBJZj|G!-u_6Ikc?&a>12#X;QPN1B1lu z%xEax#z@>dXo&OCy1cBglJOsuzwT4ttS&$TsRLsNU-+(kO^2aK7jLFqEUZib+ks#7_BZ? zvGK=2GL_%dX^+3S;q+&1_R2|E68j+*D@uBu1J^(9u%HnbzU*(voTs%?Y>tr3e8i}p z;23MFfJstNOEzb!DQFo*CVb9Cn`l9LB42?Svi_hsy_ULWh|XHCA@OZyir%V^+F``C z-JI8+1Bwr6S;5x_83kub=FIZ6TN-o5acPp1_q9WORLk&Tk`5dKR`w#HtUpt`MoI&_@$ikxCJ}ip`d=o2Zc8{nJ($S=3 zOI5XWuKloYb&$E9n}c9#DS1JcOBP`nnwH7P8Igks-?zeSHseKIxZg;y*Z(k~KwrtE zNT-2L1E%ICS{M}1OFT%`NV>ad*ye6`yi_sG$PUdxREc5dqxMZat)qT&stGk?v(h*U zF`MhA+9b&u{8*~`w6HK3`XlXYAv8zd(P`tJEKixxyY2=Uv$gJsrm{Z0EUa`k{727E zcF%p(H$P1Etdw{<-?J<~Ho`Zus3ABPsx^qha_6&jeiR*!D<{iS8*V0djz0e@N-W3Q z5=v%$;uUg1no!HH0z>(k-yBprmBninPW_N;Fd^YrKO1ucny!2&WR;96#EkqaQ+^J@ z7uNrV85%k=pXPC6Md5&&Y@YuHqRkdk@RU*j9#yp<+3bMm5e&{bTU+4ts{NW`!AOvn ziL1c(oLxYSeMC76!9076(12CEWo`(tx8AZnX%YDnyE&$wZ~S*{NBZR0hNTePtTwKt zdWo>kFCg1Azv+RR3F|*~+fsELsn&R*!ZVrP_SJA;iMzWx<5j(FL~Wz82f6$^`k|#O zjxRNRfl|MX|h3SgP#{ZPqh&^|BdOxw6co5$@rJCMK1(se(n2i-2 zGrp62rI%Lw1~Mn!ltK3>6FZ=THyh<^aIy>-9uagFZn1QDKRCak+C9$k zKF?@FIo%;g`-*`Xo}or-E?P}nh}k>!&Wfz>Pc`wv6XW}?0&W*2weIcbruR*qetbnv zSEUsTe-)VA^uC?|HlRxv)hp8^G}*4NBO04Ac{S-jVzyAl-X8x2Z-MAUo&Ux58~3C2 z7+vP#a1!)-@aVGHeO%3QnyrGUGT6k5j$gQ8<;8}cu2m8f**evT^To0A-#^W0=4H>OayZD+ny&JY-<>O>5Z?TJw*-MXz!9uOxTmC1(RSDa z_HYE2yIF7W%dl2rOc^q4dc3ZBH1h=rENPyuJ(wq;!Nk7$c})};vtkYQ2{62bgLtaC z9C}Ej9I$&ymHf!0Y_WSA(9FBPl16dJLD0d340K0r(x~RRbaLY~v{`Ekf%kiixEnag zjHDY)?1}z2Wp(xoC<*2?k(Z@G+{gPHNtW{HN6z~|RN*+7peYedU&_Wg)Q;r{M@Zy! zKMPQ2!Fs%y78hXm4akS&;5MR}Cv=)i`C)9F8h97ssCr}V6iw{Oj>WY4Q~g@Lf5eg< zi|m83Aq5v|{eUXYgt$K59JKUfHzb-&XUoeN&f<9;{i*(<4LIZ3+9&lPPemcwgXKs}Xb}#y3CNHQT zCP|}k{eSpnU)MeV zg1tI4^V+Wxa-I08x>7$HkohXXugoTiAZ-8vsHk>JKvhyyTQd-deFV^+2x5f*f|_wa zlm&2#>9;mWqlO{>SR~DsGV*wPqs*OZkDR*~rN^eWL9^N2fdydN0Z5geM2__ikU2&X zz`yKGXy%bl*`i=((5ddwGa3W0}_Q!gBS8Anvb0Tu#?G>Mvct?%PAKYYY0%% zLB=7QVE5|5L6psH>pT?C;eC=IU4UR^aC$|;JIavNS}*g%?qxbe_+G3K0=FmhqZR@; zau__WN{{q;h-U{9v2M6=CZc)L0yIl|PW=EDxDa1@3LOI*Qq5X_-AlO5&oB3@f#f;% zUL+tE6JlBX78cnALo??i9+b7-1-Y(VRB-}&utda3oM_mygam#uFB(~0!?}E4Ps}$w z7g=7g19TIQ{q=dd*F_3b1$N~G#S}AZARgQVd9g?urS`lWqgB8OyVuIA2F?6|Ncm&n zPcQJja637v*qvG2Et{_&Mnz+)eSws3M2X4?@PI`cMj4i;KO(Ip{9@6?~>`HF#=6{G@BaU*n?i+cb*!#na4<@aY>b- zFb;}GS3_X`o-}?a_l9m$G$I$~Fpi+x#HW7-wqOX^TrMp5*_b~EMVeetyHJ=(xpWXS z1;gs(w>Jk6kI3Ezd2_Z6(rC4NzfrL}I1@9_yGpp~E2L$P`NHH8G-fcqFm6g5qEhUm zp&GgzLzz)_HljaB;p{WJI4fC&B;d2{UKc%bj`m*BYh$|&TBu8ApR_GE@tw3IT!Xj zh+tqO#k-e;qZeHOz zp9@3gUZN&_kQnzz!jvP9JHs1CZw?QBIg%^APEFq0HhzQlG;{svNDEl272A%BZf7%2wdab z3z-SnNLS+=bGXq80au5Zd2W=qffU=Un>Geb@;noLf5SPxI8}S>Or?BFH_fVqniYz8 z$JHlZlSWsp!_rR|Jn)!JS)kM-yrzmnP&1J>dGl7_Ow0%p(?)aOc05Hl@(;pAI`yrJROtpG6&~wWMism)SZm;2IVKHsh=l7I5*%4a!by>k zW6v>&)NTL$X9326ehc5gfAF2Ug|At)1J5mde=2}25KJ~_R(%Iw^#4129X;+e0q_m{ z-@~`*4!*H$ckrzQ@TJ;m10wiazL?y?7v1Q%^A5h~0KO0I;OqAfzGl^T@Fn{{g72mE zf5JCB430jf!=-GNoPA#s1(<|GN{*z)Sk#D)O_+( zCp!t1dMc94o<_RI#BL)D-q5#2&4YL^E#dv@_3Yb^5oGq*Y3=?HhDSUI3JL@?mFkk8 z8&{w$FBcWO>IZlo-Kd|>tQuaG!P;N3Mr&4$9AAY$d0$urvoUsA6#f{r_m~9sEH$2j zHcVtWC`R={5=OP!r?7#vJjPB_Q@YOQ?qOv1&=eLFJpNk9K}~?oOREF7Qe~tS!oUhy z2oIN8^{+<(DWK1XFQhyOTP*c%I`l^uT24JCMj|FL`MHFF!|_smSj~A1m<{pI>h^|p zdcdnI?Kid;f>Gtg<#7ZD<#8Iq;9zV}ESbH)?9i_(K6>;8XS*JgT8kbN^QYXDgn=Ap z)vjzBE~u?L;YeVSTCi63e{~{oN zz={I<_By@c3`SrciSu%)d5?)pn9t{JFW@P8`}&tp?VS&(J7b9$og3@(`)M-m!nsERnbTzCLVo?SjN0atO?q z4+HNt@xWy^C1k@F;v2{3G3rqkHLG3(Mqt5McX~U4hPFgJh*Dxbe0q=sy>1<0aA-Wj z3g|}8-LR<<35Uigym%n?q5UbPL{{wb2w@ZlU%3onRE6_joA812BF0Zwo??pJ4H&&p z@|kahQED#qr*gL+nK*j7i^f+h!69-cJF57Q`HvXA3K(?ei;+PYhUoSapE69@AuxEK z9Jk=Jj}&$d2P^A z%@9r!vezIlABvaDJ_iI=4+iJSDxsPWX8q{;F=&BmF4m2&ptmz+2@l;<8|>uagur+x zM!wUL8L54Ha22!C*!D+Vyv9hI+fVt|QiAh0g7ktcObNmd3};eKCM&a^=NPt`5-6#* zBT5WoN$G7o;U@N(pHaZPM&4AcW6BV&ZFxYsX#jnFk7~YPejICJ-$IksR#~+z1hTCm zSS?FNIzHOO9v9bMMJ?8c;!{ZKlBOmk#xLg99TltOw{?m}(yHDe0UUwUKO4HKlAa#4 z(Dyc@7!O1S0+Tk|U$_KqK}d8esEA!r(0IHDz~NCX0ac?-_$T|UN6PH#H@%W_-F?e|k|T@$QsAVK6{clKgh@UfSqX-M@GQue*s{0ezx-F}$R5!E zHJIqh7FcxzJ!+3uzOUa&<#o31L6XScmw2|-K1~frZ1Fc_N_fbjn)8LLnnYmqHZZ)a zan3@xf3Kz^ThJ1GZCJK85)Yz+)%L5Fy!P0NmE8h-3c5p$6$32 z*u>h2HIS8xGmR(K?#Bwuu0Yg=}GS^D@>&&U_H3?75tI*=Ik)+&_P9Fq!<8MhK%h za$%Ms0`79=li$9I-+ffV{(WY{?`0rot{u{!+Lxar$N)GOu(vb35{^B)VLT5noIG*GEAB?umqow*uIKvIkL{R>lF zh%nzXTEA7Lqey$Qj|AqO$qdD+i(i@a0@gBwy|Kro97mk!U(-{haelR~+TOmv)gp{` zFr93C{?US-!blcnL2J@H3%xL>&|N1n`)3w7CTGs(slYFIwy4e6JpELTUr_4LvFQcz zlPBL#ej7b0mz6UI+I~b_o=AW;TK}&^$ZoAf$PJheG(BDFP=(o5QOUOO2%~{3EIR|N z^azXvxuXYhmeh4Ik)GzlT%U2T$@4dSpyz%-7#&@O0RC5l+vv-g%P!-@ys>AyKNP3K z59l+|hCZ!(B7^A*qVSovln6kWqj7ygTR&zO7lrj&HQ&VqSbabkU9pzhobG2OXKv&e z{l*>|1zdT*kRB8*{0)x3Y+_83#R+ehHG^AsnfvqgeR4WuzcN15`i{ z3+sjUhxImKCE+fyi+h}j+)0i4M$Y5Uwb9BI-x7PQ$lo9uT~QQEMF==6-GdamTo{K^ zdigh@lUGm6!O+CvjyK>;8%h6iZWMbDco-z)^30%S8X!+~zr`Y5fElxOi}2c2CU z&@72x?~gBe6*42c*{| zq6Of34wPQOYa4)q>Qn@eS+!+|E;BfxOGt8Po?q3WCP=c~(09MCc$*AN&Wn$!SUkV{sKw@zOhvaYs2R_~dr~TBDoiXph^Em= z0jm&rr*R+#Sw0yMJO1jZ2ESrS^41u5C+h_DWjd2Ph+9E{2L!xc|3zQyd2wM~D)J;w z>V@-3Z+-+E9Y?cScdD{`&j%l{NM$BUB>AM+HPZgpr?V5;_o0R-UmY8YjVf1hX=eW+ zIS>#kfMha&WS%=DqyIM~2LdENyhSql{{qRkY!@IINARrvACdv>yG1h6e<1mj>>W4# zhh&`pXCw#yFOdv5>MfFS{vkQ=7Rl&$NbWI_eT@Zz@ej#n)&CR8aJ_#>z6}$ukIz3O z!vT_S*)SbCKyu)JAQ_Np$7n#qqsZ=%d>i`zUyzLcpGbxSB*Ouc;s1r?JE?a_-d}6o z|Jb5&q=@qm$+u|X4#@$6!1#YiHmklvGN^9;-;iup{l7*s4nQ*eKadPGR0Hw2MKVZ&TO{-RLvr9h zB=aC9#y4!u`bLwBy4MeXFNi?EX;qgQ0@EDT zN;lK@c~kreP+=<^KyC^1gI!-hzjdK?CvrhxrVwV!JNm@Wb&?3WfNs45ByhwS2RQFn zCsEXue{QjzB`Lz_MQ`r!s4V9CtiUZ;0C=$14bFqu%1-V2MbL} z5Rhj6Y7PPM6B`o>TQ5aW{K;@8x6~%nxinhrY_`q=S<{C-Qk)m zdRI}Phqt}m2W=1Tg|QQwG_nzzq;V4_2`Q@hcX!G8=j;K(%+9Bc{`id*+ILHo$)=%| zU7aMPPT<&3RC8lc9thpzBZMQ)A^^jlCQc8z<-GkXfH69EK30;aCre`th#_%SR3dU^ zjC-?~R&p2qG3zF5%m^<)OrgM2z?LaD42Ye+ag4d*qjgOCw zLfHFjqZ9g0sWtj%rbKd9NZcJU{{E5;0u!%nw@gHd2P*gjYMK&dVqf-rZe@5PFf{9~ zfExUoL5OnZ-+oC`a;fJBSnVXj3tWFDj2dR(4PXIGIKHQUz{hMkxEolaGWWrzB2`S~ zmhY~%>-J&vt`S^%%pL>%BN4XEoeUsP6+R%90m~9TVd{g~kl3ETHhcJv9s(op85kQr z0X<9W>Wx7^>8O*<({K(mhEMH?IVNWxg^o>I!pY%dwi?nDpqY|6<9_mQisxN)dQ^^9 zxzuJ2VM(B9Y6V1?#7q|v9RM_(0-2=CQceZD86Umt3w+E78wR@Zn%GZfJ&aNdnC>Yw zu`epxm%Jsww{>fD1z^PC1DF3%xAHdt9&%f^M&H$~{0)8T>~;TGYxkC{`4_;`c+CMB zjH2NvU#M9nWo0O-^MIhH%Rm*)v90$sYmqE{K#8{!!L#y+@WD%+Ave71s39rScg z@O!Pf>;dSNG9U~`_b8Z3Q&d!RCJ&+6kn(b66`edOl>4Aat*K-1!8sVW1jkW|K_R7_gi3zB|XI>N@YMh{*pcmIC? zdEzWQ1k2$Th$H~`l8*q~*Wy-422BnGo{2T{NM-z9CtKk0-1Tz=+8AgpEVtz)U>XJT zD|M1qYgLj~R(%p`oA7v~K>uJQ!NrdN-I{>WzK#x}whuf5e@kkchfqKA1{xLvrMnT> zlQzgE03{w{10-HV^%Wi1=vGP_Kg34Tw-r*dz%!WmnaRju9wXbhpG3!x~GbpKvkP!k`dur{!y!?VxU_ zH-I_sEG)-=0t6@s(36T3)VP7xB*&k>16C?wkn$&ww$6Zg0sZ_~<4*6tEmz zJOdfzbLN=+icu6(p-(8V7>d;{wB(eUmw3p^1g8=xe`12 zvC`UFc6#!9g3IHMX)-lNER%-a%}}9B?+F;ZKy5y)f7$#TKz#BT4DalIQTw0k+UXXc z(I=90Zbqs<<)B)9_=e-H29_E0$i(DKM4U&5})1!zt0b;+=^M=~<)`)g2{ z&w+n|^h3qvz6UT(04a+6@tza;W6L)b*(aN3D7I>eFFsEY0?cM?((nCbsQ5#pr5;`a zZev;@7Si`lz1>K?L0}hNi|A1RMbxeM(=0x)@}RbW=C?pcP{Bwfg_r?Olx(y@;NX+E zPYD@Ti5t_uJ&8a#edL#y1thZFUmpuZo>c(thgj^{9|i%!T)_J>LyP-m<~^pA=--aA z*bgIU1FX{@^E7&qg!L!qwtA@4ggbJWbLxZQ`27_g1E#qAJcGD02aVzVg1r2*Jx5~` z6X7SOnAbSN-rDDb-qU`Jf`1(`@J>#(0Lt4l2@SR51B!tD3Md8;gRHQr@f+1$kB;pB zZWXtV$pC42$a(prW5yPsu^sU=Wr>*p98MAfuEPV+d-PZJI)>ebPinxTR4EK4%J!yx zK=<@tfbP8iGDBcMd|0mobgb8!bnha_0L>f}p$RmAB^J{opyjajp6*EQJ+=Zmg0C8G z{VXu2WL)hOjX^m}&=}7hPla$OGVC4ayin=L7MnJmL4BR4@DvG9G8$0v`W>Ur6A87> z{*EE3Gr>WeifAB+umQnBpcu8`%V(g3$v}9d_Mhwk-Vl`)i-6D1BdeVS%JwYO3IPND z%Nd*Ol*wR_4IF{5LG1x%0wfgh{hta*C_okelTd#c=#PX#{wJaS{0m5^BM|dzPi zD)5hl0!|kIB-AB|eqh3XB$VDC2^IQRLWQ~fkx+k@1SHfW!2SWgu|o>bxPXKLHupbC zsHeaG!Wb4lKwke>2?dZaAff(Xv9UKGiwC`z7Tf@Eq5~ENBotsr^Z!byHb6r8{*zEy z|0I;&UkUZ%|3yNL{Ergq{a*>y_FpB`fGbd2G62`m0T1{`LcRYVqH8D+UGx7$*Z2R6 z==!HQfav;}^=kHCbo~rO*E|sf)_{V%!#w)a00O8!3*>aWcA2>2Ua0XD4ryH5aLd<1adKM4gWa%(_* z#{+!&M?(Dt`~M#j>ivI>u0VzgdI3l%fa3p~g!;SHztI(Qs{u2*-sT+8*ncDxCJ})D zKy*zc0nW$xBcUiSw7(Vui~hIh8u~A~>is9W0>>5r3H4G6=rrb?&&vUH{u^BZnfy1p zzGed||1Y}w{F6{^|L5rH^S_Z$pKDWqUiVKz0VR|M_Q#*-3Uq=$(Y5VgbPfF*U0vE{ z-l|DkqINsEBJS4+0LbW|2B?SnFeAPIP{lrd8PI>AmZU!fxKCy_JK4Y7HZH*Bi5I2= znA}+X%FQpJ0(5|K{XQ^G4T;UH8n;q}&Ej^G;NiOiCfFAlvdZVHGiEIGcS)%m5HjR1 zO@9V(;FBQgD*#2om6rh!WQ#-zY;?g52P$UZ;&a)5jAN$=b8YepVJJ@%qZ1u}gQt}h zy5P`=9C~{IPW|i2(raIAQ?`x}~G1 zZI%L7#dK?6)Fq>hFOao5D*xcsKglwh?J=X4<#g7T<-t6P#1J+vu&w5-!c2%t-WcUOH z*s>tNK-?-1LEYe&C$qbVdQV>^z^Bff(Y+;`1z2&kwRYlcCjSShV4Dz|eCrDSw>c!z z0oe7tR1&vl!33T~x;jDhl$PwGxe1si{o+HVkoRJ#khfL4kkS$X z-6M>bZyp8I11`C0Pzu*JGYQ)-0bOd1(HE+y{1_?1%#si*)CB&{0Lv z77y5aqintaw)a1>C&T_@dyzR*dI`zJXX1pi9c{osP5y}FReG{dJ5&H>11$yY69C2C z0d%V~p@(MxL>jLNz#KV{I@W${{{{9EO_yZS8Dm-GKf@f4;$I~GHBvZLAtP!C>{4GSStdP)P3;_ z5igG+1{C2DK=a0o*%c)M#=dNlm_(j{=LYIV>MVk`@)B|IGxxyo4u~T%hP&H2qCg0X z=@kM41(U?0q`3_9c!x;?q!Q!Pyc+WZTHq*0QRIyZAy5Osy*2G=AREvb6m5{i0G$T! z_;oP=($WSLdcfKq7xQ@pYxjl)b(_4R5oDVO=7fw-xdP4c?8{vKGG%R0lpeysG^O_( z_YPbnEX6im)~jIzl#Dzqu2Hw!f)C}Vn#-@GV9%gg5|#1SVfz#Sg$F6?vpoYksUpym zRPxGQ0b?w|T6+VmG`5o!X!-%~3TZD5=30JCC{Ne&(f;{B^>o!X<+m@0D=DhLk%U9L zf(we}cIJiB&3F|MPsEU6`CWRs65iHh#)msIc`gh>%(=X8TQ54&NhKw^%du|LTfgB= zKmI}`G0Jf$KlGYA@<6R6J1NK*C-69n!U@jm-!NsCh7UIDq#iVp#2S!qw(|U~p3#Jey&Dj3! z^R^W_s;61dNEo|A!%)Sxd|Rw{?Cp0YWNQ=rhG(T`$?yuJ1Ny$X?TDy@DImXJ{!<6T zc@KPQR!$}QTr%m6Lgr#hI9a5fjJm%BF_)G{H0tsG?nXQu8exAv>^JmftUC3aK4>EV zqla1VU;(yvL0GC2r-{Mpc~c?nCb?g){muipCSmjuS=#^|17zr~{NsTG#N}7=gf5Ar z(VhMi(F3>j1=1cOb+;DwO3w^*N&P?}dg5;c)_b3h$QX##_h`hfD69-BI$#VrlAPXB z&ttcd7zypbnNR3-qROqCbnFgu)z34vBhL2aqMD!5jHl#%D(I^bbB%xVoVAyVzh%GY+r9JNG{?(Nk%ff zTQlfee`;fjd4$VY+vd-OkLn4QZ z^aSP)|5HJ|)!SEUUwM&ntew`ruSRaiedAIeIeVOte`-h4bTXb3$b8Q7>+% zqKbjZ4~)OQ_1DNyxOa{Xi_J?gimF$YTSQ+W6aYny3Tz)oa2q<%_nZj$ zN*l-$rlmx=J?gDP&1JJkGa%l-yIZ)9bC%9XrTKDn=DZ<(NQ>LKx#z!Tv`UyLl2CPE zJ=aj2^VlxF63gDy`N(~QD1#FeDub7E$|aG1{j7qW6VzMLAZR%}Ttksgw7%t1e&s!iuDSsinGc=k-ytFXQU@>!$Rp^t{!*rK&b>4K9xaZ!_~&u07s ztLeJeHmVtu)1*^4>&%bpsM1}*m8Z|(v5cJgg|ja5xe0PAnrWDACC55zO4o5)68{+> zs4blbCljs-4BZmf2F0=G%8b%*_gp-i0A#5p`I@>~_sO$9sy5~({J9Bt6?HS8&AF3( z(h|vZ*K6imo)N-#$Bq9vMMW3fpiUs{8B`Ga0Q>@ZSLcFWb4x)dA`A&13EcdN4jisP z=K%lcSO?0&$NYgOMTE!A{9SBX*hIdYn-{Mv5@JMd5B75JH#+=6ylPmOEYAkFbrmpW zMl^9;QnD$>0Kfjx=H~=4!(DIrLs#$dxQQ`UEV)1HZss1`zIrn$(U~DUXVt>R(*3>0 zSplA_+$mHu1jCx_mXo~l-#3TSoA67SMO?g`4_N9=#R*}icV-t0?9gc$?{FtqF^t%q z8EprQRpTgJ`fBIbEJ;e zl>asO6xT!)!%K14R$xKbO=hP~E1rlgQ$;P}M}z6e3eS@3Pz5s`da=jm3cuziLWexH z$ah!m;K7@LZ;i~r`IqtZxmEjTac;fu^I@ISS1o%?Pj*X6g>KWW$(@ENHx74SKPg-a z;3`XTr}&j|O6gAaOZO$2yXcXzy44YabP=VtK43&Q3BD4l@19M4JlUiibDs~tb$TnN zU4@6M*-lKAD=#IRa6~tmT>=~fX(l%j89hOq9!v2Fs&nCfdL9rNzT63h3Qvm~u1|DA zX2Zm$9{k2;-MR{moLu=i$l7v4-7z1iBA&i5%(*wcriqM>IV%^yTN`Fw9N#Z@8E5hc zGGsc-;O!ceK^_(`%m{30()Ish6bBcZu6oRJ(ZodVWjKvmyyz($iNcFx)Q*24rS!5_ zinb7w`|Mu!E9FeHtyt6-aRdU^3w-+G)fpE$7V0-9D4K|9JVZ@-<(?CRfU92$hT*-rwuz?Y;MI^L5`+SvmgK5^< zpPf;i+DyFnjUO3heEDcAwBpUDbl~Q__-N2w28pA3#?TtR8I`IN4}K?f_d=tUAisX( z;qf%F=3B4$!{Md}4q3dFyES*x#)n5o!Qa@Q*kDbgEs4UNUB|zIv^K=onsQPx`w!1@ zzj-pXPWO1FPh6WkDtoK?^Pzw;42H8JzDD+tah8j_I9r9r7ICG`v5a{+lQ3n^qBT^xnfR{tuH|S$%?4ffq!N!?{`)pMQN?#~$JO zUfysj%Ynt(3ANPWLVXUxu7Atz$*K)aGv78zG&fdY>a*y1_mS#z9o2%0Zs&j61IQ-;XfZPwy zwN`P(b{++qhE0reF#Q;1`L%n*Ph4xx$O4h;_(WX(f!#DA#z*MJzxMyG{;hjNDptEy zzJX4=RnMEHa?@-LJ`)4^yFaTS%xg`A%EjQd;PjE_uS556%(kKo zBPP~}M0T#9krtIBTVZVvNB_=d(xkFxRSZp`svY=ZllRMPOC&_{a7IF&5P#*Zp<|G+ z0$K_c>&6$W0f$qn?7M;S*ZIGeC2XN_D^4_RLn@CQdAG?Ep;%IyDBUH<9V$LUti-I2 zHcNY=rzR;QDNRO8d*r81;%qhcOA}2liUu$f*%Vf{-D)b>H$@^CSSo*?i`AIJs^4KQ zcKh#(;5D#Rk5!WO&bD!5QoQ(Ev2R~_cyB4_s*+3^Nrr5NlNX)vDsEFi2c=^6ZojZ`wmn`$5UVo<ZvE+?2|dqi(5lu7Az?t&AP+UgY)9x zeX_}-3R>}+wxMXPtZhc1W-~1l4aKnHWM6aWZChbhMh#Cg{I7+`ovZnCI=b9!aS;=W^8a*pbXBvQmUj z%V?`hYlEcz{taq_{-?j8*G2A<&@!Hur8Cg^%-UJA?DFMySoWd|qKKfwR3l>idT}SZ z4aA)fKNH#HJRdRNvcWuA`ow4I@jAeS-1K++17iLLUC4%;x?%4XVdrUErX8)XzTB$D zOal+PpEk@;7nyOz@w((D5Z!YcZfrfiamac#kA83ByKHl$NnQPs7k9Ryv9RCSP3-i# zxQ7|$I^NCrJw7aeMgd(lZ%#W#q87hY%+Xo7aK1=Ed*@gBmR`z?>LR)^dmP9828d)M)%bmmy1u%e>AziuK z>9+BTjHwNnhf*i$rB0L-h3_<3!|&0xkh^&c8MjjQzF=5g7_;i0wyr$~CFzz*vV{!% z_j(vv-NCw*WaBh}nXV(+H-B?&M_RiubL}zzGLD0~?3cRsw+@qErmz=RlSzlSQP~GZ zo02wB*=1FGuM2bfXx80_@~oO(?-I_Sib9I}TmPBP_0 zBX0azExLcNoGk9xK)m$TqV%`!kK(m7WI4Z8qWghE3G;bzAHUJ`)vgAhJUqsTkymvm?47S)SyJV7$aCU~^#jfl$1}vP2c|sCPq|plM9tleS>sXB=64 zYaUilHL>ZaSg^PUmYbiK&bnww`R&BiwP+F|9h^6fQBTE691x7-sruj;Qlptqf zR->Gi`04ocXAnD>aD$h`Mu{0KC(CmCN{+JFK_$LLBqJ_xX)A$MAE+2T%AH!+28~gAgA<=SeikE67V|<} zk--I&Eb$YRT*y|=e6A6cS4SdFjvt>JjV`GGB9c_7VU<+aM*H`Cn?X_mmn>e017?!k zKQqd#`r=pRYg%zDQM2TJqA_MwGczkwP_hN{8S0nmwdd0I{)xNCp zOPTpVH`Djf|u*xDj`UF3}>34 z;#gT$yhAlpnMXA?scfQXMyBghf?XLD1 zuTEn3oH2>RAfG`D4&0)l&ae(F__lxSW_%1~!@3j{T6A_)_^$cP%$jpjnZbQqeia*H zT_yp1d=?hzc))zeX%wVY^Xdmv1;*e?vsU_fluNlil}A;qKRPMLkFwLoyTiz zT8q%LekF%U#9VBqhZwW0tOtHZesYc^mvlxxD7BD(*zL*9-RNGY%}Yv?ka5V+vgL*H zo5|ihcJL9o$7~024}8rqB(Ad;A{x$ZcV(CGtH%^FZ+C2W&xnSnZ(0|BX!g_Us<8mi zPcjcrP50G#d2NvI;YZi!@*Y{US%r@<76lywwvfkOXFm~|)MMMaIX#tcF)v)T`3liR zX0*M8M!T zd7`|Vna^UMk`&HV4D1BH#k(+IG&PUmA7`N9@13z=2%Qj!l zkw^t*Vdv`V@f`AWsl=pqc>TD*Sxy4|K|&j>|4xM-m**Ams|cZ^q?H?y;z@f=7gc1M z?Z4_>@(7EQWDSqVy?~>}!1;uI|1md@_$jW@33P~P&aI%6RW}Q3-rZl~ZIHtFBR$gA zvo~;Q{d2<&bl}c>G1%%-Nr|I3B5md(Dh=yk6&if-m=)+Qf;LoiF!ra7M@*p?%r zZplnCyFwZKT~(CZR;ef+oyD$j@+DozHOUh?KO5sD7s16h*@S$4qw4(|Jzt(8krE_b zf0`bM!9m`sf3;6=R20s~7(jYv;9c15B2xvjsFRRN!N&Tz{@JMRSq7o^Xj*H?vfI|M zVC%*GBN&F}<}pJm2`2r#zb|a%AXVG*feqg4Svr>i*WvZO7-3@JETmXt5@HOxHrTH(O~lK8sFH1Z(r&c~`$d|-`0m@2Xszr=QZ^s1GQPS5 zT%otk#=b+Jv2z(gKF+r^h+KS)9Mkl+^3;co@jk625})l|K#UukZmpP&hB$?68pP4G zdtSx>uQ08X`7OcG=>pyx+k;5T(OdzCY^mTSv}CUJa7M3#x5-facddN5)Fu=159_$h zDKq!jOvbF5P~XXJG`tWR*^;q!_(sHFrkl&}BnA=v2=N$m0jXUy#L;p3#Zi&PY=bUE z+;VtN$92y(To?(7;OSt*)y3JqbX7n3t>?We2mWZ$rdAf2t80y1Z8Y8w_0k`+-c z3Q$Ne49BCZ3dU>FrF<+s+i#iuW5DhU%FY)rjHx`@G;?XSDGwTE*MdQ0~37}7OxHSybuonb{dW%Zui^q$=c>MzvMwvwjI3o7#yFv~9Cnw;VSJ-YGw^L>jN_^HJM|1vp zjH*oIz&w$8)jEEajvQ?H@qO{G|78c{Q<%>*cNDQY4C)ha%=^NloGCQYaj!D4R+rB*n{Q<2~7^VRVr*Lch_m>pN@ zj{dH+>*_or*DQcD{PswDvu!E{`q*sP)C+5Um_VkJB3+si=0jrXml@d~ICZ z$6%Pp%NFvO&kcH8j3KPLhryLcwVF4WFlny26Uw46q((aV-$%V_q-~*b_1r&+Jny+4 zxSINJ1I&>>7GTJi~QYbI6 z7xcx>BQ-J|#PT9{Sd9DLD`@5}L4RiPK(dB6Tal$3qyLPmx^+CW;d9L&cz>kVo)5j3c#e?LTn_!g1M6ou%w$lqa$p1}6+G!qLVR}g z-C5YzQ6A$o_nmkN0lpt|+gm>gvQ&fRJxN%E3jLHYAe)B@?78=Z%JnMfQT-olnsv}m zQ?=dejoH{3C?~r(t&TR4Tim(ta^}$PH|Dojg)dwJ)t3j&pi$`HhFqAoGs+%^Kf5mXUP^t*??x1qf|Lx(`$s=y2L>r#5qnrY^%JQ zmVdIs(T|jhtw!mLG)*e*y{m)NI!QRa28u=g`Z~5z@u#iJG~RDoZir3t;N#Q@)g}3W zuaL6F7_@IHy5r3!VJJVRU6Yn{cJkk|o&GYLRtee9-{SFL=qkV7?$f_HjhoMCUAPb3 z1TMb^A9|a@h%IQTX!g@O!3G{Cl=9n?rfpHhXTAiPO%vrp6J@gm8K&}Bk2Wl~7OPyR zz*>xG+G+_$CPv5`gXgF9pjg85aQQm-Az0>SNB%+WhGt&Vy!Y3AxSV`*XJvRM*yqjr zAjy5oVXnG`N2!ULN$32jiS|i({HbW~7-@XJ(zG0$yQLZn`hnr|M9m$a<;}Unj>-|A zphr~Fhc;+h^|6Bj9DAe2&fF?^wH;29sAqs+fg?}?3e$@Wh-j%Am(5(rF35T$93f6dOC_-c5cYw!;w)F^dcVOny@^h z8MHEd*A!Lc!`b09Pp#@TVnpNQ_UEzs?_;sM*9h`c(lU_VJzm`zXsW1}Yr}@=y4KOW zC2Y-FLh*1(nlE%8YAcuCDH5I;4%GLB1l`ABF7RT=Lk;YJ*}~vG z@*xgU3b31(%Vm5EK@+AHjOv#Y;)=~j&(pfxEo&Gk)6EDh>p5%(>ne<$Nm3(@ccr^| z!VSB1&Jz}*JW*0Su~mdlD>iSx#cI8U=_Xg*ORx`=#p>SBY%~JX^R(tnV^89AlLs_> z&LmF<92-Vjcy!4#R7*^+^F}mx(>*~vWdkv=GN0`j-l@5g>pb1$3^0vlz4P_?@va8t zUEFvH`z}LM$F0PM=HVz-?n%jsa`EkWzDKbvOm}-pA>slTCaghB88;QURMK*8eV6A9 z%&xhip&`2448C!cPVmH*I`~@Z8tW-uerZafK_uIP7%Y-g3eNH0-GHbqeh0BF2?@GjE>BH z9#4VR!Mvo0oJ*;`apTR#@$u<&KJ579^k(OpOPsX=IzzQ7 zgkGwMOP5c;*Hw2WU&fm&?eW!-q6bG;#VdJ{bC#EHCm0Y9lnu1b-BRaC>{rAKd*#7P z6M5KX{ql&YOj*LkfAHu!XF$v(Vc_TF9g!h!W0?l%yu4spLf??4#z86`Wp>pHa1WVp z6^}+ye&W5tSh2D=iISys0vK0bl*6KBXGpC$&bSb$XDyeLh^;<^z!-yqmm(>h%C6=1m!@aXVcmUX zU*f%*O#({FeI!-trs96YEqepSHC!PGM77-b)<7qOg#omgr*^7P>;i$)R>|H~sC?%K z=e5HS#pH01%T)C`R|z(ea4|#WNbG%4qOMU7!(CbJ7oiW=@QjL)sFRG72lj{Qu#$JP z7?Kah2MiDI>d+;-0`q>?Z;ld6<^|*>cPJd|zwRo3VXD^2Qj7;GzQz&;Is9fCnDVpaS7FX6aQ0>p(V)>P}?+H6s(W`IqG9W(P~~hJ@Wd zt%who+Gz`im{Jf_j<`=-?)(hxEHrL(W_69?!9tC59}u!acGr}%o-tK4cTic(K2?eo zUdI-`45m10xHPVRUS@(9yZq#d3zMk+tgspsqY(Wmi(-$la7Ag^AkPm2@)Fyu z^fkf#d93nv6VfSm5IhxnWtRRtnGXXSP0Z@bXv+IL8aMim+{qS`KX+VNr{_)!EtI2n z5K~&qNfwGcv%F@d($_|q*>%Gdtk9WC`|@g2BBl6lYMS4ULz*fV#*4Zt$F}*cdcqM( zrh_~JV@7w%=_1p28wv3?(yA9|dpthz=1ptM%h;c2jw^Zfrq?0XyNu4-!3m4Lm6f6m z&w-jOiGJF1?P+Psk=xhkEcM3eIY8}wfA3`{o7sXQcldsR&i?6ZLj)CitY=@A1;R0- zFz3>kTgyk zc^CdpNxx$({e%O~&aOYtvbusZ+Zou`<{65tT9%+mqK!gk3cx%o(zUGojY3S-NAL}Hk%1v%PF`+N3zF6S#@knq*}e-BR;PI|8y8Oryt*+a4nJU*+g`@`2`)= zqyQrtME+2VRoZXv_C%MLx0FMTHqBhTO&(35VF~)usUZySG8k;iWZ_U4yg^W>%Td;w zZ7g2Dj^l=gn<%pAGEk6YcR)KP;(JSP7i>CXxwFOix+w-e(6zcf4^15>@x5|j=!NA6 z*wcC!Q#tLNm$)?Vz|mQR(al0QBA!KZ#VZ8g?kw+wQM63rOMC9EBa6}?6pij&+~aKF zIMfgfo=H^ln7E%&&4Y>W(<~p~p03@VTF~og?~w&4wL|j?s0^xa5k1FOp0O*AlKih4 zV&US50BvKKf9GZ&f!{q0FK`pnT?)zbbD9%f5gv6sW>`T_W z7=(-KLeg%VR?)7ABlq>=)?|Tebh#;Ca1HK4JDT1b3~E~M>}n<{&W>ueYPZCTXW*vm z*ea`S4g=tJ7L@F~GA+-p7pxHMJHd8{)MM>#4aui+X-=Qa={|Hzf}Bo*-R?ki-a^Nc zplXtakyB_{0tYt_7rseBVjkXk&`O2EqKW&r84W29S*|+`f1T&NZOKnG4E#Jq_d}oa zOVn@^O`s+Tfc(*(*%eO|gfEa3s2$^Z@POVOmpcWRx;?+U7SlV*;}Bh1Gk~S56@CWRF@Y> zc--QwTtDGhjGpvZo3NGdqDhCK0`li)W^jb z?(1jf@M5D%x-nXsbVeiAP75W_zfncmS!JmlX!lyWy*t^3U9VklFY<6<7YvE^8-DzD zQ9EkBtf{`u6s<$+^J)y9b+cV*@_Mm^Uf6MWz~Fa6D9aOHkQ;qWwD99V_%cpOs!EMJ z!s*BKwtw4Xbxl&Wu{NA$Q)E%M`1l9cr#1SF(6Z~;y*y&>ruyc(6{{c43VNir3@O^t zVPm5m=A$ZU+6|o{#ob=~La-Qm+90Pu9M_mp*G&*Yz{$6m0wnB^k;{J;b`2KVEw2C{ z2Nm_Sp-YNu3oD6-Y2NM{plE#G=EJUc%0$&H>0k_c4?-)|@wEtRo3yY!$J1(xw>I0Y zS#inJ!XDa4bUN4}lPzoMHMdNPpUIMspO3}+%3^1p#_nRSW90yj_l!cH7!T9Y9ul(O z0oQ*R|6G#jAQZn>iTzztC9{t9nG`+E6L7-rXoCqPC7OzVUaTnHXtM6Cgmt43OfQ>{ zQkxI{0x2omg)rWKUu5QX98K4lf5=@AOGe8W3B1g|Tr*~$s*d0~YoK4-y;l;Ms^_hF zqToMEzd1)Y!kD+X!w*t@#-r$t+f1vp`9wW1eX=&$yevMey_#a*H({(CH_zE5);i!a zM5#3W4Q(pMzEzaN{;c;A*k3hDTDx-wL(+~5 zs0_Kx``A480x zN)b{n_0yGFOQ|evz6pZ1zuYyS1naedKymfz&=S=aMhbkp)w!HYh(sYGM)qSR9*O`my$8qjOA$bELD)XG75zyXsZ^Zj%Z-ml9` zn?-wTQ`OZ6t?3pTAJ!4NXs(o{!DuBTeZ^#7zv?op$k#UdHir(o){s6+Tekjt4|rr> zI{8dhAmWw8aO=sFgvNf1_Glp|*2+cg@z|$yYWeNwv}#u-=k^U%wS3ksPK!w0SHsrq zTepN(_mlH@PE47?EgDb7Z9!!<)fNzmtgC~vSq(OI$b+EUPwS^S+-qTBZt}=64fFi9 zH_4pU`MVb$dAe11JS<<(YxX|TQrE7CmP2P*v#p(KfT4KKH z_(9>yD(VskU*L zbI1VFKWxdK=$NSOI-l19Je;|Fi3v!khAGmi?jfd18TMT#N_F>Lr%NN)aEw7rkb2hL zo9aM?QkWBlrn(CH!IafLN0qiOUT_K{aHBba8^Y3yxdXk~y=pCx=NIy{`>))dN!F$f z62+xc8)x+<#SG6|CVp63Y1m<6=w$^Y*o?ZJmYv$y$hPw|O=g!r!(^#a`Shr#N$c3@k<;(^lauq4<+dj{E}QoW+FDjQKU*O=2hkR5joamm20~Nc zShzdC2D;j&akD2VVyez*udEO4$gv>z3Qy^0;zK~?_1%qbsz;ZI$`dP$wNDB*vT8OX zu8s`!;Iy>I2fJpqT6hP}9NiZL_R^F1GIU0e?8R^OX7iPyyB$l*pr8%+7(or zmkR+k#;e^4TfvJjEK2ul(VYv>Z&XoZ4-85t8-Z|(V=l0b;cMTjn)Sh zooE&7)o8CeAvwf6txKn9n28^q5~{_R&v!~SD~_;ig8#A|4yJHhHSyIjG*U!kPsdn_ zV#c8F<5)RVA|%l+I$+xG$X1?+y%`yy6IUj$+h-l^xcSM8Agz=un>lWf2)~%Au-^!ldv-gSM=JAmkD{NCj z4WzZcTGDjObDWiMMPsvq5PDQI&1oDJLOZ(X=E)MdqDL(~z)7;l76VWsXrfV3g+^Cz z;mTmbzNf{$^rfaP?5@AJwMjUka^QoorvBoXRy~80Gc8Y%h}G?CfTGiGb(7+^$P#PK zGsf5218}Uk*HE89x%xSp(-ptwOW9|xl!Uivn5jca^+;Ab*-*1A(FpeVh{KnpCX5qn3%j${wdmnCmn5ofKN%@@Jp z@EvoKdo10lMz0U}I`!aEhU5DdYD=6NQlpBrlLx4Wx_takUg}Q)+yPF~QxT4*YW%@uua(sWsgSdb=X9D+(X4 z};2fK)2>Ktlm; z7IuThGB$Gy8lo(V$t9)crH-~$^xvgRr=Hslh{Dpv?pSzIltJ?on?hT>yWy!^v^468 zyAPocri$scR-uLzCrBjID^Jeht+-YFUl!Xe>)v{M0OyEef52 z%@Tbwrz(P*>sVZx`&*pI5amwRa4%FhXI^}sK!g`k!x^@69n<#)yppV^`F|1OoSVlL zYH2&a{dKyseD!J6Bt-UZ1-Lb^%iFE=Gq0@)%#4yYoZI#Qzu2T8B$*1abg!1<2 ztj68y7gqzQ3qnoiKAJ7!z8dcTUNyM*A~#ipr22X(!b-2A)a%xdVFTfHB{t&A2cKbI zPToG`hHJ*xtNX8iHe3rD*z+2PwLJXjXl^tZjyTQeJ^rm|p&p#&44z(dg$H;osb`9^ zjvTG@Wv~-UnHsDp9bRUv&fc0j=iEd}u`@Gi227-RVh%studt_k__2FTR<+m8OroTV zyBLzij6}AwXVi_ck4ffaSG6uXXC|-#cd7Jgf;g|M@|!$S_yT=Ymud23oM_9~7aD0m zcNW_3({j3A76-as_u&-4FXh@4r1aYoqT~LqYzmdUHzjypiz(wVX`~VUxQz36)nZI) z$K7!buU%)tW#fEpZI8jj4^kfc-de{UblKB>suiDKRjl7LN=iAOzD>b_yJLJ2HQjsB zlgeG(UyGDh)%tbvw1$n_x=lKQ#<0^d-}Pm+gQVNa8n5YzO~xVn(|hRf!Pe`k;k;VI zEd#!tFAg!o*BCAS$xf^|ZeCpWXtD2YEgD}+%hc4RlH|z=ZyUM4Z7X5|e z)MPGMF;^vWe%N>HC`2g!5W2ssYTMytZBH&b&)O?4)5^AQKc|`uxVLLpi_5fPuy;Cp zmvJ|1&vdgH?@`ZvQ^e6h%)MAtYCatMA?>!N=i{Vuiql%`NIKSin0n)I+wNR`!Iu2d7ukWqm>&ABXlt8VF_K9OBj%nZmQ}kuX-^tQ!H7^&>rq z&Oa!^DpkcHOhC!+ApBkJY!|-ivTCMIPf+4};n_Vzl7M1&Ng^X64=S|!O~BCCtk~d@ z?{p(mbm+p)fb*+o46glEV_2T$-A^ggjp@C{uXRp;ip|w{pHtWxPOjuoozJ+o@L-Sb9-l+%YkEu``e9G zc*_#Qu2JZ2Gk&XcrSkeWbWOPa;tT(n>nm4u0~uxIzuq_jH#J%@1p7JU$zzME;!W0U zh}xIv&`q9OmY&Cy?AExq;^5?fTz+6;@m<+D|J=R@z1+42yQTL{)72pX;%t)t!q{5?#rZ7#!oe1o#ogWA-Q6KT zfZ*~h;7$nnmYn}N=X>9(d+S!sbpPg&+0mYUW~XN`ot$2!e?(X0 zS0Ow$+;(mgja=qBg@LJYN|yC&PghNFiD?FNiRlJgAH(}u$9?iU1DdFj38a;&5%%y} z5|Ovm1!wel`uwtBy4NT=0L}jj`F!={zwo^XsYzi6OB$*DLN^RIJWc&eD`s(Tch=$MPo!t zKc-!|bQd_;wxTL}5KZIVd42I`YP55@^1s}KJdCxLKAq`UK*Jvas0BU%VC{)JLXQ#V zU<=5^p~DK+6rH^4Tn#FOw(&1)k$6-U5D7jH1r=QQ;VJQlFzgfldAjm_^Hlwer&h5j z;)kNEEi_2eAN5!|@^zbE4;HoRs1lyO@T2Sf_sN=^5I4!E#c7z_b)20AJ#SC@8!OPh zl4g`oR8kcb0&AIfI=!OY{pfVL2SeO^a|>!CFII}84F(EyrEgc)N1SBfIhGP+89Nm#@asUnhL!TmE=V$eV#e!xdtstasawTtzM z{BE06W+U`7Ieze1I~SG`G7&qMIhJ)%VkR!|iCJiP)=#E!Oe6k5wvEN{(MarNI$^Q87>`&JvgN>IEj^+qx3Ta5p2i=ddqmqBd*@;CA&U*zyxj0 zn63BK*)(<2_^sV*e$^<^##d88-IiOVEgM!nGaB2jL)stjo{5<;oSiHHPlEpHOSPy5 zSY~{2f2N{p#U(k%1P_9I1l`)hHTa(F_$yXlTf${q0;~B*+d{euC$+%g{FKwWsjKA7 zDPoEF6{XM;Ln_kN**NQ1edUPm*R=h#C83pKyaR_`g zZt`BM<&SxH0h{?B=hbZ977c6PAgJiP2Wk}h_*F6p+To=5)&m-S zW_c2}<@ckrD;!*UDcjJ(I|!38`X^4A%oF|jsE|26VXuvhlB>>8CXTkBmnA0AX*L>+ zYc-<$_1MbOzglb+)bKQLHYOx-mON|uSq=o*Ct9NNT@(;mmluhR6~s(!R-DJ5)%aiP z2-l8~^tdQKMf6=M&@8%^d7WOmwpuws#;8uYsuAr*fd2E5z#K%xAV>l!akK$*B5Rpm z1*C21AJNm$>*k7ge;zO=)y%c;CWH_!$VbE3cxiZB(GY%%HuD%r6ddmn=Dq0L5fxye z->*hGP80LS4v?(cS{du9~X5nU) z63d3lck}9OXRueM!$|?|WbkDjoY;rZ@D*U8q|~JQ=91JL*d;LV6)d6L_qI=sKkBsY zX_F!%@IFA!;S{V68bFVK3i~dyxI~YEy@r2J%=tx0098e{s&hUWCCb&kw}XyQ9%i`W zbVG3mnCgvR1wbF!wX*p$<4w-Faz3xPSs1s1Rs&O+cNQ@eOt8y#qcb(VpJp-rVr66^ z?mVl7cD1t>p^+dXvbiGH_Ob_>dS4#S`GeOJg=sk)L)V4@ju4W{To>r0jg|OP3DLzxCP77gm zraXJcSK_)^-p+hrbQ;4d${-a*rrei*GUt0C8EM_$GnGBscd14mBf35izDOU9W_!L% zLybkcW_`SOF%9l<>XnLo`rf`Q+v8(e)29dX+t`u!j~26sNULZb%Bkid%jr7DDApAU zi?1M&DfE~CDn_C9H;(Ua%#ZE~d^g!@-`Hb0oF|sirm5Vp9XFll$zxOkeWWq?eH5Gf z_X#zhe>gP;h+-b$-}SEOTk5CIqmzJkk>8g_j9K*1Efw5CQ&hwse#EAnv03I>LWdAa zocipblE(M-c2YKMic_3HH-O8!zM~P?6>ncGyWj34jqEtDEpG7?VkYx(?`Oy_uX3-s zqMtcjC_ITRL(G|iM(4(C+7(sgJl2Un=0c>C7SU1!+O|w=#F2^eFe)(@>@VkWlUZgf ze0A+cojT9rE}dh4Zawp^i1|@4O&CvC)I!d9tdMMIC{m!aD$AImn4etM%}(+0Ed`E2 zh`Ujf2{e`|x_tlc9D6$6Ateh-y@qAh=)Imouv=84${%(KTCJl0RZ!^bnqI}@+mnm@ z=cvu|rB(_5VHT4Y{x1=XN>O`@qvhdhY^96Ouxi<;-yo}UA)_~=a zC_9TBp$-J;cM+Z&I~Tm0-Gjonn@5fO(aaF0lH>A8=`@X{lZd8vG|p1#-tGT?Bn<2P76C>%hXa$l02j=KshO+#ip`d=7fhXLOr0}FhO>`#=;hs|whyy2KLX94uubhpF`X6kfSfFts6F(`FMCOcoFq$RK z!%DSw@D|%%r1hUG^9vzGx>2M$r08>~`C%zA5>4XN1r(w{24I9mxU#rh5b1neN*@J& z!y7Xkyethpb#Yx@(kVK`e{g?*Y6TfJXo28XR{y+mgbT&e!1O>JcT)eZGF+q>4Lwq^ zMXiEF5q>kHC9W6`De8gOa&Xl4xXM|=A!`!Zaxs^)YK)pVR#3ly7|kXdDC)qn0A+EE zAkqqAbQP#-Ru!m&Fj{IUbrGHdxN%qUc{;c`$b&FS>XijZBW4p{v6niBu-sL-a&kBA`LJK`H)H0{eO1JH7r(@AL4N z6E@`ilM|FZH0Th%g8>1TelUS6P5s*()y&yO#s`{kbgimdSjqk4)##db#dT2Xe=oEr z@Amg@AiG-{kRp6PL;=`4!wlpGVu)f_q4XOBqX6iFn6&^PG@D@*tPDpNq^d=d)bG@$ zZ~(!z<)EPgR~ezIrIFNMQJ`LmU8TmR2Lzl&Fl&7b+F|YQAVpZlFs!SA2`tW=8YBuz zmZ*$KmY}Jkmo+q1iO>cuyOuOeR)(tt#fdEoLJ{SV8x$JW;y6{PkL<19>sS5TAy@!B zSi`z_6hLbybJ13E|9K6%oqMrECtdJ{9?j;3?2g+-mD@?@+0qSz%s8$uF`Yn;TRpodM?Kb z^mJrUpAI>KCX8X7D9pd>gybKjqgI6?YY3{7NJGUT2(A-5g#UDGnkrgZ*9d=x7*(y0 zMLM9$c+U_P>OYkM$`C!kmg9Es@&9Lakbw2}Y+O5wd_iVKc?h}r~|i**EsD9w9mz~`f1 zWXiHc=QTnVr%BGP1*poC9ovXhK`+BJ(X+h) zJAvJ?gFv`Ef!&3}FC_Pc9bVNF3@GJp3|1S3%9^WhId%eFy)uDluUa??{Ei@B&j-g=3Bf#!Jh*z6jrvH$MyxRzh8x zxw6dv7qo2C!d4i83sz0L^ zefjku7*)~`t*uK@G{|3$B`lL0Gvu$3Fr*F+&Id`FcY*O2qvIe4k!lK8%2)Y04Z=}N zftW`wRVpO9YV0*1dQFC^7O|`$3+>Pg`NsFragnrmR$UY}Q;)T@Zi7#|+H@9dhUdCX z0V-{Y^t^r4n=&mC{(Rx8ZLZ1vy!q(MzL zhBP$6#YLc`3?^Begwv?Rq=5!^8kHI<2G)G#7GBmh@zpV(bR;0wdjgBU`GePwq z2C9Z8wfAcHP4Zq1XN$CI6f%jxaiITxI&z0?Ju#>b>Q+(4?`>#Rf@~zQCD2LmP$hv9 z$J1ZS{#Et`FrX%Q9FgE+Tg~>*2sDv+r*aV1t{hV#lOYA7po*oWs-QHI3(F`=-$4h; zj?^TL1Zv3S@k zZk{gcP9{6A#<#RTkoKmNTL07@Bkv_aGF7cx+a9hl8WR#ls!7s`%Np@s)G|S&;J|;Q zzcQto6)5Fv3)m{bWB#Z6o;;4n`_}&LzDZ;e#7k$3S=1ms=702H$b$1_8qzsXcc!$+ zE^ps%ggGetOT#k$${|t=P@(@t6_hSa#kUfEgN_kpamwPsL8P?g?=2sspV@#C6c|K` zTcmLj9$^6zHvf`CQsmtQC{U^~f5lG{8C;UkYVUh{*ZeDX=s;ozHai*ST~Cq)sdS^Y z8^k0P3h#1f4&opTBuuqHikT@%L>|5hb+RYct9KqGXOpjT4#!Z6bPkNMaVI(*-5 z2C0ouQ&MxNrR4i>*3k~iXs_BsozyX&HpO@<;CwFfH@hJnR4#s>{PuWTaq$rp6eP%r zJ;N2+yR`pCs1(}znPSi!=_DcT`pUsK&MEOO(6j&bX>aH5?mCvB4?6Kr(ybI|o)cKW z{UdvDzrHM_r(v4_+#cu=*ed{T4yg#UxGI7PvO;@EVtsmgxOscMyL$0xvh9||-{UN; zw^Qq1)g<`%#VWF6X30LoWLb9YvCk7E9$NKgAp$`i_(VN>CY4t6E7P7z-r@A}8=2Fk^Uhag3i=7}ZBtSAzdH&!FQrxwlZoqWDzB037atykak;Ql;#{o>{G);9U; z0rDHk6GPu6xvaCV)%-8K(4PdXd?rq#$8mim$;3G$vAIN-BP#(Z*J znmshf*VpH%dna75lsbkZrSKm7*%hkMGfK68o}PPjz(w3j_k`r^*7ZyGMy_pi9`2qd zPKb|tM3^YJU_TQo{>UXCrxmX5AYZJQ*zxf3{A0-er{xq4t6A;P!Aq!XD~*34$hl$p zVi>#?v#qiBH*GsYm=WF}y5wF?irhfV`N5+8^m(yf89G>6=w?f*`}sjJDo>_*L3TUg zj4(QE=kpXTlDzh<+aO-mtB77ax z7E)MH=f?$k1bV6`K#R~IJl;0?g!(UZ2e#000t7m6#-S+!I6CtILr4s;&0=z*=d%gQ z)^w;=XQ0BJk62I9oNZ|eXeq{MV)&A|UW?Js01TjX6O}H*5EZRPLA45wQ>F_F(jlR? z3eL;J2nf)GffFUsa{V}4(K(J%(sY_58!KFS(W<^>Kc;KdXRFAiz5{QJ@fOnLdaBw| zjb^pMn-5|-`!_dtPA@C9w3YK8pt58OH4Q8m;pn4v{F!Iw)iJbio53RyD}~jc%Gs@; zFgdC#!mZc~aHGp4*(TAJEW|l*RiY);3xOc0M5G)IP%i{qvJkc<=RFirFSJ6d@bgZ( z0SNs5#~p^}_y+EK{&nqAH=AUh7b?*YWs=_NWUGjeAfAT0WD#q{UWhvd`hkkMsDHs| zGxb88B@4o3jKSMmkGF@XyB8NiArnvKQUL*cBr2T1n~Z$F#}Azls|>w%A6lSS-;Eu~ zdI5gt%i4c#&x8nb|2X>S9X*i-edXA&)6kUG6l177G8_6hpA&OAgZwS~hl{D%PGV@K ztFHeKCHte+kydkvi5o@DL?IzF1SM=jmd(ZbUWpN=tCi4!=Vy=sgj5G4VGi4R*%~Qt zsW&#eY)PI9>rhkzjaiaBOQA{m1o$L%x{>4t_YQ-? z#$8x-EZ^b7tQK)Ep@KL9JPUA}6UU*%IjpFX->)jtVxcURWveq1SE%rn>k6wEx~aDN znF>+)Cl42od8{W(<>`t4Ja#gXN+E;)&d8wH5P9oEGHsemzQYCi0}Zqj-@ncra;HNg zk6hdZ&?xgA=?WJKpJzFP#e+9HPeX~rEl%@bq5SIxDEeto^v)_G#+%ba+upM&b9lcI zI3Z<%BLL(}2;r?q-u=!!vwr zX5xEC**I(k*SFJABpfza&Nwz)08A9uRJXBCKW3%M2sj-Lb(9pee_bf+L@1LyPjCm5 zi>5t`g0ttK_Aisv3`B3rmSf&%hiv>`jPB!%p{a-G>%;Gpd!KaeKR!Ye>3vBH`d0(j zsq7+Ozj*(4YnRc44&8fx@(?8KiPA=K?Z}Pm*}Qk)|IICkA5(hNdPy{Ld&b+Ubhr2G z_T*}Vjz$gVMki}2A0Mpg>CSXqvYD~57Q3rGi>SqeN66-SgUJ}UAMvdV|1(jK-~^3n zC_%^1u(jAy@s)(ywXddL<7n|ZfLMDfZIl|)w3`5qVox7$f!yyoUu6>}DsIkQe7%1A z;>7D9j+TG_%HNgqLm&J1ijde;M9@i*#gy?)LcPq>jROuk5fVsHj{M!Yi9q4% z?P}w8W58dClxjP66xOY+Bl3b{$@b;5qQ~pX^J}lR?b<@-NoV?0^d}+XaVncs7gLP4 zr`v?X``2q1>FOVsQcAlSiu3zsa96J>lWi_MBEWd~5 z{+V}%wp=#)E{pk@NM8+S!L&MzVs2pOHdAhOnOf+=Wf2axp~g}^Vx)Fo|Jl>yMcS;4 z!CLWp?;}lk%5K8!c#%uU-r0`F)6@BVJpV~UmzSsa7aw2mS1E>O-e8AIqf!SMP0KJu z+bWIZGT$iljNE(AA~=cPL2G$;gjxd z0Co(@DI_?npA1@hP5d3V-gMzK-RxK!tP{I>{ibj13LUQ3$@y=oF1UehcRD%1LR zlCR6$C2Q?hZKw{wa$(0*UtoNO1$*S90M32K! zY3km_%=?;pw+3jmXwViRH8M?Xx3sy^=Qy~zBcT&MCnwjc*SRCH&aC^{E+dzq@lqsNL7vEIA{1X)#(+K}SRrO>6n0>mF*o~fTfb(gskdQv1 zs-CJZ#n8&a!p<+2R71um(_jZLZ#0fdOuVVA;xb5?N@zUjER;hnaz9kYwd5A+^4YA4 zQG}w2bd7*Ea$dn4LZ(((WAuZur@h!c<1cPW)ydelft$6q4a7!<3?@~ZmAK8UtZz3S zzOM(@2Rq$eR?Z?!V!zKqCzZbIFDy$IV`I3#op*b1E~f8DA)pYD@QS#ESa=4GVr?N} zvaaF`BC@b<{-xW0DJGuD3XQd=+_>|>IqghxYXd)*OWT$g?TVA@Bk2b zIL!xNkPid-1WkF)Yy!>b7rMWme-8EG=E?_$Nq><4fS2<1j_Dfw?cw#o`pdwtUvjPp zwr6(qvBIV}t)iy&t)kIJpUwJ-sPvXbb@pMLsLv+<)OOpSBpgUi<2i-YQu5eLi>Wox zciumUrO-8ghi5unnqsZ2jL=wnydfmW5llJM5sSo?VKdgg*?7bH?sb_R+kLex_XnKm zmC2>cRq}&0aSse}W|5irvxVc#`70?Z3?w)hF?jfCUK`$dUYq%OUV8}YZ#ULRjpUIg z?0qpHYnzL+rDvG(CY5`f9R&j_Fv4xI;^NIo>bjd+$j~m7z&H#T>4w9ioik9NCrN)= zJwTmVQb$X`7nIF4Gm}X+l%G;CR<(M{y1Q$p*X(83He_SWgA!xgVr74nmelzcN z?Y6aO16L?`D9^Y4dwGO1;Y3JzpElwRc?U5f&5ZgQhDvpPJ@G+&hX~2;fB}IFg=r&% zQr2$3*ZrII{2_%;=`YP~mkZP;TW8(zbF_p7=Da)xrqF}XlK}pFN;JokQ)V#pj0?)| zHJ6!o6Wk}4$F4#X6}hJA!`f&mi#g*6XM!ObL<6jP3={}@W}|&STaDmo!HnO%D#Kq+ z2cM)r=pU)5d`iPBI3(y~*Y8p_7(m6z-?9^rxWcKs=Aat`*M5FDi-QAE5=e<@)0%*k zsa3Zn%j;m&=SFuj8xFNmUF0l`X~UH>jip_uN0I%h!Jw1Jg>XE;R%7mEIpEwbPow7j zq2|97qZVwTEV91owxmEKCRbdwr_C6{KGR~ezT_Vtfth){C~oU{L}^8r&=mx=5kY=w z+}F}ROM(kQiEQzX0)_)@oy&h}&PR*~oNLbWP6dDxc)IwGch}!3>PCPuozVAlBBXPq zN8#LWL$qq#@t=C@lfh$nfewp#WvpZ}N&gOONDjeWAlS6B)@y-*k~y~>stCs<&yLiH z-kh1H73jytFoSMn&0&l*mF^+)SB9 zqkI{z&_Q>XCs4O6TaF7O;Fg}UBbA?RiWGgL-Z;x3eqh3qr7elD!beX{1fs#+Yi;67 z(%X^3S|@k#5GiGQAfxwml0*B=`?gA%Wcdt9GtY59msn)^sIe>d(C}hxb&?Dyb=E(QW5k4JX_pFn8(HX_W$Q;K(S*5%+;t`TnL=GFKEeVSI$XNW(elI5GX{)uKu4V&tiS$*!#_o>=9 zW+0Nfaik}rx^bi>%KzT(6SO>!Pn}cWJd4-VhUs#QsFNIK4x;EF8V$E!m>dTBVGBL7 zoIV{3ZIT=jL8ruBoPD{NSH5RuLwITjFet{nUMO~tdOx@jryfgxIDcG!AUHQ30u_ur zFpb2Ifk_g5Wp3UlsUZ*IuKEQB8gB=lX z<3nZz`Z-g7MFO7|>E)qzK!VEx*%XWdo-v7kkY-3%`b~I!jggZo1-go01_Q`Z&Zi;f zSOrjQS5|IF!2e+T6Mz#DJB0Q!fPYFNLz17Vmoy0OZIJT{-H0@UOQ$1e{0)&%gvncW zD!Rzf#CKb6+VS(iPR_HK(ZzRt;bT)LMK1pxG{7XaIi1T>WaY^ zN{B&-J=?FiSV+}VoNXZC;jIq#(jQDC*w`6JvL$R<4AMeWB2HRBBa-Fv@|-Wg?=?K= zyM7u(>kQ~T&(~*C(kmFxFFk0t{6V&Rup>^@PmQ<#xE^z`vuM|MGF8-d=md+ZRaVw1 zW{pOWe!MDfJd*uTimZQCKkv~|tPwS1{>8y&J(?G(f8TjcJX8Z*zvWkpynF1YQV3`- zWSf3Vpu$f)2zH(`yIkjvugi9Oor;K4?Y8buzs$X31cC}Ps!eCht-ofOe)(?ahM3#Q zH{5uh5L!qrN=7#yCT=l<48z-qasDeG-(`qy{TD>Q!brr!AHO^ZeHDZcC@#Hp5fC2= zOf~JxY3z$jx{@<)G_A%z{T~ARvE-sEP%QSduFyosP|ifaF@*-#_8gf?GX@zw!71tW66X{X0tbuAp@u&yn1( z>#XSAO%^4VYlJIg1695Oqh6x57VQTMq9y3pjRp&*$x?m>8g*7j7$1?V;;q)5Woequ zNPiv!ULsYnvp12a>liFrftS=rpa6EWrwxDkY!W2MTimr%goe*+Dajr%*+y@x;tG$W zO{sSCp9rE^7C-KOB*@^TZ2`?I4SFpsYBA2_HEMm2>{ic)3Nek-9gK zUc(*%&9jm3<>~0y-8~9CPYVa9iCbG1uLL_`GIhygoi>Z#L@bn5$*24VcZN0iwcrTMNeQI^cbDRtd*`Q zM@<850d@d@0iq}@2vB`d@Du`~vZR@?7R_PHmETWX0yDGy&5FAdz%BKvguBFM{52D= z^aY`HFn095A~j_MJwo%&o|1PZ&VrJ2l_LhN!ytR1=%VlQV$=R3{8wzshO^Vhfvue# zLM#I|%t7#a7eFCCY#Aefoe4F{qap6(YT#lX?yGy;BbVi~6!U;WC(d+$qu^a#+wzIa z5B@CVk&O+qFWEF^JC<3&rgA?&EzMkOx>gtQ(2}VIsof5WJ1XqV#)t3r4CHfz{?A$I zk7Ar`Nq-2WGXXdma+jyvQ^PhSYkZhV0!V%Kg6=~82%%S3lXPTl^XZ9V>pv@Apwh1{<#|&N^ zp(C)@Xed6;Yz|>MPIbm4o{-CwT(8_cc2bfru)C|vflESB8f+ofFTCySyXm=XjX(VS z`hyy1-)J2j^dJs1q$n>N1EZaC;F!EkrD zfqj(SuQ)rt@3v07C-iDg=ct_gsXa8nUFpG%givwy_MBj6H+C1`a7o{tMu`nvfFyIw zusCk~<5o0uLP@N`1U5%;wm!7q57V)Jg_dRXR`=C{ZMUt9oS*L-vYt}VWlE;5eh;3S z!c#!sl$>BqKXn!@g+YLD6rra^Zq_XOIgUknt~^$ji_5AKJFJf0oAAoA6vEfQe~r)ACorPuG$VGc7TMY6yED@6lQlL{?vph-QtyL5Hliaqe3C>I ztbX=8l-T8Gbo7GgVl(tEx|on{qfqwBOdQ2S9<+M-oZJvmKM#JcI~#0%SLR+P+pGL; zpF_8r`2cwA_rZZTE2<=6*VbKW_5-XelGruesBh;}gi_9UEnB2h0+=?P5*eZNt8S6( zRN8gtmKFd+4F&fHIk8RkAJ=UDF$*qka6{sHhHvxhpr(ppBJepWl@O*^wWJy_566+PKF zH;E+Q>G%r%^65lL&-u37rfie3lZY@4fF|DRXm1rSWm`N6GR1=yeobV0tzznGHbBl6 zL$EW2wdrmlCN$E z(eyzEu@l}lMh0pSnK&T*Aw9o;aB_dc&`^>u!9JVRtci^0D-KH`fl0|+6n@CU^y(C| z3~Th0CR4`wL)`0Y^PuzVF0?nQZdzlaaT!TH?KJ~4E(X;q5}vh1L4F(Y1+kcjc5jF4B@ua#wk^4tZ(4QA#k97OdWz@G?nTXlN#(=NNRCMfe$KC~K0nmpj2iC0 z{+*_H)73tBP3>&be_v!vwCCbSB}B<0tAw~oICEzEvcWhO-!I%FL@`fg@G}Y${W0IY zxg(N)XlXlKyx*0g%|Q>AFtH(jcfZs;rqvOi>GK2)`7NDs=VWbEJQ?a~PTF@rl{)~! z^g^ZnhG^#RaJ!_#Tr0d0GTe++Mh>_^$8c^MU@66Jl{h^|v0n3j_?ULBI9qYYx`cwi z*8F5!QM#iH+g^fM!sQtL^$vdGR(P&*N}rfeNt%GQ-El0N*pK9Bu^;)|tvoI!1>Ac) z=-sQU4@Q$wlGDVBo(Q{1BYzoTZeKmrZq&z5ux3oYB^tZxAnV6)%Y9dS|?rCR!UghWMOD$r>Cxn6mZ1H5)uyJ!{w*`Se^IYEutYh=|wQ+m3 zRKSNLP-iQobLbHbn(85=meWRYG*TTT87Q(SEt;is%8>JxNIeS#{Xl9@xEJ!c^Dtpm z6)Rn({5pc=?7XV2W%z>_Zc`IEvP>vuI)=7RfkHf>8guOS0IZ2N!Mq3}Of2Mgy~d$S z%J&$>Keee;u7JkW;tO+}`^G#a_ePitdHjf+02Miru~0}@st!wlf}&&7smqLYJxXW` zEDIcBklPu}SMNN&&pcgWGrC>JH=m+E=nyapvBTz>_TPvle$d%d^0hz}o(MV!r6er! z{N#T#kUc8~N^K}5NJ%4qe{hL3VgpXCLR)zuY1fi#CgW4rV){@d-9`-%aVx*g!;zX% zA3tKj$CgPy4F`(}VRtuJ1Rn!ox6ATBJ5E^;{eQ)%pe=KGr9RB#6-YxCIj8v41FG%=slgck};@PaP zKRvTo?$1q{v;8}C*cww4M=L5&oF0Hdh8Y+UUddcn&V!-VJa}a>NdF@d;SI9M2U(*~ zWa3d-i?p-2fFgYkfd30gLTE?%b+T#P$4rOf2d)J)*3|dFZ0LG}J1)Xu4UYpMRn(23 zjwS`-f@TF6?iv%1u5`N^*#Xv1&l^`7?(=!Ywv5mj+7xvk{3t144RF|TWDJsqtz-gR z`KphC`*GJT*=Ohj6ot{gIReqkUNv7AK0ZWBTAG2}F!=3dHiX9O4vqc1BBSC{C#QqWlVSWsQnP+9hp_Gy408 zjDE;^lMIdWkF*@Lk+yXA;(A_{D&~0dorNgjGXXRsN$Q>FcaS((sV{pP~!W=&(^mh6{|j(@%ylGZ_3U@3T29{7Tz6 zsUeI`+=}KVk6(_J#gap;5X0BDKoYHuJJX~kR8i0-INKe^)P+7l7B=TBF$;J&j^ybpnnoM$b4G5YvndLI2H;hokd;=>8c&=}Bxrcc0|QH5nD)LdYZfHt}yeaqB^bL)W*$)#KUq;NARf_v~N49=mw2nY0aC2^`5F%~FDs8wb z8JAf0f0g_8!&l$fuJR4JdNsC6ND30 z)hH<_Qa(g6Usv^eSJX)>N6$>cN=EHg^>R{{fSC@1k1qYKtLTsC z7~D~@KQB`{^_CS1l{Qipx&-~&L03_VrwqfbYIbCT&T$GP)1QmCoxQoeXUCd2iB=&* zX;jzKJnWiNVm*)QxOCAHIM63kg#a;`e-zQ zIo-SdRECNTV=8%=ennx}CxKuCsd`911FD}IQs)}x7aF0L$wTL3yLsNm`;CcfezWwC z9TWfCtsanfsdL}M;esKtisrH5B-olsx1^J-nT42S{?C9Yn-o`nN*Ri!Nsu%dnK&*E z$^0lxyrg6pJF93Dzv>=6$y41J*)NPaEptFYJ@p5Y3@JEa7`Jv*Ka!tLriTbPMnDs#^8O!iLc}!aTJ)_@?aqi(Xaz4nE?t02pPR;R^X2WqO#me@Q@-* zD3C)+*&zvbxru}nXwh16{=^_xhZP_U2oR2joNH6XE{kVTCNpNKL}6Ldm|m|tp9KUY z(O`4d%KHE6SE>u473mbeq!TaEF3o`A zYWD^Fp`o&^jV_|b)b}C_Df(e5T_cN~pnwn|Ah!=ET67~6+|C{5tHzpS|?V?Jj%rxBqfC=?c$EUYro zh;vTO(D;p(JmWy2tg#CW1@RE16>-#u&1YaIEDy#tQHB&jF_Tw^lUOPs$XFY}1b) zyXFuvq;6%Yt1m7oYdK%F(-0#ju8-muG5tio%Nlgog+|y<2vHNFW4)-)8xTfe)#)Zw zPtCqJI$Eb>6a^ z3g)miZm={w86?yQ*q|Zhow61V8x3SPw&vmEqhDU2s5Pbd10%`t;B1DrXp`Ae@}#LS zH$pN8Tae<gKuoYTayirWe<^@7gRmhMc7zBpFAuJgN23th>mM+>~i@d_7 zEm2=AMT)XMSbOIYLYza1vU^QQACJ#ngSa!83^|nKc56t`Pd9iD9bZhzmUm-3@4oVGt63 zp&%r(Fv}13GUGrDm>}@u7_WlWz@-V#(dsfqS3kHHU! zE4SMT!%XH9Gk*=}eTD6>F+iBiWnun0-1~|HachpisT);Z+;fH!{7zAAGV*!Sm|(%F z#8J3O0(xPACg`P%&8@e&%{+h!kv%k>?c{rZ#8<`05N@|b5mupuqkUa5s) z*e^!FM90tRqVdG~vNV#0VO8xuTV_cRl+Y0i&7vpXJnL*lX`ccL%@LwK zs(wQnILA3dMFE+z^l4(R5(h8k*K*G@=EVz{h3PVLx-18OPSQmfdiLJRdK@0TZO8FZ zilGTXV6=>p?6NVb%1B29NJ@KABk+btMrNb0Luwu*#%WQtA)S(s4RL;W*{NAXN#hi8 zv_m$Mp=8u^1$AS7PCX5$N24qaQsUsUy{IDNI;2bXX0liSUAd1# ziPIpDB}@m#AAa{)1qt)LSlo{?wby&hLW(e-qd+q96+xf_07Gegl+}L4L)szF^axe7 zG|A+cfN^*#Z}K06k7LO~Vukw65t-p6r&K<|d1U?h z#KCF16zmjFW;L-B$(gh`M3~1y$lLo39Cz9L zVfuokD8UETN^u;6J`_Pi#BLSE65tI>5TxE|_xu23p$($+N>tj|+e;gT>IrKzNCIL_ zo(w|iuN~Vz^v%Tt-sP!#&4C0I40s^o0!KsN6>{RYIPfFFk>HLI4=|Dra?4;liVrUZ zv$T^Ck_A!vL4*Z6U>R5NEG+fqg12<^K?sa%gtTygjQXqs1+4WvH6l?9@)|l6(Lm?l za8{g2!Di0OX3m<ZLi(KDI z(aUvhM|Z@un?)UV)h1{zqk&3C977h$u}V|FX%lQh~L zYsmeP%;@!RTKU-T?7e&RYFcA|VG{jVyv6g2b??02yUuUtzc>*sBJDoSN1nJ_4j8}l zMEFzd$8$NBCRw%l+f=x8|Q-nt6|Lm8|WIiBhIFZSpxuV+*XFnv^pW z`$JQs_&|2E_4p-L1#g~RiD#ae<);_8^hYe#pA1FcI1=?^9GOPSQVTB*jzNb*eIzOL z&0bP6(7tPk$|06}CCQ?f>q=#vK>Z3sHNkpeo_^OT|4aL2s^k4*-`ah%cFXab+sN;A zg2(?6sI0lLS6TW|Q1MMd<7;Ha8>Yr@hKd&{<0X40*Kgg6zaLcZty?cv?6zvRIzD&0 zR_f*UvT1W}{Jw!g{QtQ61|U&l=Fhcl+qP}nHs0FaeQVpcZQHi_*0y>3egCVgyPHZ^ zcRI<;1eM85rhmPzN7j(;TXIe*Gf2*$X!pbvkM%{`;88M+>>eV&SDw?S%cYwtMYEc;s$)iz^4C|{~=J4z{ z3lZ`(&zFpR!Wku2DQ0u-8Ks&4vo}3*HOhN>j!{pOB4^PZ-$AY zVGDX>+CRUA3uLqoo|t)uy{&dmOw%oZ^@$$X803#>EpoP$*>4mYO(I}X#!xaS;?YH! zK*gj?cG6GA@35pJb?7pUphz9t|3q-0RK%dWX)U=6c8Nx}8vF`@CBK5*ui#YrEBI!NBCy)5ar*uFayAhmDVz9Z%WrTfAbiqOT}N&{sGyoNYw6f3ijMg`hO?~K?@)FB1yjj3}i^D|D|2;&c~RJESmE&gRSMyAS%lYE5ut zTdqfGERQKplXamPwyrQf!^b+(X=YCH{XMDo=mNd;8;`%SM*z*&25oMfJPrx1NJ+UphkE^-P7e9V zt`1XJm*FI0q|3c6ov@5=qB63jVLbUruH(YcA-V`G(T$eVP{#+Oge*<66m=X=y$b2o zc7rM%RFj848}(cX=thwMHo8S2P<7Elf{}$}3Mm=?yg7n&N!F)Gt{}~dW`o*B!eMx^ z>w+tVw2ZIE7D0*_gw5goP(p|WayoemiwO1_&+o@3MM>eNrx~P+U-f40uUaLQMa2nC zYF~0KeV_qjI(nWYRl0vwnTy=%-b4=cX#8k!vGP9NXCg1@45xx}j&n&l&k2f7{zO70 zXUoYbw}H&`y(*NCe$lHZEYDgpeWx%-s05@r1xpA1cjEOs=1eGn;`{aPt(}s>N|OlN z96m#l=tbk!oKY@6TW0*u7_HoHGc;exl zPtojrLlKvJMF**b(&BsIk%!w`oGi&MvNe$hQ>H}D6j=g!?8C1GWJ0Gcku;V|J4eOM z?+#~6=+U2EUgzEqyxWh15>fGRtqh;;uB>Z%_|(PcI?deHOx?zBgU)Y-N5e^%^R$`G z*!zZ|8^W{h>9?kJS!>%rb|s3}RJk}#ba9AAt`o-nHdwZTBS(u{p@S7hG&pi}3C2*rB1?1ri z1En9NoUKN@J`wvzdj2z$-9WsyS;+k@O*wnORlXe5S~zErmL8U04$@Lj?b|Hyr7Lr- z12fvrK0I63*LX9NW^PH1!qV2O9+Q*B;*S{cx6XQC+4yqPX69{~uY?}pn9L$w3VDG= zKFxEEjhv%J$|yCgSx=TXhtf)6m|&JsJ!@%~6J4z5#&LSf24NmWFo8$dAcIq`4=iWV zLl6=lhjZD1(ZzT%S4M}g)?U7Zw0}d8zeKoepHR8j=Rvu^#l~YL{Wtztp44yST%x;i$V&}!5{@`XOMz$G)zJuE6IIbq`OgU(#?+l;eWx24_U&A9wfd0HeMjaqJW&GtCxRQE#ViwH{wr zHKlNv5tqs?R?(D_16mOdNnk#a{7%jS;B=c-zgXk#C+Q^rY*y7w*hc=Iseqw0N06C_ zh-Zc%GaVlL6S(5ZX)gapk{IVU|A1o{PpBX^LUw4z?3m0(XwG|hVI8n_Ob*gMEf3M2 zq6{`oHiXEOcGOGTD{H`%6ZlKR}P(Z>qu`{6m4;> z?DEEp(9P)NXA~b_HGawMtm6^?Eq1~0X6g}RB)xZCH>+q38K!g^;_bkRcz<)4w8uoq z`Ri#l_mGi-k2xuS4e533_ZFr1n3>2(OM!F!87SV08$Rmecqo}Ez8qP^CsvZ1L%bpS ze`bOuCqfa@k`M<&5tuw1TrOH+F{N4*iz6mt;XlNFAxs;=PPk_qKWk*4qK zH@cmKN^I3s*cEh5j?qvQ6(lmz6zRuGB0m9fbA3AfJJJv+iNSU`GRgnjDH58Djy+Wj z@{7`#lv~kfzq1S!k!e!D+tsn8CS${dJ^xe+>2JmiF+PSVIAY=uXqKY+)MIZ8HUwFt zDz?{i_hH*1lcn+Bm&7}&ov?9=gu#8%A;lhd7yBU-lyO6*jYEb)T;ktQiL2%z#!Y~A z{2NcARM5EGgWJ5JTyHH}oX128Hg+oV_IGJw7L8abmzYmOp#EW}}RhJzXt8^&Q;&s^M=5K;NMzSfr z`lgDVXstd~RE5FWI$IQl@%HI$>kXjx)^!9;r15wlV#~ zL{!+8Vtm(cFNBobWVO}p#qDMKSRzt!4~G)OPd4B&W^9hGH#^hX+wh=ChWU;va23-# zsed$Wmt(t@{$%m)?HOl=H}gi8`IRQnd_&fDXka{)#EE%)`MY};mk&k>wQL?Tf^FNb z_54veFUToJR99l~9=uw7+^E7QI7kB@e)_F#*LQ19uzUJw*f5$uc>tO^sLy`;uNs0q z=M6AIcN%(BjN1o)Wu`+y0$@w%ahnz%+pJsWF)){aYa8bHUkcH!w%cY(X~LB&5){D z++2Zb8?>W+Sl%Y#YB)p(uCudkZ2}S9Qe+s-`p*gxfdV=TV%C)0K z*w}`ytsK!BUFw4{i1di-8~$Lh+NtY!!gd9!hsiiyDHUSU`q3Z6c&1JqhTp5DYKwu0 zBcHGT?2r)b4K<%{Ye6Dv#^o}D1Vp=CaEsKLSa)Tb_s!b2%yykru9o^VcJBT{ZkkW} ze5s7Ms|T8G*~HM&PeYNWJUkP>hd??r40v#RSng(>!IoRIhI=w6#O>efhR5wIEw;Sm zQXc9O3PngKAAN4DRrayFxAW%4igWGzaNyZ)Mz8dIJ#_Kn&Q4$3gHt(uh=>#co{Bd9 z99an14;5Ipe=!kFG!=Ya7*n&4_v~Ef*s!w`uQ$dswk$Xe2#xGVy^k?Lf4wCHe`mjh zsshq#Y=F+4|8wl^Y8q~M;g53ywimZG|}bGl&&1{am@DARBfYK{~*dI^3rR z(3x?_sn>9IkdCd`kar~X{~q&oAMU>Hy;}=s`%Ph9y7FUZP|R-U$sNY$xUSeMJIyzn zFMoY!KdmX&+-nQH{vo5z+6pT{X|IlfO~&8i%yO+R>8MI{m8pZf;`ADGDR3EEKy>W3 z!aZ~b;WT{P^5jzciVXuM8g1m3`5TsHyY&xHH9brC%McKB8Ear+Q77Dd0COW3P$7;g z+f*DP;-%Ls0s$qLv-dlzM_byLM8!1%W>Q4wS^;@+Vvor>#n}^Vc094s&p1u4nyIWl zB`WCTm72H3-3G!ZCM6si!;a_g(4HxITdc<-=OvCL=sdAx@D4Gh?`x^V9WbM)Qu>O7 zQh1sKRTFiHBdTQZ8#fXz_DtMql}k+0Dp>Z}O#J-@fp{A6QM2F-gZPA%G12DJo$Zgk zg*r=UVP_0S{c^}XVd`<02O|>xa>#;CP7&4I{YLh&X(?g~@sZa;h7$UlZw89Q8(r36 zC&QbXc>KMtg!!~nQ5P23NP>}>A=UJj5f$#X5tYp^b^j9Vj*&4iHl{{(efzvQGjJx> zRoed>^0WaEGO-(IqCC;Xx}ghqf)#HD%G?Z3?F=8^j3|1_^_4gFx_pm&2l!9Xk3)z~ z!~&21zmTi}+==b=qP&7TZ9_N?O8$KKA+8w92fhSn1!vVxN-uBxbS50 zn1cnw65k^4I)^fNVs;alia!|Mf~R~ap(8CRfaag2b}}95mZ5q5&O^R-kO60TV4p85 zWSGumTQdofBkm=frgnj`Pg}@KH#|g2&a=;|9~cVumY^anu8Lt>5$EsP{wSlSHBZ!F zip1-^(WTM1rAI%9OjGJRTQQ};F%GwQLMa3TQp&_mFH404B7^NJ{{(yOr39)DsQWtq z#U(g{F-z>cubRs($ycoOE=b09DD>PDhjGP<+$oymx2k93P z%6$Fn&IPo5Ush376Y3t(A?SDgrh@-h971IIxGxT|gY%&*5b0|^8Rfo5S4fKMW*t$< zl=AFx=sGr=ngVSWO(e&=pgqssEe4!`w40CMAN)171Zr*3>Iwb8v@^ypGF_Z+^mS8* z?hRaLNe>D7v80kHiK71u*W1S~3dsG3ckvQ+b|bP5Ut+9mK!bM=n?tK@%QCwdj-_T^ zUA+G?nAw^?Vu8^ST~QEUZwx}ypx?ShEc&Tt?wh!TYC)1|8<*doE$gr-K#{GmX2zUG zwm4ODjDK7sa)is%kH=lyHK$Bpl+`n*|L(rmG7j!O-IXM`J>cvhI2HuEsCZc4%a<*N zVN|FuYcAG6Z%TD!Kk<{-zn>?wv`;!*bG0E~*yAWOi3J?o7B ztJg)|dZ4Bx(935EP;p+gdVi@~#{ZFxIOJbTXv>FproKFQ-s=z&inl6k=L&No5`;mU zQnv6h{ui5iYj!rHY)KS4)cRIJPWXwfSly~B&Q z`T;MwJf4Wpb$yl-c4jPkA?F!2YOI}VQz#6qYof3#;17l!m#3)C+azV97}?4;uUJK+ zr!qZU0MZPL1uHQjBN*spG_GF{gwt`byOGyfg+H5mT#`MHVe@r<;K3Vo0+nOsetgtY zHECU5-aE16GTf!nH$~HTIS`R-num6?c8HtV)$)g&>}KgOyQPa6kLz&iQ@_*jMP0NK z`o-KwoVYBTrX43#Qr|zI2EA%(Btzxosyy*xc+%#3ly!nHh_PWBtV<4jV+sArOFB zN@j|}(1dY3vh?(ON?2r&2S=x$a%xi_#KYl&%X_5J|;wuX$58Ex3_{Y86d*v!y5-#E-!u$*DWP)ko0YbjmPK_RmsO)#~j7e=mWQA42 z3Jc8=r!bf22O7;Bgqe{NqYQa!0M&69ITN(KG|g}|YxnMsh(DFd+?t!5XwsIgYB|WMptpRR#g;Ybh>@PDC&59Id#q8x~Kw3`HuW{r|YaxOD0dk zSxM~taA-g~KwlgGU;&ARL8BjHmE%(S(RE93=Mm`M<%S8N(u!?25WQm)!EmLFD4zO} zdlxl1n*4!b){rxX?vRH0>8;JvLw{TQ2#P3`REm1V&R~2aaG4X%)G1DU+^!84>{<=rOMd2ZXkHl^- zZK947ijNX-mp3BYab2w?WM!vUFeQ`jNw_#ASl42ev;0_W2E}XLNyvWnGCLZQEg$#_ zi#wd1>TmF?oZ;FXEZ(7*uQ>CJLsItJhn4$2RLY#UIxAs~gu@S&oJ{UV$-ytwQm6S;kYDwj@#mE%J>b_N?lgGG`%2P%kXpbw46PNFWyYc2nAc7+g zHEu^_+XX8p{{e;H(U6&T0J_te4-ebwtl#bj)jTJ{6e*v>h}k{z-MG%5+Z;A{M=PQ8b*CR*_ZMpnGB;#_S^HnWtg9!0}G~8I!h#F}wc`}yZo`MT^AEnU_$?q2Aj#h2#_)V}SH~`^k zIOHojN6P;=0pV#n6u4d~%a#DQNEVg%jToceqIE}eHg}7Kr6)XSW#JABTiL%hYJEA* zOyLW2%nl|RC8%gzW(O02ALwly=Ld6z?evk&x=jZ^Ha&t@ok}f_51L#lhY!l?0ClaX z&`&PVy=9plH!icpN9u(3#aCgyBheGCcA}e6Iv{~Bta&hW#N_s#G!jK^PTVYCGKF673MDmripoSwk)g3c=6y*< zKVw}!G0}8*Dz8Fj5z5iA<4CrL= z)H>xFms(KKjhgjfn;x%Yb}^(4`WG7a$2AOi&(^3QWAX(G8_bb6|AU5cLFxfMH|0S3N`51}eC5|kg0{oa7#&j4$$RK|#4uqeH-e#*bo*5Ou{)BY=3V}Kf5VgMX}8L5nbp)0VUTjuGXGnEduie zLKKeqZD^@p(AUI5jq5iL3^jgWK2%M`VMR)|r*x1pKvyp`` zR)wG~YHW#)0+~)Ruy+1Mzf?yJgrHcMgb@oh-G-t`HUU&}7E^t-^~r4#AbnXMGCVFy ztr%p7L^h^75O$a$l_fAry>u%T9~@023}lKwx`i*_WO_Ns7yVbBJ%Z`y>GOa)HeL#FY0SI2fwXGN~iv!L(JzF zx)7vXT@LcrMj0-htIb0`6=8f9Sgn#M%CYIsQ*FxJQcRqdJD(!(*xY9pTy@yb_vr%$*%dn+TL)lT; zVC0H2)pbejIaC6-&n0m=m+g(V5nW3)Q72;hxQHqlj4|r11hgl!udn*(JyTfmkN*Y| zS-JzsdzEy0aT#fap&ZU~35|))_3ZVpE%fVHbmY~CM#&C^DO=eXV*eX{D=U(4@BBU+ zs#GX&=O83NV4lFq6$RN>yY6Ek;MyoAR#T=f3X=K6ExeWE3Nyt+I5KW3Z!ZxyeNt4x zjr9~#kQv>os4<`JLtlpk8yI2^vAGBT^Hk6O@mPiVuW_c~oGx<`)|JD-Nob9SP?(&P z3f3jFt!QcwG|@gd0>D0Qn9KA0vmgmUTPR;x)9N1rY)-taag2Wn-2AI@+fIIo1{sAJ zE>HENpc1);c`3s0kF%CLFiYhMhd}^JJf&vn3J_Ki&#!=%pup2*`7wMYchqiqGc}y# zh%rI#bEx7H=s?M;WZ-K;na%+4v63NUXn2V*?sPR)R$dgQ_lWfCfhb6+YSuU-%KZ}C zXl`@zc#3GTU7qK7=SI#ILA{$075;Kvapcm#{ufy6gBwbBFBm@mU{mll%ovE^XjMC0=CR(y8M}9 z6>O)+@zuRBdY z@cq72yI;#19-$R$0=TG%O8$MoT(fvyE8>n^C)-GhT)mT1X6Oy^9PO{=Gl2BXh{(Ug zKPN=KROXsKpMQb$x=Q8nuU`4FtJIr`=FB#ef7)jov_wN5%0e*GLhSKx$qmhUSS99R zsbvL?9s)=cHP+gM^9#t{V8HiO@QSV2`608N#)L-(Xfgj;P}D0~%p!5-7&n($1BsOs z-3(bzY)fw82FT)f9=n{#Esm-%j^EMxN?FB|oBit}KNf$7i}UpdF0iz*&`7I3ui zGb3Ba*39538_8ckq%0l>wsi-QPl_-n_?WRU5<4$-GGmTca}*XkHTS|<&#sek2At*3 ze8?5TTW7atSmXlCuorHb#s!w!4sj1s&Y`k|nrg7{uva}kKU|l29KK&7$0E*g9tk3n zPG6cdbs3bVLZ5IYmq;|1vEA2HkO|peS7bBw3yj%3QPlZ16G129Mm%a7lh0N=JF_hB z%LnTnC>Nkvnt4x;|C&vQQepift1-(NeAI)%IxQxU*h=)}`6Lk6&Cn5eM z5Pg}@^IU}*{dE}0lP?dh9K^dk>0X)uLV&3RC=M_ffQ0O^YY_){>GBCnud}D^>o>RLPs~nDzr} z(TG0~1)pU7yVIcOn$cb`=so?OVtp@_<(f0 zOpR6GI5#uxsd!^<59c*dv)f4dP}CJM?`X?IVp&9Cof@%_Yb`q@I`{M#Hd$m^30dv4ovUXzy{+>DohA{=C*W> z5|+dslOGdq0*~ZQ4h_B;WUt8zyi=5Zc~$N^6x`d7QiJclW*Im?VvzLko)d@r+rut& z;u1+T#Y#C@ovBp@GjV z%pf-U`U`!OT~753J`?)aUE5dM+}U*F=EJ@3h29z-TP(hHYUWkD4_?prvEO&@&(rMg zm$>@!Xs++`8T`+q*_XWU3pl;sgV|T8-=jJI`{Rr6ZKCVda!pT4u8-?rEPv12{3L$w z=X`G0=eM2D%W|#Hl~wQB_s7PC-FNNJD&BE%}%VH44=a*I~YIO>)JsrtS`}R{HQ+ur<4CGo}ck8IZ!Rn_wkusE^z2DCNagV-N3ZDkaauEq9<_@<9IE zF3x8r3S70H-L0K62g|qT?M+W=U;fn%{P!0%CEmt&b-y2iyT##e|J)@{ci!~==zq_) z9`9&c>wS-x_I@nfV^&`@c#qI0%({P^M_-xK|Lh&XZ-(gTcO(10oc?Rr*6Dq^s(g{q zW3jPZt?hAmdRlaJ`ii#G({0~1H;S?A@py_}9Q5`3+W1hvX&B_?+sv80 zGP`}huwjfjtMD0RzkED{udUVV^fYz6RfzW5-9P$Pr0w4w=+_lry_`2S`Zkk2-tUR2)45;slNah`hL6CitF=u>V6StY z88<~l-e276tK}n<%^qJZ;EP%JPwX0FZUSSgzTfAabAqw>G_#rio+@`c-`Sjz(Z6js zNAoK&+@)D>pY+?_bIt|yFR4`sU5Qg4^t59nTJ(G8BfPtOAGRx7+l-icqppN5}SYrgRnq=7+D0000W0NjxElubOhccLi(0K$F= z>{sh-XHVyD3m8V>wyI(6uExkADn#&m7%~|#{)aPhursKAE$^1TvIgpyCz;fkT^>F5 zk)VgVL?ZreWrWwAJ!5Iq?niYbXF_ww)a1E?zR_XX6RZ_U@TTO>t@+s$XRxege}=_2 z8+jECeX`6iT=jXW^ycq4302Ms@CPvqxxj;z7u7nxK>V0_Vc=@aSt}IRJRJylGjun) zpO|pX>fy0aaxy*thduRLQmwp{I4$v9#z}m-mEp%s6g`Vj9$jMTOfjiO&0wE%z^1+= z_riD%iC3A?ZR+l{sQw&g4tVM;J+;@0#&JC=kZmWFBh3d{FuSp*F&nR-TzIGhb@;|; z+gI*;ABT8Ea^k28l6m-YLBkLp6A2+7XXFAd#w1P_#1;*-fs!77%RH>O8};5gV(2%d z2{suq41u(fRm;!wQ~zkbibGV8AN^TvQb(mCHBHNPN0WZrxSzuWNTFK}4p+CJw1-a# z&tI*AHE$SO6#SNPO!Ucysr#X~;XV=O!%EuB;kZqtU=T+B_n@UjM$Rozq*5#J!`Vth zyil3zfPRUVtWN}vIkyW|LyJ*5F(K%k2)Gw+b=hpiR5wr7fohZya&Q9iS=ObO;h9=?ab6o4chTCP zfyyTbMkxc4o2{FFg$~N4GHR0Z`36+jm0HfUOX9s)_enkUH6%6_1=T;y6@-)r$8`8R z>@NG$p02?HCmZ#_ex0kfmJEcqJ9GBk?U0KQvJi2RdTG>Cb*6FyD?zp|tdSs`KDoVV zX~Igl0U=Z6Ypjr}(MifnbWh#F^fgTe+Q%dd`>t^KP~+hyOqfn3&yHszY$uq@uE?pY z_x?jcYfh;%7+LZbM2T%C0sXSIC7mG&$yAh=B*&euaU>*D0Q8+-=<9@TDSr1IG zaT2MoUq#flM|ryvn^js*Klx|;U@s#4B3ihWsgBEQc4Zgf6otuiOh^xcTojCVV6<4E z$Dtf8NN-k_`Uo2W47$~x1>!FRFcuN}?ae;+}dhSF^&2^mVG*=A3KV3l&jdUlkqm%g9G-L4PqW8yKE4O27rH z;A1|Vv=!BF7A+z00lcYjYu`54-7&iTQvytgu~#hmWC+A*c8}mMXY)#S7p#kcOw5O( z7z)kX7>}D(PNnyH$R)TK1xB_h2)VxyK%Lg=rl6sG)pl@%5-&|sv@IsY{YO=wf2-MIc%B)cWyAe;bXoCQyj-PW} zcXfGDYx!Mi7=$6Y>+e?eotqW_0e?_c0kLSfwPo*y8us_RHmhr0Sng0xFQTxs#1Xmg z8}Yehx=BE4$)w9?j$tI0^0J(}Z`((-JOlJ7XxkgJUuGZVZLS@cUJ<1i=Eio-1GqpgJ#O`Z&NV)y$~6?%Z^n%-ago+1*;tWp zSyH2~2qqt-G(P{Pq}3I`lIxsxiUb#&ydSY)a?3;o+1j?2OJi6ANE5*K_%vjv#vRiheLH9`lNaQ3w2cD2~>NMu6mlo1cMD~?fhXG;sUHedTE zyl38h$6WP@Uh9ItV*Is5Fcmsa7{vwKMsg6TMtD`ACg6tgY^!KO(Ir|hE=n(O*ozp_ z?m8(DcL8-wy1;xb^}0T&ilshe6|&mY7#< zP3IhTn@Ak`0Vr;!-hl@_5W<-IA9GG6$B%`oo&3y2^;WT)SI&5T(ZekSUcVLiUlN6W zmoDzmR_M;VX7%fth(+(VDLzYzMKf)^5gC_be85E7RLfe7Kh;q$pc^ zk8$6}u(vl8*^fm1X?EU5HL(2tf-+g8-xg8R$H>z%F@!j{TfnK%{GDKL8xR@2dDZEI z7BK*Nb2H9#oz4a_>zD_|$&j{ENA@~zn#+I7OgJy{6P`>U#>!vjuAc>nYPzxJh+$yk z-PjrSk32U2W?h|bZRiPdxHZ;Zd9kYlt>!brtV-s9av#FAn-EV5-saP=uRSw6J1VJ@0NMfVQKrH}$1%+c^Hd55MU= zd`uOTNlg!Br~FN!^nww;gFInlsxCm=jqc>~Jh^l3g1{+v5`E!qw~@Xp0|+Dbf!#Dh zrD{t8SaDkYb?TW*LriF>AogaG1 z$nDcwp>Kxy=XF96>B?r2j8E32NlzKzu;4m)>mEbbePD|OI@9Wn>?#?k#e|p(!C97X zZnH$U-TRlGM-=E+-pC4>p$n~ETUCqxsl1WIxKtTJ0x4AHPbSHTk$^*Ku;4_lPF@1R zlmG{1A{4Ilmv+ZsJZJ5|4?j4~o0MZA3pb@&C9!;l*s7JfpcS&1(GwaWAcx_r^&z_! z67!_Or5P84lK@94QuGV^KQ;pbC>tNCZ(U3TH_yL!u?0c4{hyOR9K-~ zvR$d}u*MM_N}Z-j(R0)WEBtL{>ra=8fJkiqZ!bI2DF8Kp@uOGfIgD4og>`tU`oh`uLnvP-P|JH{aK&?NaAQIcjmS*_7+q922Fmw%lyLJjKZy$Y^v8 zoEmf-1?HZ_?}l3BcgmE)Abv>*$VVR4XF~@gefk@T4+gGJG{C@oRap~3TH`yNVAGNf zY`HIz9D}3`yr`|4|M?ggJ;x`zqE8Qv=USsHFH@6x*>j&0%dmh0h(Nse`ms&Us=_7z zb#b3XQ_(J!NFU_gW7D5V5PqOMFQ@k2mpDen$#R1JvOQ zh(jiwCp*8h<(QyG@n}y)2InbPnXV1AJE!HcHdken61Jwl2k6bHv4g3IP-WRQEcx*M zpJcKB*F{dj4B~psq}FQtsL#>0nl<&Ij-HPKwpC>Jrqv(oO(%J9!Y^eAtcO{RHlt25 z+q#K>9&&ewRg}|oGbMwo?RPM?H}*x=&;m&|@Od(G2o)^lpk>ual5_EWLDn+5CsKwj zlhL0}QMOZ60N!3Kq5#l4uf%WFoDaJAH(Hv-=@}GiQCwElZ9PVzTB|e{7(z#UJ@=dJ z*J`S=AkE|5=P5AqOoFb?oR}L2X78A81r7}ow)F`%NY=;YL9aC~XRG5| z%gf-=@{jEaHVqMG(w+caWg;9KQS`r6lKKv-Mboq5z|74Nf zSMD9Z7jHsPQO-VhI|Cx!2=y45a+kp**8Vvsx@95Fxd5=9K6%huRtxor)^Zo%iaZEX zI3w`gop*#eBX}VA1Gz=*PgnLQ8l@A!^}cHroI$~gIYdOJvTVJXu~miLn6nCD{x+ zTZkz%_d(IEl0(i~Wz=l0&@I*M_#a@P-ukw1yWO7)mF>eu!!7c;Yx8d<3$P=H<(%87 zuO5@0TOR7Z!{l>_U`pK7(SnZ(d>wvW`~F%?C&v`i4u6kBy5sz*^0ca9fqU_tz}t$h zRE~~;%~sD3BTb)d2?ZkeL}Sko!54ggG|+T1mv z0K+=H^MaoOidS#AzWmr77Rj-Uw1Ezq;up(LE{45=eCqAn2U}VIgbx^VsefW-8sYX! zIvltu$OhoLy`S{4&SFck&eELSd-Pf4Lv2JGvTX@1WxUE{lDZ=syDl@yqfsu@L2O5e z1`DHC2QiVbO}nv>=DA=f?7VO~ZiF{}?wvPWUWYfv9N%A1xD%x(PL6jV6Q5mqZ8jwA zh~-aNrO_Xz*ZJF2DZpgmG!;Q2go63CaGEj=&L?@XK*QjssBJKfXQu8}RwB91#c`ND z_mA$*OTy4n4g<%k=*vpiWtd-ky6p!6%;!8!1?TyKd=cslCDCKPHQMJtlo}mA^QuE5 zjbW))ABKTc=Qxu2oX9kE@?cTKcnym~&NWYd#Dc_&K1!NXJbYGCG>2j(qziwkUhqG4 zIrH8+s)zkm97OuySh-nK$N11UQdllNY~6n*MXs2*XrpHd%AyAYMQdqTh;I#csyNO) zyuAtUB>>{#RtjSZr+r&8#yLt3QL27Om^ProLDre>Yho%N?x_08iE`y&XC69}mk-2P zyQDq4C6Mbkljg61PGH`jipt(w{&r z)bg5Iy~zVTj+8~=*86HxQuYZ2f>X(5 z=DsFd4i!89Zrz3-F%N8*bSWPI`ehYdrj9rZR=mjSPO60F2IHwS4V;pprQsqu?B~ocpu{cRv&5M;v+%MZ4^ee)crKRLvZZ0Q zMW*dtuSbFU92xe4Z}zk{k~x@U?(j^pn;Gxlf3fesO|~RVu0G2vyZu<{F4*!9(JeOd zR#A9_QsBola^&%wY~8UQHtCno2wr8ND)<+-Z=oraw;$_orDu=9i@F%nuABN=%Rh~MLEWl&t=e*-rns)@YhjQ@kM*9iu0UmSI z`Fn%FMcxw>$;31Y4HP#x>v&_YFHlsi5Cn}CSq_0gGZ155=`~Yc8qjf#hZ66}gnyTU zynN}`pzx{o$B&>5ko8{f#e_ID(Z5d)FKGZp@WBKxcu<5}Bu(?H9*M#^%#lKWb6F|K z;SM0J6$q*S!ga!G2bs8l!dw(-f5joH!_k2l!KG0bR)^Ftt6zQu9i_*^dJ7w^4WLIp z(h7~xS`IJV?G2dFd%cb9vv5VrnabsnigG`OU76?F2UP5U?F}&yGKF4 z4GD#j=Zrv<)__5BgVd?cF114T=~L}wVUAicQa?0uG3uw5&PkNa+1eJp=yt8$s4b7% zc2i8?Lfpf9jPGVJUD70m1>nzx&>STb(D5=M&xRBVovrT&ii~EP=Ec6h=9YDu+g+^oHWGaUD97nnRhX@ zX!U?$+h`tLH!Fpa31ecayk5Ow1b?QS>eCnG^*HY7Zt>{n68DFj^Pnxs+9FM?yGY77 z@+K;N+wQI^5QbzfztuL+;>8^BEt0v%3X60AiZ*$rNp-Bqb_J!`cyPlYkk9EOhjCp4 z8IH}p*rsE9hT)UH9pXm&0nO4Va|cj;dfH^PnyW4t0Y-7dMfUE8JhQPL_AH@`dGw51FxyA0}n^9hD zyTPa?E2e98xAbS2#9b+&1VNC9>C|Bg`eH6YPsc6gX!q&~(fOGIRSOhEw)V}oCHDKh zX)+V|6MfEgr?`OUA{LmBCZlHb0DOBsFD&$%13KUIm+B#^(51z2bw9!>)i>~6^@C2(*6O+&kD_uO=PA0*&1US zis-c${G%tgSpE!C+I~#~lt})>=#d!fISB&BSQl%3m5Lr~ruR+9q$JiC35-frmz^Us zDevmNrBD;&(m-r_-OB0#ki>^Qti=$$gLHyctICsaF=-|Av65BMQm>&K<5)gMPRa?8 zv~M%sfMg?mQ$IuxK~v&*k^;zNjNO@r_plv}-`;0R+*etfG9$cz=3$s2p(LzMX!Fs){S2tA9Miq_Dn}V#NTCLqm*a1fuXLXwA*tJ7750?rgFXVkP zF!_Gj%jUBc4?1!Qjy_vkMng@+?&o4HAQ#5v+S1Ka!=_eej8{JI2M}zH91;Tr%PSs4 zF5}yaAl4HAGkfy_LJ=l`bdnfBNT7|zX=KC78|}9Lnp@qG!nQ2~N0mX#^T>= zArx3aj2`JctX0=5Eg1<7D?}j+y61W4B8&*Y%9=Gfrj!DoR>M1%*ER=cRGNW-!l`cE z^U7XvIVd-t6JX2j1kQ;={-SoW zQ*}_-K3@~YT{+;fk>M#|Zbs05;k6wrjI*r944(R}5gJ{NCI=Z7=GFTtIi@3$rIm#O2Sc8rBeQVp-V60 zU*%h47U|a7S++LW*{;J7b%-VUV$RJB;XoA_(q3Kaj;+x9EmX3A*jh4B4%;jU?k0X)u8*I% zISYjpP5h!2h#vl(?cSyMK?6(+_7tndI@iA>DX6Y{*P}=>wccA+be`XkBvH_9%=sM6 z$+_L}bkog$t20q)M&+QFt6tlh+2J5*hJNq!jYBseFl+$TBbu_aBrx}MW2>mU6KmMb80b;Wi7Jp9tj)!mL(1aB5z zeDh{#SzEAnI#v^q@GYTTCLzlE*ZOv`OT{pXzfZV>-EpLq*cHre@{+80^BGR0!>7c>c`Ymf>-ydpIryC#i4)xP!c7QSj?# zU7>)=e7GPGdH`RX5W}%6n=D1XW z`c^=iFo0y-hdKeQ7@%mCgVUOfLJA5TAHvNutIefv9YMVDHRWDg9MUN!ZF8oW+?S#* zS8Beai{+XQaER9C3dOM=7pMJb@zDW6@MuPuU##ul`(KT*-X=I57mJpDTP626HO1h;aq?hhaX;46F zmk`ONQ&^CY6p)gXE-48C0dXZnkZ=9_e*gD-dG9%Q&dfRYH_x4C&Yd|k=ec*EbHfcb z60S?G*$TXivUUHOw?IniYm{CYpI~FY)}i#o*C~T28uhriCKdRGf5k+?;9femsf<91 zVCaa#DEz_<#AVq2xSQ9Qg={sfCr2L0&R{2Ngv3^@kz7f58ZIV9kkpYQAEI_m7G1j< zR)Xr1zgh!z>Fx*Gn}ysvN;gQk+77mO*pVTO!2s(QHv9-pdzZvEq4YpA%map^c5~Xu z1hAu)t<;)F0Jny{9X-@_I(4R`qwK0pbYBvBTwqu)2F)KUV~V`3I=I&hK--?2-;)^wybmJC~Z@!uLfob0097 z>jmV7HthH-BVaxVzXH;&C?{)rCRowgRl}pYL-E#JJ^y&PR7nOP{jGRzt?%B4kIb|` z_&P;vZ5`5n%-~K(ERTFi>lBF*i=&;N9X+gZu^mune?47yf=Gg?tu+RRfVinw!Y=|7 zV6==?>8R;BD|O1#W6p=f*Y1*fy=pszbg6J3kE|L2JsvP{BrF_SUUETX)HjC$6vkm_ z2bF2rk?nrgBR$FSF_n`%^5!_`UpzwUqq21ZVixIVW^$hJH4oM6ZNeeA#NTnN>dtoXj9kI8#J-WStIj~6Qb zReAKnYXRrMa#knIl^DCWSU;aqTi%d`?$dZM$wR7k%~<&@s1b&L>*J8~>V?mUShh6T z?NyFrV>6I8fV39m^KmnfHFg$1G@{dl>Hf^XpvsD!U0i;MpV5R+*emg}a>Hs9$)a%X z+95-yhQUbWkndC-#xRSVUrBcF3Q8-Gu2y6xMOw@o_q)k>SQEb;j+_`0bF;4$xH-Cm zW!$sO;K$_FCi}>OfQmNqy;!~s*Kib~p4r&dfDB!|(& zNV}qo)b6N94BH{Ih{y_E>qjbwT1DnMB>j`HD5jGqh1$sQ?rS3XIB4xH-F9B;kz3u$ z%$9&@FCaL?X2V7b_$F=w{?5P%wkj@}9IG!0=&)pC-I`N^)ymZ7aY*=B2&uY?&^H_k z?o^jWgfBYPFCF-pBMTK|do({Useh14S5BG2C^z=*wN}+e880dog@lrBfUEH&MK;)I zqDbm;F@n=>SeCI;uA7W_W+f8XE>v< zP@!gi#8l4SqXA)+?eKk^@mUCun`b9#R&lDEFT>-&XC{I8Me~!Q&|_NoEX3i6xxp?~ z=k19m{5qA0J;;{*LqV7F8YKG3hC>9Of0+lY2Rx>x8ZwY7m2R2v1)WIAU07FlN`!LJcwQ}*`F}I7uqlZf zMYv4RVG)ET;IeN9Ej0`h7i!SO4-FfVz&_0-lJZ0*Y*i+g1>JK=O{!$mMUi+d%J#j$wwJt}KV2si#w}fgg4UK55 zTPj!2^|K>86>MLTzW7pC4CPrAL3gcIdHRYACJw+sWl1k}^GY;9ZnF%)^_)Sel+tPQ zjET*gfgnvcK_wU)R?r&->&MlqWmpE?X=)VeZu^SA z-bz$0H!>a(eItu|(;{OrEzO4d5TE;VWtwofzZ^-^#ptcJJ7)gqCyYc_5li1o z(XLbt;u`O!k4Nob%}myDI8ZXJ;s0?dNFft}6-DT~D4O~1mEZK%`ZbqUR7_O63(soKqst%ws6ovS)yxYDyXd<% zwgpd{rT;ADBw#WLN=mb3E&o~bG+u6Dg8))uoH^M;a^_aqjWAp#rU%z;Tauq#5P7YK zNm)x)V1zDvzVO)9CEdrp-A=E(V=<%1#G#jx(Js$?JjFOh0y8ivsw)-b(eM;KMla@i zrwyF2;(poS{NP&2!2fGs!zi8>TW(Cg6~mK4)B~TQQx~>-#902&4Q;;5`l`F(bSK7L}&R0f?s4 zz8s~;3=Fr+Cc91Jqir?qw>Y%NeXp^&+i!!A`#H2^W($R!4A;FRYidSPZt~AC-AA{Q zkFS_}`w3sUu;LDhR~ad>IdE+wMXt!>hZVy!!gZHqq#IHX-za{C%8RXsMH{w-16)v5 z?gd|t@hG=;m7>$P&zQ{~J{fr#1IJn=iopCtF~l7O^0U;`7=DsRCdtxVY_T?Nnzkjyh-P1rE6tJnpw7skLDO&h9>V8(x!Fm6a|amsR|V4 z1G8)3U`W8~Jf#2n1>!Uz*rBS7$B58<9!`-q&ZCKJBfu_)kJJOP<|^$3qD^AgL_4Y9 zEL6Hx=y}d&gAU`?RvP-0KMIq~-5)$5W@9GP=n}Tyj1Jt(K>sX?VEp#fnTF9XZsoo& zxZnRRuzHk!l+^3|E+L>Wzt?p+|7uuJM+47P%TNe=?jq^p9jP7v8SB&IL)~XXO^4w4 z3cYA%*}L}97L0V`AC!kk+JPdN;7^*eR(@CuCOLrHONYaaI6#6m7=z|jE@K`+=KLTO z_+x_GWE-xwgYS=84x1lQ1r5#3mo`S_zEANWszy(Yeca@WqOOaY@q1BT&T~Cw9<7*x z>qR3jE+>S^J)9L}EhBS!FV9oC7`J$!`fkPvFMfM^Fv@r>L$b+Rprz`SD;fFI@zI@= zK?fLdTWTH&uiR?!*xQSZ4mwC%rC^)HFF(b`wpIdIm&yS-mG!#>&+ww$SGbd}VFevs z*X7Cqdm@~T56d?-i!u|;Nug(scnn#D0tFRupSZeAH5b{7(;phuAHTm-QR3-0$Bz30 zBalT60A_WeHO~^b6rBhM))jd3R?`e6SBF^yx%q!Ac-;>9(g^?#C^{Wi~!RDu(ZO0?=Eg&M*uscO>kuDg}Y`SJ};(iM%V2FxSG>*#N=ttkthrJy`Ps+3L_D5q+hYg6h546WIcAID( zji@m5h)v*{zf>ZA^vhGl@y+qUi78j32R463)bsj#l08{qmF}TW`m-#P6{lTue-ovOp2L$K5lIq zSX`wdpePw5y{>{T!K zfcTp$4kIau^fK>H+hmd*GcjQ!ys3@8V3#T;8}+P7(FMbbSG`N01wT{U_NN|f-jdN$ zQqaOw??+GJ^L)GZe&{o&o>E@a^E4pGNT*f{zfNTTn6vlWByDOjs}4u!fqwW{ba?}a z;K#lBm`LY#J+Hj8K`GV;nXRyb8VI?4&3&J8?nkNzwcCbf7JPK>&t-&Ewh|`a!aK}3 z)8fT$z2DN#xnuB+#UPCDF#l&QW;GZmcu247pN_0fNH$-goyb5tLi7(u9H1_)Npj9n zvf${WpWNH?vJ^zF4kzGhu$&4K@x7^~Raia4%sAim^2{uz^wy?GdzR=bHiqDmww5oR z{N?5ATeBY|ddeo>=Nvvne{UK(>w8H(#X1{agx{T+0kd$Tj;1`V1lv!gk1yB zVOKZO=h=~Hmzc2u06er)zYF>ha2@e`4{C#szPh^nFZ4Sh`;+zMwHF-#u#T?(g?=}` zjwnO3zw@%TP`jIu$pL1R9=cHyy5j$CjV^zbr0M@aj&3$yHz``KzS%S!002&LQ>ytd z$_r|B6Kdnovid{{0H_NB0Jr`q{onRF!h_|Hl(&PGhwV*l?J8b60__8YJcMq>4M5X>f0oG8PoBh)r z2$NPq`|YZJQ;I|7FY4b=F;#*SMI8p.vh file must include sandpiper.vh. +`endif diff --git a/out/nexys_A7_100T/led_counter/Dependencies/includes/simple_bypass_fifo.sv b/out/nexys_A7_100T/led_counter/Dependencies/includes/simple_bypass_fifo.sv new file mode 100644 index 0000000..601c655 --- /dev/null +++ b/out/nexys_A7_100T/led_counter/Dependencies/includes/simple_bypass_fifo.sv @@ -0,0 +1,98 @@ +/* +Copyright (c) 2015, Steven F. Hoover + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * The name of Steven F. Hoover + may not be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + + +`include "rw_lib.vh" + +// A simple implementation of a FIFO with bypass. +// Head is stored outside of the FIFO array. +// When the FIFO is empty, input goes straight through mux to output. +module simple_bypass_fifo( + input logic clk, + input logic reset, + input logic push, + input logic [WIDTH-1:0] data_in, // Timed with push. + input logic pop, // May pop in same cycle as push to empty FIFO. + output logic [WIDTH-1:0] data_out, // Same cycle as pop. + output logic [$clog2(DEPTH+1)-1:0] cnt // Reflecting push/pop last cycle. 0..DEPTH. +); + parameter WIDTH = 8; + parameter DEPTH = 8; + + logic [$clog2(DEPTH)-1:0] next_head, tail; + logic [WIDTH-1:0] arr [DEPTH-1:0], arr_out, head_data; + logic cnt_zero_or_one, cnt_zero, cnt_one; + logic push_arr, push_head, pop_from_arr, popped_from_arr; + + always_ff @(posedge clk) begin + if (reset) begin + tail <= {$clog2(DEPTH){1'b0}}; + next_head <= {$clog2(DEPTH){1'b0}}; + cnt <= {$clog2(DEPTH+1){1'b0}}; + end else begin + if (push_arr + ) begin + arr[tail] <= data_in; + tail <= tail + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (pop) begin + arr_out <= arr[next_head]; + next_head <= next_head + {{$clog2(DEPTH)-1{1'b0}}, 1'b1}; + end + if (push ^ pop) begin + cnt <= cnt + (push ? {{$clog2(DEPTH+1)-1{1'b0}}, 1'b1} /* 1 */ : {$clog2(DEPTH+1){1'b1}} /* -1 */); + end + end + end + always_comb begin + // Control signals + + // These are timed with cnt (cycle after push/pop) + cnt_zero_or_one = (cnt >> 1) == {$clog2(DEPTH+1){1'b0}}; + cnt_zero = cnt_zero_or_one && ~cnt[0]; + cnt_one = cnt_zero_or_one && cnt[0]; + + // These are timed with push/pop + // Cases in which a push would not got into array. + push_arr = push && !(cnt_zero || (cnt_zero_or_one && pop)); + push_head = push && (pop ? cnt_one : cnt_zero); + pop_from_arr = pop && !cnt_zero_or_one; + + // Output data + data_out = cnt_zero ? data_in : head_data; + end + + // Head + always_ff @(posedge clk) begin + popped_from_arr <= pop_from_arr; + if (push_head) begin + head_data <= data_in; + end else if (popped_from_arr) begin + head_data <= arr_out; + end + end +endmodule diff --git a/out/nexys_A7_100T/led_counter/Dependencies/led_counter.v b/out/nexys_A7_100T/led_counter/Dependencies/led_counter.v new file mode 100644 index 0000000..88607c6 --- /dev/null +++ b/out/nexys_A7_100T/led_counter/Dependencies/led_counter.v @@ -0,0 +1,345 @@ +//_\TLV_version 1d: tl-x.org, generated by SandPiper(TM) 1.11-2021/01/28-beta +`include "sp_verilog.vh" //_\SV + // Included URL: "https://raw.githubusercontent.com/BalaDhinesh/Virtual-FPGA-Lab/main/tlv_lib/fpga_includes.tlv" +//_\SV + + + + + module top(input clk, input reset, output reg [15:0] led); + + +`include "led_counter_gen.v" +generate //_\TLV + //_|led_pipe + //_@0 + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 3 // Instantiated from led_counter.tlv, 15 as: m4+fpga_refresh($refresh, m4_ifelse(M4_MAKERCHIP, 1, 1, 50000000)) + /* verilator lint_off UNSIGNED */ + assign LED_PIPE_rst1_a0 = reset; + assign LED_PIPE_count1_a0[31:0] = (LED_PIPE_count1_a1[31:0] >= 50000000 - 1) | LED_PIPE_rst1_a1 ? 1'b0 : LED_PIPE_count1_a1[31:0] + 1 ; + assign LED_PIPE_refresh_a0 = (LED_PIPE_count1_a0 == 50000000 - 1) ? 1'b1 : 1'b0 ; + + //_\end_source + assign LED_PIPE_reset_a0 = reset; + //_?$refresh + assign LED_PIPE_Leds_n1[15:0] = LED_PIPE_reset_a0 ? 1 : LED_PIPE_Leds_a0+1; + /*SV_plus*/ + always@(posedge clk) begin + led = LED_PIPE_Leds_a0; + end + // M4_BOARD numbering + // 1 - Zedboard + // 2 - Artix-7 + // 3 - Basys3 + // 4 - Icebreaker + // 5 - Nexys + + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 32 // Instantiated from led_counter.tlv, 30 as: m4+fpga_init() + //m4+osfpga_logo() + //_|fpga_init_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source + //_\source /raw.githubusercontent.com/BalaDhinesh/VirtualFPGALab/main/tlvlib/fpgaincludes.tlv 245 // Instantiated from led_counter.tlv, 31 as: m4+fpga_led(*led) + //_|led_pipe_macro + //_@0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + //_\end_source +endgenerate +//_\SV + endmodule diff --git a/out/nexys_A7_100T/led_counter/Dependencies/led_counter_gen.v b/out/nexys_A7_100T/led_counter/Dependencies/led_counter_gen.v new file mode 100644 index 0000000..fc366a2 --- /dev/null +++ b/out/nexys_A7_100T/led_counter/Dependencies/led_counter_gen.v @@ -0,0 +1,81 @@ +// Generated by SandPiper(TM) 1.11-2021/01/28-beta from Redwood EDA. +// Redwood EDA does not claim intellectual property rights to this file and provides no warranty regarding its correctness or quality. + + +`include "sandpiper_gen.vh" + + + + + +// +// Signals declared top-level. +// + +// For |led_pipe$Leds. +wire [15:0] LED_PIPE_Leds_n1; +reg [15:0] LED_PIPE_Leds_a0; + +// For |led_pipe$count1. +wire [31:0] LED_PIPE_count1_a0; +reg [31:0] LED_PIPE_count1_a1; + +// For |led_pipe$refresh. +wire LED_PIPE_refresh_a0; + +// For |led_pipe$reset. +wire LED_PIPE_reset_a0; + +// For |led_pipe$rst1. +wire LED_PIPE_rst1_a0; +reg LED_PIPE_rst1_a1; + + +// +// Scope: |led_pipe +// + +// Clock signals. +wire clkF_LED_PIPE_refresh_a1 ; + + +generate + + + // + // Scope: |led_pipe + // + + // For $Leds. + always @(posedge clkF_LED_PIPE_refresh_a1) LED_PIPE_Leds_a0[15:0] <= LED_PIPE_Leds_n1[15:0]; + + // For $count1. + always @(posedge clk) LED_PIPE_count1_a1[31:0] <= LED_PIPE_count1_a0[31:0]; + + // For $rst1. + always @(posedge clk) LED_PIPE_rst1_a1 <= LED_PIPE_rst1_a0; + + + + +endgenerate + + + +// +// Gated clocks. +// + +generate + + + + // + // Scope: |led_pipe + // + + clk_gate gen_clkF_LED_PIPE_refresh_a1(clkF_LED_PIPE_refresh_a1, clk, LED_PIPE_refresh_a0, 1'b1, 1'b0); + + + +endgenerate diff --git a/out/nexys_A7_100T/led_counter/Output/fpga_impl.xdc b/out/nexys_A7_100T/led_counter/Output/fpga_impl.xdc new file mode 100644 index 0000000..d9feed7 --- /dev/null +++ b/out/nexys_A7_100T/led_counter/Output/fpga_impl.xdc @@ -0,0 +1,290 @@ + +#################################################################################### +# Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' +# Command Used: write_xdc -no_fixed_only -force ./../out/nexys_A7_100T/led_counter/Output/fpga_impl.xdc +#################################################################################### + + +#################################################################################### +# Constraints from file : 'fpga_lab_constr_nexys_A7_100T.xdc' +#################################################################################### + +# This file is a general .xdc for the Nexys A7-100T +# To use it in a project: +# - uncomment the lines corresponding to used pins +# - rename the used ports (in each line, after get_ports) according to the top level signal names in the project +# Clock signal +set_property -dict {PACKAGE_PIN E3 IOSTANDARD LVCMOS33} [get_ports clk] +create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports clk] +#Switches +set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS33} [get_ports reset] +# leds +set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports {led[0]}] +set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS33} [get_ports {led[1]}] +set_property -dict {PACKAGE_PIN J13 IOSTANDARD LVCMOS33} [get_ports {led[2]}] +set_property -dict {PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {led[3]}] +set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports {led[4]}] +set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports {led[5]}] +set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports {led[6]}] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS33} [get_ports {led[7]}] +set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS33} [get_ports {led[8]}] +set_property -dict {PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {led[9]}] +set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS33} [get_ports {led[10]}] +set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports {led[11]}] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports {led[12]}] +set_property -dict {PACKAGE_PIN V14 IOSTANDARD LVCMOS33} [get_ports {led[13]}] +set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVCMOS33} [get_ports {led[14]}] +set_property -dict {PACKAGE_PIN V11 IOSTANDARD LVCMOS33} [get_ports {led[15]}] +# RGB leds +#7 segment display +#Buttons +#Pmod Headers +#Pmod Header JA +#Pmod Header JB +#Pmod Header JC +#Pmod Header JD +#Pmod Header JXADC +#VGA Connector +#Micro SD Connector +#Accelerometer +#Temperature Sensor +#Omnidirectional Microphone +#PWM Audio Amplifier +#USB-RS232 Interface +#USB HID (PS/2) +#SMSC Ethernet PHY +#Quad SPI Flash + + +#################################################################################### +# Constraints from file : 'clock_constraints.xdc' +#################################################################################### + +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] + + +# Vivado Generated physical constraints + +set_property BEL A6LUT [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property BEL A5LUT [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property BEL D6LUT [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property BEL A6LUT [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property BEL B6LUT [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property BEL BFF [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property BEL CFF [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property BEL DFF [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property BEL CARRY4 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property BEL AFF [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property BEL AFF [get_cells LED_PIPE_rst1_a1_reg] +set_property BEL BUFG [get_cells clk_IBUF_BUFG_inst] +set_property BEL INBUF_EN [get_cells clk_IBUF_inst] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property BEL A6LUT [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property BEL CFF [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property BEL B6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property BEL C6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property BEL D6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property BEL A6LUT [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property BEL OUTBUF [get_cells {led_OBUF[0]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[10]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[11]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[12]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[13]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[14]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[15]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[1]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[2]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[3]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[4]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[5]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[6]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[7]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[8]_inst}] +set_property BEL OUTBUF [get_cells {led_OBUF[9]_inst}] +set_property BEL AFF [get_cells {led_reg[0]}] +set_property BEL BFF [get_cells {led_reg[10]}] +set_property BEL CFF [get_cells {led_reg[11]}] +set_property BEL AFF [get_cells {led_reg[12]}] +set_property BEL BFF [get_cells {led_reg[13]}] +set_property BEL CFF [get_cells {led_reg[14]}] +set_property BEL DFF [get_cells {led_reg[15]}] +set_property BEL AFF [get_cells {led_reg[1]}] +set_property BEL BFF [get_cells {led_reg[2]}] +set_property BEL AFF [get_cells {led_reg[3]}] +set_property BEL BFF [get_cells {led_reg[4]}] +set_property BEL CFF [get_cells {led_reg[5]}] +set_property BEL DFF [get_cells {led_reg[6]}] +set_property BEL A5FF [get_cells {led_reg[7]}] +set_property BEL B5FF [get_cells {led_reg[8]}] +set_property BEL BFF [get_cells {led_reg[9]}] +set_property BEL INBUF_EN [get_cells reset_IBUF_inst] +set_property LOC SLICE_X2Y99 [get_cells {LED_PIPE_Leds_a0[0]_i_1}] +set_property LOC SLICE_X2Y99 [get_cells {LED_PIPE_Leds_a0_reg[0]}] +set_property LOC SLICE_X5Y101 [get_cells {LED_PIPE_Leds_a0_reg[10]}] +set_property LOC SLICE_X5Y101 [get_cells {LED_PIPE_Leds_a0_reg[11]}] +set_property LOC SLICE_X5Y101 [get_cells {LED_PIPE_Leds_a0_reg[12]}] +set_property LOC SLICE_X5Y101 [get_cells {LED_PIPE_Leds_a0_reg[12]_i_1}] +set_property LOC SLICE_X5Y102 [get_cells {LED_PIPE_Leds_a0_reg[13]}] +set_property LOC SLICE_X5Y102 [get_cells {LED_PIPE_Leds_a0_reg[14]}] +set_property LOC SLICE_X5Y102 [get_cells {LED_PIPE_Leds_a0_reg[15]}] +set_property LOC SLICE_X5Y102 [get_cells {LED_PIPE_Leds_a0_reg[15]_i_1}] +set_property LOC SLICE_X5Y99 [get_cells {LED_PIPE_Leds_a0_reg[1]}] +set_property LOC SLICE_X5Y99 [get_cells {LED_PIPE_Leds_a0_reg[2]}] +set_property LOC SLICE_X5Y99 [get_cells {LED_PIPE_Leds_a0_reg[3]}] +set_property LOC SLICE_X5Y99 [get_cells {LED_PIPE_Leds_a0_reg[4]}] +set_property LOC SLICE_X5Y99 [get_cells {LED_PIPE_Leds_a0_reg[4]_i_1}] +set_property LOC SLICE_X5Y100 [get_cells {LED_PIPE_Leds_a0_reg[5]}] +set_property LOC SLICE_X5Y100 [get_cells {LED_PIPE_Leds_a0_reg[6]}] +set_property LOC SLICE_X5Y100 [get_cells {LED_PIPE_Leds_a0_reg[7]}] +set_property LOC SLICE_X5Y100 [get_cells {LED_PIPE_Leds_a0_reg[8]}] +set_property LOC SLICE_X5Y100 [get_cells {LED_PIPE_Leds_a0_reg[8]_i_1}] +set_property LOC SLICE_X5Y101 [get_cells {LED_PIPE_Leds_a0_reg[9]}] +set_property LOC SLICE_X0Y97 [get_cells {LED_PIPE_count1_a1[0]_i_1}] +set_property LOC SLICE_X0Y100 [get_cells {LED_PIPE_count1_a1[31]_i_1}] +set_property LOC SLICE_X0Y97 [get_cells {LED_PIPE_count1_a1[31]_i_4}] +set_property LOC SLICE_X0Y96 [get_cells {LED_PIPE_count1_a1[31]_i_5}] +set_property LOC SLICE_X0Y97 [get_cells {LED_PIPE_count1_a1_reg[0]}] +set_property LOC SLICE_X1Y98 [get_cells {LED_PIPE_count1_a1_reg[10]}] +set_property LOC SLICE_X1Y98 [get_cells {LED_PIPE_count1_a1_reg[11]}] +set_property LOC SLICE_X1Y98 [get_cells {LED_PIPE_count1_a1_reg[12]}] +set_property LOC SLICE_X1Y98 [get_cells {LED_PIPE_count1_a1_reg[12]_i_1}] +set_property LOC SLICE_X1Y99 [get_cells {LED_PIPE_count1_a1_reg[13]}] +set_property LOC SLICE_X1Y99 [get_cells {LED_PIPE_count1_a1_reg[14]}] +set_property LOC SLICE_X1Y99 [get_cells {LED_PIPE_count1_a1_reg[15]}] +set_property LOC SLICE_X1Y99 [get_cells {LED_PIPE_count1_a1_reg[16]}] +set_property LOC SLICE_X1Y99 [get_cells {LED_PIPE_count1_a1_reg[16]_i_1}] +set_property LOC SLICE_X1Y100 [get_cells {LED_PIPE_count1_a1_reg[17]}] +set_property LOC SLICE_X1Y100 [get_cells {LED_PIPE_count1_a1_reg[18]}] +set_property LOC SLICE_X1Y100 [get_cells {LED_PIPE_count1_a1_reg[19]}] +set_property LOC SLICE_X1Y96 [get_cells {LED_PIPE_count1_a1_reg[1]}] +set_property LOC SLICE_X1Y100 [get_cells {LED_PIPE_count1_a1_reg[20]}] +set_property LOC SLICE_X1Y100 [get_cells {LED_PIPE_count1_a1_reg[20]_i_1}] +set_property LOC SLICE_X1Y101 [get_cells {LED_PIPE_count1_a1_reg[21]}] +set_property LOC SLICE_X1Y101 [get_cells {LED_PIPE_count1_a1_reg[22]}] +set_property LOC SLICE_X1Y101 [get_cells {LED_PIPE_count1_a1_reg[23]}] +set_property LOC SLICE_X1Y101 [get_cells {LED_PIPE_count1_a1_reg[24]}] +set_property LOC SLICE_X1Y101 [get_cells {LED_PIPE_count1_a1_reg[24]_i_1}] +set_property LOC SLICE_X1Y102 [get_cells {LED_PIPE_count1_a1_reg[25]}] +set_property LOC SLICE_X1Y102 [get_cells {LED_PIPE_count1_a1_reg[26]}] +set_property LOC SLICE_X1Y102 [get_cells {LED_PIPE_count1_a1_reg[27]}] +set_property LOC SLICE_X1Y102 [get_cells {LED_PIPE_count1_a1_reg[28]}] +set_property LOC SLICE_X1Y102 [get_cells {LED_PIPE_count1_a1_reg[28]_i_1}] +set_property LOC SLICE_X1Y103 [get_cells {LED_PIPE_count1_a1_reg[29]}] +set_property LOC SLICE_X1Y96 [get_cells {LED_PIPE_count1_a1_reg[2]}] +set_property LOC SLICE_X1Y103 [get_cells {LED_PIPE_count1_a1_reg[30]}] +set_property LOC SLICE_X1Y103 [get_cells {LED_PIPE_count1_a1_reg[31]}] +set_property LOC SLICE_X1Y103 [get_cells {LED_PIPE_count1_a1_reg[31]_i_2}] +set_property LOC SLICE_X1Y96 [get_cells {LED_PIPE_count1_a1_reg[3]}] +set_property LOC SLICE_X1Y96 [get_cells {LED_PIPE_count1_a1_reg[4]}] +set_property LOC SLICE_X1Y96 [get_cells {LED_PIPE_count1_a1_reg[4]_i_1}] +set_property LOC SLICE_X1Y97 [get_cells {LED_PIPE_count1_a1_reg[5]}] +set_property LOC SLICE_X1Y97 [get_cells {LED_PIPE_count1_a1_reg[6]}] +set_property LOC SLICE_X1Y97 [get_cells {LED_PIPE_count1_a1_reg[7]}] +set_property LOC SLICE_X1Y97 [get_cells {LED_PIPE_count1_a1_reg[8]}] +set_property LOC SLICE_X1Y97 [get_cells {LED_PIPE_count1_a1_reg[8]_i_1}] +set_property LOC SLICE_X1Y98 [get_cells {LED_PIPE_count1_a1_reg[9]}] +set_property LOC SLICE_X0Y100 [get_cells LED_PIPE_rst1_a1_reg] +set_property LOC BUFGCTRL_X0Y16 [get_cells clk_IBUF_BUFG_inst] +set_property LOC SLICE_X4Y100 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_Leds_a0[15]_i_2}] +set_property LOC SLICE_X0Y102 [get_cells {gen_clkF_LED_PIPE_refresh_a1/LED_PIPE_count1_a1[31]_i_3}] +set_property LOC SLICE_X3Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg] +set_property LOC SLICE_X3Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_1] +set_property LOC SLICE_X0Y96 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_10] +set_property LOC SLICE_X0Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_11] +set_property LOC SLICE_X0Y99 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_12] +set_property LOC SLICE_X0Y98 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_13] +set_property LOC SLICE_X0Y98 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_14] +set_property LOC SLICE_X3Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_3] +set_property LOC SLICE_X0Y99 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_4] +set_property LOC SLICE_X0Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_5] +set_property LOC SLICE_X0Y99 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_6] +set_property LOC SLICE_X3Y102 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_7] +set_property LOC SLICE_X3Y100 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_8] +set_property LOC SLICE_X0Y101 [get_cells gen_clkF_LED_PIPE_refresh_a1/latched_clk_en_reg_i_9] +set_property LOC SLICE_X2Y102 [get_cells {led_reg[0]}] +set_property LOC SLICE_X0Y100 [get_cells {led_reg[10]}] +set_property LOC SLICE_X0Y100 [get_cells {led_reg[11]}] +set_property LOC SLICE_X0Y101 [get_cells {led_reg[12]}] +set_property LOC SLICE_X2Y102 [get_cells {led_reg[13]}] +set_property LOC SLICE_X2Y102 [get_cells {led_reg[14]}] +set_property LOC SLICE_X2Y102 [get_cells {led_reg[15]}] +set_property LOC SLICE_X0Y99 [get_cells {led_reg[1]}] +set_property LOC SLICE_X0Y99 [get_cells {led_reg[2]}] +set_property LOC SLICE_X0Y96 [get_cells {led_reg[3]}] +set_property LOC SLICE_X0Y96 [get_cells {led_reg[4]}] +set_property LOC SLICE_X0Y96 [get_cells {led_reg[5]}] +set_property LOC SLICE_X0Y100 [get_cells {led_reg[6]}] +set_property LOC SLICE_X0Y100 [get_cells {led_reg[7]}] +set_property LOC SLICE_X0Y100 [get_cells {led_reg[8]}] +set_property LOC SLICE_X0Y101 [get_cells {led_reg[9]}] + +# Vivado Generated miscellaneous constraints + +#revert back to original instance +current_instance -quiet diff --git a/examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v b/out/nexys_A7_100T/led_counter/Output/fpga_impl_netlist.v similarity index 97% rename from examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v rename to out/nexys_A7_100T/led_counter/Output/fpga_impl_netlist.v index cd87d90..ac9cf01 100644 --- a/examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v +++ b/out/nexys_A7_100T/led_counter/Output/fpga_impl_netlist.v @@ -1,14 +1,14 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -// Date : Sat Oct 30 02:28:48 2021 +// Date : Sat Oct 30 02:59:15 2021 // Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -// Command : write_verilog -force ./out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v +// Command : write_verilog -force ./../out/nexys_A7_100T/led_counter/Output/fpga_impl_netlist.v // Design : top // Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an // IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input // design files. -// Device : xc7a35tftg256-1 +// Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps @@ -451,7 +451,7 @@ module top .D(LED_PIPE_Leds_n10_in[9]), .Q(LED_PIPE_Leds_a0[9]), .R(reset_IBUF)); - (* \PinAttr:I0:HOLD_DETOUR = "195" *) + (* \PinAttr:I0:HOLD_DETOUR = "197" *) LUT1 #( .INIT(2'h1)) \LED_PIPE_count1_a1[0]_i_1 @@ -466,7 +466,7 @@ module top .I3(gen_clkF_LED_PIPE_refresh_a1_n_0), .I4(\LED_PIPE_count1_a1[31]_i_4_n_0 ), .O(\LED_PIPE_count1_a1[31]_i_1_n_0 )); - (* \PinAttr:I1:HOLD_DETOUR = "195" *) + (* \PinAttr:I1:HOLD_DETOUR = "197" *) LUT4 #( .INIT(16'hBFFF)) \LED_PIPE_count1_a1[31]_i_4 @@ -825,6 +825,7 @@ module top OBUF \led_OBUF[9]_inst (.I(led_OBUF[9]), .O(led[9])); + (* \PinAttr:D:HOLD_DETOUR = "383" *) FDRE \led_reg[0] (.C(clk_IBUF_BUFG), .CE(\ ), @@ -837,52 +838,54 @@ module top .D(LED_PIPE_Leds_a0[10]), .Q(led_OBUF[10]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "439" *) FDRE \led_reg[11] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[11]), .Q(led_OBUF[11]), .R(\ )); - (* \PinAttr:D:HOLD_DETOUR = "1103" *) + (* \PinAttr:D:HOLD_DETOUR = "423" *) FDRE \led_reg[12] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[12]), .Q(led_OBUF[12]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "422" *) FDRE \led_reg[13] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[13]), .Q(led_OBUF[13]), .R(\ )); - (* \PinAttr:D:HOLD_DETOUR = "1215" *) + (* \PinAttr:D:HOLD_DETOUR = "389" *) FDRE \led_reg[14] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[14]), .Q(led_OBUF[14]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "431" *) FDRE \led_reg[15] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[15]), .Q(led_OBUF[15]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "471" *) FDRE \led_reg[1] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[1]), .Q(led_OBUF[1]), .R(\ )); - (* \PinAttr:D:HOLD_DETOUR = "1178" *) FDRE \led_reg[2] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[2]), .Q(led_OBUF[2]), .R(\ )); - (* \PinAttr:D:HOLD_DETOUR = "1307" *) FDRE \led_reg[3] (.C(clk_IBUF_BUFG), .CE(\ ), @@ -901,24 +904,28 @@ module top .D(LED_PIPE_Leds_a0[5]), .Q(led_OBUF[5]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "429" *) FDRE \led_reg[6] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[6]), .Q(led_OBUF[6]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "422" *) FDRE \led_reg[7] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[7]), .Q(led_OBUF[7]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "421" *) FDRE \led_reg[8] (.C(clk_IBUF_BUFG), .CE(\ ), .D(LED_PIPE_Leds_a0[8]), .Q(led_OBUF[8]), .R(\ )); + (* \PinAttr:D:HOLD_DETOUR = "467" *) FDRE \led_reg[9] (.C(clk_IBUF_BUFG), .CE(\ ), diff --git a/examples/out/edge_artix-7/led_counter/Output/led_counter.bit b/out/nexys_A7_100T/led_counter/Output/led_counter.bit similarity index 56% rename from examples/out/edge_artix-7/led_counter/Output/led_counter.bit rename to out/nexys_A7_100T/led_counter/Output/led_counter.bit index 918afd94a0fb014e7af1eda83b37830f8ecab77c..8baf2a1773d283a3ba4bb4720990494664ac9ef8 100644 GIT binary patch delta 8584 zcmb_idtepSnLp>7x%bZ8K<>=ko0|uL3@oKVt0bVc`0DTwA_5x03TpB46nw^_tD@or zP@-*Z5arm`wrG7s%PJ6Pv|1&yA_3&3wFp|kU07eWShv>JTK4yyxf7sU-G6q-%sJpb+4+n0S`#Rs1t*4yO^OULeb#>QGpL^Mm!6%97qGWL0;QB%Jb%Tb~i5bGK z8+_`?Lr)!YvY07OE!?tXdNWOTmK>aAl-{?iy-~hys(tIcaZ3wwI%VHk+9=;v$ImNY zNyfWCnx<-9;a;ou{mmOCf5+6sfb*!l6qGw;pspS5eFMCA${YZ@G&P!MQ}%hZ-&A!w zttzx1AY(5xFg~Te^_F#cBqp_?y-{8UnUg$Ayzz1pxLO!&1tvqzb0nX;m2K)kDoZc}<}E4fELQ zneD8{PAjQ?u-#3ol`nZiK~qBRchS=vif8EOWGDdo8|ufub+>>bofu{ckx(CAX-`#8 zU1>Y&!0X-^a_2+z&IAb|NFBG^9SqXJ>hEu0oR`_z(Il%N<;RZN_q3akruyj5-i@mD zfID1%9ekTqu)?(@sg-7SG8ku3_I;55mee;7xLE*Br<4J@-8`tCYR?FJ8GvQvi~!XX z(%kCPgYH#;PEhNsJzlTxs-_8cLOs%8UvZR}x4`jxucJEc4KzTs$xjcrgpi`MSl&+D z7NWaEw@=XcG>VPA9;+>cAPye$3rr)Lbtwas>h5}PrMw7aAMyNN3)zFn>8y7MI4U{&R))_(ieeQ#mHnFvaU0_cef;W0B zwQYp`5c;^(A4I6 z>YC3gSCe@&6ZDjVAO<~~_)`zQonC-WuApphrZ0CL+ZB%E2s7jn;XxJs z-hHvx4|Gc?bvx5#&kK0Wcmq9fjDgg!7qw}*jk0W8HkE}!v`_#ljEwN7%Xm>-N}a)y z(%4lY*o76S{jYm7(8(p_)C1L(3ry!B?xpzf{-c;5i+UyV*DMErC~|lSubzZO(7jkU zl{?d31fgG*Ikl?X%cHucvKAA~%a19*R|+2`*L>ADPR~aZrP^3}MIjJkWE+-vIBTDj z7$-`c{VOi?R+BTAiF!M=p(91kF?|*z$m}Of$vvPgV~cw|8Dp8+|L=Fg)|c9rEm`!o z2p7oY!bQ_)(L#}282Tb?7RhE1{X+golQzw6X}X{--)K%-fQ^wf%xPpAD79c{1EMD= zI|oIVns$m;-O)PVyHWlh)f;5R5NivtAkteDoYA8`n(Pfh=RcsVURk0*)x*rG1JCE10Y8bH87$S6 znAW480f0V3Mmvhv*o~YL8=5>N_W-zy%}Wrd&dt_Z>8Q?6+$*8Y=aLVp36tXfyZZp- z_onP&P;X*OYWVe{W0$avAr2di3dQ~#hsKLW8S9AzXGv|{ez`y$Juj^up}39 zzsYon?M$kAUU?^FdAwb5fN%?C>y-PnyCZ4&*tPv)>oJXto}k-kSTIOaC)iopf@XUZ z19X*Pw)_CQH-179TCE^hL&ggzHYG#e*^c^EwKoByxrVa56S_+r@BQcA{i*w!Ev!xF5yFY_mUA zi)a>H!qp0nbvnqn4aHuLhuX>LL_Kn*YR2}eb?W-#^ZQM#EU)HMF`6B#8vkjfM(f?5 zbXr(;$RGWLYU;TaYsk48d|f>xP7}Z|dGOi$pU>Zp8TbY{Y~5bhr$jyak~c!n&;@|K ziueT!`aC%Y(b|lyvHhUSc6g;UVv~QFSI0Jphe>$3YlM??%RAHtJKL3a;F4gnc~1s- zqbE@A#JKg>D~INyao_w#Mh}hRCFCvDrsNeHBBHYL!`% zy&9cfY=#(}Dml@cg4S%xasbuECMQ|)PyEnL@=vigi8L9}=t;8o`4wi5O&P>Y$@%PX zum^p%KG2cN*zw#-+3!Qh#)_~Y7B!31LX_IkgqWG4!+|T~-PKiIU_mKE6j%`4offDa zO-#bxCC82?)Q;v$s&~l9k}WyIZiSY|L*e3inOXr?k}JBB?ovc91zY?y=(1T`koJN+ zOMY4H*)*?UPjzL7`ye{0mVA%V=F(OjW|qC#)p9(Kjp@?ry{%S8o%>>zZ#m1zcm|lA zY>q9}Ho~q3@F$Ai^mfNq>e0xr?2%R+D%~J;L?4ByFrVA2o{c>F94b72xGN?+;*n!+b zxj`T}7!zd4JOKpP9D75X*|GMU_B?7Y$a15E&zLqR!iqa@AZI(I?1=~cFlB!ZDW82I zF_441W85dn7zDuZbnJF7r0j_RoH#YsDnsTt0Ok{40oy1y7L9+M!HpJ5aO0;q>}%Z? zDjT=3Q7&$j{8C4wG-}^hOX|Hl$SDQYnET^{Hd(Sg^^MRN75n2g>ZW!10yzmFW|`SO zCY$uh$GFn}G|bA?=m3J{$oFEw#U25qNed;j-`>+Hi5G~^5pP<|-RC>4he8eS(T?$# z$|`kerQ08vYb2#*hd;0Um;I>f1jNDFT0qmKls;3vuuC%^Crhe^b_?w&>~SA978c~< z0>)a9gxA1pb`)gdV81U!KuCvmZ~$_5Wy4=SwAv7h_Z?nqL@h-P*nMSH%&bX!1s{s z$c|(FUcC0h$Q%jq_#^VF*c^@Vu|BDl`KdG$y2 zl;99;QU?T_j}1D(u2svwWn0U}KxGV(?L>bn<$ci@w?FL8Qw*etERHY!Sfg1o&kTH?$1ztT*q{`ISKGpjug`B)agi+gzvaX zUS2;ErYAF`7W)W8`Zn}Y+PKDg2z zp*Fwa@?BvcAUPw5pz6rITP9H;Wf?7TN5RHZY!7 zpl`gLed@)r<0ZNc@p)~HPvSi~i|E1jMp>&55g&!Bm?_VdBpJU`?#o^!Fj)qqRb3O* zL5+zuji*=1;kk)lR2{Wyl8&O!XVt!@5~J+rjw~mX*r2TpEY`BhuVeG`tqaikQtpJR zE8Qr*Dn^TthY)kG+k`$(*7B&l0%U90p(WwE-8;N9Skfr|L^3J;TTrwyg=JtF)clX# zO7w6MQB4;x>ky~1NPwPmqqG>R_ez?4H}mx=rp07bnnp1_R&1+PgS@fOCM4%`Cijb( zvEjvX3^HTTTEy+)Aezq$gV|c)_UW#rD|=ncbnz4D3V#E&=d!A=Frk?VbX*wW81t7s zyk~(ai7?C*aHJAJQ%nhrDon7e`6SQbIUC6bCW)Kss&nk#$TswvqqeVgN3op2jQ#1^TX+^aC@lF4(l{RXoN`)$J!WG3DJnWXYmq@WLZgA z#T`?u8nT~Ng=LjDGGd@!kDL{0$kAu)D+E>W=9%xr*LQ7a=nfhiYEWTM>z>~%&vIIt* z6LM<#gYE@rm+_Dy^Muw57q_ia&OhX4d7s@`hXkn#ZdbAk!A2Q=}CakU$H@ElR*q z3(~0`UyEl(8JowotXwN=g4+q1p|0gD=uph2bWjZ+*vESX#jDeBC=N0c$uyIx1I)wz zx#e5QnaXrLF+Dxd=sz-pFdT{D^D){K=eY~f=?~PQ!-#6&2ws3n8FJnPQCkfd(O0yX zC8g^lIhBo>_@G4nruW%LdVkvKhk)7pSRs>+`-qb})5s#}C=! zB}^YffK(|$E!ymd{lfvs4Cpe4GEXwK?*yV1OPDYN0yRl@nlMus`N$xROLj5^qRmp9 ztKJDeL^2Xo{a9CC%ex?77|uhO*x2ecQ)ZMFeBZtW_be8R7B51Iu{lgO-#6{9MTwKNq$H~S4xFH^s zbc~abk+Nuv9-om5Dvjv&PBTo;cBHJ4!QlZ~J%d?P{I~Fa(p+n%`N|}i)rqAI+ zG3%Dkxn_!>2sm^|=X73?%}m%WO;Z&~P#pfdmgaCKbX~HR5%5^>Z|wznsN-kKHafsW#l>K|YLM4jZxi85z}J%c36zf26OrPqJ}Nlwgm=kE(l5 zTiqMgL+^O&XJ3?ouF*^2T8Zd{UCgjXP_kA5~)xt_!_*8YWNUz>hJ=SF*gyp>K8j;mp(O$4K`BS zkE-vGeKgac;dT~Gr75c6yyJSUDF8V`o!#W+Pz&W3)|Mg)pc>A_tW)Qf;awmwaig~YIOz6bRHJ57mH=NbH3O#UTl7B=VzGkmG4NOxg za}sb*Fm1*T(I3Cjh6nlJg zlhD7s-V4GJlzAP%POVEjE}~f34FIgy01!Zd571txZ8EN%-M{fPs0qnzJt$fY=_82} zr6#dPA2K=NY!!drX(fGDI}JZv02B1b@C%pVzMO2Cx6%IynPnzYrk z*Z_X-%c_w9ta%#(UOr}(Z=%e9=$>YXeLC;ej!Z}eWxfsI%J`7KCI101Z~)be21R?k z^M7wd7QQ{6qh$cFN%*Cfff*P<&O)?T@s`7n%lHoiP=CcSQ~>OfYR|QtDqtst$S^|5?Hmk)fRGfN$3+A_aypL=c~($1_k z^GDZmhr6HOdw=`e`|QoW9q-G7@2r?z>if@a;(M_Y9M>RK% z8q*{ei*(cImeD7-G@T@th}tWD*uAo>J1}zp)-Iw&d%E9Sny}{0@7q64F8BIA9Vh2~ zWAaxrVb_|pvRAtc$ejFFVS#-^zU{;lDle?7+FXy++wD zI+YB3KRHbRUP7v=7d^rnrU`ztxyKypvt-XZeFB&ZaqOS5hUq_Sw-Oyytnw#hFOhYuKNl;US8E9+JR zayexh2Fleqly&@9Dmxvlb^^MAH7Ha2>Rn3(2OT5);mCF5lw$NX?wkxdSN55UAuN?z z?QSHeMbmHY@7Bw79)Vd*`)&?sTyUCwOIEH(5g zXD<4iK%f_K;Lhj;zOKp7yM?Qy8Iv&dTQ;AmX0b<3dl5sg-YC~mVkUEUQ|ie#oV42ey5p!`iIuBsSkuhbU`+Rfa)nAXM?`C5+x(~CGqO!ek zfj8kakA}CrxVN`mJ}aYz((@5~eMQkUa{i&WO&%{%FK54>P4Yr?m{Kp4@VUoKb^c>c zeY9c_D@xhZP9oZ;vABC7P%Ww%j*hI1xsPPb@U0!`@bdGT*aIypIy*#A3p3Z2uw-#5 zWw>MK8BFI4N^J*?!7_(>EhU!$V{fimnkz?x-Aa-t0yHh-Z6d`iR!I(tFUKO-amC4D zCS{;x);$*N&!Lj3N1^RX3gs7*b$(_P7A~cjOrBmz%r&6WzXg?}hMqzBcy!m{@d$eA z5VSe!+{FQECnd&0o39c_h7}zrx^u{wxo#Z|`@_ye=&_74<*dhF!0f}$Rg>hYUC2-d z6(0b`OR-j84?DL~SsD-=?+=eQyfZy~WSGgSHDlzkm{L2H&IR6pc#~E8Zj?8L&tK0W zcu{U97E!jfw@p57gsV^4Jun2t(Z^FoZ|VS@;z|M0nV^0<*T;N47;zkGR41=2YA@^& z!s`@BL*8P9zMi+7dlO{!ga>zDLy3O`U$`kOQKYV!@2;T4z32(^vz)g_mG*7vsELhk z1!O-E&$`~YlHqyR4$(%XvZwE9laeh{W=bKXFx2Jq-K#143fMoxjyayyR?j=WqCt>mj%?$WJx=0yRd?{$Z+Gx zOibTYZ~ljDB{>^h9->(M6iYfty}rdM!Fp&*R!pbz7C_kfwOf=3gcZ)(-pKk*CS$o~ zrngs^Ez5);iDzwE?Pij;@Pw!KFL5uRl5rqo$#ko*wDbk4`yr?NSjf$KM2VsyA)>BW zIWKIknnLtraC!!Qw`91m4m}5SOjK8a0da%U&(B0k@I8a96A@=tC5iDP87wQ|PtSq3DZ!iiU1Kz0Mb-(xp(@>3jF zES~F>dUq2JKU|Z(svT6p2g5=}7?bd8Rs;KaZcJ3)eZVO!6Vijr#q+{^yl}?WY&h$< z>10j>@E+;G2l-%`hTHAf>5Q|z$XgjWmpo5beC-J=e7^_q0Qy7OT?-mxNp9842NI-D z23w!Ur4&9_(3ZL+?w10y?PCn%Hgc3k1SZvrF>)3;>wDYeAUU2yNJH>A-NF&MdX#1euXRc-Q%DWUtf$_@Eu&XAx1*W0AmLXQ!wWcLx**{B;I(Jl;v> z-JPIf!K~a*1Qs2M^I+IoU8x>5n@dM*Tno;!Vs*vfj<$1dmo%l zr4uy`xRiB*&p0|^7zBMF1Gvf8^hG8B8ms3A$bwtC&mm(Iu%pw9ag5di2n4VM35!9| z6a~n+L>{g@bRHQc8mYmLtd9|Kx@O2V(WrCZ(*=;jHhDf}e*lz1+2S)Ds9=%L6{Z~} z?dU#uEdrUd$=m}nmK+gapi>x~C<7=JteX_*GH%CZ&m_^K>J7Pos7zyy zHasZD3&_*7rR{0kNTV*${d&$VNC-91krH+47fFd|vsL4tX82;A#`iHCbV}P2wjoGn zS{Z+>v|C~Kpp+*I03?MkBGfE4 z68+u-1zy53vPNBJ4o<_fNv2Ub&%Y)8b?xH1wZd47a$QGoT}Rh4>e?X8wYPq7!@9L% z!-j_sSY5Ym%yv9_prB`iWvX?*?_9qY5Q78>V-d38I++>XnMnyV zk@=e&jFi}rYC2%qJ5`7L&j`0iwt0OJAH=ljF2evDkNOt0C$a zi>^CzrEXstwHwgS?S@>t{_uX)RW1=0&j6(YxoNs<4cl@E5{RB2&XG1A+Reow-78WD zb;+$DT(fHqnS8j7FdHIF0ArRpMVQ;R=|*bzZgzn~hg4IgoJZyL8c$t1)wLS7L?9ZD zvqR5te)G+o3r0jbv^zqyzjG9Z41jc`sGsCSjquD%fal4YMn0rS)5Aew3(Eab8!I~M z4<{a1#k=WvN)<&2rf3IgvaZu*qBYk}=StOlv0Fu6GHjFMD8(NxUhXK5dx0Vo-Ve30 zA6`K6cEB8D;u!?8kz6T>PjgelEBG4RLc*t2n|zB=>VZ3qgSn+z zn{b1cO@U>lW|(CZPp891TcI+wDy zffTl_?bU8_jAS^SvJDB$=88<@fm zA1PfxnTx=q99#MaPT>$4AdWD88b3`mCfupyYIjcftJ@xeQO?4))HbVuwYRV;kOA2|1-FTfb(iZ|?NWT6D_&A{oK@Lk6 zQ#qHiCxCpZHh572du-TtZ5FI;J3cH*0et4rQ|XH(*IFeu@hj%1_9{Yao-mj=SX?h@IYPzD(2^n@3P z5?;IKQsNbjIZAW$a$G&p-hIo)VEuZOT{i?l2eo%Vr;d(J@2;LebYGw2dU6gI%=|pA zx2y!XhGBRm;szY+OfORRIg$5Qq8XSiE1HQ%qEB=#8CuIna= zrcADk+lCO~XQ!S^_L-pQ$to;93w?C7GVEB5Bh7yTuvTwqRlVQ3bCp!jo#Iv=t=6}7 zkPIXQ&==M-X~AY-PLQ%mwLRvXPpM>I+mp^Mk}4iWKQ>IPrRynqZiovk{t}%Jch^_I zSvJMV3BPD@1c%m4Olk|!^%@p<^K~SM@2HCy-KS&+h7SUA5|h?n&Qq!UJ`i#97fEK) z^KhzE-k^$dNZLk;T8umSas91kh7D$MSll;_Xe&s5%H-}Q;||onjMroX^SqQwZ$jrm zEiixTmE_`fn%8`RDtO58DRa`{cn(WmTm&z)c&#H@h-~fTj5?fC?-4SqJ2&D&-W?9Z zg6UMEQ=_X`C@=-`B-2vI4J?T8R}UV`3{ImI8{d<%A-rg-6E5DWFEdV~k|F4>#c_}$ zSM;ajpX%9&jUu}oqmSd|quw=C{WizOy1~aR;;W-RUgG+4C&u*(8=F&f3YD^f`!5DZ zXanUQ9cn4bb6Ci0(hN6s*n>TStOTv~FWga6g`p`_VgjsQ@;CCI+-xzk<+U3nD{Ss) zmAuJi^7CY~$@2kn8YOEme2iWB~Ps!BU_kfmTGgSk_k%$xIj4}=2lEK z_XBSPn__VPclu;9`T7Qu^IJ+fj^>T3d8E`+HkstB0KftSb4wXpo#kY*E$Xo08`22t zKPls(xl!n7VETNxz)^l5pibcSVlpp7{TJ9NKX8_jIWr>2n>?1m)!`i2CL|MWV!<1~r^~elzCP0qUrJRV? z*_7hfxMT8OvXrt3bo5cqTbIi$nz;GFqEVmU1dq2rKnKX-c030&pUM`XuTG}fC$#3BX$*y5j${N8?7ts z9EdrHR?TODwiEV!v6HXOuF1ap=e#0D3 zWz86Wjc|GY+4|{{fmh{?T1?i5=op{xm`&MxcuxKu&vEr`Y3%Whaiy5jeCP67{tk9B zrD}7JNw~az$&8P{$5U!Q02jtD1S84W$Arh3Y_CSPWZad~ zLuCB{5`153OOpG?{ui;;Ls-NTN;F}0tYVZk1&~vBJW~40fltW)#|I^l|3ON15pGTi z+AWqn5UY=hx}Z>3zdq<^^DRjqCJ8iun_r6moMfx2*{6)~+K*1=14cOE<6_BcXt#di zHq`Gva;Ar;e!T1G8l70ga~VlBHYRjDnb(8Dlf+91B1)KgEZb94JD##P=C?B?OG0d0 zhEcqT&#s$uYx0`dLl!0yHI{1r(40#FAHQa(r5~8>aQ+R6prWsTI>_Ul@+6j^n5x^s z{Vgs3i8Wo(=LQUwOV#ah4P_S~ugUEe6;s%FhjzH2sL)FBHltKPnx#LDQuG}_M^g-y;_4Rf391@ zMo`H=$jz9$bBHRx3b9wl7P;>z69M-)Hq8c@#0E%VpPP7^D%UZe1&IE<`cg8Pt2DIHHZW0DsTUoaQQE{u<2>ca^CeS%?8N4Ki! zb<HjsYgEgsP?h;+#IH+(f)+HsBfYOzwgy9~&sbOEs)cfvOyi2#i{q3Z4e+1l=39 z{A@7pt3UhcP_1ZAB4(|IZ+4oPG2mIC30ffT9gN)%cfvytlWHK(1^qlLXvjP2k;k1{ zzA|pfGYwaLRDCp-6;${(xK%K5ZD=hBWc;64M(*{KLcVW-kOrr?Zy?M-v-QN#yB{qux(CylO&7sS8jzSb6o6;TN z)R&0UKwhh7u-}c&-6n+kA8V+w+*~Hps9=OqJij)U?N)P&ipkco>g-4)E}dl_nh#eT z=E$e__GkFMfd&kJPW^!#-Y#&ft1(U_OP{~s%dMA+7j~nmf88iL{KphwkDjwIb|X5S zUA)!DDYF=<7g5EMFXwixd|3X%x-$2rI6yN!3J~FS%5eMwLCs}sH3W1Hna4Alu=rX% z1CAKwM>?*3aMt{r1d32&8hsrbnox@E&T9VQE3mRSAzy>8m1?E3{aBw;>nXP2rw%7# zpL%JmK}#p8nV7*q<5jCU9U>w9eSep(ZjMNBG-JX20#o{5=7UhbA6CNy0h#}={g3(F z9Gw`=%`DtA+?CMnT7E!l7DN>s(Vv?n0b zZ%RccEdD+J%PwG}bUn!~S?9 z3~dllK)%KIos6otXvG*jMgHBxA|0-`mCC@D|AfjnG%N7$xzp>OwltjZH28hxqWnsJ z>z&LK+N5aNL2j@O=(BpH$#qauT#7gV6GU!&{2+FXOJc2OwHY$V)b`Qk+Mvd}{wVet zJ$zHLI$rRvrAJuCebSu?ZmbfeJk>^d@cHd%yROG4S*{znpima&R?|X25u=E8Z{&6C z<=tHwGA!z{sM145)1Yiw?7Lp7(Z`}M*vb}xQ7j<ObPJki*>+`AoO)3Qg~_ZaUw3~GxI-sPm)#v*pXkeZ zZ4)$NC7wW&twe|LG$_vV8@P094p3*txH`j0_LQL%I6i+4FVo*4X1P|KJ?gn#&=XAM zI=okuHxk)ueP?iNg2X-G7x8Stx<3{larZIr3yW1Sk$&C9LUO@W-RHQxGPWU@kQ1&_ zOSml}u+DDKQrgOV!`n6UEr)_Q!V?ktKzpG!VcuIDlwJ=X z($M~@hW2`78lu=t#eO1*s=kOqsX~#w4{uVG`n?8uq0+3#X?Aes$5Cl1-MEF+PdAbv zf*a83vt8V!pJP=AU|-0Yv5!EJW!k(s@Sw1NF&ntf_P5{V#B<6n&ao~K>C?Zv^C zzh*wYxawv$Id4(*faA*>sqg|nWoj_!J~Em?oG4;H3LPUt>ne4x+k}BcwQV7t>}=$~ zK5cR|qJ3F;vf|?+pfU*SNPJT+K9S#WnzM3D6Y_qs9fXa+7|#XWHgpjS>t-i%U{!7y zFvYzj;NSMCUSW78oRih0R5U8Il9bN6|I22ifTM-t7HItW-C+J@5To*<08r@7Rzt!#&PWE5j^j_(Yjwp4EUx{h*qC-yrT71 zdbV#;0kGXc`F3+39`dhb!HG%Twa%=WUl8DFUZtqcm}sOCIPXN2?!jhKa?VpWa?3!e z-&aTVF)5?L){Pe_dIjqp{8IbPzpVi7@{2}8lMS==H?K=(ro{W-m3iNQw}SEuXJ(G! zsM$XLzC8^Epl*QH;{s-6n~@!`7he~8T_9q^t_vF^&n7Nkhe&t$@=J83MJkTYU?#UZ z3-LS>ALrb&k;bu?DI*s6)v=7x;%)Y9XZP=0KBS@hz4Hv0&weEZs{HK=`o+}O;xPF!`#38?4!3o+aXt792)ic!0qX}6q`6B0`(SB zU#AqhOM2CXFz9EiZSzewIb+`JEin!stxqI zF2UEQX8AAS=Z(80#(@$`zFGh8*}Ef!Lx<)-xQNLD}|$AAePVP4CKR^X@sf zzttD{^ej0u6$XAgqw+BQqNV1BHJDGTKZwJxskX3GD$j$g(bo75Q?u_@qnF+)q4P};fd;D z>za#r=3Sk?_gG}gTY3X3k1Joh6sMp)DL!cuS3X>Iy!s$IcP(0}1TOKX;$uW8lUkHn zvuKXd4#)=Phd%Pr{@Ecv1_8a#$`ESdeP-lTJT*#ioTZ{#5!%wegv$dI(Ef0*>tgVPO zh9pzaUmRCY0a`)lqC1RwTb2&V=Q&9Ge+T+qf8G2MhFlM%M8Fh3q1{XZd+1(00Pr@o7L)ZA#InRjZDvWOBs z`lQ!Tc2oUMLwr-e^*ajE{I3vz)`Pu39)d9|_2hT}@|wuh>rC)M6bbbA0r}-RdU@||3xUAWjS!!NrMbIc&TcmDuiqrM69qV6JR3pfez2tK-Hva1=8Z2)Z|gr;+J&m z9p`HOzCnSa*@7$RdU9_PJD?QnFOtPz*&OM;QXH^x(xC?4I=lfP8fIo4W~LWrW|U94 zC2Zt$IxocBoFvlp7O%-mC7CTHyhXM%ecK8v)B7a>n;VB3@@G!a#dHjmXR;g~FEmA}Ib09m-L;Xw(6Avs3tPeClSKM1426smor-&IT zgCaSu1n5-pdolCMoZ{W>yqx}9xRC9UM)7aE>3TuVzs=bjYpwL824|O}acQa#|9+{x zf?I9r&3tf8X(}SL($}bTLh!Z-*Ep^Q5$C1&GpM38ux2-+5tX9}qJ0^O-Mk+ezugr* z085kCifgFZl@p6xHtxDj+MJSGW^49pEFv#X+{e972FA9`F2Rf`pCodIV$OpLhzQrB z$yjWrb%kl!0~AtutVOS5&Rt~tDPBK(hzw!Ymz*X(UfCS}Xr|!ypgKtDKWebizPsvi z=44O2xA?7-ZK4#`83~;1B@WG?{AM;Eiud)LRLt8_nkvXP+~*hX;V0tVm3w=YR(L;& z>1+Dg-@_J%bH5x@r62K_^B018e)~J1)pJFCd3$O9ZAw>VZ7TJ&byi_^g8`OM_GtQL zg$=|q5V$jiWB2rSweInR0&4e@V&!sN4YM&f?9adT*@yW|z4>5ccxyFFW`_y&FHiAe zl{zTWpw}5PwxeD7o%izIseil1#heNF*|dc~ERS1#N4{`; z_+}NQQOHeM-Y%zjTxrL9HSo@eJG6Q8SsWfdlNT6l;xOYi#tpbjdX9_UBrfXP3ajY} z)T9E?K>;;EP+!z?-E_Vj8)p^j9<4h0cYT@y|L|EdJ$q}i^-yP6&A2v|Vx{#k#z*$!2TTkry&WXRC4kgR}4$XLT4Z+|3 zwYz9qRg5&1glus4r(V$D66k?5yPC@VaG|%K`eNC#@Dwg@W)ack3_`0(;_v^3Rlw}E zBt#nUH*oT8Z0Lv5ER`E-6!(|%ni zfP*oeYZ2HSn9h${jcv%HaEwZmmP`ZM+#z-j^9Zx#{nI4L*z4lp=+s1cuvG}tbUqT! zg>!J82=rt#-!Z;$)D79gonBr+uC5i%^`x*|#pk3r3sFneB@WldRRwq?@*H7y%+l+x zkFTs$yqQWo=(AJ4pD|vX-NX;z%FoXWKZ;UMRiD4gi)8YHR^$6nUet0e+OhSeY-s{q zO8Uvrnr}8Pd&$^+wom7EDaJ5;B~x|~^+#=;1vvENB+^C(^6+(KQM6KAkf|7X51y7c zXGbSz5cLDSO6QX0gT&McA_#YJA&+@*46gVPyZ7Hd8fo_)vNp%NXA!$6`>HGzdOBQ^ z%6nEq+-Iu)QpA^C?e=Z|*xeo~Xp=9US_9)Oo)`QF5zO6G(}{)9eVvwfuKFfQ z$A;ZK@<6cSGiLn<>>sjMVxaOnR`U}F&FFH8l8ORO6f;3l}*`O z6QkSQJqOuywB{9Rr^h$7G?qXF&avC?aZjC$+Uo~Yzx63emY8BVV_|hyjd;WW)C&Hn ztUr~WsxPWGrnCh(RW7Y3S@73Xi*QxOI&fG*8LzH2M3YPNSP*qi>-DJIz)a)+cDah3%2z)-9(1G z`WEvhA1fvw5eQg{qqXXaY3hp0N#zJmB>#RwF4E#^E2c>nlCtjUl1Ccv;Zd&}@6k|I z^p}FlSL6#mD_t9`=iWdy;8P3^LvRqP+wD~0Q@Csr{E-_Xnmtir#`pw!RC5W#OZt=|q^b z;=Oa%!r6<9gj?r(%+IfiW~{+bqkg3XCkGfx{zU0zEtcL!UhtTx1eV7AWY6u zc+2OCmXX5pT~PA&=5hzR9WajVg#li6Sie`3aInHwA-AKAA_7X|KK*L7F4P&d5VFeC z;5#|AAepc>5d(=a=Y*taj8-HvU|P3=R=rBAkL87j3tBH)1!(>IVafAbKYfH+UgtfO zO?3LY!-@dZ6Xo~s6f=bDo%eQi^ABoqPp9=i(D&_+Q1ueB7OuV=I24?H3*xr2y%!C_ zCVx)<>@>DJDJjqgTmc*@Pn$ul%zPv{!7bg8u0&sKI1B2%E%#=6Pt-T1{} zgy=)E%l-mAEar0`Jx3ILgjA!V$hwpw^QRg-;eR*$6OAH#SnTG0&(o|x-ps3Ih*q3( zUX?t#d%Z4xz47evnl$y46#K-#DX=&s_)A;2F=nH=81LLP59`=XU-i4e0(!Tl*ePvq zguW;3>U@$tyKm8pYw)vo*J)vya2$Bo7y8XlE?ErPE%Y5{FvQ9j5U=`2GFEDvdS6Fn z>P~l}FW^s&T25C+;rmV?*mqIDzPII^A8Vhj$_135WII`B+?Stc|C^rRk6izoTA;pL zm8yCb8lU^ARrymi5UskNjnn3H4=E^4J1%y{rugj6Cd?YO>KVnw;g%;OY zIQM&k3c;81re9$-PYOGn5(#>tZ?`beQ0_IKjma(Ix!>%2;iv8}jhQcBNae2JwOQJ> z>Ru6tJ`QSr%A+rc-8`F+mD!DOC3~9Wuw#rPj^yhUV6zx~A>oIHD>cxR@lIA|G^T;T z0Oh;E?wwrI*`{k`=%^U}PLYzM+;U%k7*x<(l?HR-1J#~KeRyK3z6b6RC7#$4H=y(> z52wvKf3jPcme~LCcB_itTzxxbVbbO|O^SzFUUCsJl|lLqu-eR%Q_zSRJlm`_WJB}MWWt{kR-nR2#^Om)$$*~5eO4`~TCxf(}`ZhxI zN*>(;;`0{JSkIAG6E*8smJY30`y&<5nyplHvmq8ikcs-z*lEWNFImpc>hmn>$#|&( zQoElfh|KtAGd0@sf)iqb)%$GsWdg8Zanb9uWEYg2Y_<@r1*5$HSp49Fo9QFi-NTc7 zq@Fa&Eqt^J`|AX91Q+ zSPSM_^*MujU763|3NkXhGo^cV*;|)W+KUbm_gb>-dD)35Mw8zHK2xqAqh zBtoq={1PZT=~yy4`T?l6647uxqK$6c6*%zo)Q%O}_a-&pizjhA1nE>CzOqV?Ec+-F z3q;1xrf0nZsn&d`FI+s)fKtNQz>;9Gak4m*8o=NF1#j41G;4e;k_n#Qtn#w7`I&0G z)Zsw^^5UI;hcnX&1sKeO9|u&jwp9dQ&DGSRM(j>JB~#Fm(auV=eJ;H6B=CN}gu*!C zz8=Lu^uJ~FvpPHaLO4k3T(EtKcBBv}7YCI+z1&A&)G7LVf-wm`cS7Ith__r$k?ZHY z9o8)2@!%QuPEt9_#7d5<*Mc`QEU89f!F+1xOtx+j2kJ`^+dlGTOdPuBmgCbCw@p1Yx5cPaJmv>r#Tv`+(t@FhZf`dWij|6VFJpN@z zh1RHpKv5oeHo~&3CLkIKq4ShmtI((cFTAI0l6QU;#}y%$-bYKW z1mls@%EOWOrlVCA2qTTC_@_j-eoD?S((bHo7#9%4d2l042 zn)x#(bOpnr>0aegzOt3ewyZUPr3hpdQ@CWgwC*C2kHNeP{2B>T{KPYK;v4z%!#6>& zjN<+vaS{rSH-M8f0Y}KLis(dDydrm?CUaQxE?X)F+zr?YrQzF?VP~Fa``QbE1HM{w z{n2a8>N&o>C;ZMZE;9)ke;Miq_{E;3}?V1uOkt2_lHgu&Kg=|%~UwY z#oSJiJ3cXAj+^uw;{8b^ZA}%$tAZ#$S`1!cX=G{@VdZWql+jN0GqTD9q3W))(3!_m zFgPqB9n(nM7$cWpZewdnM&%>P!Xz6T$tiP5vhdXl-LG;a!W6KHIaLZPNxlOE0&oG# z-yZToO|)a?P3Ws6(WwIe@(Rcx0g?}OI7|$fcDgQ5k~iiPy(tfKFgSFb46ka8+Ubvz zta*A`BDlf#2>5Zd4X43-6=NI^%;y%Fqawrb0NlwD!E|p|P-z-g@WY}p8{RBFIljcg zH@2VPjVV9?|FAr$5&f%C>4q=GmjT+aWET`c3eMY12nnj|_np5K&pFcvN>rSwGp&IA z^Jg*<<#}Vgy)Pjxt!?O-8DCp(f_vjK|B^;#p$#nGi=0y8A!{3j`Evm`?c(30Teb>~ ztk`AL-!F1NVoCV6ZYOv4#ok+5TKthQGq5Y%rTfY{U8V@0cEO{7U$sYnHw%TirgMV# zu!?;fdmnDAhyt(C=5=QFeOM5belJfBSCkm34KdS($=?Wg;hgSY7q zN9P%d7C<_vu!7cNwfz_^kV&nZN+Dp(+nCYCdI-v_XY9R}`)>q$0w8bmv5fqWk{I^i z)kcR`1|B7>n57|9D50W~h;(#SFTiu;?I26`5uJY!CztGqNzWJ2Sg6Ii0#U{qucATE?ax9xCaj zV{4lFt?A{h?Pc9t?ig(#ch$Obrl$q>J=CP_G{C$&p_Z$8566=6JwHC;*X$w0Rkr=fb(Hr|Ke)y4>abt zmDDi&Qw{u9)zB-o5sTK}IGkn$l65=xKW2pKY!URhVYuIms6z}HX<95hS|o04y8ks?yXR^b?;eGpd|8jX~v%~tQW^MS7d+Dzo^pE zZ5Zp;U~Cbg2fmNJul~2wP8KRlVG1(wk~X6v6E5*cmF-QrBCDZ?RhaxG=??`^T@d}L zf&voopJ@y_6c+Q*J7xHSl2L4o6q{{Q*%N;p=8qV8m*lCmEj-G7KgsfB%F`F69lWM6 z_|Ah^A>=*mfZ91%8U=#70(Mz%tZXSWhZ{KaelSw$+6t@_{Gvmx?!&IhrPB)>m4OD@ zRJvi$8!rze-*D4r0IkrZSNvP^CN+NzV)fZ~8g}A(`;3i}8#g{ts24HG_Vki5742O` z9)hG6>sJh_F#LIyN{NL(lq|XN3>6vlD%iFvn?|V-h$y~j6o3vd2^CXvKyDknk~@kO zqGR4K+a4j}NueA;a-m%MZ~Ftp%3-_Vi_E({Fu?igDWRYU-5x;3?7o@xA=VaXCa2pT zDa;T9K5Qr*PWN`|m`%KDzi3`}6^z+7FUJw4yLcqj?X%emf=wACuD9(~$+@4=)|P&M zISC1|#J^Y|r>JZK`E$ok;n$^V^V_K(WLv}8Gdq`Rj=_vr-bVDZAjkqYfLs1^k*%56 z-ikNG>DPE$2x@hQkHmT~4%EQ>x%;P($7*|fmB5vw8T`o|)9xGj<*N~~HN0-2H7=1{ z%9wRF+y=(fy*H;4X+(Q@O0yDuI2OIoSFai?@*joa1NWs^dhPJ%WHxu=|(_wxAq`Lw9y zmDn1wKNH4ZZQ-6m8qBsD4J<){*DoAygOT5QGj5g(pzVa1JhT5Gwk9e))&`5pD#(7K z(D|!c$3nz;%myWU`CEtO{L~uV$*w)L|95D!m!-{?@?@AE?;;&qT^>~s@(R57%5=%h zVGUjt5zwk`!5X=a$)d?tAY$2dkGTgReHP0>;#L8=e}pUvpmnR44pS7H3@khT5(q_W zdfA{l0^xPzdq-s(-|uA|id!{$GNHnXpDKYLJLg$4zxazU@|~xqe-=y^s8G0xm)>{l z)xg5}MVf(M|MI8qlP9PS^HLq`5h*aZ?x>ah&5Tc}U!$MV5nbB7xl5SOXHR*D)F-;Z zQSBKI;|D~4;`o6%a4E7lP-?EkuaMDJp&uO_Bf~d1u-|^9Mp7Dvf(b zAFTIly19z+Sq2f7kU6L7E@7u}q`V-&m^aud1NZ8;@}sP~v;j`lLp_D&YiN~6TrLt7 zo$;LoWuE?m+OZ<570Xn5OXcrF&WNM>n`TOMXv*W{aEunMqTpMW`3)0&n{+q48QUPl zg>aF7ZKtVS$rEHxh0|WTSQ0VWkc%~*FUBD-{Ci%$Q|Pru#8Uy2+#3tspxHk+gb)`k zo^}ZwI1wR4?A7tmK_KWZ%x(&F@zn10)d%R{JKqhLXSkuwHC>jFYo5PO?&wphloiC+ ztpUUBez7-qm>oQl0}31PVAQvs5YN*}$(Y4E8rFCwv=Ag?7-O|4wf~el9~ylE=IC~O z6Xh!~EI7OBj=fqyOw%OK9xxA~zF`62J0M3|BbN-vWkAJFac3%fG9Vf#V9pnFX$9*AiJzMzY zr5{8=%5=%$M90#dkT^s46UtsloASCu$nznCHPu*T^F?mXj5rIGDSRaE=8t@_1mc>9 z8*l$lzX67EPVmv1A!qW0wR&Cs+!t?K%hQfaO-iqozJ8+gk)fr*U^|NB_ifp9*eXlO zW0xLp@Jkos=Mo_T`!a4zq3MSxN;Y>>Fn(G;<=h8Tl})MgRe6s7jQQ@2o2n=-U7##6 z#5-qn0DJh=Ef~%5Ei4+yU!!|%7hL4N{7?;Is9M49Ka9B zydwFN;M8U7C|}YXHNHLDjIKz)^ATJg*8@YTFKLk{@qZ#eif6g7rL%c+f~aQ_LXyw# zWJ2j)NJ|Y25%y&=i<~N|r~~99p?B!O;2X_>Vt33jp4?bPPru(c?~&kGr&8BL zOkpMg@?a0>}NRgNqXa9$^arwux}g1TJ(ThzQOn&13s6`Q4`7U|Z$Eh>|TX z(Xe|{B79Oerzm6lQ%V`+9ZrTi-0WaAPv|Z$O-qTqBJ^1s2 zlanxZ=Y{IYyxp~U-V*h#R`3tj|90A_XYfE-P(eVJ0U#j%`ELJTT9~Vqv6K0-j;~Yh zviolL#@M!_XRGJ!g|q#qR)53Pu$5*L12{*GhvtfjG@S>9spyEr8W-T%uJDH~$)rrIG3i9j@Uk1Z8VL`9M%i0#? z`hh&>?thd;`0!Zs`}dYnTSG%4#k1DS*;Zp`ar-pEMpqXZ3XEOw4>(r%p61Q+Gc`0A zZ}~@yk{4Zlm@?-6ZU4vT$G!R|_(#NqS|zi(RjN&z|8W%1$y+fQ+N?$c+Yz6Sk2g@v zi4twGWQLHJ%h!r=A_SmOrD)?C?K$pM%Cl9WCVSjC6r@0yjCo#C=Czi2d8|{Zr+6P3 ztJ#VmAI{20tID7sXu*|1L&mE$wVySM(Ehu8dxLM{ut~MsqYy%f!@j1gTt)7&L~es# z1kkg1KKaDtE#uKugn(r~yv#ANDAXKbK@5xHZr8lPN0tJ$FfOx0;Ts+1)2Kw7G3C-K zw{1`9d-jyH&(AzJ0oH<@Pe`-;Di?R7cxNyHyU7X}os2Jlrw#&PNP-3g_#Cr=(U}j8 zk4Qbb8qrLh6^+TTPiRhHJK$Jb_u*byz=Xo|LH%lT#B_j{$Q5j{U z6O2}FXdx4&HbHQ3<{oTXr2+9a(hoMJ>+$sO2pT5ZdU^OM-cUfZL4;y&Q;k8&Bz@jT z0U<+braejpw5xJN{GX^a>;^=1S9Ew>(@bHJfWgg#psbT_eDd<7Z2)WmqMbqyTmMwt z5#ZBht8re!xrLcUnb3AXz}#z)yTGC_8Mz(F)D|F~Eoa&RP$#NJpA6108`5B=?)K7- z#x%-yfA|Hn^>;)7cSJ4w)>#n(>Ak|2^tYPR8uSL0OBh~I)YWJdzE#0EoB(C_W+SHV zopTm81NT^UilS6?K%sy^-avX@4{hzdPZ5NK3Y9VghTXG_?r6u%9c~w)en3;2Hiw)U~R=w~t&gGaL#+cDP4o*p>8Y(VkR?~}IM*=01pqSApX{{@W) zBW@GAkiso9I?=){xxYgBJRPN_LeP@-ki1+LbZdjox;Rz3pBgwM=6r*WtXxldd+jMr z{)s$&#ic@Ho*OcR*@4m7jzstgkKJZ!F{07|oXe1%pD1jK4~o3FdTFnT>AT@63J3W zIpyMpJYG+Dftd^B9NpggaIMLw0Hr89$igR`5*y-hDjua4eSl!fzVY}O8qRmOoCoFw zG-Y(_1EIe7=$mHIxmlyi%@Ok1XmB2Om0@deVBAFA#gSSu0F3`%2@fapDXd7rx}6ed8=-d0Jvtmk2H}0r2oQdj9cQ z7E@{@*8KH7fHvDpg!~|t&m{1?k-<9xcMnO~H+J{>1Gpzf^Nb<_+>@hKkGjfTVM^b- zQ|4P7s9;3ip&|GDjtj|!788PO0%d5hBQ}Esm;Hu&0O{yL)~`jo6%jlz%;KW%n-r7Q z3$8|k|i$@ zG)|3z19<>p?=nYOEM$fr84KpjW9Jg_f00l-m+}9L3~_MVr6>~v;KU??xPIl}H%|P| zZqj0*+zR>ljsMv#;uO=W-ESChhzu}xTzC9#?GX^%n3c2?8L&T|{$SZNurv|#3lUz^ zr;`{h@MUi!gR3UW51wOS!*L;$M2(3 zQ{;ds%L;JMhqi0kQ7dTVl<_5ih85L4ieKlXFcnGdka3HFBk+L%+wL0L|J-}pXssE_ z8Tb^VO7QFZPIWG06l?dhz5VX5DRJMuA~66pFxmuV^n}$h040<{h*q&>_iic z8TfgGwQJmfZe-SgK(cLL-|D6o$juZ$1 zoq9STTv1;25Z_NqKCJm-R8SQH*PHoD*TfTjwt;MH=& z56w6yzHqra^njKh8=UQ5c0tiD1HW;(v#i7Mt32LwwuG8D=ZWmZ?>WjI?43dA+jbeq zI3IA~=DK#-3q3y|V}Ne_5AVkR9BCD+=QY0IYDhmP-gmicnjEZ-4~fu4I+%1z{O|bD zj1WzI-@PfLdFMpEvXIot!GdmlF3r&cQwHd~NjG9WBm-B5dAfM; z(3y_=U=`0XZrh4^NMo`)Lj8557)5-MB{vU|- z112sVx=3vS;}4eOp7cigXIsn*ag-3XK)f*nMzOd8#ve7OmiRsaMPEAfw$KZyXjjr1 zBWhRNnLR4-_w-8Q8`mG(%JpJu3t0ZJ10GbxKOOln3C7Kc!Fq}UP@rreKzxTf+N_=u!c<* zH!-Ufn8J_C+Zpot5J3N~TydjX@JO4!x5F?Fi7u_;Udm( z;N7(_Ha~}+R-z<7z7LNTLIL^)Q6GsO_V=%)=_0Hk;9Y6q*zdG%c=(6RjF7NC;2mh; z7xy&+=23ct=yNx)p>FlMA<@%VnDEu9f_@b{{nAH2_nA#Ak%|w$s*OEdz2j!m+OmOI z(T7V-%oZWWb{ol784L3$&j2aC4tF?P+f+dhkA7U05Gkz(cUVQsG+r@~fJ-!idYmx0(%V1;KGbkqvkIW?jU}UIa^(HWl%yD0rFp z8?=!rbI!al8XK}*UR?NG>MX{<*}f>Y$Rs@6T38zx?AafU2bmHlgNJ>hskP_R%F~-tnr_ys7+j>5n|~IEP)<9K&=&iAfNJADI$@G=qa476%^jZW}c@_{_z{;37NLv#3DCLGXl?+_S0%030 z>ZH(swu)^Ft~U zG^0w*it}a^BMQy`DnLjEWb%vac;G=bT+(=)Fu`h$Y}}u9Y{QU2F0D_}q?Pwjt&N*9%F`0NP_|P<+#Y&%YLXdN zqWVKC4l26-1Ve4P)g15H#;P3EuKv>v6#h2N^*DblVlE!phdpx3XCCpm?11kVeu8v% zqmUP1{|zHy7enqV+_E~*&ngb;+JeAD3%!z+cSjC8^?sf+$B2p3CHHR+t&N_49sL9= z9zxR&4H_S7)AUfwxq@R;ra4^!#jU^yQ_JM*i*O2PQ^_5qXXzh>y(TCX1B6P*KvX@} zr9}nzwSrX68%B*sOOmW8{XY(T@Dnw2r59+jJFpgWAto6-2Ut=K(%tI)1sF)P<`<;@ z>9u!E+kTXtOrT&Ptbgeku@j+Qyeh*qMev8o?ZA2Iw6`L@`wlcDW2u%Aza8_wscSmy zP(Ji6lILW|Sr}!v*x|NO48DCkzRGvQcuOIa?!rNnsUsxPu;|9B{Fd#`z}*rkGsN0_ z4Th)M-lFR4`-@Psz$U^I+e>778ELb*vP!Xi_~nmW)$CK-;7hh%Wp)ig`{{p0o9_EB zb{7|}^v3u9KJPm#c^&i7_7gEs2!5YAhG#sIqBr01Zh(W6!ZduhQ~e%J$0%abj)zZg zI)Hy%#W=;ptVp2FJlmy*f;`l)Dp8{we#8&+(RTa&n~r>lc<&WOTnavf6buYWLF|X< zf5(+rC;<@_1Rx-~(*KVPbagXs_4UlfUruw^JiA#{4l|l>MNomqV_5^{<3NTYV1om> z=&c&>OfT`gxr1>v{}o!6EN%;=zPf# z)k0L3AX4ArtXUG@OKPtsmFxH(jw{%I42&6tj12$$XUVCMeHQ~OnDcZ=^D39a#{Imj zLZ#5^!N1v-HHoU5355lh7ZcN&9=J0RH;ds^xg?fo-BX^|; zrjw+~s8x-J;==Lr1amXr?hao@6?= zA}thc^VY_JnP_bCsK z4?Q`z?ilWq5TJMYpJ!77oWHI)<-r03&ig*f`Tc_4!~iTANfWn`vt|!}1m!yeQ6qCG z^Kb(G5)owst_`M`#cEiJ8p{+qEf;Ff#tj+HWKHs>3QkWJ^-M&GL{@U6?2443{h0&5@R*Y}d3v2Z;9qij!z=(~`(m zpZ>;*mo;jh$e3;Tm;*@`x9MD$N8m^GvT#C+d5`y5$M{H3K5AzX0^$`TA@N^*^VN~7 z&od%N>A5EP6}EdN#7AU&`ShGcM``1s74tstwqH#s9>9i=Lm?5-F0;BC1S#s@_f6mz zMQ0Ssk+67E?$7!;aS88S@X{)CIR#<+EOfNxLeviyI zHdy~-W)^;CW`2jvad{C#3>3pd%Q-TUX0`_kKbk(ZU9MgClj%i=?n|b?ynlE8o`QFC zU#f&MdMRFe-$djAtj|IH6e{0<_xX{K6rw&)+@`<+z%_JK|CVPzr}H~9DyMw@%N-|* zKv9f;KsC3V<3$vO@KkMFbKQ?;4MiBz2{tU9xeuxPzo$!}u1-P0WrX2Qt^4IRP^NiP zkaLdU3Uj;Y#>w+Y?8(T^xW{jf>BGHq`rpoY64_YX=eN}R<4558{GQ-jT>-5dopXAp z+p_e(%ZS}3y~}yu*eQ6VxBmi<5U(-nMPK`0No_F-qY6OQ8IILG-LdZr&8&^kUB!;) zDqTnW#c!|jnP!}H@AUN^K^KmB(`O}MBsdL~3Ucgb;C5BctKwRqursiBO0_R%qB|4g!QD5ssW6f#XheLq7i!MRb4uD2q_fRY$B{gQK1eXL?P79A{8Hx>;n%?p!U z#=3=3Fa6K85#6_2Ip;Y18y)Ti#ga}1q*vAd!`53s)v;`AqrgIgB@o;pxVu{j?!nz5 zxa&fLJAp-TcXwN8aCdiicjssCd-gr&-1o+)(Oq5DHG9@KrAAj*%~sN8oZ{>sj+}g! z$+u-!gMTOn>|cpTjLlE0PuY4_VjIOd3M8(?xrZ`j>?>r}C|Tba zMlwu1im6{or|yP^0h4@GQ*T+}!A{JwSg1#v6=1h-SUyudIpF(dhSv;+*LH^2tnjz# z9-o8Fc4TH9)^D&e2*cygq|#(7jMeOD-oLsy66kf?&aT5fO%b`2`s?9mJDMBxKul_b z3oP|J!z#Wd#^qr(Ggg*~B|W9iLj2!gbi{P<*g-Fux&({4hGC^f4-N)d8h2vyexA$Q@$(=%x$9 zHC7wH8md=%GmLo~35HL$j~VKlla3%8f}2`XSNdE89pO3%j8E-H2@QdXO=O8zRCY*Q zD5(%~Q1TG+Q1X!SfH8Vf03!q=6eDB-lfY`V?QOW4+ix}Z=ehZ|(V7;>o|NI% zo`r^mwytG!yEB$MLbElqCrC%4pHCr8(cDi6OY%uiNUT06o{*jho-m%sGIREezB2l- zF)+peZXt#kxNQK85Q$hX9;cj66@wY|#Lj)5)sPdnG6|W;f>WT4Ek2a?&`~Lt1;ppey;i(T<`B5F34)!-hG^Dhj zNr!_zj972@-HCTqn3=}NR)slrXCeSK8krr01Q=8gs zX#O{=8f1BF6V0eZOATjt&0h5>&ARf(n!Qwlo^Vd>}G;h_(x{4@`31zQiH zYQo*PYjqhc76`E-<5CIhI`AqNdhYO-}ho z+s1AI^=_ekuD#;e8%FEs>q`1yVG}blCV{Fq4asKL2tAkh4~i$8CIXH z5@8<63bGz*$@ioKMW3<~aUS^^0_fY?A)qg42f+o;hk_4<0ucAj>0n;xvV-D6;Dh8t z;Dg~q<^%8{^1<<;wm`JNw?L;t>B7Fx2*KzAbm3MZR-sp+R$*5m1a1x&riPfTc{Tp*}$+;XH&DGzVmg zAAN_aK3*lkJmfL73uLn&p+0IQ);!!X90~-SU!R{yhrv3$-DfUXd^kA>N**p1!HJ?v!>?bg)X^;B}YUy3~5SFQTb$5?xt@KPz+3hM=?_kZ=jGr(aZT*44 zO;f2}2`9keR@76#uE6%OxRs#kDY{(-%N>rHpxG155~J*qxc3D{jh>?^PsRdL`*Bj} zqklew2V>*Jd^>`sQA?ds#Ku^d;{O<6d@Yibro4Qv=j*ZJB*T?P0WkHAb$ z28W#$;l2z%!5v#p5?BU0-<&?ph{|Bu>mW@A=7nE5F=M-4*5G z@{_W=6qrQkl$_#Z%%M30F!Ch^AIiTJe<3k9lwt{?i{9oV50z$Bmt@HFxs+f~j=jpm z>5L@SrK8T1KvXBorO?;0Xg*s!<*jcHc+yJ9$%rQ%F`0~znE=f0uxa-q;9Py|1cQPW z9$5!iy#R^lhMa4_HUUn$37@qFm70hlvl+)ZVmmmY=kD z8%7Ic;l&>5vo%L*8L|;&j|_RnYJ)Zit&j^CjAA5eSl9-qx24-_LcNo-E_xr#!4%fN zSCF*akOyb@z};!Q4h<^KH^wE-TlA+gOxf}hksP@*F!(s|zDOsmaLER?^Z?g78fQ9) z%>S;PFrWPBF9x7UUEsN9OG&+B)i;AmD{5xyi z<%ggWpzF+pNzI6>Qy>q9yK_%exvzETN`$)GJmZZ0>JH)bfkSYzQyuFdCzak9Aul;x zrRG9?rSg$Jqj3>-#;#^|eJE_FuwHnkxFF#?ifsG;m+gAtzu0C;wUGYgy!6*2 zDKxATDfV-jyp? zQ9d+LS5v<9u2O*%BWw?R42CA-n#IpXgN%yaRs6Aa-%<3-^qW&pSd<83;wk9YcfTqT zq5~V5f^r`I!C=?Dzb$-UklHmVB{#h0^i}8NW-~R3N~~j$O%WP^7m)%OM>c*y9)y$f zm4ZOM2?%zhfu!-{A*Kz%SAbH6(txtggf4`x{`vzzE<_%KA%^z@0QM_|Ulh{%qQ8>_ z3XlJs0zy?Y_)ZRuqb>ny$A?>Kp?F;=OxwYcREx0T5O6{6bj#5qpjKpXp6Q6A2)}*#yKQ_zv!eo-qG zfId~wIDT>==}sBI4@iT*AZ0<{FwF$Wwg7A4pj6YArSS{i=T{S!Y{zLpEyAk9nKSTs zCco_Uv;Dsn$A8Kk7BaN2K>uhbCnU5;*BpxeF>~4X4w?&WHM_$L?u7W4Ejp7&mD36B z=;{RK(3Z(M5?JB?H8-7nQ@A!0(}nwp5J+(Zc)aR+q51Sc6b+_%9NCigKD&uX|&?mB1YHhL)sykZqTPz@ex{ej^ zf(!IR{ij?p8xEKU3sY_zJYfq3{?-6jd=fRqGm(l28qege+7;B=IfUn$Ttar|w%k<&a8}kgyF) zI0K`Pq8wDby$#61N!er8U9V*ja=tMfLpGbx@Pinu=HZOlKY<3^a=w|c|A^$~ACVl!{;xiKu@mVN{^!QyDr$ z{ERI>%lsNC62c5Pl0jtmlCys?E~30WgTV`invLfISAUELI%7nY zgPh}CSdE>73z@sylYV@-&<#?a_&VKTU<;K({iLNsQZ5v7$p3{V(^E^3*+rlmNSOV# zX>We$_+$LK*pe@vwQz{k&Mlc%Sn0BNzzqrAQYP7@C3k?l#kj#k9eyVTt`m~pkYEE# zCkRLG__(giz%00{rOO4K7e9Zw(lwYshvVq-#I(B@UP)N}MKz0sPEf~s{#BWMP>oiv zXVsQ{5V91u{>JL4(*cOvr928yrg|TGY~KuMl*rr=&bSPk!oH&}xPE$}++iS>1alpo zuFdG$s=unpgu4c7c3A``=fb0|TTt?LP9MxTbAw%G-<|q2ZUzxdxwv1=Mbf9)0nOkd zsOVF21LclP(ZV0**rKdI1Up%b6_)|)qa7G zcdQ{L4L3jyJ`^vM`N!uJ{o`|D|JUctL@WH&4Klf#JopMNxf`;7b%LAU`HF3L1NvJb z4wfVThXjHwiU4PLMAF)i#*)w~O#964P^46;!iYNvA?tiNwL@KKq<;X4RIA*-}I zLwL1Zkd)cXslLNhCf{}tu!-ucv)Ra!tLw!A%9g2iz9}*MYWM38H>B2_rwRG)%|;&Y zgF~WpE;@)stC#Ib{Zy!ekr(!3kT%MJzkL}da$ga#@lJTBwee} z4P=phTOo4j#w=W-`v6 zDXpORL(|}xk`CrK^S0xkb#%(pq;>T@@8j7+pK^kUqGmCePAwKgs`~qb3Qc>m*SscP z9=iqHEVV`JB_7CF3B*ynni9X3{j9pvKz$HJWvy0}W&zSEZq=#-Dg#lUD(e`ffH}8} z6}PklkUOX}Z;iMwb|93ZRdJAD@iX&IG_B81ua3cx?|Cgv?{8jkF@9Km_?aK604&?m z00npueWPX_Y`+SbxK4adgG=CH zHTBuWnaoMcTU;nk>k_cq>7n8@bsbQPg(9a`Zj;yvUkEOn#E$R&j>5SGrjJ%k`ao-> zoYi@Vtx{CUA;wNq8m*Z20ncbUvvU`ly{M91jGo3SS~2AVuhDc?=P9;xk)3LUX|bJL zglUPLZp3b}op8i%iJf8uW*;AC2l=f}*VR;KVhcMTh2Zaz4a3k0hYFX#9G$_%c-@mj z?6M1sIi5w8Qk}gSn~({y3YWMXow3Cc-IG~t>nn=}-bMP-m5rIxunC)r`o4)%;}gOP z7rlsEU>zL(iS8^RUrrB}aK!8uM><{(vK=||Aa;P3R|LxjjUgMLoJLH;j-7chs3cqw zMH>)>uB{YtxvpslLY>3RfohDx#^`kJDTKE%jR@N?-D3JP5~)bN?3qg082Dpj!P7iq za6`4H5Y5IbqIjdeyAadHEaGtEwzu$8^r=W$1eZxKI&v!^{pM(nsJ3@oX`>mV!zKvt zPC%Dy(Q0-VSQltdb7>JyU=3+0-LhEC6%VY#h^hzG#p_x-r&_cuYKm+1Eb>&;lgLHt zTF2#-nOWDUE#Ve$RMf9b)K}Jbm7IhrHK_4UO}JFM{Cp)YP=Im*XuvKCA>^e<3x@W%v4MB8txH?Jx8Y`D=@HF#|!Erp{df_=qpxy|e^qI~0lV98L!bo-2!bGQbvt0A04vgG_ zJ4(KVTWekPZxi2nbL$XXbQ(!0co<~UYu$|)&wntrY?Dp4P1xlve31LG=$L$_GUBQ^ zCEzSN<*b)p9)IL=bS;0VvVB$8JYl%fAa!Rw>DBCXoG#DWH7{c8OJokYm*G+{PVZIt z!u@8q?^ot&`*3%+rkxan4u0EK-s;wu7Q7PF^{n|hkd+$EH19HMrqJ8x^M#Bu z=B?8}q{Wea_@D&&;&J9sX;V)hI~K0O@8DNZjuaR zSpAlbLb})5#KWLh?EL#aG&Ag9KaGDGf5_dS(o6oz*{3M*XmWRwK>ohsoNF+~G$>_@ z3h>f~>yw}1?uUP?naF2;c>)j628uZjXd^FBQg+}st%gReu7CTxYpv^IjNl1r8>hiY zU6-6;ytI7`&bwl~)>E-F@Ps+1M_;6F^Pi9MZ@FWATIcXGHEj4J-q2dF5*dcu{2$`3 zsLF@i7b3u0zs@zx+7y3)9~W{zt)`DkosWQQ)4^xicHizt9nUI1Wu-OPyj;YMy&8?N zZ(j|x%T3ELk6a#L1-(4q;6(+JO}z?4zS)A|&YClt4}6dcHciVZ&zC_0Xd+x&UPB0C zT>h`q0|8SzuCkK?YSH_RFXMpVvFj>KI5$#RZ%d@{qPK5ys3-WqAA5YkE>Vu>4Qt$Y zTyxx`H;(;;Yn~|05t^~`lNAx^0)P=#y9_@;*=m739{K9QFGr52SE)~XlByZrUUo-9 z8I{z)SJeOhn9Db=Gn@1tH1Ski6C^{MrhUeOUcZid(FTT}p1B z?(o^?*M|bQEEao=V{uT~cw(b8(PZP^~w{3*r5({2F#+z{?l`*naRQB<)DJ#p8 zdjW*%R?bi!{445bOt5(x5N54yvNb!L$@w6oiCc)*z10K{&W7pII;hbcAt- zS5?i7!GMcmPd5iUwHMSu3k2(E z^rC;nnqYP$u5lbR|BM5|>VU@IpnU#A-dwHgena<#NgQT}gts;pE~+_v)&HCgPI$v< zKFOILI*(cG#YF6MY1fED1l%uY@X`A%74~#^Rw$lKOU-m8sxvXv)uL?5B`xx)p%RP# z<*s^;j8#|zZu-uMCgZuYk%GS;Hg$55-fQDU~&4`HC%s# z!CSGzPTOqWg%6Otss}4djO(W4^&E*nqR816Gj0%$^l^?Oi$L9o)MaO$>eRh&PRUrE zjjp)Ul3#Bhr>&|n-6o`GWk)u#K9YMGRk8j{kypvN0E8mku>NPuk^5A$wn5=yIis`j z-P+Ms=?I}Qjg)q)i=^@7?$tof!6t3(m>djT!OL|G0W?~Q%KRTYeBqS}+FK}pnn$4( z6BQTyBIW&UCvcT&lf15p=6Dz^p~6Of`q7XQP2To5P`bG+MqeW76R>PS1NtoTW+Us7 zg*zwu1tMR6GiO&_EU;!&R{9R-WYc>`bF)XF&qxH9L|43S4;+tCdyHZm`1jqQVH$C0 ze9Na!QYk}eAk^1!W{4C-+|SfdP@_O|o$=77G<%L913Q);n$2w+8E`C1q=+LCXX{k3 z(j>7mrKmVEgpF=f3+fz=(5Ks{gSYiWzwY#6m87r?@)@MuiQc(chlSAbmBrfxG*n{DL_i}YX1A|mB(iq> zua@4;0(jSuTHhqo+MpWyHJ|tqkvxy}K$xCKz6t&`J<$Mn0r0YOO+xxd&9OR~9JkD8 zw&;ckeR9DOmeJ)PSmu*5RTSP}P3JVmP*+SbO9O3lAck};n@q!aa%uv!Ga28bd?WXl?Ww@9sn3@7-5aOa z^R|**BkeYvYvJ?onLaB7T_D)QY^9n0M7u3FNxdqq85EU%Qez&)Kx|7i4e>E`Xf<1I zhAJtL?#75{Se%&O-XVX~>{Vh$o@E++(CK&^tr-IwwDc29qg^CKBz)5wa^psxW!8lz zD%wiF_^ILbwX~-(Tg`-TNiXgK&M`FtD`g9(ig2rL&#k_lV(S%W?{&}vXV{~Z*4t#Y z2GxRP%nTaUZBIAiXUL8E9;-h%b?Q~~ed{89+Np(i2QaI+t-Gx2^=oh#bLF*YnFO(v zH_8?9hcKh^Fo?Yey(CaaMgQvz9Bop=6;!EgSW&W7*E7K`&F;{~oc^n7aNTgiI57;t zt*`r0m`|`q1*tb^fHD}#h-`B7h3Fw8;HuL6xB6O zlBjcb=~HE#HH191fUbf$7D{9zqRaf=$lAoSZfg^dsFXVj=r-8IZqpv`K!zsDmMO#f zJv&}mxoxP;-W6oqtat%_W2B>`ob9wBPc$&Q(y+lbiYHkYnO>@Q`om=)B3#1a8{~hF z-YIS#*664Z5XF}N4nW~%Xl!(nI%JF2N6^lpRGqUFOc=kw^C?kFHDv)YXdLjjJ`+H_Wx(Dqe zXKmU7NgeOD{G`RmFGd6?@~1Q+Gl8{*g|sy!mpdCS4_6!eRnCPulPdL~=8KFtf184( zKyA5gd(r|t*^z9?yB~8>uaXpM;N?KXw7KOPpVwESKorG&%r_dOd9eT=^}Kei5-EC} za?qeu-tA{{^fo7^&nUSf6Z%N}L*+Y&gQ-S2>W_R9vnnMLe?s3F7U|P`Q0)Chk5N)5MWNR{@l67Y1$9bU1}pxg zV5ZiU;>3YRX|CQ;Ls=28)nz2>ZSEWY!*c2oH#lRZR2gJQpQcp&Al?Iqrq`Y~lI$;R z{~3gDx=c3<3hSy^U)u#4a#Sc2EPSPYYK*Gzu&}o!#!qnqP&Bur<>|qHx5e-`MvR`? z@l>o&UG=c<;SYifH?cbEnJc#sS4up>5M2l(6N3)0F-vMIQmsDlv@Z)tpGp56-{b~g z^kXbN=WAQxuxw*YwGM-dg=5waPwv3tBuc3lA6h92&IEY37YL1Ihq=pi(Z^8YU#9JW zkC=yAg3arYSXlERmx1{$iv1Q2pw{>;OH4S)_Ly!%O=?OlfOW5|FKO+Id&| zGzwR|*%=l|!%)msH7SX~se-S5kR29GGgmn&8!uTbqc55}_*tdgFfW*9O1bGLMko!d za#KXSZt?K<9W>P_g&iK1-aI42jO8-4*(^rGgC9D@N_rjNeRPVAq7BS58D^Tsj1JUw ziv5?WzRczEJW!o33okb1V!?QF}r{qo0GX8>Z{7gD*vj9($tXo^^`UH~;d`tUyrOuNa*<6%B) zp*=Vfse#=`Fnf*7?(y+P4}Qv|M?TVu|BI;t0z8zEFk@MHx2KhgE1gXh`F-H)m2(kqJH={w&> zGR377i;O===q!}S(-hX1XHsd%lAR4hYsgL|^CTwANmAO$lGkeV%uFk^g;>%|^*rK7 zHn3d8#V$orjGHoREzWlgR4smxEH0ta>O63$)27+I>=7@_pG^|s!YiK)vyu_TD3YP+ zL~t<`QMAn3;G(U_wja(iu0FCDQByQUu6U~wPTh#xl%|U7iDXYEX)lW(EX?Fn{1B3R z*oY-jreYWjnnhNOkb^Z6;;|4HsOD98BS$`*giDLDt6%$c9-$rsLv@R^ZphrS8QvXB zh|l%`W??tCjQ}8_GZmpO4&2gRi*+T5i3-ln`A+p)#y!W|w$lfv!Nt8`(EGwC(x;!P z(rtP|KTBmy(?$c6-pRb$h8mGbQvjV#@Z;kdYZ-qbtMgHkY9F~JMM9iaZcXm#q=<94 z*kPw-p(izEsFs^X0NiAdmHn*-UH=fBz1$&cZy9Jn2WXFsUZ#<8#j{=;0~}>b2tgOwM7G$cTZ2v zkQ<36n`~L%zCUq5(%y-*AYts4`ZH}kEY1@0~MGslualJo!Zd;L*uz)>UrYYD;59%+*w;~{; zr>t+ea@_H)FXyFui$}@cLBOl_6{mnd=W4F#i?0W!&hRa!@Dcthqkeh*iziIhzj@MK zn=>jtx!Q6b*?ORe_A)L#+(pU92Oj(Lu?TZiu+2}WTfTiWbFUcK0z<}ijZjGDASW2f zGDOF+7U7l2aJ#sFb{K#bX1J4g{tiS|b7XLC8V#gKc-c;#j#SIXZ$1vzW)Y4VdWlPm zZ-p)8P5@i&8O9AkZhq%9OmaAOfcRHqSJg#9L+6K4x40pr?mR8W0gzEbA8~@r$8CqV z065M?n*?yg-uF1^q(&!mhWCcwgZ?5bO-3DEvcllZJ!ZnMKle$pI;Xp{#ir`O5Gv@) zf`EVVAel26O+v+Q#H0c?yL1H5mkEJ(jgoj=Ek%<2M!mzDK29m_+CpOosXRi*lu&Mp znYcMTO%T&#^B9_U8SBdXdCurVM&tS0f!r z+JD=|JcHR?xVZ&?Nr3rnK9fd&E;FgV@$l*Djcx0z`oX*{zIBn?eGE&wejvwcj0 z1x^9U*GfDG0@=Ak+QpcZ(gyHc(6aBMg_np;!GiE2Bf+EhfNrb@%X|EYg7qWaLuDUQ z=Si|7v9`u3y=u|k5rm;!>C+F5?8{`cEAzL9pAxMLn`j)Htu1s2rVC~o$A;@y_uOja zwOCW#GrC4pT3h5(R?Up=&v?PBy*VX%)fBPRO|Jyozm1MfzeF-L$jSw*HkAQ~JShLYWjZN*pTd z2hB(8KxABBf)$MV3lNehzX|qCxd=gT;YA2zQb?aFpi!;02*Uk3lJ?-pn#|dOg5Nsv zx-{x~9>x5N5LZH+C-oS1K3`tW@s#2vVTu_tdivBS6vIvl2a-?cFkfjQefWM#J~)te zrH&-c#l1!qg&@D@hPtYQDYVyeS473ERY zXpQ+<6(HZb_^>88)&LiGH{w`IpXf)m7w7$l$@GZd`#svBd)$S@eA2dz`vlVt4#5tD z^uZ<)w+;4XElRaspbTvGwM%!B;tDs@K%%DHH={rLR-vr#zod#F;}MfI(Y|50Xp_}t zj<}OzJT3`h*4qi>H%Pq1(4C({5~<|0`BBq|NB+J^e(wA89EM=Y%h=pHJM_I zKaDZ*@8jS3V41j!^ikWCze|$-ItI)BRYDdtfa|zBn)dtd%SZO;ULa-&j@VJokNR~7 zuHgh~o5Z4DhR~!JpU(@$d9zNjgDj9dZMo2-^Y2~NI+-+#oD{1?_G@zu*iM0h+bOW0 zrM${kKTdCyO0ZR%Oc{IHJmD^cnX?Ee<%!i>BuB`5Wc^xUm+hq_pX#3$PnZ35A!)>3 z-SEzb@LRD^gsY73R4ZP@gbTelsVl)$;ueF3ie9&S6JoUroDBH)mP( z`pqoU>!l^-@9_is&<;}?S7Vk9=S+soEIGn8Kda5%IPCpgW%}3EMgLzlB3>Co@A$}& z*(OKaIlZ&HHp2)?64~Onw80j$B(!MZE&K7_Fe4r*?qZdBDseC< zsqrk)T!HAl`>9{scujGW9^0x&sznx=r^csU7m%~q2`vD+V{T324gGxr)De%6Vj@hD z-roh^nwCmH(y)~br(r#w8lX#S++AL|hsS5v*s2|6y2NuaEMK+2MY;QKCWGiNUv{E+ z%T)^#cvH6h3qU4E#B>O4bW3msBrlu0ec9fAom7YiSW$FDgdi9$amG8+n^8Ci>hT(X zh!Ymyr4QE=!oDutTGlWg-XAk{f*iE`+KjLzzVJ`Kn)wVg#8D6S!3j$`h#Y`QHz^Mj z4DL)c{?K)ibE-UuFbB1!I0rQe?@#hv3_uapxTtt{LB`0yIv6v0=iPYlvVb!FV^QGu5 z|3O?i2Sq;1U<+PQeldBaEFydf?=it<1U<(o=DakghH}LQW)JH*ntX`$*g-lA^1R}F z09+J(UZm;_Ow&Q>rhdRYgEjh-p6-l~vX!}_o5n}}S()6!VYB_kQ9YP4XC0$${3|tu zOe)g|QTLqdW8v*!=RF*i_aNGkI(!#M(BQTP0UgA8GNnA($M0=2C0J_9w1T@(#QL2U z*Rkr?xna5^$0Hw(2sxV2py)$qxc zU>}jM0^%!{!-EEyqr`&i@2^d@D+dlLI^ZTxuq)eOZd;Dz77NDhXR1GbaqE6R6YMP3 zO+G~l1|>|nF{gCZBGxqjz!;_6aDd`Gx;W99K3NQWy`>nx{*(X`q5N(^go~*r4&$a8 zG6eneRvY zYVBeDHP8OsX_2gjsa@1TqgV?)DOZD26#AjspUPQAM$B93rz6@)cAHOnaL-kL#8f*V zap&m=k9;fqAE$DmPBZ&{B+N^F%{p~V1QcK+b8@s7SCr#so`ItZOZa38gE zNj~$ZOiP_Rt~#3)HYzBmsw2XJ`7%6l81Ujvl+wwpZ~+N3c=;wu<@9Gz_rAxRV~H!h zx^DUw@H|}$sXi!kLBu&U#xAX38z+y)?mc|RULZ4?J0-IdZC{zFX?ue10*(v`%*x<_ zmT)7AVr)UX4ViXE&X+O#u4^HOh*+dWn*q;4?my z#As4;jqv8dJIazF6y;$4zI9}36KW+EqaYIUr>GRLVG;&$z5)^{1>5X&o7UDMcnDg) zv3hi;x@D$RJKZ)l6 zXz23p3U9hxL2rhUb2PlX_CarsFLo9DKhty!jcU9zYmgA?s~`V2POO`;iRp2xMoezs zFN)s_$Uh@<{7BvWMOaeSA!DGE-hN?ql+cub6_3F&DuH6?(1^qkXvD(4&_YOi+vU0O zrtkB5B|z1=sN5NUD{)hnq*_!@(g zi@%1|m$!Sq*K>H(X!y6y_H?h4o4M8dnSote+xFWnPPuyTo5>Q!wu>z_IfnL!*)d(u zf^s<9_RCpI#1N)T=hI3M*cM4 zR1$iZ5%Tfa5WQ_!p7FVyZPfkgWz++{>%DigxLv@%;c4C z&`*pjfo^QRj%NhQ{s;4)`LIzb7*)GAcz4(H8hn388v;LwMO~Gy2{$h5%##%05hdIcA}CU} zy|#>b&{$PCjROmgGS`t}cY2_)e6h$J5WmF0B6rdF2}OMB;0J8vJRjeW0xgUX(@baW zxtv)xAjdq|)3edp!4uxPBGTS0-(HqAE%{MOq2|zn$=qzlO?xaepV+)t)i7c* zf?{NN-o$FKxkY_#g=Zl-r+_UNG19(#*tI+z-u zje8lkuTb`#+Wcq)VDrAw;d)xti^a#n%hncgIfpQXW7IV6A~$(7(^fm^MQ6*NI0KvZ z=RAzMP$i2m5_05upiJ_M@Qs8rwa5%F<6gyg5$YRa8%im^YCG9LZU1QA?HX#2ZXW$152N^m= z!%9x%kh(6S4i6iJxg~3c4BS&yv$(!*l?F=kLI1TJMxdl?^susObca?l0@twj@!7;T z3_oWBp_BuY>4;#A;ghHG3nt_I6X;|VZJJfrI4kSj))bf^ zvX6@`g~|z*y~dQG>yMKDZ|33iUe*yFCaX+AZCEAi7~COjA8fhd&}~wahJYLy(Lx0snL$i@s7s%Q zA(Yhb-~ZHrCEmy6Cl^UFOvQDt@ zQfpdum%d)#vBf&K`*D88=bSpiXRrN5y+waVERQ8DV5{KUP;j~@=2qvQKSzvh*MnOA zBUo$h7r~5eFN11uSDZetK-<>aEwwe~lJbkFn>jrq{mYX-S`~@v7b|MIuZsm^N!hTi zz|)5hn2Sd`;CVKm*94-+S4ykPji;(Q%CV^Olz|&Wuaf)az~yCS% zEk6Q>>8xXvPp!g^UXQgM+3Rb!>97t^upl0}&vq4S8YbhkjYkr+A)m2wqE3P@NismEQE;;w3ZM&uwoRwHx~)j!{uO?CeH~ zQt#kgAN*18{hLqzwC=7ry_UGSx2rJ5}wfl)7VB>h>h7y=S#G@jN$U`5V_!1@=%0tKc%O+zBt>GVb8? z&f)YT;4(Pj@)O!?Z(+hc%}>H_*A=7r4uAFWT_%dR9sgnkZquq~2;d71z&;!D*0{;H_(>LJy;>$f{8!Nl>%?WDNd=peaS+-dfl6`dOw9%N_=O0vs2ra z`l+4(RhyekZ?r#w^>Qb|^RF!Y5VI*xMI9#2lS6M?Y89hd3&$l~`CpmiBUa&|Ek9bb z&7q`w2a2}TP{zNrk1}(;m`RTg`E991jAn;R>^D0yUffE08A+};NsMN@LrD(~rfsSJ zlU;aR_S%qtU427}TSeTv?kJ3w2#NF%!2hWp2g{m>^B$NE&LAW5(#pxypBeAlLLy)& zKmzdg=g~UBvYBVgaJdVk+AM*78f7R{C$AE>lE*++U!#+!%Oe1;^5e!IvzI>|N8o@! zPZS@bKWk%KXISTV;rCDE84rrj>?58?s4~*+5pel=dKctA81nA;KJ7v6dVOmorc5KH zp62YYxFYOs`kK>^U&UVaBlhIZUBlX0pS_@bGP}<#_tQbGGGoa1Ds&i+q1BVl@7y zUsdlf@u-Uq>C0zpYpHv!N!MVkS{>=TqZ< z7&Uz;6%&@q#)~!ygfdBf=-;;9HWj)Lr~1uF^xIfi2;wOwzr6R6a1J_HCfoTBH`coDV8nP*%HWI4 z)Ul|yxM;r~f>P;8oTWwsVtO~}ZL_boSpq(HvPen*=N(_*Dvz7@<{IwmqcfePr69L+ zr;R`$kBHds(Td-b**an;yN!Q?D0ECD%{NJZgA5-c{;51cB)zm3v|}cnFmc^X!jP-$?!{v(r{-?EOzK zC@CcfQo1K8{|Hh1_dV}OR!AI#fx!NE$)H=GCkbjoh)KO)-(4$7^Ue^cPqsSE-4D3O zqy^jX8DF}o-47@c7*EoIKmwjp-yf-krF{D0Cgp+OA93WR1jBQuO}+6Ef3;krrzdz1 zUQI^3>pl)>jYt!T=`M;-dBI&cKi5rP*Q6(V_bN@v11%nT&17T+DGCoLq1j(@GxIZe zL@W*&etTQg1p5s1Om2jwc!=G7BqucMkxh%O*~yn1NU~EZ->$`yCi>n~gwUVGw_`}% zk(%HUarq9U?jiG_bex5jZgS$#4y=;j;-_yJzd;^ zsE+?(t&ehMSQkkhf;v@*z(?y&hV=8&^#KW18}hY%VB5r$+s|#h*f_fXN7!3O)$Mfs z+Xr_j?ouel-MzT`!JXn1cPn1piaQi{*W&IJcR9Gb>+kfIXMOMW{_(EG>`AgG$?(a} zOmgL9()*>O=B`>OWf5wW@uI6gV3c3l0w12_6EZX!25bNv>f_$>Ea})wH|E`@jBzQ#VMrs!aLZ7`k0OvyR$=I;(R$ z8n27>YEB?c7bt$exc|8Jr^JhX^uhOK7-l*3fr%ID*&y9MFMD{VeiH<@>H`~9n^lzj z2}k_Vog8oK4}5(au)aDk#31muVyi%Q{yY4o0+|+C<7-*H-m7()Els^{%$8wM1?)-d znpaM^tVHoI2yfqUeSO0_H7?!YeBCbH(0m!%S>E*rcP20HQC>`g_F078JLusjHK0L{ zv=~_=yjEyo@@QJ}XiExzCuo$=TIA6@l+h05746gC&s#{|U~Fd!HCCR^7s_nfH?W$* zK<7nre!{&QSJ(`gIO&)qf=vQJ)i{i{9yyQzg$_9XH^F>gHfQNTqjeR-lp!oUGfq?; z{Xcb}7S5)%ZsG5~E(jn_lq)-FshBwL&{I7CQ?CpDG!tf*j+GzW6J<~q+QKDSOOPKN zBTj1#;CqYv^FZ%6e)pJP*8I&;|7WnLZjt&qDwD&?p7D5>W#J9#=x!W^Im)ez!U~pH zziwBq!USHs>Kia7yVrpTGOBAAu@@GbXbh@J#$iOeVg7bz z$#U&>;YRGsb>SA>nf~xQxbrB{FDoW?ZgFwmhBO}T;hFu7@#6l2Z_LF#o^N*dhV_Fr z#kx*==Q+ubC!MOh3nqSKwccJOBd_;WE3b|2CPC;o;<}^h4|uj>J-We%-F@wQ?4aulg z>NX0|AGGZ1w*7xsm^X|^!!=ED@{u$DSAgUXxY#MQdNrx2NyPKPMMK z8O5}_5vW{XyiKFrAvL8D2W8&rJEX7StPB&+GTaN22kW`%^q_oeGx#GF6xDfB`MfY( z=~dOdyUw@!{dYy(nq#ML7{h%`1jGHc@#t&YqN9-F84Kd?GaNK$3g);jK1gW<=ua2P zxF`OGTS@G^zlbwHj9I>Ez&Afrz@S*CakU2;RUKMHjz zE?nvo8vraFFrk+WUn1=w%F`>#VI*p50joT-v}vc)+I#8+vM=hC0P2t)c92ra=O$Vs z?RCQ2mW-ZBhyQ6#sBFrpm~1ts8~MeLk;3P3ljScqEXeU+!TU8fVT;_#ohMQbYmH7Lh`c7*6BCg(3i3l@FjR8Oc0b9QYFRj zg6d$0Cyqra2X)U1C4*y%)@O@dOlCdLgs_%B_=p0=0~#$$jC@WK=L&}Zq0pCoTc_#3 zQ3Eyh@L9?FAs?@@TN6@`Em7m$RGm< z`+X%Gl=-ugqqQlJZ<|>i;`{mRMQ4-P!vz1evT^)5jAaGw2kHEi=; zQH@m8&QoWO>pEGYJVD}q17pg^&c!@2X6gQ20qxylOfZb)`B81`Y}0#;(U7k#)3p3! zAA?FI`e^Wi0W(qqa#aN+CK_Q4TJ9vKpDt`QAgqL8v#NV>B;^ai<_9UsR|8$ybBH=j ztv~Pm?p4X(=;R3Q4Z-8XXMPADO!Bp4s2hBz>=;A?tZfgnFa0~+WOfYte#hSFL<@Y; z7aTg@I3n|H7(7Q)7k;xr-`R%oSA~7_>FnOPw%+zP$j`nLe(QmI3^_dZ^%`Bnb?N=+ z^De=4<<&%8dj8eqnLDco@>!o?jubcVX?v>|V?Jy2+u}W|e|yFLc;B5*l%y)FCm98h#{XQ3*hxNFftUTWTlOhZO`JQGI+rXZuaeyCPSuUkRL1tT-v$== zrYk4D*+{6x`q0a&T^J&iP7nHiNVNP`u-_YComI+zTr%RKsMfJ>C-#(YNauqrdDzOO1sas7cId%173+P0iXP)uASb-A9}zwQ19J!ZR`mSl2Z+ufcJJpXS~wtbnUsq*}=S=a7-@za3B;O_E) zPLSk1E@sg8B}t^bqhGLd~0;5hZz!uz#&6}(FBOv5lZU#^-bZBIj{rV6o`n&?`|8$<{uUw=eYsS z)fOxg=rM=~eu>B7ix*qUq-X zo!8%|&f9zs_AG=+-)l1Ovm-L^4MH>Tug@PRp0nSp1YTtEpdJ~!1)3PXhB{~`M$FKX z6hVC1W|xcSMw$7f(91qx$s7>a!&_9n_m6tA(*~uIQ-7Neui~?MIF6?OLNeF@z(A12 zr2nGtW&&}=%?Qw|vJ2-3+LfOFldy7S4TVFNF#uV-1a6mxG{2cL_>I?qJA@V|1c1&G ze@#RpF}7_nYeCFb1+ei44%uEkfTakgjYqTrFtM?3x_}UGL)1nt$?}oA2?>&^5C4gz z?z=0th)1c!>o*@nm__Xj7JYA=xH8E6&y1?7_NN{$G0SsBQMkn< zDm(Zy57cL#_nc>_H{tuHmv&RTXRp!q%lo9)=?xv%iMnM;X7vM#x@UNjHtTH&6Ypw; z?B5r^U0=ZrPQz7Cl-7-nzbOX$chLTK)cDSQ1Fzds-_yDC=LLPAmCn1PMc?xCX_UAP z!L;D@fIGVPt1@$bq>c^WgqM4^^UkAOEx9YSmv)Oz5#Dd8Zy)e$1nzh}dkW#~W9;7l|(Fym&l6igv@oc9sFoWa)tT7H^B^gMxkDpG)6xndv( z>-@~2nqrYmJ6n-3_@NE~t`6cMSycylCPj3Q*K44bI^~Cui z=nJyDvw87(>*<_sf_QjDdbctV+iWlBJzuvwU{#%zSlDNJv3_N5JF_I+gU3x>MNl+; zB7D#ZE%e&LP(8ow!wq<={yPi&bE_n20gE2tbh5Ev92R7^7Ih126EM31*emBAx78pN!-UUf##aE zXSa6`a6HMDAj?{=`_hXCUb72#u_C)Md{h;Ee?!{PKNj*{06E=WGftc(27HIeR*)7? z$RH0A%KZ6J`De__S3Fw<*`AA#6FvWXV~_p46(#Kywr5=MS3LF_XP}@Nj2r#!TiA`~ zYjH8lg^~BP)ooH-QduTmA)zkf5>+#C8@|8xL&Wv1 zal`dNX-qJH{9bkXAixa`6u6;*J1HZomW0fEbA+yrIcKYO^SFgUISG@M@$qf~UyPJ7 z9T+I`m(LC+lS5CNH;;7A2nk%)jW8O~WcO}JUTCyunXo~P*Cki`?Jpteez`e;sVqn# z;hbA8EaHI}2%W+v6{8G$$TC7EAc1e9VlzsH>7)gl z>Ktzx8|I}68@9{#UuXUxb)XnFO`!7!(^%AB$kW12Nx}nyD`V&-@(THY95KY1ASt7? z4>WS4A+e2)h)w@_27DET{ZCS}ak%Py8-0w;CBSAIo^a#3Q}~*` zUHFR4Dod8{K4 z%wu^dQImho7gVl@<-(~x+vviPJ zQXHE`63o1cQGXH537hvTgTIzym5xaZpw8`K{|HR^9E3RYGw(ZB(Z%oo5sv5=tVZZvWTm8R-ZF#yJAvz$%lCC=)xY6S*)+7T?7esi=fPQFY_&S4j@vG*BlHL$QIQP7FJ(5>rj**kcF{IG1G8 zLTl0PP-!K5{;7;YSe+`Myn|urNXJ}C9WJR{(0({>?io@mb6j0_F1`welES=S?JsaG z{Tq5}MLYGnE^*tXN?AQ>5PP~{-O9kysKqE(rU6$R=lXP$%091|v{-GCB$a{5^?#k< z$W&7nA5kL~Ybgz+)&P@hhq+#&8s42gM1V{=vwSFaAwo@0{Z6SQPq5_w1fA|JchN-( z9kd_qzaHFyVfN25!FbgNe9R@q!Cpy@Do&!kbIFs!zs>Nxj#xAa~A zon03@<+RKCcTj&q%aPLRHFzlFqO<4G60fY{9_2M6$uOlRmCq0xlaH*T21-u&~3=@{1F5iYs!8qjHLS>xzosUl%CFGb^b} z_jMPj;dto6|I&YPF#S*Z#Yh1={(0tBQ6Gi>Y7N8|*HZ?1RsSkJHwb@fS5=uk zF3K<7PWbiq{5qoqB&&z2I>o?IEi7)xDPGC?rGJa%GPrU1yvF#$bB%3wnQivm;|K4V zaq$X`X!~-%k{iEhFy}Ecwl)8K3xja`5yH{k_y^$FpJByLs)s|DZpFcX?0NG^#rqD* z$3v5TMFG&0ASIc3zvAEcDgwvXl`1&CUT&Uwz9a6^ykHqlC<;hCmJCu0flt#S=>`TN z`7zT+%W3(f?9XRLk2Fb>5C3(NsdMm#b5u6q9o&2Y(WvdZqw~jc@_KDL=_Fv)u*ZH>z1V5sDG(Ir{?31(T+9{Dm8bT*!uU_Ei{H_Elm;CHuseHkSgD)`;Csx)Lk<7;ZmY zB|c6W@9!*uZmnK|uVKYRZ=f_z^Yp$d-R3oT#pfJlG%O2{xi`locXqimMs2h=?HZLk zpa!6Gk&UMc4PHety+WdqL~*=ERvZc~yK%Ied-+1q@}zl#7|TsaBODW7a!y?-Cm@92 zUEVL3eX7Eo)05cBptJ5Ayvhkot-@f zEAnQv4+3&;Z#;N`@45bOfEnM=PT!zT-;=tx4SJQ@#!icT6SYe95vX->f!Y8qdhcM%_C^+0qbbT5)H8V@7# z%_8ZoJ@2kVdPdHel^5C**!rq^5L1bCn@ldjOhuI!q`11EQ7#ao{1M{&0*h1d<;`bZ z5EXdKVMmCemAVkV;4SgH1i$BRA_{dPXcVhzJQOW7)2)s{Ue09Dy#QTc#55}XI%l!p zxLtyU7@B)XH-hMZ;FW|H9wAve5H#Ec_7SVfD*X;durHEZdq@i^FYb{lFC@YH>;m~VsL%Qq2x-M6!t9k=P_4@4TwG^Rz3Bemw!UYAODSIj8EiCDMXD-39E`4Frlo`D zclbfLrBr0CSI{ruiWnauyqk7o>bE&48WKijzoK6U9gdG7*zNLz80IiP=y^cCsVKUC zybbbIx(LFxUBz4!-2PhSsMws!4LSu^tK$EvMO8xeqScM5050>wxouuSRWIa_WnXn2 zRN9)#uBPTd<@Y^;QDE}(}Ry|;U;3tS~J~)jl@3U`CG>C zp6cpJyyq9Ug)_yc7btfF>B)7V<3jLTuYi|M7@s$R*LudzOSdOv;S?sPQu0B?%7E~b z2b^#x!0n5oG*SM>A(_w1eWTEUb3DnD6sg@-t?dEqTL-lf6HPD#e@Ry(Qt zablB!2i0Yw?}hpn-P~;{BXi^dU1ux0!6WuHwp^9>u7vll^}5E}%J(BWgWr@LjvMX! z2A;1)^Qz$AT1~zU3&Gyx#;kh1zfHU)p7Q7qq#-}W+=aA5XEfrx9JJ#$5r;Asm}CMG zxzmELDJ%jAP%0#3AXJOOfq;D>%47FZ|0r0iThiZ!g*j#kah|Qq(=v3b{h9lAEKZ3C{ro zJ-HV(%g?jEofCKa>O_nlUsuFu3Ug$j9v%91YyN)S&g+fOw3_~S&g-k5lpdbAs}|D6 zI9kT&vGUOl_BNl)j#uHl_&WzZt`J>Bk?CbVJxOwZUTyQJeIDv#Gkp~wvO#jcnifA% zif~-GgEtW=G1Hwwqgzb2P5K$Vi(67D->AJItaKX8S>kD>G28LjbmN+q#G2OU!0`LI zf!n~Ea9WRjK8+Ast8zB_o9SVRIlXtYY~D zhVxJ5bEnBRO-zxzPR_|Jhhr?KV^fyHtusGeiYVowDGCQhkG*X6J)%68r?WB2?HkqH3oL-K}ayx=ycPCS`gorMYPk1}QUws zi`K{$G`4Ps4tVL{GAj9IOj6~xO<5VfGH<%Br6yf+ZMyxm;h5e3p^C+^y1!otB0`UL->8%HnEboffE4hw;u zjySJ7M%OHtWfjJMi{X(*c~vjFgU=9W-X@kcjNwrzyQ5_`4=cEkih!r*R%nXDXy^j2 zZYrza68K-V1=lw<80q1!M+9cm9qg&Wyl6X<8@GJ(EE{Jg-$g-f`A zbKyJ4E$J{TcTP~c-~T9+Q`$(3OaT*al>eoOmN)QLDhC^O5u?%>50?Xhi+9xun`eMp zBc~N~g8}R%KK4*^A}QPBS0DE%PrKIS*srmj__~%c*vb>*;zR;=VfxT^x9_eCgZwwfV7cqzI>&T%DO zbvEM=5HYbVaYT(XFLwfowe&dh=<`A=FO`L3Yn{*3&bM`wZ~EP>m2)^N69QHhKPr%4p>4DjATlX_Tbk%e7ek5>q&EVX<73 zi;)UzW;kE-^aq~#n$pJtI;CV*QqC_`{%i7rd{Eqo2g&#Z+1>nRJtu^jfSg=q_t;)5 z9C&Yjav$-bs#g;$r+dp2Nz5v4m{ku!k>)kF^Mo4@(#bA$A*tqvs?K@$rhchLw;jmL zswMZP#RznKGsz|r(}smA=c5wmqX4!`m-+%rUlDqL!Q zsWR<^B6M0GJ#pc<QBo`{UuE#THtp)c73+*u5WuE zpZ1avLKozHYI{(M>BER`q=JJu5VgxJ@_v=8c_I@Snn?*9ATqP%lW+l_#Lze8YztMg z{h`LROD4}C%xG^DDZ1neI);AK{46cMWzj$8K(PPprX3F`;wWL`n1XZqyWe#ruo9DA z5EJ#Rf9tj1uAO}kIR-qs*8l4bE57w$0&hg{9EO{?0{?y<>IPu$$Tm4!BI37X_{UNT zTqslOCwf)RVMbxhz2Rr@0cLs1$N7)lLwe%ZK91M+OC6D;xYb9i)-u*cT48UofQ_-R zdSdb`(*jTvjpKI4##m*=j)@t(!yT$T*Uk zt$y(Z?a$9cdKaY^%WdHLb+wYEv86?FD=QSDeq@^Y5u02hN)6xe5i3ah9M(t`L&7l^ zz5!uC=Swu5lU44ICBLk(Zb00 zdWaNbvCl~yBm@*LJ747(1e5DXA(l4DGku(sXpH&mvd9W3w4wMElM}Q}V^JE#%nu{q zV9GdfnC=ETvXyay%orXYnGf^vQ#Hc|pDt8)5EJYnC9~iKi5ByUo2daQ+CHjmU2+v2 zCHRK(Dr+>uf>8m5wj#W$!m3;R zJXNF+LinpOn7ylvV*4Z&m|9Lp<&43)U;G>X#oSaXo|NSVGF8y2({#dxH!`s_?HDE= zv_Um0g$SR3TSyWScHA=-r8AqGrlRul4E$`7ZGR z^`g3rUYdd}G!Z%t-&mg#;@h!C7&FS7Xr+#8n{`>*)j&I??v5=M-ie~>;Z=@9GMAu} zax4i8kcl^slzRB25R&DAWV;1x5TZ&u;qVt)DHrQF5HR)#SXV2 zU{BDebOaP3?{u~vS7M&IsdN3<{bc-n@D!R2lCffS&+INM|KXs{iVv(*Z8y2!Jn)nd zM%Ksh<}A+0B=UZAHxI!DmB-Q;kJRx+dE_-{NyjJ7YDr_eA_l$%x?Gbo+v~;hL7CC( z;{EfQ&cu{ZmGde&I$@n zBzLJ2XG!5L6Hp|5x|a%}V36G$D#qZxs;U>@E!QE2BF0{*h=xcEr&E`NqHYLME_wc8 zhUbUf3n<5f-Hep!X9m#y=nkj*A*{=Syp=j~pvqs1iLKFwZr@J`q27kR8Oe1d5dvq- zp=tMl8BOKVO2&*JB>jC8GAz9g`s_-Quh8AOS~Ggei&;(`KX66u2O}XY)~EzMx2tn0 zGbeSw6LyRjPNjFfY$Z<#p{2GeKe0htiwb|z`330J(m!M;R7MTG`t7|dUM_}{o+vwjy^)8n}^MaYKm~? zelw|*U8#3mJYKs*jDj%oqhZgxSlDNqBX~}3n%_hwOR%vRk0roEeM#_Vj?mjmmPbc~ zJ=lMJhPUn|tVczeR_YI#SCiD2lvMxIJSe5i@=QWZlA5DeE`)=_mLJ^z^wntU8xq~j zFz=l$@ANlcmdVRAy?to%k$5O_>^_LNE%Ij6j*{)v0tx!8qZ1s50?BSskFpndj0#7{ zDcX<-bspXN5zsa=qcKcKgc*-6v@$BLaDtsCEbgg`nX2yhKt4A-_`@sG&DnjZj3;lu z#1>RTQ}8!)?>$Uw4K#3nxM)IpcO!M4EH%0Za&zO&<6_iqo0Q}_*ydW?h=qqQesr!* z;+x>XiWC!??ve^{s)UlX`IB1&i|_&Wwna%iVi;;dkv~r%mk&;M(>(KmbU%hav#++4i=KZMSj zO6;ge;R6*ZIUkavX3vhk;W*U1mDOqWi4T=dnAP9%X4R?l%1Y`6`FD7u!+HuU^hP=% zwJehlMVLdPUj&J(-C#$S$(bf-4J)`K5(It;Kcb|*UgjOM_GGyE*$){^?URl^p2_Cc zY82XRY`c^$M>a<6sX7=;8muX9mjDmTP^skLhfRxGEz%F6zD4n`$xtB%`YlDIh=-X5 z$&ip?EbH>0sm6hQr)RD4IF06s@fgyrlqX_5`sl_A`bLwOi#sjt9W51O+wf?;;(`X* znv9e1Tre<{T5WOiBSCC=2!`>4 zYc`)1zTM>lihGr<7C?E^)O7 zi7M*TR7n!sdIJO1a0hbdM=^!TNGpvFlK59p1Y8D0R;}IC9GzBEtY$$X@CY)7rmK+U z&4OLwRJP#3sV#`KQ42C@Mb)^)9cEDG3b=sx8(jl*#jBnWqSC)miMPExAq!as*&G?BQ!EejGu98XtGYRW z=yHs#1FITBE4Qn(BdtoS#fIZRPogdxV}C3j8!sCwZhx#$ox+Ug|LShV*DYfQo=H_V zaQN>w!uB{~LL0Tvq`DH@gz5j5V2Q5|%3c#wXz{S5LO@CM6859rRwG^EM%!tZKndNV z&q@qy{8iD%A7r6>Y|3M`N}>LlhHg&htXx#6??OR4mwmG2I4?fYipk8XK6uOH*jc@( zc$Ei=J?eqKRCoDHbMwW}ngACY^?vza^=BrcQjvZ6Bl@jiW5hy{8qkLx1mcCOB08mT z^OHYUyu$R&E-<1!6?EQD_5NG1=PoC(bH6%pe@*3MqUR~+GB=UW@{M4Z)zVT$##O6i zPfO8MD3qGVD)BG@ab{6?(@ZJVI_l-NoxLQe#*#`Gl=0^XkBZloBsrQlm9+S`q})<& z2T|ICBJJo#skaqMy;^-vT| zESy=vDV*8#Rt|jYt%}hV#B&@IK3@_(-AZi}KCRB3-i}w>PE{EUJ^Ulq9d2!^aF+Yf z-fi`dBu3YW6r?7;G=Po*!LX%0ta=q$ToYdcK-UXzXxeP(^7?vk3{?lh_z3zYnld0!r#90#+kDhD0So&^6*0WXhh7cVqN87IO0 zu%%A5xC1&z*dHH6g-a*K{*?%%J*xe}k`~2ddq^TS5P)@)Zk=elX_Ad?Zdj&8inme8?kt)C?~6=jS6*r zt!i}VblQ||!R6cgk<{!idyH)ogRy%M=XPItO0(UKEL92=^(|HA6a#>_@nmYRpQQS? zyi7iqj{7|H)pS)c+<}eo#|A-w?lDx?zcY4G*oCLAYN8c5 z=gVqx;q}cfED3&e{qs_vrimtLMF@dCI)nVLEco{lLe{I@Dbg-FY%LV!>_3-%UyHvPq;<{Io3KU}?rQ zl$p!#aR6;BVt<|L{$&<4h%qQ}LXB9BPR_o#{(Lt7GPGfrkN7HzQ8V?=^hef6*Q_Su6 z{9ZZPJZ?zD?GVV=kjFd0fpD&0nQW^dpSWcmtKH1OAtEU_7=eKv+16-E00$@2(g=i( zgY)*)2t-{ez{|gro(TH`JcQLMV{|>D7Y`);OLyEMk?n{yT|)H_CmT6dQ*JMIu+ATgGfj%1<;oDkSh#Z zty(>(;+F`KP52cs3PBq3HR>zRW}OAHA(p~U6-ChVnd?|p{orkI4(3_u|vW;Z@IV}awJ-lIWmhBH;gr6xb=dCY>`=K}A7VWp$SF=#OAy^^YMG2h$^m&(1v3u)piB^D6 zS1&-z7aoNm#`OyliwF9t&ErgBzQED=l^+?T)jk!q-pe1enI8uPy+V`*AN8)ZBp>%z96>u z@Y!vSGR)`ogi}cSWOHY!NgQGLJOQz<*2 zEUG02p=i9GDV17x;UAMq>(`}BHp9yEJepC*^`_PPKI9RkAs#OLNO7Kf31xpqn7m5J z=B7|&J+%zx`?K1|gx$$;Nm)1YZ08nTc4ZU8DOhi-bjSx5Ys6W8bxd=3034zk`PNr- z=LkP7XmdPfs$BK7++7)M{iq~35JQ#6Xx%1@NDjI9 ze^pNT=yuY5m=XM%Y z^e>*V(w!M`6wGhVi1A>=E%(1g+1F&vbj8Mr%}*6~A7pPM)mF&Rp{F2-iz!UfXx$Z9 zax7ptUrzE$xOC=BzR1iaXtGrC%Y@u8lyS62T-mJDNDG9x$h`wUm(HH0Uconp6JzE} z60OI{gF@W=P7L$#T{kd75avM;C)<}m*!z*l?5LlXw)ErjfVA(pS1#xkh-5W(uWYrh z7Kg4;(3Rhq7u4-lTE*rQK!n)drTRnbizO{=Ner3ZUNI-jb%(1c1#Wc?1 zxBJ2LBC0XXjhPe$`}|S{2z+Se4)$`Sd`WQg=%?BV_mb{6uLxHZ#?H>C6@*dqCflZJ z!t3gxUI(*YCRiZUK0$;qM_OOHrR5@?PjHTXu7U7vTU2->ZRxzfcj=E`mkz|?1A{Kq z1XYL1SR%$Kl`hRwMh%o*2H5O*N|WUTsFfsi#a7(SQsp_NAio-F@U&+)pa0z6N9+Z| zxvZO7`=_|!dJv;j;P0^8q7RjY0-jv7ehqt2DvQA;ibN(qEiH)bEe3rMx&2!y&y zE}=61ot1OO^3+MH1vg{ncbAHs5kgEPRA_wa==J|qDxZ4o1-yme1PGL-OWi^&{&wi* zdH#8%hrMkZ&luW-z#<%vY2v&i$P+gDUE+fsj4X6l4qJen zay6TPS1Vaq<O5aQ*f2z4KK5u&lsEfyU=WDHF$|1Ntk7ZZDqr7mD#n^W zCEk=flUqs^(S*5ON67O}tl-nBCZiL3$Fj}yMda!#|8@l)To(*ACpS5t%%pUs>5i^}T>_8<#cCCc7-Y|=mD zjtxZw;J9NTZi}Ae9MOhlR3lOlC$GO#fY+({&C(>kX`QBLYp9S3{NA!v zCrrt*ov^kQnupyFa{60BkAfufS=f6FRu?ji<8Xz%fpMe;e<}HNT#0wppsDI`rS!tk zsXA608j8=8!?TCu*+ue2e}OD#rl*#)K`yS*?I?a!N3AA0jwd=*V_Wr~2xskx9_D>Z zY(&gpGhW}q`TpG50J&phrWx4ifAQM_Pb)CR)yDPui*^eY0!LGT=i6knPu%bgf~X?n zyx%u11a?ie6+KetRrL#R6Ot2;et~U&`g>QQ36fvVCuog739#`1UEvjIN>I~ZjJvGh zD*cz--bwwnu2Ko=2bB5CLwBKI=g=P5+EDO)hNdL^jF@Icu|f&9Gh9X5K2Ef{o^m`| zerzpTOS~w^_A>v9GIr*!)IH|JC-Dft;3k408%(38fF!BPyH$?%3Sr}eyLbB#~p~thL%_ z6EqbL6SV1HaU(@Z<@u5)`rx-D^)*Y;JrF9%>D7y8ZJtQNag$o*H$*RcQonyiS;wRr z^wgY?e6n0h&#Ar7-LvH%FgIomP{-Y|r5JD%DS>R;mdD(z@NV^!S8^awzU~biOao;9 zQh{l4-Igr`3ZLPq%%0l6FD`=SC+0J}U3mMQ9@CNaugu`#){rEPLN&sVS&%=>H^yd)J`VVt ziBr&u>t1pOqhIRfpM0dh`JdTtB5}(Q%!4^{Ob_8wLS8K5Jyf?P8>e@}-WNEeV!{<+ zLwje9Tj<@ndIhD+CN10XeYoR@)4b!}@=rPp@<`rfIyUf#j^@-%OD+LMg@<{_Bt=VD zF*9Go2M^i%uYX|J(KncfE@WwIw%yJ4q>A*>jja{+Z}TQ>Daq@Ro$NtxsZD~mcX=80 zt23VA+k3@3xpi+Mdeh4@#U~#MO)reDQqcmp7uJ@L8cyBTI95b8GfRfK>F`Y{ZPYOy zm6YuG^*TPU^rVSWRC2R#^?XCcHFI*`aYv|ZX6p*)gDMASTMQjIID%WHOm zkbT&gWR)p^OF5T>^&cnU+YtOH6ds@sUeX8a)4-%h+HZ4ut)Xgpc$1ag*?<&CW4VZtVSu+WM3PmY%v+|F7 z>`K^VskERR*rOzpO27bFE`KZ& z6IyV>sL9pjrWuQJz_3%y9Lzq7o<%aSw#@ir8@A6MdWTXwtMN)ha}$q1sIx;7Q56Pf z^XZQD4qQ!v;=+degA9#WK5A;p!Q)J{#QzDa2wD4rJ6NFh34#Pu45sAESoT{lnia=3 zQFanWZwFQkn|Amh$ESX$-G5mGC-mQ=m8Ot2N+FeVmo!X6ih9Q4IPEE0e6Ck=r}!e*3zcEWqAR zWQzT)w_q{Uv#2HqUHG=rIw#Za- zl9Ka)&=;6=)H53BHFpPA*-h?SO8X3IOm2kc5tdCXLs3i7F%EnZuR~HSJ>A#=NU3^kag(w zeA6cz+baa_cOtEXIA1*DQ=o_8_tp#Z(V$yW^~cpttQ>f17qyvmj;P6%v(PZ&3{?*t zG;8{ax;XQ@Du)j;$~a7m%YFDw4L^J61XaX1&Pfiet%fhBr|)4#qN7JO43>w?D3LC_ zPS`}=#8a7)z*r|jHfBid-iA%=jYpvk;SrKYf_GLN44y>bP2D2zEX!dX|Lvz2n7Bl` zDfe+?1hQK2RT4u?*)(p&4`ymZd`GD^k!jRl(R8#QMhSF(flz4ufMP(-4WPcuIOYC5N6oj)M??5|}*` zY?NR%Z6U}q9BBGW0i&D9<)wMXpvZNq^mFjIjV7Wbz}PCHE5yYr{40ngZ=#qg}1FO$3!R2*NtLG8bC+Fj!qtxR9wss|<8T>E!;>-voqX9ya3wuGL z6}Jl9bVIXR%ftUlB_qQUFj_jX{aJ1j(k4eE<#NpPnb<&XtCR;0%^y9>A#Jv`da`1!Abco~7YRNNNNb4+4sKTSB(f zCC39~;2ZpvgH)>RDaCGOLT!-5f+NUj8x=l8xe?Mb;P(Gc5f&A{45bxBxr_DZM*%j&eS$;Wl% zv%gE7%_2XG$#dq+dz2;67go}dEB$13`Dp+DNe)p^&k`+RUB2JaOx-pd6?iQAR3``= z9O4ExlilX4zMWrU>iYCiqK8DtlB41#ixDw0w&cKV9(N2M)M78M%MP|n=HV){XL1RY zCev}vRpU6Sq8PQve>*N;guZnCCAR7ttD9hF@2=N6zhLY((PPXs*<-vv*&`GGrNEvE zX|zH*Xm|coPdGNjMDhP4>K%Y9>7KaZI2&tY+rArfW82)=xG^`zjcwbuZF6H=8*I4Q z_dfskt?#Sq(|vwDJzeKaP0ifu>F)a#yScu7e|FiYq2njdzTPq%x9#NrLV~_V{7m9w zpy68au}b%2ce%~bjh6u3V^|GEGIgFnu4G8u?HkEh)JwrqI~vOB=k&&mXamZd1lMn0 z4+r*5?D+MgvBDWsU=ESs+ftVQ!aJC%BjIAj-^L-6Jj>ACd??J4N7y_~xaOVNuT`A` zt?#aTZXIUgIJnvK0|9an)$1t63sDYJ7PMPgIeVAxd8h4k+xwMQvk7=+I6M1dLo?x% zeoO9Sk{wGM2+1lC_UhG0Y~-KK-`yWB+sUx5n~q~1XYaLEb%=>5c~07^vYf=jk;dFS zlNuuRg|66CE#R^@Jb%|_o*P(4P`Y5Oc(kupXcHIouNX~3p=!~PmY1b-U1t}Y!wdAl z*j7Oc(FmS=S(7lQfvJ(DR(afPA9fX<%D6$+zY zP*~}z3p1R_s}J3ILvMNX6$K-@YK(ZFl&wn<2WfC{aGLA6Qk^7K^-Rh^8<@dW%m{?L zWBY_vnXXdjc--7kk;|H0#}8<1U)rF*lR&D50CNf!UAaLBheeqnHtGcVbcc1ef^@lx z`t|wJ_4(v%AUGS2DLHDmgbaIa=EGh2yjWH2OfL{%tr5S-Eo!}(X!thymBP&~r5iyO zqjL4>J3FSck`kN`4i0&2=*W@dzDdeqiG_r=fSQ{M>vGVZEm8z!1}(Va+wM8S9;B*% z1<^R82^yW!h76t3hKj7&&yh?*Ygq8Kp61*&45A}~uqp6TePM?B{X~S~9CfrmD zoBK~#iS)%B1_w{v*$nIx(l=eWU$&1J_6iI%_=NLc5RXPHzYq|k>beFRGZ`vJ`4qRU z4j>?=Xq4TNY4~i&t-x*~9TtfdGo-*(rr>wv)WP0)NfsA!leCK9lGv$oE@^- z)W5Z!CcWF5uC`Z#$gNZ9wq2L0=0CSnqeh6(`X0Djhgm$OA#+v9r$3K)>kpf>l$Ih* z@0DwS$f=?f-zrgYBaQzrQBMtLRm<0J@Lqv|*O&%~sOq-!XK}GUiBPz;Gy_5^-W>^v z!1M=u-LFQul$9b!R&_0CR`oRuR`pXzdy0gv(bh$8tS9q1MrOpSBmNQQf4TtwNBW5Y zVbbmUIjOdf!!hQ6WIjlF2bEjpk^v>J@WcBfv;Y)Rf4L=n!vDGKSMi@NuwNJ+xGQ73!ZTp6QHiXs-P64{`}|+<-TMK$QkSl z+df#Um)Z1$`w14eX+f;|O{}~Qk zbmTEhSkfYoa{*4!7b*+wc)|GwtE$rY4zke)ZNdvfPeIgD%>0E%>25Ju+w+A<2q}JxV;u4`^ z91!r5Cc6w*FQVwy6ytucCKOWC_F+YTp{MuSj4X)n>DBs=(fgA*?-)SHZc^CbXfA*l zHkuRYSWNG+NZtg@#Ayn^!=r%rKznCtHz~0&sBz#&Om`jcs^++e_c)(>LX2zx-DjVA zdtD`is~zBlWipSR#mG<3^@XnEU3`GNZM13sPk6M%fj@XoVL&f*43(M&SS&f8v{*ar z)gm_XV)njf;>0ubiym-sBYzutTQEzasRCqVM@=Cr(3}>UWPY8(gTC`wuug0$p?^Lq{7wR8=o82(yC9T_{>-e3X z^{+kqnLX`6=;S{04|#8BQ+ke4xPEREw*l)UKG2VdW7z=zMU|D~=uLo+0VzORJ8Hp* zKs?fuA0^=hpBW8a598DXSqaqt8d&^lvcmxBqYI@N>QCz77dN)U9M?l=2vKJ`HDNHc zspCSU>QcLS?^Sm)YUfpeq6y#%!AgSbd#DLx9*F6Pi$LC*5{Hv4^(r=SVOa;RE+B5s zw;&QOZlh{KJqO}M6+_f%4sGY}|Ms8Ckd3M)O?#UySR&0)U9Qw&u%xgkyP10{gWj~~%K%>-Oo9cmMC9tWk^BRn zGZc869bprLJ@Zvx!kD@FZyraPYfYo62^5|pi+2l4cO-Tr-)G9AQXN@Zwweg;ptNOb zh1{U_`kSFyyhQGgtZaV(uZi)|;lc+__z3~dDOB#{day$y#aw7;itgIp7@S7D-Z&ev zw?A7al6wA*ET~1*OpQ;+qM^3p@H&@7pgqSO{^EaMyFZwW8hBKkR#c}_EQ&vpTe8V$ zR$1k+F8WGqpBzeS-)v%OenGuW9!(Y*pNfCN~c|7EG7}&s zHKkyp@23KWI7#FV$}53OPV(m)5Te zb=O}@JU5XLQ~y*p^{BQiQ)Z7~Pb+Z2QMw?=Bg%9VPqP~FW;kBs-wiv7-f2F>1zd?? zVmlxn9W8TjX-$@)V`Z$s(hZYb@}jF{1^i63W#G}D-npmg6vl_Y+t5qHH;dr3 z{84abzTCP~WGV9!_7L^Gy4+F4FYTS}9ir&5kpa8xmLNAK+VJ^qy3wBEXuL26hjQ$r zc44jf!%sue*!N(3-sW04Lk7~LlD9yjq4MmlYuc0HlgA(Xu3IzXQ8VXBjh^~AagztF zOb(L=g$4l;I9D7uY>Xi*63z>jfj7q^qh+!doCk>uF`l|OxMera;eE0EA8yf~nHq6x z+LL7-PjctJc(DfeCUenIt=|q*=kS~t3Ia87MoJP;MI|O0B@M5hOV@9ey%(Gmq{aZm z{!6YeG4=*3hS}qM7w}K4vD)2=8Uj7*9tyu4GaGjS=6wT>nJL56ef|8lpaYgJ?Dv*y zeG%D16vujckeou*0^3NZ+e**nN1;+HvCuDfd9He$B-}OgvI>6v+H1@-MDBw^slliG z!uI8QM6R?bFhZTu9MPKs9jH!4x0~TovgoWtM7i9dpX@m+BI|*u&+Ex@L|dY`7-LmE zmgEifU0vfna*PP9$XMMGcc?TlafzW=>aa(sEnAE-r!|RN31l_Ky8eO=5`pg{(~0fN zPTj;cbT{Q*)>L@T{|#p<^?ZKE6&+pzNH6PH2(O7BqJ}{2cw8Sp&6_8J+IH-b691~- zF6CawNkFm)Txrljq0&G~Y+?I4NB4~pcn5X+8;&aaSIshGK;iR<{k9zcF{I}Z`<@qhrm60B23#eAdnuX>C6v}8i(iVI17n|2IbF9nTU84<&a zZ}Dl~#7ER+FkTZ}pEyI=p1Ld~M;X^&!0QMv5Q?y(qYovRUYu|I~3Ovaax zGmB5UHnZ&JR*RkVfZeXM2%Acw*(So@jOd>$?y8%arJV(5WfwGc=tJ;xu=7~#Lsccn zXwKDJLhxVV+7m+^ix_n_N;aE)FD}xfYQE)Vg1OczPgwEXJf-w%cu9 zmRK%%p9@t+DH_Hy{4VV%Z08YYt7M9d5-VmwOSsqU^?Rdb4a@m7N8!=svt&dD!%7v=@w%Z)L0w28LSCPY(KL)wnyx)XrN|-L}aLN9dw&XcPdAQ+({gE4H2O z$l8k97CT+d+t=BmBajweSOE@*hf`OO87xwudr}FdnRT@GtrNMKvnAMyarPIa|N1TS ztV*w-6*ddfG{B$ck+~^KTy-*SHdgV!&1QmLJ*Z{crgUG;Fx|*7UCc1u$_R!R@m&no zdM$^=GtJPn2s!Di88P|k+}`71ZppamD5S?V}CC4YtiB_7-;_mM1*S_BTQCZA(@0C%}lsi4?u3t_m6XX(b5 zxL900Z__~Ua?jD!4xwAj_I*$ZP7tPZ=;dfs;`x)Z+IlUH5#jUc5(qtGX^;plP)#uK*@M5>vyU zm1Kn~`=w&*%OLB^ZtI1hMsK(3Tsw_pU1~-{FST*ZDy#20aSW$H8atRNEg=l^+l7r5 z@vXt||EjNt%L8Q!7owFdW0ZX;r6ueOl63X>tvfo+I=I%>xwzprx@GjP!ji=X3dnrw z-Dw-<_ZQv{&R!sny6|FYXUJ5)A~Ftbl+F|cxTuzCT6O5tsB6=#xmM_7onZGS=)H%_ z5-#LLXH>zs?Zg;B(!uda)yIeDRrWG`E$)GL;jj0Ben8H z)%2I^3#J6hFC4h3it^sy^X4Kh`g z*~{(n&DYYF*qiJZyIJbaT(-k7o07=yjwR9A%WrK&(4R&Phj%bSR&|G&bC9($-u*2Y zkUZQqV^3rw{zAHgOZr{v|AOzItl)*rYX&m5Hck=(TV^PsZL_4{R=MKx!}zLW(C9*p zHbRGZf&--7CE4G-7cP`x1+=j3%b{vfH2Pa)Fzy<-Is@1&87gOk11x=24TK=)0PV8? zZ39~H1niY?01f z?!XEvI8TeMpL0fo80sz>fB5@_v%PM)aekStQoHK4kn#8|-iEa-)5OqVVzecd-|gLy z{l}L$bURxthIQTWSD}(B%T`zW$*M<$#>KKF**ssy>@78<{>#3*K)=-OgN~~~e2BK& z3T0<@)}gN1J4m&RZK2KL16_6$0qml|L1P@86Ii_65c(g!2-$G9=4+nMz12KI!W2#1 zPJOwEQ3cTTe*LN=D~tQ1_Smbk;oM8sCD65hz6m{0M2)pQPF~&J2()90x}TO=k`IEs>hR%taj1dYsUqvNV7atp4;A&RS`VCTa2? z^RC9b>3kUdnrJ^KgM*Cy<%?iEBNHaMz_o=Fr<&9N+ZGsoP912pN7?q!wpeupwZS#C zSb$S?AQp=H)YpONl{zjory8$-s+lEPVqfw$RoT%ANUfFwgD2VFceNzgnvB756cK~_ z)PtEukhQVx)3WAy2kOoos9oJTfWsNBK zBC%cjAtoTKuBn7ke}@LI8lFSR4vm7 zq%}3t&j9U-LDmWeofqguSsee}=IKUp@(&?rYNS3TeT#kEWph;jy!PpVTdXwR7t>>o z@OE~?iNQR{cpdrT<&2U|J11H(tyBlCKp)1=M%QN!eSyo##p@&|okm8vHn)A2^jaqD ztiOYYpse=;cZB1|S)vb%FB!qYBa|+#aiG*&=f!Xq&cd1N9{o*Rz00ht+!8Vu4>{@w zQYQR!m)waJG;}dleX&pnLl)X;Q@N8PwA53V`?8z|-q)hM*X)n|f4+*J-A+PflW!c4 z>B4o{%q{z9qbDvb1~kzV$3PISwVBJHU4z+diBGR)277$7Ig<7UxlPO;3T~s;fRev3 znjmTymhGa_o6#|ME_ZiD_vkK#{dX=Fd=nDmKjdm6*QvTbQMuD7u8n0UOIZNTg-FnaV2N&vrXEBaGky66i_?9A zl<81dLB*vKngw}-Xzbel6zW9eokSYhH&g1F01+mSL+i{;+KQPnYn80?3^-|3YeBmc z5QGvfP>+>DX`@Z%>7J$mC*Yfv=sZlJRWRd5KIlY7)TL4~`^%i}5}Zbb?&=nw2A^z{ z&7xVRmmsrOP7c3fQnlN82Vpfq#ZF8rz!QEbRy^BgL;Bl{&bykzgZh#{%eH|1qJRcq zSGKAp2N{M9Afq8usi>-uXp^)|Cliyjj8hS-p_Di+eWyUeVUlP3UErkt6OH^M(zcWmfC4ichRh1Fz*N|DkLfI>)O0k0A zrmhf&ekoV7*B8c`#|e-PnNUI|dL zt@qTSp%Du9w4tR4)@_Vy0#o2F1hGFbbsZ=t(o6R#JTWKjhr?r!Ezty?&(k+z$mt@u zta`nY)9t9%Xm}N6V+%M->tj_AMLm)?q?4M-CVH}xTWqI5EeN7KTr3k4%mY&;E$b~U z5jBxDTjs4_n%FJmRM{&B)wLPfuvZc7TukEd+6tJa@6u%_qZ=Sf7de(x7rklTO!R{) z#Kpk>b(mrm;f!8P0WEU*-S{lDONLV3hF^wyTLh&uE8T>feZ#?IetUSmiz;MfSu=4% zk%8dQx>{aW{=!RU#e^rSqS%H#dqiE;0@;#1C7lAUEgr;9S6oXSW1Nd);wnFaN1W8m z=$^>6kWZv0^KVge9xNm0=DnBJ@t>%#ON5%TUX~mVY`Y>!Z)k*UR3lf)xo>H5d$Xd0 zw#`DWXo|umr@GonhWHL+A})Vs+AWzyl-L8aX~eWGl9mAYPzYt|S|P|x$Y0ZWhS^z& z4~WuGox|k9d4@~GvJV#3Qo%Q#=YZafc=m810BZDWgJ4Vmd&)-cTd18teJcDmb$jqsUG;?F#BgLQoubufWUDIu zy*0Xn-KN{S(b@NPa$@u|A+l$4e;&>VHOELWFs*xIY*w(Hr=!~$_J9@7kfRBSQ>}hQ z0rwlNq+RBci~}`+G@I3TTyKqw`yC>dIsC4D!bf%SVK z^43hPlT)L^A`w+p$f%yL=8k4QwgLILCJ*Bu!6D+rWt1- zwXlie(NVw=<>3F-+t}6@aND0iQhd+beW5GpaWt#Y1DK@!qu+k0Fn*CV^g|NB00K^q zO6t^NkWGCvAwp43J|*CoU31T_V@{{h(qr?g0P4cy;ecez*YJt_6ZC(1m zx+P!xGHtzfW{rsHfI)_em2YBHKTXUxGjKIu4DB`WbaiLrYqUb`XC@onYUSMEezeZI zY32BZ+2vf5&4P7dsFSZDdGU=a4xN?PoMu&m!#0<+XkE+i$U0eQH8T%`*>ZYw(~-bG z6xb}2F2u)`Rh2o%wtyi5dfR!tT{>iU>)0BmY!8JY3Xr@UY<;R8-lkG+djX_z?T_SxyGB3<~Utf$xJYt zZ8!u_PGp-(*+?dGvgk-I$FiTEXhpW#rrhC&b%f?K*I<%OuU1X)6O03eL~&eJeqMXm z;9RC&Rx^2!q}NYi2u`L<7ST4v+r76-ErBIejpSXbZA z9({f(F|c6L7CXdo0_2glRTOy@DB7)rqU@nH72hzvIO)Lu!P9Rx;Lg7hC3ti*ws!*< zv9A7jHMdWs~TWxsD~Vu$X-ENPvLSaE6$3az#^bt7Yxg6)UFL0eQ%n zEIX0;{*Q0GiUr%>plv)>0@m<7lL9l$PO6(-Xfk+{rn4)~tG895EienC22AcYGPrIo z9nyabI!QXfj_t#V@1Ais3W15Jn-ER7{LSf$wa8-;DHDdC`Pdnjix<%h8oNG$ z)Wd57wJZ1%Y>NIj4ZpP#63*r1$#e`rcs0h#)R(s+;201{xL2^k1QeWON)3C617Ne_ zr34#rPb0~cjx-eK|AkNr-doci{Z$-S%8i$rdDdKa7weod__g4hH541Ym5bjYNEB~) zGw$P~{qLP?hMJGVJFg9*ir#wS z^Wn5gLT++%jxb;)*>x9hysfzVvl~$@F+5roCwW!>5VNM1w%=xQMJ2MNp069CiduI& zp}n+O9ak7$ER`xc~_@Bwos z!78#_3iWtFCQ>H@^@zwIQnv~9xcxn#R|;XCFaR~kkV(XmsB=BX{w)5|iWvB|KyC@- zKf2Nj&+fR6Zz>}O8kA`fJQ6{^#Zrn0vwWHNV1NWS0~8Uq`Z6Df1ocR)7|`1X_Edu{ zFS)9r1b6uU);n+~7>5_eoBW*$nR`eT70LPAqzOr%S@u|Z(HF}7^swV}IdiO7>-B1v z{dmsjm61xz^P_`C0m200l!;lBBTJsgppTsG&z0=5jv|js+Xwt(WRv6uRTj)tIn~NzmPD8x)bB;8bm^vBY|Umcgo_&N~pT zbYMk&7-#i9&diAN4-{JMA$p<2$*HMDb^q3f*cY~AqWNGu)N}#U`=E(|hKap+b0;cJ zI%B6TPChoGXXGwUaZ_j37Je~+`>m;)MQi~!%cRc#)>OyDXnBug*2VLCG6NHk<_z3ZGWRCcT z6!MO{C1Dgc8ZKV{M$H04*2%}UvYoN=L^TEy#U!6d0ItClrWDyQ_wM7pLHAaMj;@n#nFdptIq=&ID!g; zK&I*RBq^=A*(eR=%@dJJoqvhfdw}Ke5EBSk&Neu`HM#BJBT&cr$}kco7 zcPwCIbH|@x6?Qm6eIP|rgkCYxbkK3N6shr#$;ikrph@~zt71>}!YeCjK~<=y0s*@!?2jE z!j@m;^sj#+hnXwRQefhw>O@2GioKjvtC#T2Pe;gzg7kE9Mx2?NjMf9jkeek^jeV)k zJZAek{A{gocZ5CRsQrz6r>#7TS%w!~K=kRynXLt}u395-e;^9|KzGsT0t#Pq`0u?A zn&i)TBwU3Mj}V@P2Tg%in{;__(ZjY{5Z`bZ(GxKRym5&ap}&3K)GMM4B2#LP!X;G} z@A51Xi~A3x&5cM5zA5D(DfG9i5p!%l@46B#e#5v>;67f$>U`;>`zMk|}s6`(9>_T5Sg znj+|EJV0T5dBNg~IE>eznw7M{U9FGVzt27o5M$rHnqb|oAI7M^ubMEIFv%cEvrF7TRZ{&;~N&G zPz2q7HE}F@g$49`iCbg5fBN{mN)SF<742B>XvXlRB)V9fc0E5#{n&)WW1kj_Z7Gl> zx-K>vcNRQqCje$krVz`T67-llOgUkU%|!fQs%aPwKi2=|Fx-I58%v4W80uwSCxxs< z8TO-yL<)Hb#3JTAJ0zJE&LD)Q;Y(8aVnZiM^dG*@cY+;EtzJKTIK`T~^rGw-+Tqg_ z0@~x*bC_LyrO+Y=5>l*@1DpI5oW7vYiWZtiFp+bFkAI~IZ+BuBpOuCgsTjwI%-5Ez z0)@?MAm^Bz;fcv1&#-@$3(k&&pl~D)I$%gDLl<>UnUIUfZ=XCO7mrsfZz=nX$=yXI zKTz)^S1cj?PhjO70YiP5;N?5pLg%rWX4{~Q{QaAoWoEVLy=50nK`iuqWmYUPCyNx# z9nD|t_+z>6e!m_jrJ=+pK>lQOAgUHu=`6L@9Zf_hbd-@DV7Zy%8i}5}= z10D0@C3D8ypMtHw*5r7dNK1PH&sK@r@H4s1=e@W9Zl&eq2B8<~oR#ybfTz3i7%adO zQ$;8i0GsNYagd3My+U#+^$eudWeh!tu%JQ%WWwu6m--O)pSY0;L6Ixz*0P5uT6OTtDk8^C1gJ> zq(zD_4R$o+TvJ1N^%x#-ik%o3#X}5~6D9^CNfHAYZF?+&b2B!Zc)1B&8~{*lMFU<7 z|M3Q3LJ_H`Orl!D)E(TwP;)Gl(TSMu7rAoDNHuS9s^V@CE3@eTt+!-k{~LxQ#SNcryEzT z_c6;dqAb9`*FJ;|g(JcPntK#ytks)C)w4= za1<_zt;o;`yxg|ui!ipPis^(S_6@cODCAOGB5;a=F+$RpmApWIRoV!$4FW#3);W7j{jJ>CNdp!9S0IL>35_( z>=Q_B{%*ZwpPETOUhVOP!z@HOE2`F{CTx^9P4yc8K2&IX-q3$Stg)Uj)t;cm|IG}a zduK~gr&$-2gc8(RG~FhaT5>(^$QzXu9K_|n2~t{o(DR`w!KC?8a^Its-DL%E2FlKN z_wJx8@W5_ObzJ%!m_*5q2@_8^H!R?(%f3r|2+eL`jd{iV5nO7F#U8?l2#bY{$&BaH ziPIi6@FK8(F7@h7l+%N7r@}Tvt?P@VDUmFiFhFXc;R`^b`i2f{COn&17zHOf4 z!-j#{2a_zG27el=bq-4A5e+T(?BNb1mJw(1BXAuUBhq{sBl>vlZig?Qu~E2w+0lKj zHWa*6ErgYpAodd2(|uw#7QD!fhaIqz6N36e?C5qfMe^3PlV?A-mVlpX_3h&k|Jc^i z*SrW0`1HlHL#-ojH;6$~F{$iGnBZpLJc=jY9%9)NR?>6={}8&!3+~Q_&{EOYFP94F zt7;9&1=<96xGN}Ba`6RD?-GZ`h=h*sG*FFB@A7woKi#B%*c8KnpW-te%j3@zd-^s< zAaf^{7ULoHV3&#owzPruFh?hwe=@}gnYu4~de32{IJv_a`4ypPy>5=+ofxQKng^N4 zIPjV_(>sD6)NM5KDom#+BfuTy|6i;a*>(PKbyzdag6)~7@v-Z)#)^@n`ExQghlHHE z1iGK{VTJmIq0RM|xa1hC;W7uF;vcLjA)= zTJ9A`F7e~!3n=OyM=RjJN$3+!)C;r2<$&Qse#I{zRQ6M$rem6D7!;9?Q(@~|W5ABF z07L6AJxJ;hWo9=@Fal+y=y%w`f~VMTtL_3%z>e~jXe+@Fw8jB1VFU|Clu>_=;D+68 z<13Fg_h~s2&)NU0S=%wbdf?_^ea(&v?VdoMH5WQHK`8x!GCP7P4@sD9_1h}Xrv9d> zz7}0h--k{- zgmV=SinG6!*p`io*cPIi*kI>kJQ3Nf$F&9u#wj}>V>Hq9AA3f1Wbws9|M0*&;*I-pRSB7CDm4g|6$Q&AR)mMn7XP}&z6@PB zQUfl4lFztdR_ZwobRFkB^NaL6^QH7%dY~NK35RS_Va)G4eWoOo;O=B*ZZVdTWZ8LZxWN+hyY zm{IH>POdk@T0Jg3JbizVl`Ncy-4}-`aBbj9=Z=COwJ!5F-zABPh@+3pV|XO_=_kfq zd=7GgHk!WCkzV{%6nhedPM5z`4QJvv6!KrV@>nez!Z@?SAWp9bGz2bt%?&W&Z-MF@s=M3I?Rqh$yTbS%xT*iLtV#OOvwRT;wUf~?;s2A!-{ zl*!An(79Qs8Er}2qx96FlOfQo5J3^@!+n#HI1Q!#bP^8Jne1Oes?To6-0M~KlHf!`V%kUVqCiR^gX$MhdpH%#g6kp$sm)q!+X$eHSdh+^=H>%e1=k|9~pJl@}MbEX?Cvn2S7?b9>;jPQ{MS z3Y|9DVhT}|i98qx(-0c=B)~P6(}v69zEjL zr+DF%^Y~Y7HA69@`TXV{FUw11AKreL{%$voQL6L4SWV~kYjIZ@DeEoi?oS~>-jk{% z+LStDkoqbf_5|*2rSH8)aU(||$m3cjAG24V)~FWt=y=NQ{+RC-NbF}QWmH?ly0(yZ zd3Nmfc+B?()^(r?y(7eb5L#zNP``33?!_2l!m~yOrwt#;z#G*Dv#t%KT`J?e!c?5y zwiF6}c>aBfYC}dcQF(^?Wru4tJh5hfkD1<+ZDDlrYt8--X1d?|Ot1c9%R-`W2aZwg zNSf{MV_n~l)W(Rn;5im`f~T)?MZLFHSowj?%YTV$dGS5$n<_vDazfa z?vHN95jvVXbTfBCN<1AGx;Lc2NG5KK#Mk-_{C`v)$vlA+O|TB$l|$f2K|=qc)r%W| z422+o7`(EfQt%?J_FS8XxMaC>@%bD9Dls`R489{5nFQrDjJr)-qHar2=jLUlWlE65 zfDo;Cy*jcsMNyWW;||ZUvxAn$1HsCuXGoTv(;m;bi-Vs0sOKP-9i&cI*7BCY&~8;Z zXv*hMePSsw>mG!zfP^b3$!;V(d{$$ap7^*ct8!mDW;)V4Sr+4vJ_w~fD1k7fJ0_NX z=ne>_V<>@4q&r`2AEuZO8}LPiBpk@Rw#x7A=Q6N@+Xqju=tz0TQsKIVZ`5MpCgH)> zUgO}2lu%<);60?$1(ZN7l9$D({3^3jD%J}F?%tAqz^x0U&?0O!0GGou7S2X~bRqQ( zSZc3jLFCyrp9-J#QEF$E251~Yn|qF*_2?Gy<~$ACneHoS3k6A*1xpDVvY3h!chrG+YAWt;y78-k)x$mT-FuGdkmF*0CU ziW=jW9NZJZ9?9D;>^Pp(qX(%Xdgc}0%v)%G`p7Y9x%>3m z-gHrsURu&k$MZ0wYD$%>N|cX*8;vsn6ykTZ{T|zWC!;SVwCK+-Ey=-q|7sx)7K@U4 zMpw30KifTY+y+lP3y6tg)BXs)+x^OL=7ous{*9nd;yVwm%+88=`u$y2Khle|aV@aX z3w9AYRNReRW6(@nL`VtNCb;5Qy{~ju-y!d5n$Ua-(U-dK^ZMrRevRVY<4qpo0@2CM z=Q$)TlNvx7#z9M7YB1f}6Z%SGv3AF93j*6ql{2m^ce*laeB685(<=Sfqc?}UK?PTO z^w%GwrQRp(5D6+{d0zjT(im?QVXp*OC^)JG-D@oc(&q&3DLJZX{&Y(JWWz_ywnJUE z(e0+wMKOowEJE3cM@E{YrN+QV4CIOtyN}_Udivv*%D5AmcBAzV4BS#LFPU(;Ei~uq zn)G3(W7~Xlj!oDcQo*PKLw06O#QZSX$g%_Pzc=UEC6gAz%DU&nqzV*`<^dSNVx9Zv z9KZ2RVH^Ky{{;ITFmVGTuvfVx-;R$z0bnj{^Bd~?|!v^x7L9ioWF&O0>O&zzTg3S_2=GgFb#xM8EO@E4daMueW|lXI=0qitz0X+m})!y}6}UC3TlQf<>cOV&0rz z&T%4D9{9Xk#jq{#DQx&Dci^3<|15R}BV=ruH}D#ZDLVF1-v8gN*jfy2y99B`#(>gd{f60Fplm4)8FS%BW(MI=I8*r`yPTo$0_b~MH?XH1lT)W+_LCAP-yiY-=#$2Y3H3A!fd z2$N*NS}f}h7i09l1UekrxpChhz8)U`K6aim5=~Z+0M`Y%600#-0ZSy2fZH2Qg(b_? zFm*rQFF$_&d3^m`d&u~@f67?7ywVEX9&x)Xo=Dml^rU6ZUB(&ZKR+5i>#A@Z9$tNz zITaaMG5+<~s}PEtAfQyr+7uqhHp0Mw!cu$mttU5y%f4tD!nEqfQNga);SRTVOW{pO9yDuKKmd8fK1aWz=HJ&PRGG!-X5^X)g zenu8EMXd`1E0m?ooYM86NeCfxB5n?s+G;i7MP4n)A}4T81q={Iek%o7xKIk65xF-u z*5dTEGO1CYeYG^8xz#x+j`0NM7RV6J5M7arCUG64gyJdC!ejAwkTV3ChydsxhUI_= zndjK>FJDx2YbNIDC7Oh!lR}UcK94X@$x@Wwv`p}9L0b91@e_x0$F@|O$ z_PH;L5shQTQEe$F|+E*|BZgwzZRvZKq?~?%1|% zyW@WIJpXfU-8%Kwty^`g)|hjBTUGnh+++U67;*(iIOw}p3p(lrN9{P+w-u%eL+2oV zEIsxG{I|DXDSpjcs+VG#pgV>FH~tuvQ%;mwN3sLslL;F@5Flk8xqpQDJE^XYSi2iu zw~@5j4ua4u-4IVaTGl3Dxi6MV|N^YIuw4cK72z{x5gKm{6qYE|7>On+~gV;pZpYd_r`m6KN2!y&;#2sevS3vSM)e@R#iUp z_K3fP;&<%jQR>}RfaD-7(f$(z*4H(myq0$9>OM2f!*~ez_n@J6zQ7vi)~Xm|&c{8q zyhrlyLBG2jxQ51FU*(qI%->%>pMAP{vq-@nU(YY^&bh2ATK>d#3WeekxCHtDtp>$U zp|ZnX{UQ*#kyo?O2wh0D(j;#MDf3O!WjZHeXk;~;p(_hM$5em(v7d~=Zf=o&xb=iM zPdF=%M%qRIyF`hXXLVd-Iy2X1 zEcUZYUfwMd|5>R%i%MSH6)t4u@2__*SE>p4f6S<^az#hH<~+b3QEh~`ko#(`U! z&6@g-X1u7{PkESQZm~fVGBhj$h;Dhn#rgGTrshyfBO#IL52^Ir8*N%)i!I}+74Bwm zUDsRZ`^U%Uv9~tU(h8KJZ4tIXxsN$F0!tYO<{T5_y=jdmqgZ3K#VoY4TkCG0W06De zbkh!#+jDc01N3urrz#H)=6W%2n`>~<3|@MMh<#B9ZwI*V*s_?}S=EE@oxP4@)D$&0 zx{A-n1}A^F?w`jprGl2w>tCs<`qPi`IHm&*e{HFcNr=FtEk=6bs>#T`93ZWY8~vuVA4%(+_+0qacxncuBN}m9iOY|E1oG>k8_x*a7V}ClE5r{->4Za zw(eU0m6#(IZg_=l04Qu3k-?J*R$LzT$5?}M=LNH;ypw7pfiSIAT!dTgx34TIi5Vy# zg&r4pxr33{ejablNC$@>oToH#r|)fHKjiV9V)XR{h&&Cph_F*J#f* z&*NwbD*z?s8I~BqlY(@@0b*VpkLZm1>A!nqEWFzG_YXxiA>rW_bvexmLIW~!$r&T= zZGikWxf6zarKf`fxZ*0X3fj_58Iq#BuLIBch8rxArnW1(w~(eYZeBHjMt@~Bw3za4 zz0n*iXyZ3YoGAtnN*&fefBe_$9c8}~*9BL@o~H_-VZ<3}W_M3w(TzqHP9(dLQ7a@* zk~k$HY7iH%WBSU#uH^IpQ=V`kbp|IzP(xNh_Ks$m$D3DR^Xb*cIMnprlI9QU`~7?I zrPKN7%YNZ+;j&2Xgr0F9Ls&9nhh%0r?IhBQl(ui_-uxqK*jURx1OWoUU0a*o;F*O^tDn=XfDh@6A1-Bq z4&pi#C5%dF?{O2INEyjSP0bNV9**F?4h^T&+E!R%N=tblMwu-$tyK{b^YDpG$!Dce zk<+wNx)1FJq889$7Ph$ZB5AkYZc9_JqF+U!&MRzWvdikAT?}S4##?(Q=wL#E{a)+* zM#x&&{hjHqt4f9osGJ$i5lh+V}p@4|C;}7F1ha+@K)|_M%sQL*zoK z>3;Y0iXkCbiVj=Ho+_{s`LP!*4yF1x1LF02od!&K@yD~Vm-qAj<;mlx&O|Rp&uS`E zl1j=DInT0Xp4Pwy^ZV!X`_0{P|N5VjRQ>fOx-6me@V+K;Bz%!kZ^Z?i`beeF}*7^a$M*3%3>e*LhBe!jXp-kg6VD6Zg> zDdCeD=93vGDAsMtd}3sqR&H9S*gqN(T*x4b)$%Qy^Uy& zLSEsf9BWA*#GmT~@l8s1A#^n*%CTh)?}b?jLswxJ`g22n-y?7$W@$$$vOWNt-^^px zNC#%I+U&(OTW^YM*PV!c|!ZBkt-khNe3RfgNs2wy{Dg#0;h^o>$0Jl|m&eqNjhkBv~ zD1gJZZ3k-egN}Ua)pED=!^K8$4IqV?A2V7#pLLlF$KAdUg1>ni5Od`rAnwkaTfmc( ztFKt&U3l5egw<#cVk@qm%Z3bVQ=tQGLn{p3dB@$T=8g|C?Jmz?Unp&`=2+H$u-@;^ z`_=WHfZji@Rv?w+#&hyB9wCd;;^ru#`}2~a;w#HqZLb1Zk7<}%{k(tACGq}r^QUUd z>Y(O1Hk2@3BVyrlL`%@-7kv>=ux7J#tjZ5GqHJ)Wx(7W2F7UPdSehFhUuTSMy$md}>efpc*a5E8&^7)gs+v{{zVoH3QX6mU2k5N_ zNb*bWP@B7c7T2bZ@xS-?*$8Pg4YXVaMMl9boEYZU_C${MkuYjFf9?aT_^i??k$ zTSm;bXM9x}Tg01-;Pu6rHI(E_ZV`zCPaoK;w=<_g{8~WZ_Z4X%0-gFFt|3#_hgxro1Lb;IbU#S z^yNM)96?8YOsbP}PzYb$+}s*Cw3FWgRkrh`O_4a+&6`K)%q zsXZh9x^)Bomqiip>biCpzqtu^{zouIo`D|1K#cXD72oT@$J#elODx$d5n)AgLnq}0 z31H(ui=Bo5726FBlI*asif}`Ih!iI7jGGz6g{li$30?Kskkq6WsQ63-D$pa>)1}4s|IAo;)!y{~c8* zEuaO5I+k=sNL7!p>rDj)ryW(}l|3-NChjlV*XriTC*q>_jZ5cCRCjo~yK%pd(<*Y*Cw-pU2;(fhCiin-ahM}b5`RabY#kaCsAEI9;H+CAFsYa`{)%DD zGa?ZY*6r`j!<{uEB8Qa6Lz=fhMC=?xF051U56QEB4hIi#@%vp|1q%qIJ7W-HZjA1s z_LE(){SI4QB|>6Qk;^oDKHFU$Ecj^qaTm)?9y25t2#EHbNOJh-pa#y2$fcehOn>@kGvsaV#Hnb_$Prj)s~RNZ!WZgTI=fb8J{tOP0!qi!JT6 z+Tf`ae0*g>)ljfP(;&%_g!`8{Gm5Sv&x0V7O_!)dz0L!}@8#`nY?fls7z6bz>UcKR zY4VEEC~e|a&@A^F+C3BrW_~7LDnKTeh@l{X1~NBZE^@_{W?WIk>-GKRbu6K?EBm1x zo6a>!|ACmecgpLd%(#<39!RII*IT-11;@s;Bn4ZWt|qScD`#hK1decA!cnhP(m~Hx z@}@a!a&J))Tug9jEdxhnotax?-3njezC!Ju(aN*6K=GvINwcrufZ0THT^ug#$g%+z zAF9v7`zKL`YO3*9(c)6)%U}T>3nNA$`%7W+o1NK*c}-a~T*mekXL~%O^@6|tO^2dK zM}M;);!MT6(MW~LGN-~J#n^!@n#@cQ`tv9NB3{WcVjiMZ#lG`^pa&y|LGoQqs?t})8- z94La0G=*a;z#b0W1yLM%GH0@ zNHP^vocLXEKATg|8Id8MR7ZCjdjXCKGNwKc4O7abpXXvFE-nfu`mMzL3VZ0H@(NNWYE;P~ zNU@#h4$29&6!8mmwpB!5l0UmyNf8;{h;(d-Ee`r_v}aat0@ zjAD0rNG8&+LJ#*^7&Bs*aJJe3DDe5=-?$Mxa3l@oiWtq6(v;D^S}DJZBn5)oJ097dSt?Cl^enB)eDs4xa%bx&clw(z+4T`F^?H$dvj(g!pZR~ zbD{k!Wd0&7%mwlAWF>6BzlK^N@WCxYfIp~a3kDFur2R!lfKBFYnJ-X_>v<0XH8&h*MC$AfZ;yO7=*1Q)#8G2T4<7O#z*3 z8dBo-Y&gy#xAFZKsP{=jsYoamN`*N_djzqyCb&x!vExd|^y9V1>BVB`K3#GJl+X5n z7D)j^o@bq#1mBnyFDjv0p}&Vf=>uWbp1iXMFa?-n=ig~aFtGjo(h%W=bNF7Mfj@a2X73+$zKec1G3_B`Si0`KO_xxrLa4}+VqaLqQ6B4r+_m`!&Ja^)URL{S!r4N6ocfJrF^9p=q;t;aRd#F z?+*G(`)_gxN$RqlaT*~r$&jRYPV`lT=9sQCp&Rv;)u%;)?ADUu`|dREZ>(-g(5&gb z_`^h0wmOZXxSa0$G1w1|Sl10z6*uoz&f*$v@8AV%2a9sP#@9sH#?9c^(_m`r>bjj1 zDIZ+}*Ol7?uoA0Uyr-(|XUb}T?czKXSev`rK1dg`NA`t`W z@ID$&%f?{cL|+dEK~&XmcC@NIThX9;oW;DI>esQx6lhcZOt;6Pn?w2YS0e`MleQky zV@VSqw4oVmLBuFB2u&$k%K`eX;2jJ1a|fh}=w84yk-f+GjR~sg^s{a|J~9 zF)*iz#zGmVX>O|6j~K%y(b|?3 z(+=Nbut0nl3r{NB#Tpm@AGZc=INel`78M1>`rPWto+c6KDdA<`sHpSY3QT^%FJL`LaV8 z^VdVZ#wITmqlef^rCBJ`_BO!&G(LA^cXX+YEA7k!=Yb|$5&SL~9H~19O85{&GH#|A zFd?+A#blvP8)9P}47x65VWl0uOWIt8ZnmBw^V=(zRpDEk95_onpoTsp#TyxR=QJW= zO3xd-j#!@C+m^Uth<8|_nU|GZl_IOp9~kocAuUWG(}AixB6v#@$`MMc3pH3?A+|!* z6}_9a#md_F*pn*{^!l(fx{?EC$u0WZ_p~wfnf5kVaJV*n9iQ#i6$NLtNC4PlbgPnq ztoS(EAJ}0qui$-i#>#T{H|G!Ifx zh^usg-myG^-tqzgpf;7lPL!UAf$5Cl-)lG?eB)to9?uJsy>nW=jqdtqqHJdL2=@&^ z19)!e3kfLT0**(fLC*mjpykbs%jg14>Zn{jk7vKH3a$YheuZ)7MwcGO?PTyGSkqrw zvS*l9Xs4NR{4TOPcR1HV3^5-f`m4;fkz@fKveE}uWSmJ!HVIk5VA~sB(Rzv1YBEEt zN^`!H%^IgEq#OQ)Im+t0U56rQ*!qO;nHV~=ogLWtc}>fB&9N=&?{6LgFzr8Qgo0%L zsUkrkscQ?&EKqyCdl30GhP1YTUTj;6rS^?xiRl{+q-FrD?&ArG3wrL&iMi-z2z#&M zAczc@Cz`|K#G$|Dq@prjdhc6Mnyh>7#1+-Nxk=RpJPkgztakSxS?Nj3x8M#;9GX`; z0ny(OR129Q#a_Y~#8g(TXLA7cW&Gfo!K!Y_9X=) z>tD*kc3lUKJJgPb-wbv`h69_BCGXlS2F91eS>~i8-B<{dm6HM&NruIC-hY9s;N`a+ z8BgjK4ve_)^2yKNm=);tS-tdis*r6LF)F219sL74#t{Qh#3$p*rULnY#-qV?UYCEQ zo*(``B{5KG)uBjz)rCl=VNXLpa2!6>z#RDrmle$m9xG0;7a>M46c~R@QoO+wCYA%4 zA728rIItvQE`t|IIW8Fve0{x`1hfDevDXWeGN(A@6Q1?|bI&fOip&!=Rb2&duCZIO*YF z-4N0;OAN)s2!ZL%qetW1sMpvrM)(CC)5lcrJ8Dp^0a&+J{&5;d^^vcd;-xB)0n`ms z!nR4xrOKq`Vz$v~Oe)YzG%`L2mu?7aM4K04p+~bpW^Ct>cOhD1R6;Ys zk10dZwQ^yBjt~AzpoMBsyY>I+i&;=z6yYnOua|)n)?HGEg{FKn|BQ z+<^i26$3s=<5ZD0wihvVqk;6ryGRK+h=<2#AjZ7PNrdvw^=saPP*4Jmhr*b|-}ppR z5gnc-zpf=9n8t(P5OjQJt9bB)7w_8|@fa4}`sSATW|t8}=f$eP3#XY3=-@4o#l;`l z1bs?}^ybit=G0=qv_bbwz`h6JigG%+*Byv(EAQB#BbG6?X-wkLZ5>^;u0U!gM8e7K z>f2!Js1YG?0o1RoM(VEUM$u_u#ckoP8w)nB_blXiV$kSDni)fXa0nwo;X7Tse!ODT zZqCu#kbg^!Z9_0B;#qyYIi*7AKxKytT5MMl+)vBny_^ zOc91SV;EttL2UK!OrWzybvw)BA(nIqvTlM}pFo9ZwQEdnjXdYNNUyDjoP#**h>0}Z z1a+`az0HnR`gc=?!bP7LC#j^E*)n7A`OVB&ME zDj{Q%N`<&>HyM34AxmORHYH^;6+_n>;$6=m!T-2j96|2HQjS_m##-E2RF4Weo3@^o zVA$QohMog~*b+Yq*I>RkxBDBbbRpQ0O^ zyju!I-*FFM$HVgN-P=9F zx(7-QUz*mw@CgJax1~+*a~V=pPJs_AOWWk;s?ZtmHOsN_uSgP1+`~>!VbHjh6WS&J zveIdM8%lvUn@ouKKrv;1GBnpCl}M8m3>$dwip8gmHdgKz%ossF@n5?{)I6h^QmFGFtTeV6<(!Bq45lBF3 zy9_N~F^#sMiY+Y;Aqhb~tp>(yekO;6_6MQar_A4H-kQJsLpI8W=MW+`+t=yRG*bKJ zxS)-r&82GkkzOEmN@J1i7UP0#=KJ?A+1u#(@6|}A;@5iZW^Gkcrzsd)sQIF zWoL3SvuXolW5>De7|ILz{}m@AXl!WAqOmc-g<``(ccN0At48A_&PjS2iLdphDq#tC zxk$kM+;^rGw*XQ`$GE>QY44|5SHC$f`~oQo)^)@#B-Ea`%a_vC$aTHVVXZ`2bM4%~ z&dfOe-O90QZCONj4A(2Pdy=0&{F3`N5H&qdlg9OoSIIAR&H5GR9?B#9*KKtrb?4v| z#_I#tur+lS7fE3XG-GBrUXV$a7!74sQs@pa(K*v8uS zv0fXkJ%0TPB!+AARMK!cfONkk#>IX2&8reDpX#gUaPm&+O&iJ<<2o767ynQQ=ryGLD%!R@YW?j97gO&au&}IWB z=xXv6W2vW=!ZO?U=`^>)#&M_1_^ZY0< zC#-`*ZvXh#zMWmZ{TWAjYx)i?=hTxYiVzKwZ*v$}@W$!jLg+01N71+F(P1l-0P1=Q|6q9I(xY?KOY=0WQHAjUdum)Q z2ZNqzSF*%3nX(CxeB2mhe)3VnyUgP;XKB4VN;x}GUvR{VNq8r-ubw^h{nSB8V{K5H z>8oAZg<>`S+(iVcN#>PftuDPNvp5uN%r*4R231Z$gU(z10L| zv5bVDss8#WrEkpw8!_~xS-)?aUCjsT(!IZiIgHqbit?zz8>kENo9$V6CBmvkF2B>o z|2SSM_x@2)TvE zLWa*argf^lyIWlMH-RsDygR_;c(95q^A-=5dYuitv&(6B_-`kPSV0uk~wD7N}+s6wAq%dtcKSl9yA z=oFC;)&ies1^t{)QEw}*(|F%i<_`sMlq(^F%xK?^@F&^Gx$6{~r9=v{;VI*kJmgV|JjZyQF{#zP zq`sUUc24OrTXNcp_|xrI$_s{4E+e;gX0(Tg_bCo=de|z;`hC7Fq_T2B)Y!#Ef-Dg^ zHWVfU3xS`NQY99Of^XW~REHPFftprb!ittX@iTas4KTP&R?{{uC@YtqKXF#9Z=wdG z>M0-HE^r1YLoGaeu_=OtYU_KQ@|4HHca-it*qv>!l#Y&hAZMcyhv~l>89vw_Xi1md zyN_i`!=zbV<(Vgu453i-_t0Rq%-3Hoh;hUjg`J@qzRXY+n~rYk;=rd^vI~5vG!=t-Y)IJ+POmi` z8qWqFs&a%k8}@J5IgDZVmoy8PH;{1JVt+*~eg_?kRcFU0}}g z)SsaVS8p%srlQ&zHBs1gtDqYaMppf@YGirM>Xbb-P|uU!e&b6%eFufR=TaHRhzMN7KO5I$=PQWm#wfU>$8m*mmzCkA3q=A+yl?ggeB} zh$?Y`xEFHM!mb5bvlCAby3RN-TPTVh2T>&-elcbD@#F4pi*Y@c*-Sfy-i8HX~DE5SHHpnsbr2=LSaR} zQpmhSLPh+NME? zgmH<>R7Gb7(qK(7nwBV$t|%O9Y_>nVN@@G0arY9I$MIzU^%xS1Clp4$DTVA)h3+$k zDr(Qv6=xZiHrhH_U^h=5^b(C_79}Qetx`78)F@rS3ffk0ckO zBcmKR0>nayQc9teoYOh=!Q`88Q6&c5WEw zLd1^u>&IK)E<45IFRd=S&$(vZp1*yar0yJIWHk7u&>OLS z_4b7{C2=-(GzoF>9y?s{G5bvHI&iD)I54a4oa1$m?gDe-$YpNhb661z8x6Nb{C@i$ zY_H+6*sT6Xr9suo!V}xI(5Em2gg^@A*05sAw_L2EOE-2>E?vfC2|PU1^DR>>!gb3i z=_^lnx-X@|>rVxZRs5K`!;o*u%WOH;O4wZDNq^i?VtuO7xH7Msn@Fus-bZd1ILRq- znhh=Bg6X^bvl9Z`Za0J2kU`!UJlqBW^(%PmAFCpgqv!vTTWZnb0OM7Y8M`lGjk3L0 zBdf zuycvVX%CEcE7iuqe{x`TLC0ZBE&|p*!TH{?@9kS@B%t1Pzg73J>2wM=13vr6wjX7W zAxPbtNub2jK@;`Z|3d5wu47|GQ<%>0x>*1U9w$%kB4c*>ew%0Hu2hP!@{TDa08gQtwUtk4n zpa{o!d%=wUB*@~*XA4CJ>!8C1W5_ZA*hq6Ar!!3BfpCi4e}EU*B4|$W?N&G z?5-o*CQKBQExaV8&RDc)Y@w)NczMRoSWJa#MLPTtm=i#0T-)N%y3|DV@qhmeYzFxp z<^WAqTupk}<)XfOWUnm~F;hCJzZ({+AV~d9-&EhaFXit; zTNG#a1rBrV_R4#RurlccT@Do}Cx`dqe?JpB3;GwfKeVO4g@k=F=;)R|E6X^`Crsb^q0Q9Ne%mQl?Hw^+_F49 zPMAITn*F{>(z)T=92xM8@wu~kkXrTU+v6fHkqW6rmNbO8_=FFiXJvHoU;WnO~~P_)|8Y#-4(^h5tTrrC$P4f?x51|^5yXO9;ym? zTlcfm+v?Vqr5MAN)7Yxl`oCTjLs`D)ne{*0k!QKvy2%C}SJ=PsERfZzN`_A7%`SoU zAADx1S(1kGKlquApe$YDRY5gipa4vfxHdR!A-5j6J=8rN3Q~we%9)3FjY18nX;ZPl z7F%Gvd2u{Eg>GFU6Cd>qGY007arozJxiR+(vd`geC>{GdXCke=i+aw)2?`$eX2hlE zZIgORB);QNTOpmby5Kmi$NNILPQc<{t;F9x{vF<*{ZIQtx4ym~gAWa$YeU%gjr%?@ z@^GmcH;ps-UoU8xH!D~A+=}iA->^E=@xfy>p{it6a_JOoH=khE-Cm>#e*u}0Ewn9x zrEIr25c@F#mpgg0LF!)SLfQSTP7F$(Vv{eK)JXKgZ*y~EznQ2>ni@q zf5>1a2ci*Q7q}!sRa@mmTw4Voz8O28(b{wc=iTP(>WrrcS`HT>B-$fx-=Z0sdbGX^ z_JQg~^c=IjiNgw|38P2I}_v%35<|hiA^2v|8Uc;0TSk{)Z3v>>_GVQ{ql}e zjZD7W|9@3z82?ua4TFrpk@x?fp}mUGY<-D43h+Px_!G-B~({m z^c|qCovyElPmNaE!je%o3T<_5M(U8PJqPcQs#OleN41fxRbkfb3tEnl{)0@Uq61f9 z2|oB=2JOKW7}!m(koy<3sadvQiQcMlvs6j39@U)3#KZlnQf!?p)V9@?h36yThbNcI zL=r=vda)03H{!K1MPu!8+6+pbu~2067fI9Rrr;4fd*a<0qKO?V~W_5yr4rMCeD-l1T<)X=6p*L11G z|1BSn{!kwVd;cF{rl_0Cn!sRcWR&6n zM9sm26}a@@goe4G_^bi|cQ-T=vL{`|uyzCl7T8_SVC`S*=!)==Si`inl#N7T-6k2hW09O^G)vPT{7Tnk**Z4EX;8F4 z6O~KT-?%U#;j?S4EdqMAi{&Dsl^rO@wXUS_5M>MB^7Vqv4UgG1Q2k*7uc5XPfjo#E1 zK~%GXcOi@UpT?(VAnh~eyK)1ANq6b;rA^Ix)If@B7 zOCDqtb;4}}3fBPPB0EwYaHqO#g=yC+P&RQ-p?**gi+d$KK0-*63>#&kd{|?yo)%r=dD(1#0vJ*T%Sp<6Qj}r@qd)3bk*cU)rW#X9fdv z*p^U>4>t}}0TZi23q*MA71up0QMtAJT~PrG!dDX!*scYbGw49j-Wx`N$rzWLt@(cd zS`?sl;7$U{hIcX*Vn9A{*P+L^klZJ_;Klt0TTJ}^F*g%ZrlHQTu5dL`$2({}Q1RZO zWzmK)C&JqliFYu5hPG=#pe3j`#E*1m4s>D|*=3(peQDN5(~nXEH31GwIL6>z5G0Ee z04!4Egpj}Y5kTc43oL1C^ii6*1F^2-kiz~Y-ROhH+IRndDbED{mpse-KgcuM$=|6{ z#$Nw8F~%<^wuN2#4n8p#r2^Y1-}WgR8JjtgkQE192ETVQ!+9n{wFt)sXtN9gZ&{O; z7X2W_OpkUaXB3Xb=p4__nMmOUAYlNQ#I(Kq43a2;c#K_X>%`5JyV|CJqMez*I$P@Ehx#C31(HDOZGq{bTv-n|(`5 zq8>*2Lk4q?0-vs4e-Z{K$nov`Z*FAE?`Gk-r%g5_}p|D!_yk{uHU}M24W%|sG`Cn((Itt!bpozBt%%Wef;ruvY?cGav|Kjwb;^x*m7lXE&R59s@Yeye>QiI?nkzOd#;j#2X( zCk8O@wzBPi6ek6xzeL(I$9j$PkVx*I2~8!k5gyK;359?-z@h=)bjzV6$p$f^agQ4rcARt=kjAnKf82FTs8&j19eu2FjHEe@M2;P~DGA5jh zVJNfcl9yM>)(yfpPCC_18j;Hb@(#)p7)NN6O?kYhccU(AVOp9!v82FXaKrg={6DVxJ5)FHSJgXvnC#6X%2fliQsc^4vU>$}I zCZ=y;I4%Vp7B}Y(*#hpix;NAwToZ8t2RwgTLVYIq*;eI&CT(2|S;2TMT^@owNbo7pV)FT>%bogA@vlm?)eTa|%03kU_j_zOj%6 z88BMBZ}uQGyc1~bPjGn%CLTy|X>`IcdSZnvFX58|dORI8h!=N;0mr5E`9e+ynQC)B zA9c8GoYcBPw;J}{K=i#1x@X};mTUnTATz(1pT*JcUIcJN}4p7 zIxN?e-NBGHYUB}bJOdcO-Z^{L4|FPmuG7AW+DV9;pSV$PYqrS9+8LKnf{ix0cTcV2 zgRe&|{`%oYQx^%7{oy9#xsY2uYE0omu*r1D6iBi@6%m8s{H2zc$worXR>+UiHZ;gY%yO6(*Xt~U%DQQ~WrNu;^;T0T>2fh9KQg^~Db3v!J+MgA&Y( zQXIx_)KnUgWgPm=1}=2ZOd-{uObMN8PR(GK9Dic(+$QW+i2h zvnyf&^k=@9pRE1v?3@iEKi8^d{06(SYpOvfQ(pqTvs%2RO&hy4J{x#4txXsLsGu7X z$Im`sZls8VYcL2%g4L}rXw+q`>S074XA63{+jfSMP%tUH*lg%!{r~- zR$DuL66gqRQlRFS3A>Af9ZEH2v*il(fd!o6!Z|Ko`4H5&_l4)^bZKj8P-%-4zMNCp zrltCY)|`5JgARp!5PNb(6A}^f3gZ>MVw06sU8t)?FPV~Q?3C&{gL_L4x@6Gcc9Kw+ z37Mdn+vxP{Pq?LM(AXb&=3{H7?$7oqPKZV=7dIj!;0~Q=(cK zeDFED9oxW7G7U9vqNb2+p;41EfpvDkiAaqXrt~kyL)63sq=&!g>yG5TYHgqGj~w!5 z@0g@Q3(d^>OMQ10zv#*ss^CzYQfx~ogp_Y+z^bZg7&Tt4w35*{YNDEQahfnx3YG$` z9?~RNxQP~B6@(jeP~y&VPIpjNCjV2i?75!H@QolbkiY>to?7GxNmGcdS#GbRHEw1e zl`h+L)@yh2rod5na0Wtw$pu6Op!*G77*k*{0ZJe^!OI0%3Rb}*q%!}q!avI|L_cmc zPf=QwKd7mUUfVerutm7T5x_iJD3cdn|EKJ$+Di0=J0mxL8Wimc+k#3ZJEXXrbXX*| zI2X%`FAWFQP@a$Kq6{jS?=iQwgg{1Bbxk?oi)XG5=ycXv;$)P_dOs#Tol##dDz8

fmtz2$7AzJ6XePkVwmKnyBWfco7NOkDvq}j5?ndHl{4E_4HOR`oK-M;2?iQ0 zd_k<&=iRuzj|1qh{)`REu+o@Sel$ySSM`BTUwv}9F(iJ{exw?g)l?{+tY!4v@UB0S z^iFVYq|6qJZ)u*BaSaeYP}pSKn!(UlTYrUin7+a=#84Ff31E z^x*P|=7WJjcPKxF8#m|ex!}@7cS7&l8iD4Ix5{KwVoi1fJ{W|6_p8s`?NGIa8{IPX zk?^96tYk8H)Wkk#z%N_N4!oq|4D?3c_4%c3a=7lbE6iNGmrLOmT^AIVkf^<9#@3OC zjcbavi~`_k(L5lOEKc6nP*R0Y6TxIcL|1TfAUmX~ASI+}F9l3t@8f{mFZD98L!^e% z%qH7ls-*-bB|Z~V`U(vi21xz5C)*gvn(r7G%QjgWKPp*HMkU+T$EsCdN6bRxxiwsl zTM(FkN)CR-q3iHrhOR%^D?sI2c`e+lLFMavD%=D9ga04E+gDvDD-_u9N(Q?w*qWI6 z6!082(=PlFzz=rL_4I$z?Y}kYEo-;mz~tAkWbfPUgQR`x1p6#~!VjuW=i!dKKB{%) zS1B_Q%fFCk5CnGq$N(4uI~Ow$19_J-dixlMs|@I?yehV2e$C@QmqrwyBBheS6twXg zI(J{>mbRF{DAawGPea|wWf3ki)(;r#c8w63F{l7HxFI`LkcyKzPVh})awcw)O@Dmn1bXzN7 zL4yX08o!9&iu%vn3X(qom&5sB9@MuDN5evA@X?ZZR(1=V#6T)>YRts4rNPVqYrX&T z1AA7Zn5o$6B=N-LsLYLOf1Z3ieRaH^L8wh-rsQ4O;-0VOGHgY-^Mj1D>eTOmuQB~{ zrMzIk-EbLwvl%A>GgtYxSrP&Y)Ng7sn1|m-cXvU+ann#F!aL8Rwu;`8f_UZg-OJn) zZJsuBOjeaz%yOE>*Q7~H*Jd|ad=_RsX1bc)p9je>KCktoVB|uKi9bm_(<6&ke7Hs)h=8Y@7*IU-xZkCaM>(pic?E zcsF#0`C2mil0%}F9qKe0XnXZh8@jpk$@;O}bi#Jg@uTkB=Dv3ph2DHb@ezz;5WIx^ z`N&E|l33IpAV{P(OX$RpEgeDZ$qVoXy>|3Y=1@yd!Ky;z(yG@nBzzQVIrmihEOaLh z?v*k10fHc7;sXQ*Fy>5ho46B3%pNc|yo~BMekqEIC-jSK7*^2Yp)d;Re;UOj|En=d zz@ieQqpz_$Vfk#J6E9HxdLBd*aD;zd?ZOHgy%dhcklgxQg%Ps^&Fy{t+ensxQ)8d3 zEFAD&7jnDU2Bu+bXjJ2>Dd?+F-9&6r)8AJhWPUxUJi%%Z`?@zm2DQzgM_ z>pXaHGp?GL(z`s(F~3LXXP~eHKo6M_wYaNtuh+sl3Ap?YVL&zL z`r7OXcM?iiRr)NLNRj4?Ru#)5O+RodLp6q&m8G;VqhLy7-^6P@$<6Z}CVQ;|E)0Xj?3*;nj7oRkrVeTeHs8d6l>e_-|s+Q5f2!KW8!AI$xV zYFDOYsZ2y<;2QZDnd|#QC+|g}WKu1GzDrfqj|7|8mH4RCyWu)z>q><9652u%ew-dF zF^$E+io^o9Q7=D)7nJLT^GDJVU6G0|ZAq!Du`KQEN9g22RW%dTeX+bSZZ*AiEUEw|EnPf4=?r`Er`F=!_2P?t_M) zj7pgWH&bDb8c}UmpFAU1o%pkaZUnVirr(J(s=^V2wf9}7JhmYqT*DS>6m|-$C^g4n z`dT;v=l7~CY{MR!4-cwik9J)Tej3bcjOf8X{(DGGQqY_QR4=~irdF03OK4ZQhEn%I zsp{oC?4bPQI!h9=U=d*tWolSP_%-X+^I#0+;kKj*iV@Vl5gF+{0=VzXheT;T@S~K{ zZhxU?U7B&_lC^Km9m@s(L zUdqu;l|bJFC@(!S%-smmj)vx63^qydl!?h4E`|WlL1L@Ql&K^fzeC3qx=(Z=Pq#m> zTDx465>LNT4--asKax>#D*n=6fJ*%N(R742IqB`NtFz81o%fgXu=j#&SjhU;EUqqR z0~J_UG7JwYk`#XCvVCi!2{+Pdql&>0YwYN!rD9Ci69Vgzs)RT63LyuX+$SRnS0-NF z#^`u}bHHyTKmyVoG>OfKrvrMkStzjJ9ASlrZf+YKA>~j8j*ZWj2BY(filw)b>p+sg z5~=5Nsf8sguy99&0vB5spHT*lHmf@+-jm%KX5nAkXltz4t-0E4GY*wjlat z`S=5jiEN`u4QK)du@&D$c3m7UMn!No1za7u&StFomk!jPZDV#dcpdE*T}VbczX%we z`IC>f5N#fYhn9CS>SNvjjIQGnD)o|~7!%Jf@mu7psj<>wIc4{h;_n%Vu6(1dOTq$u zDQ%V?Ws3=W&pX%PpX6IHZ(N05f&|ay}mS%08MR#qOJn{TklE9IcCg_GQ8gw2M#^a6_$cG<(o^aHm1s zZP#Sao_~7a)1NB|#C5kZVT&q+IoiRS{zF3)!VVtljQUyGR1~wfm6HgQ8ImbXB%6da zAzVo-Wl*57CPE6ydC@c=I5`(7_3_yk_$1ptT9kX*O;nYUDV#3$bWq1!C~n-j0nvj| z-qZL7HIzm_0TpsC9;2U?yrA4^rCs1i;C;;}_N`TKP+{-GYLhxgoVvw=QfKpzC4)v$ zy5urVO8FX@bgd-DTJoHEw|X_odwNN?`sxWP?yRn6$vS;}z4nhSPPR6i^K)HgMV|8F zr|FUDO!5QO77AR=j_@rYpT4R#)Ku1IDStJkmBIw*#l4sS(Aqurw{BGn-=OW@>fNvs-PM+-O@2ZKt$& zOt=6@`e%X7AV;L8yu%6#wOT$!72UdQ(i~ss#8y!)7+w6*%0P)j1l<=tGGs&ztx@W6 z+18f1NilmwN5&RTvu{YnOHdLu@G`5h>0jD;oi#T;5tzb96NFGDJ|hhwQ(>SD#ksH} zd$Py*vLgqvV|#~wRlP}1o0YYKHER}Fr4|N2`M;BEinvd$OA7)4xHmiLVkX2fA7be# zV`5#iNY~8&&NOB<6QIj~jOJn|T^kR!p@1xoL;4S+3={Wn6cp$R$@KRtb>?{@V z6RXlVZ3h*Qd)k^>ac=Hd_W(_&(NrjkxI(0PIMV+uMK4Y+L^sOK7CZ7ITG?5y;epPz zwH7JYD$fJY(kt_#ey@W4{Uwf`0o}--BBW&tOGcUs;+Q`Ev!#r-s|JI3*N>87ytV8n z2ZcOOwB(#nw3@0iQ4h3a{YW%@zSCtN{}7#i?jOL#3Q)aqGbb0VSYp{EUdsUiUuual zo+R7eDbn>#8g&q@y|W<0>E^q+N)Bjg&LUd0Hi-NHFjI!@3D7Q65b>|I1lVKs-+T#4 zaOX9}wYuzfGmwgF(#JlCc`RK=i$&LE6Pb;&jN`p zZVt-P6m5#71Y~1ROOEE1vx!bnAs!TPr38Q|=_VY6Z8MI{6mz0hr-+^pziSFK9#fcz z54a7QZnkI(db7 zG$W4CbN^I2d^?j~nYrvIe|eOxtjcR%78Y;Ffl^wO@kiA0E`Yn z&0|xeMKO#bZHKl-$SRnlIhu;-xSWeLO^*ev~7m;c%Vunz>92X(G00 zVQuykyaa;~{C}Rbm&tySqE#md6iTC87)Nf#8lqQ8N(Fl`DW9GZS2Z4w%LsB6xa zP3%Z$W!J8(AENI`h1eLfP*~%^6)ST1OUs6|7q`*d*ekpC&uXJgvUsD4>oQ zy(e23d1*inwnW4OhA9yx^efHK5P06_UPK&v(etC$luG@)zZr;^I%o0JrU%SnywLLz z(58{F$~mZ}E1$IpH0!|SNK0>1(8fdPEj6!IH2b+?u@g zq9P$gEHdXKEiyxsOcA6a>2UGNP4*|C_F!Zr=fEbFlB~-`Za2QF_qECy{RS_df&eA6 zDLuUK-+9)9A}c>3^O_~8(>O+83O>vvmN?V+b3LSRK47A*H#liMeyhx_C>PN=5a5Is zYeQjCfD{EXvO~z&0TGM0;PDr5S9Lh4Ll)eueiMtg(4{;o-{l!rvioxgk3KE*3!)y(y zV?B785eTNIlux-$1q3k-F~qpo>r4n@9nhvu7PXq@>k55^tj4P>@F!}rHRREa5aIVH_TT;9c2vQk9m8@H^uoXHm2__kj#bv1v6@3T*M7AASn zH-gV6d@PF?Zvjth4E!KZlf0pbIZ>&p?JuBU!b-!vAg83CjlRX(%vNXTmPQ!I>uAf5 z+^JwasLxuBj-PuA84UxzVdJo}5+tPa4b2u9Bd*OqO3Z1dQ3NYCg+=Q;bLAf}u}}%+ zooK;|ZV{N2;X|dU!RLln2-?@oL!Ovfg&x<3K2$oX+hZpM03lJbX zpFr;5-_(gu>f4KcNgs{)F1*}y#H5p=ungO&N-zYkCO9e7o%RCaF0X`vk9CElu8<1iuZp=)pkZBeo)|{iaRzvnCS@^k|R`}OukdMIgF6_ zIkW2XA!D*s3O`{tdm~n}EC|VovIqwIOV9p=PtbO|A`Qe8G;Ga9(nrn9qjnM|h+!P; zm(-emgKd)C$eyc!$BP}PFW&6;TMz9I5)g~v0K%AhW3;hco;aU)pnI+cEkU3}`)@u7GLm+Hq7D zae&tejf)86`%o=6zw7}vb5^2om(Eb;4(MLb+3)1XdVKsK+t_mS$zZ0@?@MNIv-2e{th1QADrGJyq2pNC!BvNr->ruwI^#P%tRiLnpt=IQH% z+Oc?=x3{jeNUcvUo;n$xfkUlAI^Y;6F%$-zzc~)}=NR@kE7-fE~_W1cz z?{&A)W$gU;+zQT8(<^t!b!^Yy8#*%wpeCau;BV@<${hFvR_4IvI-w)fvfqCsH zhyAtWIbctNI|q%6Bj3UG`;&FY^ZkWMGs|qIyXzk;Uai1gCI-%yKeu`Y_Q2LL`foZ*BOkS;F z(sbITSv^tvXP^xi577kim(~$q%nAH_Mf2J)9?E~D8$*AGC^fMHcayLMPv*XD8YYnS zL0l~{yF@*IvCT`@X3k`4IR{eK1Ad|uwU?wLYGOsIuo35hq}#9U&*Gj`uAc!Og;0A9x0reRJ~GKOUy86lFbm*b7PNZfIGKJ^ zup<_ihE+=_N|AnQ-yB)^?EmLXK^!qIaJ7How=g@47v$#FR-}pA=d~qyPaDTq(06Sa z_swcEwUudi@>q7~`2QTmwMbH-Tsd94In3*3%Jg?9?z7yCkSmB?h}J!U70l?GN~4$4 zGVPU%?epPVQTJIg3D^E=4|Tg4g8+N)#;W(-#UhMWDD10 z{=~nH`U5@AqsmUM$36Gjo{{|?-t}G8yvd}$Uygq#p2$@MHcgFnhXXrxBo}O;y)B=z zDz%x-xIH(!qWamwjs3D~+9{>%`|e*<8wxjF7yxoCgxQ)Ar_ zq`h(Zhln3#r{5V{;Wkd))z>F%!8+WK51bofOdHKl2KAXvm-QfJf$ zboTa<%p*tLye+p6pT4mwfIV|IWE@-{^uI2=zuXzWjlJJk!lC}TcDy%!e^Gv47Jgso ze*u*{pM~GX0N~B|{hyFY5%>CdHKd-&>g7n-n!ItQ~+z_K%^ zm=Z0UNvTDJvA;4+`i>S_UJwT79^H~93q^&9!VoY6cwkiJ?6fUe-LMOzkpPPL{|B6~>{+8tt+e@U$*JOm0U0^zt8H7T=IUEOFgJ{8TOo~7Vn&l7?Qdgd? zE~768@~<0r3}!WW7uEZI^8LW18}(x@_ls|>Kgq%fQX*&Mky*(0GA@i|RBd9BS+w-I zV+TTz-Bx8Aiq3pB{*>F@dYZV+9a~W=CQc(6w(669v-;6%5rcV35fkPaRP12mahlGA zoHQjR9&1Una1m)|eeAJnLz^mC`PtE)7Rzq}I1Cp~OIC+0=|8gC63G{$^R0Xi`0jmr z7qDH{;{p8yOB?HpQEm6C6^Dj`kZzC8oecw>5FTjDETjrIwDF@S1^N^grjo7# zpiyo5076~VGCA$D;(ibZ{_&hMDXu=<$YW_5`TKWHULs0;gw$k4$-ZJ_aZx}Krj*I@ zwy1zsUvGnnpWiYyuRBzys>SM@?6`uNU-nG@*sWo{vA9y%5T7|Y&1}=TMeP{VMsuaW zhOo|>X^)E8#K^2KY<7y6V8q4zcc0`Na3Gf(lSvn3E|Taq?2j6?0ebm7QeAe%Wu~FF zWs#W|pveDf6ir2`)7MpZ)+%0Q)*z0eo4Ur%4|?zl;Q77a(slJ36Pq*Ds-ws*UYh8f zE)Q+l2}vQR{fV+V8+GY3zkGXj!1}!R@$S0YYL$P?l1yOPrQ-?ygleU$J9Pbbp!X%A zs4c@(=>|0hAY?AfIhw9EQ=6*&%B;o~W}b*n+|TCbVTL0Ap;@N35BKf9N%7OZH%-`< z8Jk9#eE-opQ@CP(hDM734BeVT}UX2xJFNjwyMOQ02M zW$anZ#bf+vr~$?xt5Oz9rNQb4P~FDJL064^v1lL$P*#h_7v)E{R?82#W4A4lQ;doe z4!4@2=}G%DX+W004_&GJ?vj}JJzpU*%)k4RXTL6a_6SY4FJkxW zUg9QM%omMql9KQnSL>_=@RLT0lG1HjNh>I6ily+UvJ)6VKTi=&{s|?){(ER}{EdIU zF#b*sA^paIzwtL*KBBha=Qf$l@O!yQN|N7mBuT#)nQQU!MpgQC5$x-uKkgW zpxGaZ*{?A-8%$Ducd)+_&*=CT{;03w_^I%J=+=YDEhH{~5%*RV2yNtO0d1OUo76K* zwBRYyu+*bsq@GSD7vs(8?$sosh|3}POm&4GU15p?#iVstNG>ythvG8DoF#t-%cd>m zW^-Px1Q&Z;_Q@Bg-}5xMK<_>3k<$0sV;>V7C|?t06oMp{?<< z1ee2&TM5AZoW(|T&VZivV>f>`Smn@QjI&m{A0ux}nW6stbCE&=nOt{pXj1$dq03~g zlW@{&A>3eHw%Y$N8w@Zt4F2h|^V|w7iombIi9ia8Wv4h!2Jvkt-Ah7v{I2>4gxW$$ zi{yYn<3G67^$f<5y%F3Dq)4-zp>mU#8Pd#93hF#0$zgUCz=;wdA>4m4;)CR=76_^H zGD(S?AR|^$8kR7{+pw|GaX6L$ze<(>D9*WFQ0TV7ib85>lXL9g=4i)$YDfI3YzXzu zBQ9|K997=Gp!=;5v7Om0tp=a?FnutrZv;m|sb z`tp08+?9kw8yI)~y+|%l!l7+)f%1C^b56gzZ3(xA@ImsFa)+tEbXaj_v%#z}ErAcj z?*WtGA_wHKars^OK;#6-@3Px}getP~)=GCWmcdG9X)F^P(qn&_jGK8Z!*3ePaK~n} zw%U(KYykF;O@MM&N{;MBhV)AAC2R=38A{7Pw<*ZblOCBPfb~^_$Z|Cnv9+Bb&&+{~ zuP9Lv1ketpq!+^%mzNA5KS#sI?`-&zf(#!S_&v5qNYeWuN%mRNj3@*@rB>26QFQV% ztEmQyPMLpvu#pe9G7Vm z3`>%gig95qO@#3qu|=wB8N^db&8VneAxfr$ytG{hk-Q4W5>d!*lzHvP^^EAo3QwwO90 zLecRz9Lh^ts74d!-?Oxwg5S#(lH}hKey87q^=`$hz)%*lCMxKU z!~Y`1_Q-LYY+6DE{GN%{hM!0R?y7mbiyho93zDs z>Pdf(!EcHbtDii1lF2YSTG!KKi}fBcKcIyC4wEKrA)Nk|F}#<71i@Oko! z5+SV9xT9w0c{xM&!^9|&a_r?Yt>{usWvbARGArko#!xuP7x*=K_ectScXX62B( z6QNb}$WWr&Gu;7SG~S^?Ke;lLis8qN?QoYh$LUVJ~pzPZ|#W3e3d881AdWLv66r9 zxC(}Qs~Pu{pNdx{zcfWp`6*WNOVMnyCnd40BVmb1h9~)5-HS*>W?_5>c5}u%)NYF0 zk==1#uUbwiW$miC`V<-=N*epu3s#@9FIcLswS~Wx)e7k|*iA(4NSA)gqLyqjPNKr` z3N-wkqv}JKmR%U>W|PPr+`DvJsZ)Q>55M5};VO{N4E}g6@#jz_`Q7Ci@(M0}>Wt*| z&>KG!x-Bs}jBZ7{!PHfzK@~q2$zPo7rBLXecrJ0SzyY3%RlT?~FpyC8WE>4a& zWb=b|C$EXZ@Ovm}`+^ZWtw%z?$YO?Ae4Q4VXA&DtL?_yl(Pf4_Ft82Ga*KaC#DGea zBhc^zW7KjBXAK)b1}mBQ4;diYawuRJsZe~1h7g-@mx9cBcPrH{#pAdMzjH(+wJH%& zg60@1rby)f@9a9C>?*Q5SD?U^1T8?Q34(_ZIlcU5$dd$vMl*^?g4ND0AV44l2$44D z^{&_J4fY1_dUJ4E=TK!=`GbGUZ-78a;w*#fnU zI`j~!ZEN&mR9^n{dg`svE={SoqBaUwzaSuXHwCTI*NHlo{=x7C419kGU#M?NA2){~E#Qo0;*2CQoUv>;Q_oCsM%Ri+YioU1FSqEW z$+|_kTY7i_XDl1eP!2d_*>Hw3Q8psaGqw#^2}r_i6c`XlvN$*IekyTp9X9bq7yH^8 zI0AXc)q(|1fFcyZ-ztCi*dZJqY6uWct*a=dkhIi^H5DeNvZ(bYI|#0*v)Zb9layjL zS4uPuvuGLkq~?${?Z_OTNP?v+J27t{m0jhKHnaqfRq45f$12*=_c)@CZ%bpcBGKJW zxSLP6X3U8VwXFoDAJj{Lx~pz<>KxE8qHAAu6IwwAfJ?_CTt0t|SwxKLMLIi*^mf1% z13gyj4Nt3TEa>3c2@KdmF<2@d!OT*n5i5&Z<7qdWO>kk!7ce zgti?^&|{*^IBhLMZ{4&NDUuBlvR3s>ZB{POHa=SnG%jvu3!Ya~+A0kZ2I^4Jv*#3z zRh6JmS(0B%~VYEoMfWca|$rkjr07in|fc$1XRNh!%fuO@v zFldD>@EpLHf_}BdyBb^IF@J=QFYNeVz|pb5Ne_B#+*LUR^6SEwKVBIx7B&pU$WbQc z5KNQl!IV7rO*06{V&TD!rXfQx4HOHhrnc+>!ENnN*I<7{7ft*3)Tyu>I?a8;T3rnZ zX=t#+Y@;MJsM$v9^;+&vf(uwMZlqokyKq2yK@-l|(fm zddNuZ1JTF%YA7Vip-3>QrgW&TEPP2)NU){lE~N%%3Qg=X(ot2h1CQ*+rYsvtWeW4@ z#2N>iC&quB1_H45yCXQIBxylvszWeJkLfK!>mk@7kW27l0bbSA3POAw43z5?^k)92 zQY@w-eUk}9M79)fxyaPrl&MRz9;|vmOp*8%n@1&9*-2)zdz21v&Jn3WRnW^&rYSn| zZ7@?9WoBxe8)$Qzy@ei~{{bgHiYJ3^u`}_RXX=C^(3zT^|8_J!wN{*wNe=kbK!!7bZ0W^kLR-1`NFE2^Qb< zFTg`_L?xl>iNAJZ`nRHUnUDY8@m|PJ@Q^B~0UgZS02mtYrPT}ZUcwRLy{a-(Koq!1 zH}ZdF?hwQ{7=sEH0ExLFs#cZ3wYtN^oKj(j)oB%hu;#@}R~$&4fCkgNSX}j}U08M~ z;f#HnDl(ajHHMjIe&0T(VBvlhJ^=J+ytK+hk&DZKr7AUsGtEbBsA$JS#oOm$l~GLs$a3ctlNf8opbTN>JfW}jA^ z^`?8!ZZz?T2~6{dWPOHeVrW0Co|h3YR;oRjZcO0-ivUSLSgPBD0caPahNTw*_oRPo z8Oz*51x?ta{P!#9n^srPtYiF5*3ncq(9L{bMt?MjYW!`XFm|tm!pJgE!|$zbWZJ0- zsa*^`mwUy!sG1t<_v#&V`n4ms=0-)-3bgSxD(j!qNkNrlW ze}R-(N$v-T6tf9*`e+I4@UB)NaU?ijHwv*KL#W8R!mc7%fc}66EAuj+o=DU&xGl=n01d6HXI3)EmSrGy?`OBn6qo zW;hxxiWXJ3P_vwiY>2rau24?s)yFqKR46TVai+tS1%|3-Gr6Y%3tB#?fK-85rhzJa zRr1;qO5XAh^xFUxJd_z@Np*ie@B4_tc1zUP=?i*cP^4&-~Gu?O0)Uxv!fgku@>7?B_h-3|oH5LU3)pa;VCbTYn_Wg34oD{Fj}Wrjv@ zJtReCA1pE$0R^%GpdTQOPG;4Z2RWssS)KG{25RPm1yi#VTQJ~NPbV#!FhY!#;D5@? zfSv|agWzIB-edrSi&H@GPZ*(?l72V%0384banx06Xqq6nO}Pca60k=;fT0AW=xgP& zTD=dHIoitU%F%6zlz4x-P~&TW+ugEp6oL=Qa2|leCOOb3PJXrVl~Xw~V~Q!$#Cy(( z_Id+^uLR(rwVSlMTD%~oK!%nf+Xdbfm9d6bK^+uYodZ&>ZoXZq)p00n2j6{qTv4i0 z2VH_vR}6N*Wnj{v(@kB2ZB&gzr5jCy8i!L_RpUXkH#-V?7{q_~S_4`Fg>{g^ip|*p z7PvxDX0~by9d{p7iM|_?jw91+7K95&*>*(=V>ewEh#?~svqBape{)gpM@FlIKeiu! z17`aH8FWAV&{$(pw6m4{P|~1^V*|}3Z%1gSQLca3X;jraI1q(l5QV96QP2ZENE)YyLO=l;5Bio?lH^ZVMnx$+>}hh5 z2H7bW46@e(hy*b82gmS7)n*Y0AkA`9i{(sK8OhbEiVESDx*?K54DytsW%_U_taRd< zGC76Pg;!fSXjue}#|V{b2!!1Nbb)uesTzq@&m%<7@zQ^v>g$0?^>YVvYd{Q{)M~ea zwV~1EAxJ%99F8D~n2KjM#fo@J7KPOGS)8zwP!lejFkL7!Ez2&Rpyvx}hMhL3 zUSuNnf)|@2iB6ZD>rh__)E0YJ_g=TF?mf!p-Y0fey?YDa7Dz39r_kB}D?Dxm2W&Mi z8+!Bu!P0+x7hW*X6YPea#Hk=aTG*xz9u}gJ2FOT*32B`$9?XQRL1rX?*m;`EWa&+W zum~QP!mFgmo^`0Q2(U#V*SJ9%GP28zi3iT&C<`vEPwJrFHRLEc)#w3FCJ`2$NW>D` zA-hEP>&PZ=7iSPWDw@|mJjE8nho|%#EIX2A#?*hU46nk0#8!o;8le^jkoL>Rd7lAVBIvxmTc9H6!@plBDNjDTA^`)KM^?5Aq#RCMH%{qV@a z;iiAF!z`xKI7{Mlau)iWK)zDHqgYb)T8>McP0OufmICN#Fk2uF#=I4R|A3)@#**3+ z9~2AF^&sb4d!$@2tl0Tm@8qdH41xauq#e$`p2HK)#MvgQJ3@v9P5?V&s5N~*vMcJ5lr7kFWc`p0-l z{-ZxZ#}4AoGX2@&I~8bDZJ>k~KVwIhsdSNPCW5@$)m5)l+25EH# zun{(lt;NiVJ(D>j-4nPmQ~@nmgIFYL`BI%ly#gGrwP(kM={N1A1gU0c&j>cVH!4Rb62Z|l`-OFmN3)7o z{9z`<&B9Dc=#n#8JW{)IoZ%bz$B@Lo<`6pd=xwc$lCWO_YI40g$kXo^&U7k%R1>Bh zt1J}Gf2GGlj0R?oHf2A41Jdwf4vmn7zz`xql%|Ty2)LVFMh0maUaQe=N}+#3sYf0e zcy^3_RK(h!ia5AyRt$<5WmCkdN2ZFHE?L9*I=WgQ!l)`zVa38ctQ6B?P1e-t%;70O zZ4<#ms(M`1nd)(sI z++o>Fcj720JFhKb0hLpK8O0r%hlce+1P0(iL7Bu;y;*>}a*Ie~#Smhx;l{~L(|206 ztR3z)tXQ%(kF)7rNZFsP%?O9Gw$eyhTfem+Yo`|^%G#5!x5^x?KBa$J;jZ{uK|+iv zDUxjsW>s#)RlcZv8WxOCH$@V8N+X_b3{Sc%s2@5Eh61W0>dH>YAWLbaYGM+zl*cUD zMlUc+&BpbZ#f{Tlw2fDeR+I@e$3ZRdLOVFGDKD7H;M7*qFHC94h4pyT1bLlSG44<4 zCthg8C*#14)>HR0q=kQSdZb}h?bVaOR!kBXp$3 zK&XWpL&SA3g-9R(lZko*yhx8}@ENkLfYCNYZ-mPuxEN9ouCS%(1dKwS8{wo9NN+aL zldg{C`~+o!r3hHaL4x`WsA2d8+p4!uT}0D*_4Y|G3N+rW+M$0CVtC)G9LS^=BaZ}H zRc8obVqbPUY&mFpRC4enc547> zwA2lBkcq&VWH_RfKlXt^D=WBqo!mFwWvjEy!bA`B8}-? zg4TdJsTTD-t!jS}WmAjk4TGvhjH(q3@l8fW7`?_iTOtUSh(B)W>fwWYHZoKrN06s& z>;u3nHsjzQ{Un2fbbukBHB#1eDU5!7{LK=wf#YB!^xqSRw!}6`-3yEz|?S z5DL@Ayg{mHV@!dnW(<|KnEcX=A#;xbI*I@tl%g%R$X~@SgLrGS%K$x~EnS=Jq;{F? zZ~1y!gj|2=C)sI!wwdZloKfyuh7anK(?PUz#KBEI%Vw?JD!dkIIDX50%#<@{&5|wEFbT>#Y!ULa*T(FAxrR zv!VvcG`yCvd33P#erM5-zE z68V2cqj`xq%>^&f@=d9i?BbJpsdDiNz0`d135<;edM~kJ_ZDAffBG|BkouidSOCcHR52G`DSHK25qEkQo?%61Eb4gWR z-~qOvUPJcNpszTfL?h%QbJ23@mm!>*o`DLPdsuLTkJF3S&QDVA2YS)rWwVNC}KI^F~QBD!w)A4Q$4n{GCQ-Re~K4N&|}16 z=}KJ-gLJ~KX|RBls7}B!A6EGi3nkHBCbl7#GE(0vbkyoN;)#vvwHSaMTZZ4F*=UML zGFpQ#x(-DwtW&^SAcNij7pmKDe6W8A`;F?teuH%aAc=M2#-NUEzoDa6M;*CeJtMYs zzv(jEuZ`w@lhGmAgmo<0QP(NpP4~-%s{7Txg+3#^YHmv%XNUe^WOb=XKiX*wIgF8m zq4^MLf}NUeXj>`hOR@|&*xVCUZ}DhTZ@NyH8vrSeV_jnTqUs&As`QQQbU}aL*mfIz z?dH_N)m460H%{?o9ksg3&ot?QzUeZguZ^~-!x%s7I(FD9`8o9+>caF&`c{?!eRZ_bHyN$;wROVWfxet5r7st%?iaI0 z7eobpS0^o{Z@LWWYoqP25RA#Mu4AF@Qhqs6 zN?$Hi-LLi?m*3b&G5Ur8yDn9gUsztFuXR)+#vLt}CX(OEGN7-;*ht@Gbhtq;ztwW@QkxLf@z^&^N?3u=gakK|;c48ht}Yt**+iUX`LSwv2!M&U`7q$!Mjo zu4BJCQOYkTO6kjmx;6BrA&>S8#G}s8s7_w^t-v@_Lm@VC3|%W+5k?1(A>|6q^RO(p zQtB)DY6?(;H1ecfp;lBEsAcDLQY*Q`BUx}ZH)@5BT3wY!y%7XFW6Ri=?4>j&qm^2^ z4yhH^Dd0`1#f9qj8>fFwhW$o$VZW9u$^9nRzT6nDb#1?)qgGeBQ}a7oy5Dpe?$<`^ zfg&EQ`_*;0->^;rZ@OR0^BmtVUEFBD00eb5t)Ap`+Qe7ptm;s=6id-e0ZU;tz)waK z9T;s`3LUjNp_T%~bz-*{u@0o;^KNr&SKx3tE?E9}%Ty}SB?$y`cJ%8qf7cYnq z%W+`l?AaDy-{7|_=ifU0+`T(DTy)VzyXD_So3{MRxBups+b`bo)~|8#xB8B%rk z`=76GIKva}YX7qh*XKLOPufqnPq|(GFSFb8o5mY4Mf87xy4&RcVl5qqZlnLRwR9Y~ zOZ=Z?^>B4gxt9O)hQ{tGcccH)?2h+dqV0Yw^M9S)neS=n8U0BD_m#~5(}b?kFUz~H zW&ZCcbuGg)?yH&qhY4Myzqa6hGxPsEscZQOi~Dls|24ZMzhS&<{0l~IkN>xcy_C0S z{J&?ra#w#cmHud`^Zq}w%kwQ@D0~O#hW+ z?&1AY?gRe6*E_r;Z1;@+uPo0mmZ9!vnQv{&H=f6rknX3Mzv;4k^V!qSAr|d^JM(+O zt1SAB%-y3b%eM^S5lzH{o^ql>2{9=5G&;vf#Hff2%dh=D(ZyJ3^xf z=kH|xHftQ7a^K7RUG_FQ<$gEwciNjw^W)67Z^(BQJ7f6woM^u@=bjdbzLfcgtg}G> z{mkFp)LG#D^~^uq)GSMVG4qdHlV6!OGt1TO^)t8TH&$DS+=zQWk@@+$31o{sKU>!# zFyDXd=bB&=ynP|_k2f_7T)&q2#inLi_4Ap3;@bSGv1LKLbAG95q(Jq#%rg73__SZSG557w1N@)P z{ISM%!OUkef3&e(7XDP`pKL;%tp3T&AFqFfS`j_} znE89{O~Ct6=I^sN0p=%}@6@3|n!3JM*CNy3=PW`3$}0)gmmKd5UF=+v6_F!Q6%g^o>e>&+VYcKg1k}5&p z>;A>0N?>@^zm!zTmY4l=JMyhXl`!20{mXHUAoUJ^Hm(tEF!1N%8re(7zZTbsLb=_) z64wZjZU1^)Bdg!$UyW;IzxVn#;u?S1$9?{MTqE1)`wO=>`T)`Av+g?o*4_!WU3qiX zzkPjvbzLV}YM*~+ElUmEHU8bTbR4YUY84(o=0DTaD!6-}|Kg-gM^61w|M{kQL`t6YpIg&B zLwCr3VNE?pZm0kCNj=LyY3p|Q-&j-6fph*h8+OqV9z5m0+|(+3e!_pHp;cCJ@AqF? z6AVLlrT=QfJRM>6GyZE6=81oP&&!?n-)WjhxZ*kg-KJLIiWmI1CaqHDMY}ir?>5aN zLUY=GW70h3UoLcS`){tPXWw1ne|t?mhwd%^?IzenYM%GM)zm5+`=bAy39CeZP~BbS zzn<;QcaQgcIyz-O>i=rObWxI*d((fv2`mxFm;Lt|j>p2EAND_5(;|OEcftR#X%XSo z5BVQ7EFx_Fj{iwRqj2ZD{%31~VB{|MKW>;tNb`vQy$PX&NP%wiKVM77q1)zng7FvS%kH2@_#&GmPqU8{ud3ih-f|R{~+76F0EFAJtmo={;Y2tWY@AsEWV(;)G7B-NjLU zp}CV8PB|u?nb67Le%!w_p_2hV=U;B_WQPUStjSKN%n04>?*OR_I>wCt(nbZU0sDH`7mDFQN z)W7WCzB+f&Ay=zk^B+mN0|}@<>)(mHPh0gX{@u75ct`a)|Dm{gWYw?w569Jz5mdkK zKN?qu-Jka#i>t#%-|!#b6;+3QUXX)M0(qGI1^-6eKkR@1O*zrU^gZ znpO^;MtpzduBKLTN{9aLrdIJvNB*9s)&VXa?rUlld_CaXO|3lyz&bng%g-0lE@h&( z{rRRb9XM{irdDx%r~JK*C>$U(c}vq^AL009 zsfv7AWXA7{MhNnpe>kcT;J5ilqY8oaaz7VU$cDH3ndU_hzMA)sMHFrRW>QTSY+yMcu1;03Cv@oHQscmc4s8T(4>or|1ZKq_n$STIb;uu?&_T#~&>x=Ap^Grii3uG9)kpl% z2^|F0NByx09b}tN`KKmyU{LPK2^|pt)TT@T`CT;QaO-JAU!MS4>$t(ERDQ&OCd%E-R?h zugwbDjjW)p`R0YQr(ZdJ?t)w1l3y}2*tgGZkxCQ}96RA|zck-CGgvxy)H#%fC|!<@ zb0^!bgO2ly9dvwTbAIuR4EA}xX-3CRqj}}nQIw}J`q+_$d3TG9p2aeor5Ob@-zI;} zvs~sfl(@`xbi^`kbhKq2mStvT@GezLeY|9KW2G=Q%Vj8ZuSE}Z)-X`gX0{Y!4 z&2wCD8%kVnt4ujJbNKMdzT0tmzEz8>Gw8lMdOxvp*j-b;&!YW?=pF6XmhW?DzcG48 z`*r2}Jlb2)JKC=Y%-H&UfZ4YG(Dr})(zylf32%25Z%@w6xr+rYbF#;)u?OAa0L7*K zi_1^CdoYV(sJ|`Wf--S)H#X1G&6w`w;_~9r$Cl?^R=i>IO9YyEU~r?%g97HcLx#>1 zgE>mX;HBsYu^c3 zamd{wjYrz+{)n13m|C z$mh_F_#C+@KBwHYOm}?2-72qCa)QJoXD*yR`tEC|sY9rkFNS=r%=UWj5+Tx&rNucB zx-1kAxD77f8b&RjzTiHS$u?%(a1)t&BOYC4*q+;rN1yu_ur3vxo7q|g9v*`wlK0g^ z?x27|E4C`=BAb5?T`rtSd$vk!zlbh;6;yWdB8rUjfR<+E`2G?Gmdk)LB0L|2Q3S`2 z@P5c0!sCS7D$vimDS4Sy$(-%F?RfOuWq9=6<#-I7lU2+ba@xmX;1v490u7BLpr`B& zDP}a23jJwx3DCFk5}9;#$+s9K?f{&{o>(Dy`}N4A&Ky-(yO zvcIbGl4y7wj;5JymKXD3t5t*N)pAzjoaX@oUfBSgrs&E-S2- zD?qDrg_D0}FIaQw^#RyZt&t^fkKRP7L~mVpXY|%{cL6bhlKX+|Y@mkaJ1tQOn? zHaq~Bf@`q)_{`z?j=KaKDd{tZv5W56&8mQ}#Zxrzb?8N(fxRAt8v?F)2nEzYf6N_n z$M6uh1q%hIfeW==R@9Y?UrZf5BZK4xx_`dw_TYcfb2sDBcYE;|xLah4=3o$jeefTY zjh=)`4s3KEx)0s00xmrdWuq6+C9qKkF9CH+=vYoWi{Xpzxme3zxGHzCf5MI*w#ro+ zYSG;ACfKb zzeA(+y6lFo5qo`1wz@+1h_4^onr~9q$bBNaO#GtxF!)oM%vZQc?EDBi919&j4W~sc z(g|Ur!f!GtH^|t+d*WCzs@YL75HbbbCRTrbG81%~@5G9;e5e1!_g6O2O1&yupex17 zbJA()%rkSR&z_~Z+7`@JULFeI-R_$|yg*yFZ~n-F+YFlxuMpjr=0zB{pm6MH$8FL= z*KO27-(9SQfy=ZobQ`3Au&moEg`;QAo^}^W;rN;JT7VUF7v=vCP)h>@6aWAK2mlDp z171@q8L>r|4SfP7e`Yx#ARr(zWHd1AG<_Po`qQlLRGFFu?yhNy8-9HPF`d*AD)!{4 zhU}WZGNoeAV92owF&R|`gSXRR66T!XQMqnP7|So5U?~08hW{sKQJSmyl8B`8jx2l! zwQeR1VG<(k@y7}xi}v}j^!??fdmW>GV6 zb=<3m*Zq3vqFviy8eswAVv}vSzh%PVL!)G%(jMMQ^t>2z1@z|~MgWJBbC{^+lbQm_ z;wm6{rb#G9B<{6EwXH3b<5E58E$;RV8wl3 zUkU^8U}Kk}f4odcDK5s0_yR9a*P5>~zBaW>mYi{oXxDE?!=FW3{)r+qv`dgkzLg-IQ=}FS3*oDJ_0b%~$L2w3yCYKY(H-wC z6217xuIr9b*%@ahp*NY5>sh8|rOw~Jk;)aNEEd4=f54jhh1pSkM5t#i-?cCw-EtCo zR0WGUoY7m!$iZrx=;iIqreK{dfu>)J;I(#4wd-S%cJD4A52&z)x5r(Vh(LGq?2PRQ zD69Ihgw8Q#Z5Axs!7YP6JdEJezgx*tfXr3mMwxMZ=PM-PpplYl&;f1+WRJG}JBGq_ z{tLCBe+m8-I^#-zj_~X|phN2W{(6HjVgHH`b+(1fBT9W$uDukOfF7goU81!Yg+kapZ`a> zb!kS~JWWgR!$V#qM)Y_p!Jw?v!AolZCE$O@O-TOf(RGv`vSO*!hsXWZD2h9h=Krn} zt74(r^42>%FX{SLKpW*l;pe@YUbgHaRZBuj9v0&{S`iuADc8QM0kz2qiJ&*u_wqNp zf2J2|lxb%9dSO0bi1X`IP(m!Xg@Lv=G2bLUm=U(S$m=Ek~G| zFllDs$zTsj09it#m1+`GzCcKaswz5>#&Yx~BX23f#Sr8gcL1H*CK-;O%j{{TSJ|^9 zT#2KB&ONAN_T)h$LSU;dK79>5LV*Zze_3fw4gko5o9r7*{|Iho!oE!@i18UPS01ss zs9Z+oCzTN*4nRLM4%2Hsn~sI1r1jRO)bn70v6i8-UbiljDf{?;v>}lE@ z_yx!$7_a*~QRlO@8%wIu3?P-jC_tJSm`kC%rhhLtk<3bcB5v@n3oTMHWXwSHe-;>B zz4X?H4(oJ3ptiy_gNE*-F|G_cc>QfS4iY6BFL1gmnovZubolda7-{?TT~rQ>7xEpJ zXTgSZGsjQ3GopWavvS65g{Ztl`faB4E%5rl7JA%oQ7?Xr%DL|lffFyHT3ZI!!SFy* zC4r|HOid?rDSva-^R+LY!KlANf4zsjWoopQjuO0w0%?(8lGIA1AK~@b_`{W??Iq5B zLvHkn-d|PODs*+_J^@u2F2yo2g?NIsHj{?oX_GkHD%5vL+~nV~24e6i6 zl@nhRv>NXsh{Bg$=#)WsMfU^2WZeaxtbL_QWb#qUd1)ldSD}0=?VPF=e^kMw^PX0A z0uMH^JPDOYZR8*QQ^@q?^gOy)E(J>{v$UvELTAe>AKCaN3IFIyvMx^i1v|A*YcaUM zwjR+CkIQz_yVe~|p=>tk$>SXr>l zyyCc}?J$!AXlv+MoWyp+J%iCWgh_}mtUZ6ogE+$-RY5i?%bJq9rFM{RuXz-@U%ZgA z0r2BnDsN2e3{>6gpN(Ff6}y$4lR!}39aE|Ab6yLV1mma--DV_9mCvw91{S#)L8!tRAs*T zdw@4({54L0K+*UQDaXi>?U5mSQlF)eHoA{3`~>e+{|*DRToJuo4^ohW(ySkZzXDFV zySC1DKn>u8^t@1}nbPt%Dh`3|{$9lSYKy;JJXtPK)o>}*e@{j}R62Wt7M3m=+$i|P zm4Vd3BIP0Vl1mKPXE0o18#F@hz{J>6gnaA+8{O>;L&5SL&nCw0KsG&O zsK$Qy*U8gB3SH}iaNw!GJ^II3%&%5+qKK}M6=j%Hn`TJ(E3MCCD|_Z3uxrpBux~H~ zXB-}L6ja9ue+IFuAQ!A+iFiEuR4skU}^;iu-w5SB~hOy5Ub%18d zb3zl$^5fZHeK8t|r>XxxeQlI`v@%? za)?;mwnJy7v+r3H^hJ6jm&_tH$YH!KaRgM-4ZSg;f4zKd)n@rWAoq~U1i%E1ASmU( z)%W(ToN^YAY#!IJ9o^|264mejZGkbMgMYGh6%hIEgke^A@D5wTgXe4FH0ur=-6BH{ zL93L7MZj}`+!_m|J}}bM3)H#TQ@=2l0tpS(i7gvT2;P@l>&jx92PB@qFQ{7%WM}zleQ?jqHtoB zxxfWp!h!uv$igDo;wfKv98zh)Gp1KEz9G|j^?2t3koynzYaAeV)HtN5;m$GfaI@V^ zW6&n7g(01~`{|HGk~HKkhz0ww_*IV=Rt ze;rS-3yI7O`YA@q*#{#72K2&00xZyb^zY24*mL)zGOAT}s=Lp{vV6L!sR#WhKK9mE z;-%%|=vc@|)fD)f>8O#Doc6PUSD;pm+3}8a@SK_NU13{I6&+}Du44yFk}WHO7#GDl zaUXWr7A$}$V1Dmo^iV}&sl2s>)T@i(FHFha)ZAW<}$SWLV?r_5Faa?!sU2b8tDW7@$WVf*`uOlvTEE(ARkzGZ}#0D6lP7BDn-JEglAqge=;@w zK=yR5D47WUoh;GkMu?kdBVQiv!)uXcd~8n#r8JdF@?`|bpJ0_O*s&6xnv-F|F`Iw3 z9EelijdhFJa9Wn?B~z8ZBFuv5*VzNS(zh-?&$>;fJXyCYOrfA+o!#bJ!sbW7VbEzr zE{1u23^hCvo8lGc$;a6OV2^~cf3p>p)~$sq28Q_R8a`*H&A-JB{VgpGo4vjP3c*nE zLo|)uPZSd4>i3MDZj58&bm?!C-3D4?69M@`JXe<%^cQUU_Yq$6$_V2Y4aOW*5_F^@Hdw>s;15Pl^#{`PrYbK}%%qBzie}V}lr&l!n0Sjv#-umBSQ3Fx8rw(Ev{3~$c9dUkv zp3@V=Ym2!0X$mG%e;|KrY?)r3u{)is7J>=FPw7bCtp%lztJD*zX`Ohr|I02Q!bLE&X6DYfu^?SKiAf%Jofq5|1GE7Dq z&=GzQ;}H(JOE>!+;P$15r1ODh_Iw-TT`JyQJ(g~Nmjw=pf3n`Q(OVDGT1rfG$zM_| ze#b;pIkYklsFAC*~U8%a=MOf&Yn8C z^*6j)XLNjQw;?WsqqNaL0GCqJGafF{`u(I8$D?j)xPA>?BIEmpo;;#GmJNUoW?I6p z6>`Y9g(17wnp|k&M4&#RzYO57U!qW8NPQN|gcAq_e;LcRr(@B|4E@#PoadyEft(~z zdbALfK>Qk*ye{4)M1-tm(Ld3oLyQ86&VoY|$j6V#RV&*Z$uIlAE*0cgzF4}`heN)t z%sSv2d{Xr`(rO zgLRL&O|Wn|y5(F-K|o;?ssaH$BjkP^9LxAEid}AmZW@Q@>?nI42c7yBxS&yt>MF6L z=5z2iJ&(Jxm}bEP^BG&>2sJ6rGDMl@)54} z&IhWfxC7o7nAY~54bPJsGX%9f!p3-y$P6H!a!v4Bm&g_O%o61QaJXOWd3YMAYr=qQ z)n9a0K`-PS|Lre{r8pJ-`%SR*T*I^%iz-skM06QAw~^NJ)MXDJ_v@+8y@-7^D##g7F3_fE2sd3#`t7*)l0np&*x>wU&sv zTsX78Z9EkR_S7pW0N!ocXN(uz+nxVh1U4#|BszH4CQ)FB{>NX-S@`pch0cN#q?*eP zf%QqsHd-eARtI|ob?*AU6#e5!(>h>be|YzH?SZb4kIMz+Ro)$k_gT1JBiXVpU+eEJ zERzOF>TdV_^04;VDI`_>=)r{Z@eHJCC}9j>`_>f}+WQ~?yEh+S|JIveo1)bRQ*_tF zZ!C=E`k((Idxwqx(9n6$`WhU*a^8ZVzdzW0;zFPx0aV&=b*Wds<7S!K+D{FDf66m~ z%#Tr|Zn9ESKkf|{;W;szuA`~aPE%Q3?Z#RV$4bbx2MB<<1|yyplu1^T2_~FPQ7^VF zmGV0L^?D+7<2Ak5vkh)!o|A5J1Ky7s3aS9@K)IDbS z>{8<)6iA}w?0)8!(AA17S)Z13+;=~zT1;Tsq8>fH!{bAdTogSA&?g-hel4}#8o@g@N`pom_vjw3eW~NNNb^>TO@G~Fjb|$rS>4_MbsXhQGpaE#UdC~K> z)bXiD?x-%zPp(R6{XMe9jZG4{<_RIap(!*!s3n@A= z?4$_&rP(>uDs@-jF9&!6$6&JkI7@?`y#((5^G%Rol<*p}p32`+rKYpa3=SH%r^uM5n9%$z`-%ZBozY`6rxGd4hOhX2nML-g*w)w7eC>-y*d))JKXRAqgP7u4ORab6g;|%8 z>cNE;8=KY3EVFf_tBWDuvMrsUrV(3`hd083c4w*HaYMiS&;2x>*Zpd|5xs7Gy9vCH zxw_m~(*ckXa7fopJoguQS@uDOj&yDOz^7i35g%9e!^Ooys5fEmS&nH;!W4e&c4O}X z(PjJM`1oO95Y}*__xK#JySgXn+LCpORpqInN3J|wrnb8#?(GpB5}|z(5g{lO4p%_{ z!!%UW?4V;`(ap8-)@N}BujAT;;|FIB97XnLArcpJg{-QKV^a~%>W$BVfhwP0JUO!e z%;-Lpi+G`F>8UI_>t0{`;`Mn^zIX-GWomyXADvVH;;fL|jR|ja{l9L_GPNlTQoJTu z&wz!A%E&y^wsN;t37+xKXM9QPdEfX8SVn24@-`G7EAKOCFsT=taa<m;N+t+Sns8^zPm^ zgfu-|%n@v0oRsJBa|wVahzi$+wq%nm=+t!=$tKFTQtima_rFcQhikaFAy0GmVaF~^ zbbg5ce0L^Ulg~8sddgQ!r}VWBI$%)lb8`B^3eYLASNB|T7v1wNMWXcEgu>!U7T^U; z>8J{Hiab>`P}V67&&+0(OkSeu^Aq0@=&UAHUoPDF`XM{z8};IZ+#GX*FNmX3o$TJ_ zl6EUrM7i1ct7Qo60r#&9WNO2ZK4!6oMdZCKyQ`Z(?}U1V2to!Pv8#JovCy)KJq$GlKR%sW3G6RG%)x!NTR+u)foCYWae01RoxY`Jt(EY3 zpYOqJTbxB(OW;C)U;$`m$ytt6ZlQ!T_33v&_}+F!MR&$S@n~y_0b8;bT^b3R^y5XI z1j;U!{1SCfCWLgZOn6j7^Nq~g7I^ulD@SESFIO1~*f)iWBZ#eKP+rWFvtxE&JnK-M z4Hd--B#hP%zH1e4P+EV(r?8G-I_=X@Kx@qgR#FpWw1xkGyK^tpDJbH75?0|C*sAi4 zq*3)3(4Gkb@i*k%fi`UkIBq9#q;CZH!3lV*mM=kMEdf+#KSDP5*D|S_^#i86&Xnp; z^JuOSb~8PtRBn4W`sC5g+Mc@q;f0LdtiVsU-@RBsyb;FcgCOer6&Rj;$KX3}y$ z7FW66@Jh)a`JKMqTwTOUITT20=@#2;JIGdEaLk@f4+hd=cH}-f-m$&bn%da};iupA zQc0=X_7J=ILtYSF9dk@6c0fPjq-Atz=viVDlsPl04KPNMv!KDUJHe?KZU=Z%@znE{K7?6BZwiy+KGy>*t7yTNDL8AWp(YWxo z<29u`1`|-t!@)ELCcWIm=gnD+*r^`Y<Ab%ITiJx6r^3lQHr? zWP~-qFvk(u-RFZ)o3qPhSzvi*-o7E#(Oc7V^kCfJHQu&f=Y8kI=2}vxWX|{immkgF zG5>Onh3|$#k2D{j%$%T_UN}dSjB5zBrP%5mle8lH-P^4gHofoM)p(z}cq^*`Q+PKW zEDuEbBTc$!C{~^T%8%m*wQ}N$Ov7B^G|ntp@w159+QgnK_(FuiZOpv_VQc8 zj>TaK?x)zPFUA4!Xu;guIknO+EU#Nb3`y2yot|8~Y@QJm zMtsRp_Lu%U*C`dtVQma7oS#NIZ{JIuCj*1(hb@DPWy2CQI2Nn>rNKypJHZ;5+& zr8z&IErv`N5v*Tjm?Cj%4y)JmO)p*D)WZ&93-;p`FAnrcuu5_0IZJ1T?-&HVy9eOE z#HqeNJ#&cLMNG*4MaC)K>IItZl{=m0_tZif%Fcl+uo?M5;$fM9sfM{!%EOLW8K`Uj zNjSwV#9JQ5Dl|ez>qLajOG~#aR$2Yp8q=K-NlHq2c*1#-xx(_NK~Xjj5o4o&G3KI- z!(2)1?X}ee-XA>u7ZX+4k>}Vm)?$FR2W#!{4|+0pCF5(E+$@0N<9b#WMH+^5j8wC; zAQl65zDNKxmd;OqT^`S(6pc{kqQ}Wi0ifa6Js$*IWj9SDHz%}o~MfvBGgM628^9+i!OVsIj8B@jn~?8Jn8I)|G3pC>qj{h*Zj#O z+}(9?c(KLF@{@T^s2Da+7!1&^LRYZ6#HHz$gChlHlCWyyBogBS>hPJll$z)6KMawNgepyD)z368QVRi5A%+YmZ~=VkkOxE@oc=0TSrd0P zt-;zU+mcvnOpLYJl`KMcJt@m1ZbzfoWLy_C6>pUsk@q-Wt=DDTDz19i^Z72)6oTkWs#R*Yq&ywjUuU>|0tTQ~W)z`heHks99{tZ;bG{`2#wPey<*7wN|fGI$){xFZ`SXqZ41r#714alN>s->@ht(o zn+V|7PYd<7M8bcc|7LFfIG`AYhSbn8pBd!On7ZG0VqYIg)^92BOhyq_RI6QKF}&pV zmXu#&zX_r#2%wK{g+}t{uwK&j803Mb9i>&wJ7}Z14J@+|2ika(e?@@Twk^Lf+FDKX zoBVmeTbuZ9Oz3)ykIp99m?bzaj%Qk0Lw!-GK%ngZL@0QEs~P5z#u~7G#2RoGI^>=J zZTDusKGM~o&5ZH3&gUmU4Ox#@M$(NAW#-DAmr?Sa0bmo5O}Rk7nKQ@nfA=^pl(K3k zgDpwXALqJ185oDh3BpTCtRwPMqvBH}sA8c>99Rj*J@rIH9(!Vm+tNY8nQi*!*93{~ z!_!klq&s@~^HmRid8dm-@`tqx(upXDmPBVkCDj=W_9F1&MYNs-O|BWQMG=(Pw8ldo)M>*g&aKV9Qss>GE|etTh`8a;iSrZ z9{EDSu)Iv+9%F^fgLzM$F%%7Vbtqaki->%`Md*>n+&z~D1-0f17UVbz{WOY0$N-}* zGtc~JVp}M$Vj_mttOAy$W=$=~soB6}N;XhNimsf0(q;;mZrXE+QB9ULvWbW%iXUsh zJLLD?-JSa_SBk5NFe7NXIeW_~B3J?^S->bVCxhVC1)=lO8AVdO#7_d9%d$I7-l2dp z({)GeIo)y!^TF^BXt`#;5m(!D)npNq$c&NFCC=FTW0yZ2m<)$0#1d&q=tO&KC_%3| zMMnL!9x>KyR+~@q48!V&nvRm8yMM4Hc{Vc=8CKESE+90H>9E)BS&4aF&);sdWdV=t zCK1-fR)hZvFI$Xv9&Em6>{|KP5}K^DC&bDGfZH_B6^-)*_it8q*|6#cQV1}eD zBX`i&v%lXm%dPX=ez0p7egmk%!F2K@aI5WUvD_UhKpi59kKe2Gu?-Q{iN&_Nl6UOz zBIivCJBgmi>b~UI_M8(T7q4QFeyV7DJEE&r`z56S^VefLcCo#s=%s)Z{EsfSuN~0V zkMA>60%ZMM6pDpDJwLRgA5q4O;kpDZcMnKcqDV+o7->Z#v7P8oQ8NNH#Ik!r40P@G zcT>K(!y)yg!D%af3pR!j2R-Y?eUQz52hmO@n!mb_ZUC{MSLFl@wuF1Elw((cw%Ks{ zC;0f)haa7rUpG303slAdFd#S34sGv9qD*d`8?W8=zg)!9^5;hGG3ypAEGF|sSgmWIZ>ckcpQ02 z{N8&rg%*n-@!&s)hKY-JbSj`6ls<{&OM{_9SRl^bk}kHw2(OmjCfiC$Rj?AX^8adqtsh|7HZkhZ{wy^B&qccAQ}pF=lQz99kjj^C_GfCW+X`iKFKPUPAQom+-RX!Fq3ZT- zc&U^#7_0~2bR*v>=dMyh;omO*ZUg?v4TD(dSB4Ben?6X(+ zte**09Y{GUhVi+IL#}^wakm-noR4Txd_n7MhkQJ}8Lzwp**XpZ!OF?=ub`LIV8A1;~&kp;zWd^X{gxgNymWoXyXvRatms+6qr zv>yRfmf*_Jh&FAA#9hU-c+OH*pyZk1s4DHMZ?~)tVG^DbZ)j4}Wb`ek8+Aosm;>e( z2@G-v^T~}*-mczc_<*F<>p>)Q805tWb0<};~od#N{*o?L|zIp#>O_3ZH2O2GVU zmBZgBnCuiU{s-X}WA}^c&oq^f-#HQPBHs>Ha5yTyHE~lN;6T;UAJB+a3fe%sa~qXX z$m^7F?TMPmK-4oTvrCCV1rMY1lv&|vkrW_=fEMgiKTK>V^3uV15P!-t(4cNEYOJt~ z!bYK!hS}d&IrU1g6aB_3C8^tRiGdXc%wkR+9Jd&(Fc9Z~suO8hOtNytB5Ish?70Rn z4;0c1c1kC;+L}B%UJEb7z1!aJyEPQzmY*(}O#XU_$TX{ulPAU1FKfmwOGGqW^cZL% zCm3y#++3Aq3iH=i^6>kp`ue&KcL?YV1sSirMcu9*?M6s<(CQ%eIT+_o`v(fWWkkwX z5>OC*d!$(`VX@<&JFak%)}G^Mz|dA|*%(P3&d{|Q#K`agGFA9PGLXaGW3avRYBSt| zp1=sF5q#B~iKowDjUo&XAV8GF8#UH9Vv&OJvNI=W!9uh+&+>w9F;qx>%ervtz4FYe zgQBl5LtN05>h}WPP#?Hx2wdGbED8u|*IX>|6vI=;1(Go}CG>b^Oe)R*19n(X&_t|) zz{E{9vM%`(+(rKjL_l0@pg6~}Y^hu+zk~2|c>CakU2+_VDv)=!Ls|zn3L$(wOVO?XtgZ%6RR6k)?w4GLOYvZhakQ z5)Y9&m%BckanzCBX3+1Cd=S8s3PPPe*3j{pXbUK<6*LtSaa>&ShY!U!?v|?6BGBC? zk}4S5yMVsLN7b@g9f+fX`-Mrw`%}XKrg<(fhrDk-p)UEE<=q%?SSnQrE0A_L=-@{W zE4Y;mKW6GZaDDi}TQho2$k}hQM6?Xsd8lUtMIqFtV<>yraE2)Gh)cHfG!X45zx+b| z1u1&(&UjYnPscAc^Hr5loFccTLR!0P+p4dd81gJOSOt@hak)V$LN-v>VgATMc-^|( zj9yDQDwCf=?DIQ;r=LB3isq%BJ!Gwo#eCfL8wjL_ZRr#yiazS3MW)}(e3h1(iau=2 zB@OWNM3_yR3t_QGw3VBPrhqsXC-EYF`a+34A_#|8I2>C~JXkc;O56Lmlla3&3=ta$ zGMw5S-VNrON>nmiDZ|p{hgcQXm)Ati^Sh@j{?1n@HQ|nNh#i1v2{x8_i(wiWa>Q%sZt)Z zr5q)G>|ur?gou-Ros}aMf4&FL#-4g^nIlzw1B1N=;AvME1&MPWU^%@Ro(<}7-SF&0 zgMaT#GzGK(3q5ePUQNIIMpZU*;o+7}ZK@9UYIV3o<>X*xX3bV4yx{8{vA72ZNrSz` zv!=WEo>)#&W#W6`(d$)xG`>H&u#?xADD6k$p0rvBF=bgmv)A7#g3*>`v^|t`8hdQg zVJi1e7wU;gZpZ}Q*QRN%b^FEhaLfwuSqSengh8tbK#C9(EG7;SWp$^o5?$iEs3rIN z=6Kpp8Y9w)c!Y??vXtCF_y0Y;{nz1bUJm_d^OU$^Xe;_kuIgGYPMASLCJraH1w#em zR9ib^3u9DX0?_|u8VE|DF`=M;!89qF)Bh0{qpydh>qfF0stQohxG=b{PZ2?XLD(>M-4Cy=g4ZsH|6yKlK`(*h zr2jx49n4(*P4VJ<+Zsd#fg}a~84Be;{oY|F?bhoYpr4DeUFYc=oHz|A@I- zn>bngTWt}RU@Pr)G)1|88%`qfAI#0<-%vw{;Gc|FH?Iu-3;kpGVoKGk1%HQx2W2Cs z5eo!b^8T-Pf0_Ccm~QruGQ`x}?BCKe#yh?D@F38d_`jtg?*F0wKUAg0LXi3b1xokD z`a6(J{|!m9@BdO3|1bW3ALDnbfX3(3^o9O=k{}NMw&(BF@+yb;>L1Sk%Kq)eOi@Z} z1vKUBvPfC0fF^;qDNcE=fF`8)Yi8HRg&UqAn yibuf4(N(}r$AXtr*Th2EMNGz90pjE;{ZZ0eKvR*OU5(3?N7hzO#mbw<5&S>zFs2m% diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt index 4ee4ad0..a199b25 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:30 2021 +| Date : Sat Oct 30 02:02:59 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_clock_utilization -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/clock_util.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt index 7a559b5..101a9d3 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:41 2021 +| Date : Sat Oct 30 02:03:09 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_drc -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_imp_drc.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt index e935e4b..8348520 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:31 2021 +| Date : Sat Oct 30 02:03:00 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_power -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_power.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt index 8d86980..6cf20ff 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:30 2021 +| Date : Sat Oct 30 02:02:58 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt index da6328a..1924ad5 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:29 2021 +| Date : Sat Oct 30 02:02:58 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_timing_summary.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt index 932c5d9..e3fe033 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:30:31 2021 +| Date : Sat Oct 30 02:02:59 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_utilization -file ./out/led_counter/xc7a35tftg256-1/led_counter/route/reports/post_route_util.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/post_synth.dcp index abb425cfa12369f191cc4e523f96407036ca2458..23c46c737b0d327ae521406e4c6a2c1d6e8d8202 100644 GIT binary patch delta 31673 zcmY(qV{l->7A>4iY}?Mnwr$(SWTJ_AV%xTji6@!Zwr$(^=HB?VTOlOr5^o`(yG)-2dSHX9YaFPK zlU^JD6z4OQJ_T1+B6_HSWr*H<2&tpBlb0FvnP|?n;*niRtiW3um5#OQF_(y(gH8}_ z7`ZMonZE%hXymu14hXxpYSVIc*eqLL;EzV(85)9$f3LS3sU{dBcVZ45t@`i1BAqcs z$e(yUy>?f~xA-z96@=l3M&zHgyO!FVUPa+Je?c$j%hBzj=vX?p2-+g=xWl!S$FHYkB;$(-_p=OH@0$FE3fNh+BEVfdB}%l&6i= z+U6fEXXWi5l}@kgrHWrOPgc4e*0->J6xM`{tfCAxfCg@(TZx_18xCZQ(=V}$F)F&# zUQbc|;zUyCS{qqe?U|?BLVxo&yKk9bTYbAfpZz@(WZowy$K39pghU0}%K{J^)A@B> zVK&#_SWDX_w8^M?Rq;XP0RbXTQv&??=Inl6r6Rg=yXtbxl?GWq{h`#i$cC7-zK361 z=87#gJI<%G8a-wwDO-<2og%4fQ%-6A@TA-Xn}%hRh-F)9f8A9l6=aa3f7mcGVIt_{ zGP*U*ZFg%wwl-d&%wB53*k_=yPGU7UVp!Xf#f8r~0PavpGxzNqfMAjq=C>D(Cd~_d zr`INe;+*QuSyk{Z-07w^@P)*wYT9~{)@k2uEv|vrN0ow=yE*sGtfb@ByA_;Fnh>`9%iW<< zr{q;?+Est4w~8RvP!raB8w$}a6>9~ff6DLAHJ>KTXHo=#vYYGrOq>@#dqPEq%Ko06 zR$KEyWPR+B{)W3q5w7{lV)5vxA- zL||e|EPKv7@roNqt$C@wD)~+Z<92=S2kTENF>R|Ub%hKYavJXyE4?DCsb9oDZ6p5J zQoBv-zxqZ^0mJ<(t(lc$98i70!xiUr6O{bVZWoCo!d?a za9{hIy74jhB39*d+}3Y}M>BVH5XM$3yfM2TArXWpVLmk3Pv&ZWNZH2iqT5S|O3}6F zZS4`tm~}}yD2eemzUptt|8iPN?Z`Rv1E?x5l=x4jji=j;rZz zfJq67r711jjhXzrxmi!!b^PZqdK*OjE3PY>c;JPKaE_}IPG3!wv~^8j{;&GJ3Euz! znXQBNZWlJerWHNDUfQXeNTtFOI4leP(V#YAoj!r1ptkxftuuC|XY;yYK%PNL38j)G zM>tYuA}J@qudo9yjBE@Cf7t-E1Uq34hiL3H8f=_1xN319MS3OhHpE^TZw51JdH`+N zz|M}ua&-UkOD;5QuYdUHNTU-BZ24khUq*|WMcDIfQ~sA`__dg`L0OwG7n032uK@BS99c!m-h{!!fqM}m^@oHFe zA_+ItF-}Vr7eqUG!HUQ=i!*vzS9dRiD76d0Ipzb&-*NBml;C^0znE!V9e-6Ux;GN% z;-?$`>a=yVH^8^}kc2C2x0{-Q!+eZiXQm&|xG-9y3|{a)N#?YXN_Or0Oct$H2G)Bk zI$vq1;ul3mlm;OrDk&Kw@1Q@dtDTp*e_Pg}WLJs5S!pnfRxv7>&o8x_mCO5o#_dH# z?ocpCOi1-}w=0gMvc{yCGH*(M$#0!Fx#>USfG4+}YJlC1)+b0AH#db}hq5x;LW`#C zhEOd_q8!$c>lb3a6!x9&WEfUB0~cr&;qB1$cW+O|5lnsCKUQPCX!hcDPX7dk)niD2 z6jpv%{p9${>*!dlV)u1#d_)!@k+C%|J6zZuR%Aao6vp{Z(~P}r5um$=-*F6;Ozm2| zUW<<5^g{W#Z1h#V`<>J$Xm!7vBBh*xqACo7vT|ndHY4bM_7+y$r&y*W3E-YQJeh2t z(Uc8t_9yWjfb@vwcrDULs$N94-5n`~WW#dC?6kCk;JQF&bj1HVbzBJR%c2Gm+$Ftn z?7dOLzG3c&sSVxG{4HdWoI_bxz7}a(H~;!CrZMDP)-J_E`AEUgg8(KE@`JET^D5ob z%}Kn8c?)gV7=|)8O<}yk37}NuTE^}}Ggwgaf?FbT>k!w`>mkL0{Be+%9^VnrloX)n z<)$7XUJ%5G#pCJyejRcPpPcfO!r(lB-6ui1;ukC-!w}qCKUWeDK@OE@0}~{fB`!^i z0kpB!eSl2^jd|*39Xu=Hk66+Xubb}%Na5v#Re2P%;gBQ+1aZ#TQ~+Blk8&8?O(BWU z6r3#5^JF_S{s#5PIS6^tkzMN9{&Pu*Nv}Ma}3m??H zP+L$a?na4YW77fSEJeIP9`efp#P*yiu%aC{)m5G84~GsRnvFyRPh?zz8$aPxJ+Jn`!E~cNv zq=8?W+J;>Gq)G0oCG!X)!pWF3R7ZIU!%6Dly-)O*m8>|8RDg#F=79cQTSnL*Qk!f{ z?!X(I$TTLy48*|+pScIF9qJf9HNq86Sro>QM0)=$+%j7q*@7($xGfBmEsXmq*I&9s zX!POPEcgEQ$SCm%HHp!OkelJ|?-g>wpYe{=#L(WO%+xI&34eS^TYmL=@8%o{Bqu6h zaQ?1ta3RHFK& zlbfOMn-zjmTb^=15_v&_`db*R z6!_v1g2G8=`F_EmI`H$Ap+klV7<~I2L_uM3c5IZ5Cm~H+p!~?t`&p=cKc}bQwu(m9 zHQ;lSBnTjQCfB40K|D{+5|YnNM()nL6I|&;+TbWO)j{&szmf7HCA1-x)4z$7a_5?N zsBg(AWz|zfUwsNNY#XRP-|CILg>>=Rbv(6mpf+y+HN2L?z)4-$f!}*~ zddQ>xggXmoIA8jP2dZuAdzYn{BkQ|U;EwUfgap_>+y%GULM*?+*-xM6qRDQWY$dfB ztHQb2aFhP{WPc7S>wB!aqR3pJYV=@h02!)499?=C6nPK@SpLNFH{AGf9A^V87Kxj9 zAuxZgykEt%wlSit?h;^S9Tq&y?3uEw=O`*#*#5jXM%7bQKTI($#w{m09ezq*hx_|o zd;na*=~Am3*8U3V`EQPmrE{lX9*g#`thD5(2{&A97ILF8(~Cboop-*S{&g%}H4^7^ z`*Lt|B8f!BL;iK=PjZ*DB)bOt?PkBD-EcXgN zLQ`N_``KuX=To|T+x=IP?UQS-k_MYuS06CsR>{m7dq2Lq(R1dXJk8Oeeiqflb&V-t zPHp|3ihLojs~_k*Oj(U}5VhV@&I>^shY^3XASjj^%_}L!pl?c3kt&5ZW6?FXo`OU$ z58v$D$@%F4a&jYl18$-W7rP+jPNmSdFvD#31wk9~?#E>aCxrVAmR9Q4(&7e}{{rYY zhNirJc8ley#u}|y=H@LK=G5}8Wg!OJwIIy%eKiW8qN=>Xss`(?uT9xwOxFrPAN_VB z4+Bwlugd($mNV1%Ow0E=6tTe_Inr@p*A_#DIrjecrseDIim}a; zG4E5-!!z9Y`$eo)Z)FijI=!e`%-3@uqwIU(@KM&v>{GnHSBsCey(%d*u+lF;Qs?bZ|!8}Z~Jx3lHx#Yu6SyFSH@ zF){KGB;#+E@mJ0BH2&HuWfRy2r?R#~G~*xZuy)W`Z3+~?SP2MOu`WBgk zWEp8S-5jcZ`qt=HbiM^8D^7$zRSO@JxOq2$;nY5O=|R2)v0YVgf(*SItan@da13Lo zJp3MGpca8q~d7^IOd?zuJjlDaiK`6Md;B%^x)C|+uv zzhc$Clo7@@#CpngR*#|Zr0a3VB)L>&GhdAg--yzljrQm%Z{vSGurQk62<^!B^pD8b zAxmobH7wi}_=LlvECM=rVIPoWiYpPA`jT}FT6k438cQ^ensnCGSw94@$GPMMVI3HJ zaB!3*=**Lm_!gu5jH{(md%JEv1^O7YUpdk(JerHwM6?^ola%+=;->lt%|}?++6pkc(z}U}3Q*;_Cb9ptWVoNJ=f0I8B53?&q6)L!K@r(`oRh-5K9~7or=Me0gG88eF%IB${pw;+Ub^uY)47Ob8U*-7z-l zMM6TpZME|1Ev@VKWh77y-msu2pEtumM%HF!`ZBV^z5$b)JRbyZZdngEb=1{tc)Gh| zvKti>H5H_hhU2jR9#&8$%kac5_RX-}*ZQBWZdc`h?yvYz45Kwwd$lAwIXSj$c^|2D z@!PrGxHw(#Zrf5C5ykV5?d^m7XidA~d@ON*@;hA4SzpOZr%V-tH+Rzslz;6;GmHNS zT>HeL`2eoMFqj-UzYRQ{Z+HHUGuXe7!}YUt3O+VqIkrdBF~pR%r14VaA@pZ6i`y-D zru|bzvYfeRRnR7ZSy(Wo;ePP9+F)x)uSa#IibhwFj&V|yY~w{Yt35MyNXrd44;#Ru zllR{rgIxsM{FS(l&3J)8mAX;*-R1W02;GYOsB9`Hl1PGG*k>naxj77%3*epl9mv?BW{K5?-@` zkI{>n1}llHJ`KqEGk%@42^n=ltq2~_GBYR;l;8pR2KyV#B~!f8K$CFE%J@(3!K3I} z_71>Gn(~b}KEj#h&xTdiowo!?xm0*iNIdABuyml|3E$En!6j{r8o)-1@uyX-%u_&LnL&K zIRj&UupZm{&bh7Zt0!l5l>29pvWhdzC~oJ{?yss(U-m2R8}SHr7GAgfb6T8{kr+UV z|H0h;1bxa)g+07AR^GENk^8n)3-VKSsx4S@F=42~nYi#%q#lw>8I!qE+K)j&sSVU7 z7)j>cJYt8UZ!9;)F!2 z0#PCJu%~|b?DhLc&ZqsRs(UTbUvJ*p1w1?9??MLS&fKCic*mQ(0VKr3FMWV1M!8Lk zWgY$^z|#v_b@+(c977YqHv~b_8aTs3v6^hv@2DrV#|2D4FQ%z`W5=|AH}9KTd7pUN z8j-}^KG+F?59~5B#KO)@dr-)0OUu7;YLOk8n^}C`r{ImV<7pdtKu`x)ZA|-af*6HA zAVFJtJB;tf*QS%%;;=+@-v@Lx{Co=OGQpI|qXLQmc)UbQoF*7Gn|dT@2+~s3MRku9BDWxE~cy7R-yZV|DV z3`JUknoVSPYS5k90fxYlc7`tPc7{I??F`6Xk0oyicfh z{${l4M058nYa8bd#gMOz)JJyfPqP1=63lLbijpTx#*E$m3GkiU-*9^*EVb}ORCGb8 zUD3ZD3^GLveRSUDxQ`^hbN8_|F!)X?Wyacf%x1pEcu&v{m0enn155-GWUa$5-zGyy z(@HivZDn5p`(`rq9GIxaPH_&^s#V6<+fxJF9;R;2{$2`>&U9yt)V z>!sPa?9wmBo!#KyrP0{q&Nd`cUG>kd1wmZ@yPQW8Dk|SD^9~uNO{Z@5=c%1WRS4S< zyYO`{lOI@hqqaO?IX}4hQPLyN%)69whI107L4^@WuHPi_G||O z5x?O>Rp}{EG$Qi18v{|v*9#FmK=L!JJ-`~zt;Qg#O6_owSSI)&;J@fAd&`NQt&3t0 zeMWUu1>CPcKwaBkQvS*_O162YUTTh2wPT0+CxrWxSW*Q(I+6Y<3hbKb>Fu0=(CGxR zTy16iF5p@1DM}>GMcB71=B^{l{Ij7F;1lx<5Dx{7)GoVw7`4Bl5f0>D!tb8NKPB&& zsWvmHxps#6+E`K43ltc6v4W6EQ=hfW(Hp@v5xuqg0T0TvLTEH5zl9+$qiL4&L9%4 zG~ro1w>mg8H)#rrV(`+`31cJ~@B`Oat3F~cL8J%x?;}H@+U9q6OekV6;xMNq9V)SQ z$PT0rLv9*tAxE4Eedt_&e{NwH)PSk2M>EqSUGHxy(guO? z=8%a@%}koY?0%u*VDV4kn(a9!aG7+ZNUEYuVVJYZ$Y)e?Fd>4g&vxRm$&iCwt{HKO znk8eF(PYgf17}2<`R2kWYWo{p#;>Dut>)37eBR!+&w0-YJ}0s<#KwdKkl1t)7}U5EYEr=p%E=(riC z!dU*GzM!&xOe1Sj0G4LBQ7WT%I%C{kkQpK6#23b-Xnt>5meDi&(Dx&*)6BYtSLpAg zU&ZA@G3*H*abjeE-bMxx+b@Xm_qQKaVK>PWuaU^c4*dvXE@W^4WCL*l_CVP*ycc8q z1sW5YNM492;?eG(>05{{BCGI4pVx-nSFe1cyOd4nz(gO_z9 zkfcVOJV8+JWj}EzqmTlKgs?G0re>5d1?p@?;lC%iM}bc;$}6s?-F{ z)}64mN*#*=*9s;oL0f+c>~<~!JvczFjN&w#6rA*W9U;r+<(Ab4*91|Qv4q!Lu*yWfI_UhX{JRPToWlQJcc z6BP;Ffd44ZsrrBF00QVa^@q1U^t_t2QMy8fgyg0d5V{7QRkQSmCJrSUT-H;~mRLW#?tGjk z({Yx{3yOB&W-FI)V*vMVG3x;#z%7}`3X#`H;W3wJI`lf_;|cFxsOxsVsE1&&gdy=6 zM~4MreMw*Oq}iYpan9`-w8^J)r1RS9F@f`TP{yFeUq&!(Iffy)IF!(>oWk3uTGJ-} zQ^TvVO<1St!N3yYaGSu7!|@3+59-10+Y?py#9ohg>shw3SKSQRghF)=(CrJm46)vU zbKdicv%mXi7*BE7vvi^QAwd9XMrE@*TWwg*VTdRfvUcI@V`mi}`wJd4^;&^u*$I@h z8J9qXXffk#V)E6M^jIHywO>&s-w^$t?Lpy|>>J0}cYj92j<2e0Jt3(M@73QOe7mXx z0baA%KLQ>~0snPIG5yCS!xL$u> znV}AHsT%`qnXS7@sKyOh9RWxR#8p(&ao1{3q;Qt_(5U(@se*H z<8N(U5sxm6Yj)JT5EVr9!85;Yb(B9Ii#oQmpKLDkWV~V~1P|`xFS0ZuwH4~+m7~?Fc*53Eis}HP|NHJ1<_Yu@9o6sjc`!dRdsA48 z*t$by5{#|3ICrr#g1*eQcAc;#Wg6n5-WXbQ1aq0^A-CVQw#(Rl+Nk3+rhr>cS}hALv&7&y*lem% zQuxymN#`gvqch20I?{#tN|Mne)ywmv7?Q?Nu{mFaM|B6T*o4Y+-dr11EUINtygPS$ z!rRK9YUfgpf+;*g=`y2tAKXw=_)#DaPh}A5H??bN_Jz7kxF}I(qRvJClQ-?=#yk3gD zDatxkQ9`Y!LWH$G{hGdv-l}V)U85#1`?Rr2Jqx;Br@JpAinn2m9Z^c}rYeTY6peu8 zv?U%Q!OJ(AwHQWh1jGBB`$mVwHq|RP7&DMh#EqJaO27k5aMr-4HWSZfcH`6zx#tl) z5lsZ4cgt!O4*`g^)1*;v?XWJT9E=`}I>fOr^^Fu@iT0jhy4EZ`vBqaXSP?MyB3ch2wQ*@})qj%^YzHI~qw)gkpl#Y`5E&B}T(O?X)e{Py{muHJ)|Xr0Wu%7goC*WC#i9|#RrWxf1@Uk#cId1y zS!nQBOL5QiJ*8Eyhk;)BJ{yIoZ?)Tp$#R#@6Iiy8x%|a$eM4r!Sq3HV%LDn#m|(Bo zb}%699PfVkV77QPgQ>$}?ZW{a2J-uMq`qC{$bF>4jq`gSCVxi3K&}srSU&GPIGz)X z7gS?M7YHdxFg6{H_L^q&wBxF;&#C5nQ|yd>o>NwrL&>rsG2IR~Y{d|{syr}W?Y?hw z542@EQi|?^RBuM6)+%UCr?8yDbCD|nm2W8{}7}s68@>xE8rE zSP}h7K8j8O{Xo=D*;{~C0iQ=2tx0;dReFwcPL9tmJM^r`cK2C%h_K0*Tp{a(HQj<` zglkS=Rbh=yf$D)gCNsy$m673+oze7@PV(F2%Vdj}>(u4OhtO`b9Qm!`RM{9Jp)Q~6 zdyRj2FfUYwgVcL`)zAN+vQA>^ujbtKQ+) zPCo&hq^s?5gc#}CHLxFm-GwgD6nrjamb-2oHS1r%JShIn;Nxblwq&udcAY4{A$eA= zT-|!UrbeMjqVkOuS$Raly20i7_`QA)HArTvffu;Fevc1^7gKMT&EnXhb1qk_7FexV zpj`-`%_j`|9t!xlHX^s|STre@b2P>&mABW|k6015t2bX{KJodD>a6u85KeU+^$6!k zpWUJtsl`fP2wMl~t%~^o)med2qpfrjx6^wM=5LyD>ioXcNDZc~lqJNWY;IPVD$tHY z475-l$@Ou*uhU~K4Jju-*tiK#EQ+i_vy{Z;i>kKHYN0!HWAfwhum`IJu z9#6nH%AKhSp&*myaz3pvIpzrK8p~oIyD{P2T6HgCb}(TlOAc^t^?40)%a~;QI2LRQ zn(FIggaE?H8&}wpoS#XR+RJvXSq~WJR#(t)2gaq?q0C2aAbPU?$JZ5%jU zu{6T{Cx@E`T!zy2S;;0o_tVaTdU>~9*=E=5b+X@yJbuFOG&$s-!p(BeA_dZ1O;jE1 z`Y0)J3r=oe)q5F2(bGi-kYt^qD2g>-!l#lp3PV_sa^{Yn^py za7Xewr%G zd041>=htF|f_lQV%1!u6dVM|lpXBOtX_z~j35`nbxw2$OCeAXows|WDV;fazt}0*` z>MZ&;pB!KLW@IJMluTNSX>pT$-KxrG!y)nX2M48!gPKb!m4$VxEZ1Q6+yWPmGUJ4E z-q8(lL&)#{plX;}PT4QtdSeGO~CWc4{bMqA+8Dx#}HuS;2A zVvQ(4*01dN60nv^aNb1g*1p|kH(cP-%yNp!&0BM=C+5mEo0J29;@o28OAqi;YhjjT zxmH+&2;PO}nCkA}Ly8^sTA{rxk+$64$O%1bC12jq&x0}F7VvL7Eis4OH03*#aj#YS zem5^jwKVC9ndCX^HZ)@vR=(%0M3ghI$q#8O{qbxvblsxC$W0AM+c2| z9qR8%HML0Udy4H(sK2j2Xkc$hvtHhY3}Q!{ zZhb@q=#C%QNdzhP`EQj;1ZnpnU(`t$6LDUYNr=#HQ3<5GPu_C#2LWZju&Com(n3Eb(+cxR4}$sSAvzuS{E-lmG!C2gVHCW_dlGQzwJiF9cW z*TTKHTkc*^8aj8!5CfMA9G8d`3Zl%APC>Gm4C(Nci9Kd4X=pAZ%BgaYi6cfVIO8Y3 zM)d#ZaT;O%zn<`p{Z?7Zz!5X1bofJZyC~?OtL48QF*lyIiKA4*H&Hn~ z-J3H*jisZ^H;+5Hb%&1aaV)^9&qsBb`Nh$H?b4H*A@smWM1W*J?>!|BAw6#bD6C>^ z8M`3d(`VS+XCMk;-O4ZeBHj;s|IXhih<=L{{H{wPNWGsL9(g}4=LY%9d2ix^OG*t#QJ7huDgZEHv=}<@@Q5x)*EQ21MUk!_l|#Zhcu3SqG{J?IL&DZ`>}2NJ_`;Un9aK6jm(iob8VUmlTSd%J z4a>2PT7*mtjhq|bNdrGp%r7;elcNM=EMjJkM%3(3SQJXBS&Q?0WGuN;NEaCfEO}+? z@1ZUV7<^_iF*-ic)Ab^XiL&idcXLPBin-*$83tyNpxKL`NzzNux2Wcl0$~0v82Y^N zXhGj1n@`%sGs{qUC-~=_N*pQXx}#=iEq=BUX+yW{5~oZBOisjEFbWyt(k<`i*oF-# z|0pz_n%--ZRvF*1i@c>@!?CbuBB?Ys{p^xC<~eNez$_G`a^lbY5R|+~WF58?*I*2u zhiN%LFY=!=rMf*K(E44SUC7;+Tayp4?~DKZg|V_1VPDqu?-x z0g~Pnuj0Ht37mn?G-%FmsNOxCfid8Q_Eb_;ETGQ-s`m_MV6Hn%*NVb)=P0fMmq6@>@|g9xbK2N zU}~-{l~gs0@L=lpSQm~vOiGRol~gT@a4cg_VHeJO?Ngq|8e8KixVB+H?K7&o;w=uN z@DvSzCS@2fTs^Nd=o2lFX zDE=+b9UI>ce+(|%KV`@=xat+=14R-TA}UcNkHU`nW1lmgTLNcSq_cRw#2J+1&2ygzvhM6Fih+thN zlAa=tWwVNtG+`Siv$bZL&4MJ0$LZFxh4dij4a7c~B9;(g3ya_Wha(?|Z9TuOm0}}X z!5$XxjnGU%fVUr?hhS3l^WOoxkj%5~!ck)Ob%H8?g$YNti6uO_mQ#Wp;{Zum?yz_@ z#Ab>K{C+7uDCUI8p3jsXElnt9)a8Gaeh2a;tP4l|cB`Wgzb2OW!50=!hx91<`p+sM znG`Jte#C=tV#7EE#-lzNAA@s~Yr#u+t{IWjd268u;HQj1lHp8XpIH!L2G@Xus@L^M z5oEeLL%h^c_kY93Y=I;LW^7(>Z?)-QZ-|F%By|>zoJ(KpsL8+KrxZYvh5uNMr+hG0 zK1OuVGRBQcqB5mp_rd;{oSQPkXqmd#5Zr{LX7=NQ)7p6Y9Xk!bG#BEzPMds#lU7gu&+DdPNeK$ylU!omr>;^5b zk}lu9cS%+S14vC{5EoqBZw^68CI*$l2ZG6#5GGK%Y3=-sA>Au+^SVKDNg%P!{5Xq2 zBT8V@hd|zthtr2tT%3p~5$usgS8P2W{P;^Wk(S4@ueD8h>&c9RzLUxA49%#Ntz~gb z(TN0(r5BEqvVCTGJkyIfDP+>B4;^FqTRVl$*>kUu05f@LizLYf9o3?@VqiQozh@|T ztO?rrnoO;o$4-(QpLu4j&!#)`Sr1MnD-wCo<`9`xuWdn@ z?eGkY2I7bp@v21?LpFmH_-x6W2rqrzJS$y}Pvb-wor@&lrIJ7KW+Oav zU8IaoBdbJZ)D3?UB$U0P{sT>2g$~#ANq3Q=KO3tS{iS1Qyf(d2E_+NqE0K0Q1KJqn zjCa-$U8l;7c=k=d3B`5rXOMzM=a$4WP74Z8rOO15^Y$4neRMV$hlplMP92IXrEL%c zpvZ4dc0O+#RAb6-OLnd&j`&Zz?sh7@87@YOjQyl@Dp##Dgr}P#62N_CFmiNQx%&1f zP8L55`I;#9&EU0vPjKv7_;bE8HlE$3xzVve$69`=q_VoNW!?8(EuY=cDqE}dq`fz% zZLwZMc<_D(LrPpU;w-b72Tw$bEF^In;0$pJK`7N_{P}A!!~xa`^?v8t-BE$^0bwVQ z9x;PFMxgoINDO)L)<2{0z1kj;3IQWbEeWTy^*pV%vF~KYp2%U59*vU#eymEMYlXW~ zK23}3R|C7?vbIh+r@iAM4#Xw}d3)ShtPL1WNKZVwe=(lvbh@SU5!P~k z%F?T4gpV{4=Q!@EqsBBVWVq+nP7!{uE?=zQqf#7^|5uHNN7v^^2pf5so|ySiGflc< z)N*WV9PJ3¥R}F2U9}fSU_Mmzq(7=EAfgAdNYEtLfu*{~dzr@OSLOohB4Dq7|3- z8XA$x2*!1{9$%rGG%Hflg$oqxf{(AO4~A>_?q>U+lY+6^R3OWb_AeY`u*0{MSCK!6 zA}|nD`@{w)JK%I`!kn7K+a?$;rV!sIO{9qQ{QZgv7g=eM>*hNi&S3%YtV2Sd3s`Wy z$j;YO3}~$p6rYKIqbi@*>4qUjw7NK~le6AEt)a*VJ$L*g_i(%5q5ARE)0Uv2`mofK zv%Wno|FH+%f5wmY-urK&t{zwKR%uZ|x@l37;z2gNZ^Lnlz-8P)%EN^~)+Kx| z?JFXY0v76yy3Y|VAldAw0t+5{;`Vy%+=J3(xV0d?Wx3<%<3=UthSS6T5u@N<8(cg8 zFm_ckDtFclXN0#Rv<0PL1M|}BRaRg+ib&vd+HzrZVEPH;p z9&Z#bGICw=o9H{|t?~En@Mv{h^>^Znek*lze1zA>+;f(}x~P0%(}eIZu>bd2NNK#7 z)-TbR10B$}Lf1qevXs+PNYqKhZm<#HXsi!FCv~!XH08J#M=Q*?MrB(S!U-Yoh|ZT4 zNu>5cze9%8nTDc&-i<^`X@-WNUnnV8qb+AEMkt0Y{*As?fF4QBp|t8`L0Re6_ypgW zIr8=N_b98)unp(*0`GL0vvrTaN3^NL+9i{24i&iQ{n;Yl_nYS$)75mp`*~+)-Aore zzinfcL(omUCBty+D5`6uFT??!b&aGd#%$2tWh+m(BxZPxEOZdAEjzhwN5pr^E0>W! z27dhyKOjxy>Gl{~h(Q(&=JnR`=!W=s|Ab@GVQxyh^E>mz)mRWJ^T+SOhz%codZk#! znN2`ne^Y3xo1vC7H}f%MVo81p?@0`fEvax*%@>})(MklDRu;loG#X;J`p3^U+iTQa z3yhM}-RlOPfM^)kGDlR$7Ti*%FWS@h@;Wy?^DF2U2#KV55uTDFj@f_&$_?;8!M~-2 z19Q?Bl0j9TPlx8YqMw6sEs=9oOrdx$;dg-B18!pvta+4%8c-sXO}+lY#866$AWpho z>vX?JGb=jNI`QMye%=I_)?Qu}NIL4#>oYb-{2E#ja8*D2e_1!rG~7k&QbUVUQttxV z;F$q$Xi)A+3u>Ygs){T)MzV@5dZDInp``CeZ0+8z8PlBf;!fTk+gYB6a|(V1Xb~_e zI22qb=be4GuhbdS#6!f`=tw=MzG$4k2e&8;<{s!mUcXwq@*{A91XQrz(JBovC$M&Y zpX9LuxoSj&s{F{T=2)ghWzy{#$Tt>{KwMx_UCP-OS^lk{SdZvGkV6t$v1~L}2jAMA zY%;evh?8&`dCiQYlLUE+23F&wtOBH2#IqL7)b-=ASZN)?BVu}H(z0Rmfn7Q(H`t-^ z5sUiFFtO|Rt6zs{4fsz^PF$xEo=L#jd-C%>xp_UWTtq9%P0jbAk>W)J_0!jhnA~ak z_KHql@FU~`rZj>+$gE;Jb29hy=(pUpM0l@&PMs}$O@e{$yg15uDhRF<5kQv&vYO!I zPz}CZxjlv|w09baN8zV{3tix#TEj6;5G<~Zq$;_SQWctBcpY688eVu^U4?b$OLg`e zczbQAvebAWGRr>nul=GvTM?=a<}K2US77aRKHIibsnEm_p0#fvS9(=Vp-cKqpuj5* zZAcP338yPw*Ll{g5YGGM416?31dnDFEtlb^CXv%nQ3R9brX;b^SW-{~j&e&oDseM@ z*KPsbq%tQ{*mxhGvo=5=s;bp4zn;2*^gb4><(>)&Rj))~cnx9k(rfivS#aPE3#F|= z5xB`cgY9E2gsMl?F>1}K%j5DsEDUK1TlA*YyL0@_$DzAJ8)N#W3*fit?0ChjRXc?4 zjc~p9CfN_|Fa*{=_&}EKUdQ#EaJ~0!%i+g}%`b=d;RiONJR{Xcv*#@wGjB|{SeIN{ zdF240*J7A+@CXnSGmD@bJF!hI_b^>Dd6<3hNuz)7m*cN>rcKkz>GFs(N;EZ$-wrE& zO#c9b_`>sjOiim%M>F~PMf>wk@PFw^0=e;%2LtrKV&h@=TNWQ22*@kve;Qx^Py3|1 ziJ9qzjd@P`EI zNTxH6d@OUGJdUzgR#27>F&77G<@ZBOlw{rR81Z{Z+4fN{Ps->ue1U+?xM#|*3 zddaV>-#P1LKV?P|YSiULRoM5V{Y|uW7*XrWhBIZ9S>#-50W%{-2I0P4d~7LC-wYz@ z@E#u_qc@W9o?4qtl+P zcE^xxE$Ce7xj8}F-oUyanS*{Re0mgC!JrrH{q~KsR%JntT-gt+Kd{`=QHhAg6{3(~ zWuk&~Cu?hE;PfV8kD^*oqzbezB69i8eGT|akv{fXrL_YKN zDM@iN1L%kg>RfK~>OA|@-m8Um7~kc1VgEr-S^gjBlCIu+6xwkvSTBB92({uG?xJy0 z0ecp76$SXM8AWx0#c}^)4MD~CuW>UpqZkDUH${-*J4g=WMq(2sh$OJsg6BEmV3{CuF65FIGo%~}Pr`YL5M(2S(x>8|D3R6k4 zc1<$cVyQdBSQkaEl$#Q@wsEdxel`z6wc`}>I9Br5AuTdm>Up_&q0Nd2qDF}Y_=7q= z!2{&_>;OJtdT_+XBbqBCH`b4jct$$L_a6QMmF-bCdRCvt_pbVdiwJRgydhFIRj#PIfW6*i z2TI+Ugt*e*5875Ulh$cS3-`GdHd2jsJT*C@@}v@_KdKWiCe_~q%8=1ef{9jOpoLHe zG5`L#c;VF^$ zN_G%tyU_5ySn$5!^1f*PI4lW)g-VVcI#oJm4rTn9Ms;xB@w zLhS8Jwd^eYe1~#PP?_qNTHl%Cg=ULY?E?2?KOW2PEEL-}MA_pTg_rf4a%2J)YO!@1 zvFz5pCq~nii5Qq&l5Rb8@Q=j?W{Hd46Ai^%B2kOL*Oe7vf~KEJI@sYrA8IO!sJ&;; zm-mzlRT37V{7d5;a&V2}dgjEC<1&}O_?W(1Gxy1;@p)+yJQTR|EL$3aM1cHGp8*Z{ zLfs>w&c`a)07b=tSJ{A9slujS2F=v;UO%?%F?J<568{f=xaY$>X+;cfM}TJzd^e6bG><~G8 z`{c*SHDYpI*axeXex@jVIGC2-XD*X|HUWNECtub##Fu`?Bzy>@eG8q?;#|{*oRnvm z4vpsLT-$({G)=FN^X@a6c@+R#6N`6#XzMF_mi*lIP1X6~tf%PN{BxW0N0;nZ(KD|6 zJ!y@4HscCK=B*0o`jrn%ziT+yyz}#l_k3xWRu@%rz-^t?wNJvElZ~ji*3F9%`qNdb zYf?1yHi1>tL?h7Hf#t`vK%Z@G_vjAR6>j#ZlRiofacP>i<8;c%1iLxPIeM_HrOEnifxc2F&fc3kkoz>P)Lp>F@rnST`u{|4K#YptK zc}IZs0|8(m!8@iJ`Vt)tqXKNARnp1#6vPMDO86sxB2+WfM!hSVWY7U(9XWcCF=&|_7LDDm()2xw+lOO<`ojZnx1}mStz~6 z>0U$`h2v|Y?hqAtdXXep&?+9!U*8Nk_MYaO{a6tNwt4MtLmqs~uR(FRxtEXCss{o8 z_Gfvn6fO8}8+lxzdSLqx$jRl08(#nK)PmzLxc_5}3gm6jtZoP~C2Sw*-2nq5R_W2g@PZTESEp~l#c ziUY!d(r+B_As*R0f`aF(G%ZLAt(w<5f;~1zq15?54Y~2Nb$Xl{q*Q!YbxiB|miaL>f`r(iL zAwpufEp+L=QZ4NC2mV)k1%C=DWx?xkB2}D z$_WP16b~Y~=+v=62jK_VUd4U(toVJ4K$D>}N4nR}uXGrDhf{sMv1Qx2>25cqyc-k^ z@F$9I3H|8Oy#Y(2WclBtyu=8#1=R-LrYn&;J^Nexp*M0(sT2Z}B5-t&AWaS}TfbpH z)w`M=W+!_1+WI{EM+h>ol)Jw(?>5$UoT@W)n9r#d?z&8NG)#$OpSP0Q5sb+a01n?S z^*-&3!hZA%zFqN}b?CA)**>TYc!56Y`1^D*9y+{W0X=)NU#j z;o7Poz{n-U3MH|k6KdwfjhqH>XfZEgH8U?t(Xu8OBqtqmBWr`S1!x}XbR5IujWX+~ zGro)kE8#Par8?j@_-Zmsap@qC+9^8Y}R8>;3?F;6HkD$&2*AxzUZp^B$*akp8QeY zlt^{Wc0H%31c~0`(@J!N%KY)KjmFAXLt&T?xpN^Xm7ph;se}ZIjaR|ONTbAJ$oku% z7mA4&QC2BhBHf03L)#9~B&A1&Ak@TbBqhSqGo{eASLq+NON-2;o4!F#8RC@JKG1x( z+g0pSrP}%f3RL{UIPQg1OSt`|1$v!I0V<>R5QSPw$5{NwWR`tmk!RABTJ**v*k;ZP z-NA8!_|aKXeB>#>Z{qfKzcCdSPC$P6Q9qqRgJhPvL=6Ah+Y7H1Sy6|jQ7`NyNp94F!orfVLxTK&0hxo@Vfow31^ zC0)YY2{G%LrzGU40-YDk$?ulqPGow%+BEe#)R+^`bjVRaq2W%(6;;dpW?V3?Q#Re^ zTm3&~l1WAt*u*gJIwh_3Oc(gxJ}gh**PIKeUw&y{e;uk@*efTF>*R;`3$7m3gf#JI zQiL|xI(D1~)1n;3gwT9gu9#c*y87$l9?*>8CMmzk$L$`_ih=u9w&g?5-=kZK_#^QG z@}s8*tUaB$oKK}JY{9=B&F@tc<764soY!)nw7Br(+MNr0Z(3ow@HV zg`Or(DsG_{fR&5w^YMSAmV^(~)@5=gmQb{B{tOrEYq|IQFun`!g;<6>& z*o5|okky>r_VkX+WBul`OvF@KWEm`G2VJJ2Qj}H8-~q4l)<)?+_+>aJcORzx-nLd1 z*dFkPb&-^#Gu!V!xvUJgR6AMNgQ|2+m3%mL3Q&g~3*Qi=wh+Jl$Dm;PzXnhKIcT#C z_tLlV-*;4ofzl()Kum>ZOa<`%O2Dmp{pYgh%T1`zoAmeV=q|Tg-!k>kZqA3{=IV9i zqWzk4lsBo1DE{-~K!EgOdB+avO>;AGXoK)&G`qb`!FhMn4fb84236o~ep6B9E;zIr z21x65LhTlK(4ghNzTgW4GJ7#m0jCQ)Rqlqj&Yo`Bt;xybp6+)&h)~xqKo+zsNFVhzsouJ z;v>RE-@D;+;Ht05e?j9sXhXoW#fEFa&gc0^;7BDK-KT@krb-{SVvS9|`+9sXy3nCk9zoSl@aWjF6Wh3vPBr=!Ml zjgW;63ut794&Qu+Ofj!Y%F`-+;QZ*cKzG5e%lFo5^hV};aLmWnZRK5~dfaif*H+c? zd;0a+(_GWZXtB^^!Q+h3%kC4l)u-35bGLN1t>A(U#P@uqaQg4#b1r2LGPZi%r#(-;_!*{?jmv(IQM?_w?IBxV#KJ};A3#~8ib9ar$i^s=F)qJ1rUl}|Rh7hW{ z*OF#+fZ~A`yT`fv?`kJPucx=v**=5#KqCi&>&Xo)a^kVZvQV0$(DUw-^(hIH;A`x2 z;M4Y#wZ{AZLj}$RC-~w+0|w?!0|tP>{O{dV5V$){=z^w=yE+TExX9;^X2{CJ@{dne zZcgT)6#D4Y&+Nax{2oNzX&T;o7-x*P$y&NA{3(bul%UuklSfL_+o0tbwtkDedS7{kRTN?Y8PDO>uki#UG!a~>6NR(Z2>nw1Ja`u061 zPe_=qSV=t^bR+8TDwKjbV)!`m_0C2!w$H{4b!SGv?!5Sjd(LX_izAh4;O2>J_)c^S z?Q)4&P0hJTr~YHwFP^xi%Lj8`oSn`>sU;1?C4uZdL=B#lP3K31WZCvvQKX?uC_M_%~ZU_ww;-9%BkB8Pdqj0|j9nrI=fHj@`6 zdK1)udby%S_IJw!=^$t@B=&qpOvyp0Z+#6P98DBf?D{+W6N*=|cm%P1m0I?`MCtm` zOoyl_b^#m@;&!x{t`ki?W~zc+@HEeK7QSU{Vg!R_P*pH6`Ko71jM!w~G>lx_U`I)0 zc_5BgBoysLN1;AxLXxvnT_)F@ijRkTw*lKoR{OHm9(9dPF6NGFkYaqxw?5;<}QajUZ7EG3)P>jlRnzLySXZ_E(G7kXyMpB?#cC4 zBZe{q>RAj}jiwCjE!mU!?lL8sGq>|KB`@*jweU$J>bkIvRk_Poa@%)rlP&8{`9t2- zrb;f?L{DiTEv|Zq3;L7M%K3}irUv~=iDR?`K|Si=Eqzff!V4sekeCz_SRKc0W;LeO zs?b-a2e0bhy!^8%G?>E~|HECvwYkoIciimKGQZya1^rP3M< zK}+OhhTIxSntJs>s^x?94t%b%Md5Z;TW`q#rLD{>Fg0)&JgC-Ooy3L z*`dyHQaq3o{3IXgi8lxn=GcKgO}I)ZEy7c+89*Ps=po>ailTB$x|36X2kpb_Sl&mvGXT2H%~ zjb3^$1v#{&9KB&5 ziRv+v(#QbmV6}`a%9yz7a=ne^K$Gm(4cPEA`_jIaYoEX;yAiA3mfr3e=;P{HfJ62l z@?;^Batqz zOy2Po@u=A)LUd^s_B~Y?qy!m|DL_< z3$$MB$2eYXpaO4S9fJ}@wkvK8tIi)i*3NG)L@%5I5s6M3D#~6!9TR{Tcm`_Zp?%FN zZ=sMg)G@@eAm(94c&JmJy6)}!Q%_JR2$YE!yo$;GebsYxABt4s zZ@qmJ5~U_^ujyiW3zXA&T*YY%MbqrzBY;Q6jRKCPXRl{}KH*HY_A6?BX&;rhHb(`) z!Nbxw2iN<7_Nq}3QWQ|w)+I;lbzC(J#^sS=d7+GndoL!S(LuwH+0DY4FD4IQr)f&DUGLHfHJH}DL2lFB?iDz5hVjH^7c z&eiQ}84%78M7qpQpxC_T(@$q}wA|Z?sgns6F@~qg%yg^P(oEOhg>@Bub!*7`P6AHO zVtop`63anK_v%q%NfiEFR~XKDYG}EU;VLy+^NjdQyf;`foI$;l1Iz`qVxDMeA#-kI z;*0Q?7lqYN@R|mIepAT~i>~nY#GL7ET*%2>oGbQi{9j_@bOWfR3k5xGYO2(hIn!;D zN(&EwEsf@r7ggC89;LjBsnN5P7YMdokMX~4x6xrZ9n|W5@);u6VZ4%2iP8bpf2^N2 z_526|V_qmwl@^yUhh*BepnGW?m;DB8~l^s2r{JpypNcvb^HD4;rCNDA9*HD_WmI(^&S@9OW{xE8H>aj+nOkx zCC*a}-Ht%~202)gF%0pe;djDal3-j4>}aYeC7Gbktn*gNR?|Bs-onLx#zM+ghoYYM zcRHrvYIN?rcNB<4qUm#VEG6xW?<5k|xV9R-e?MUY1P$Q!xV*M=_PEwTj|=YmL2UY1 z$2{pn?<_sUtU*uMVs=%gYxmWqevlRkVBO&$+>rH)A64rS=TLeLxl;0?t*nH@eKq8Z z^^|q@^z3&86m+{`LNqYgCt7nmu#%ySKl^m$dL_cH`Do1EZwaOLDPWB#tBv%TUoy|{ z_CySTmx9HGRXj0}g?OBTTNNbUx3X%s`n5bRwry%ZJ~HD%{-F0=DxJFbEWbTAk3r(I z*I8Pxu*wsoU1g)>3+>V)nPr5l4oONVrV0sMZC}mv+R-P}O7-KKwSmK<*9feLGE(0r z*ON1nkp8f*)cao%wz;VCUnj6UHgLcA-|rIuAax$}gLi~8NYUx@AM&JyMBABrL2e0> zpO~SdD5A{Fb(XLZMB??osTh>T^d~IsJ&OwBNe5J(O8+Pb&-2^37*LjZ-$-q>HY!NEqwfyYNE_ic}r=N2DKeN ze3>qaY$tw1#Ih9ktVM0MK*v4LS&HQz)p#yu&H8O2hv(jH)$I=+oew5wvr<1AMu~ylAOKB#)&hvw)wlD3QD!z+TncSVX8yh?o0!)~wRat;jx(8M)1K#TG*M zyJFq}L1s(n`+JF9iT*MNBi@(K?Jx&Ej|V~(`^tWIHG!Xq309m!`dUX>7aMfOP6*r6 zBpnH?%DErl+K;zaa4jUv=puRQp$~E3)hE{S38iZhfTi+*&jUQhi%?x{3A}8=0DxeH zUmY+-0CI-%Jc|{gHqTe0O#J~dJf5_XXF?`qwnoT$G6fK{0r*}On0FRd`x($x-CB4j zcl=;-C;NqBnM+Aq5j!U}A|Zcn$aG00zHfcQFsH=*c=NVI3Dw+W$nZO7*oAaww4%suT~RW}h3uC;U~g5t*ooQz;TWf*8R6`}3;T=n!<C6#18;n<#7f-89|tG7q4q%uBx9Z4!H7b99oHu)srw z5-i-{iBEKFQ?n{qCCN@q;8*u^rWptX@7#4VYvv7$;wNyhk_zGWB%Oq4!P2`c zgvsYUR7NbjASJL`najE4>|aNueW0E{YbeSSSagu5A1BqA^{{{gYP6nz$V7K_%Bu{( zYArebPJp`-S!{ky_+yI6-gzRPRW48Nt)n(8zSw*avruA;3^{=F=FGq1MkiCZE8U)?-LdWZ|~Jt-xs8nWdj>t0n=t!k>9wU>CP`OEZC z&0kGxi}oOBtmPFUOUO6&G(%H0Un* zoF|eDmWllHt^{Ec2rTi!2Lvo?I8I6#Z?5DSr5{Rj*$?XS$^FIy=N3)Q5!MKaRl;5 zH}j4t$avq0RU@~$TN9fl1kIsjMLu_Sfa3!{%isZqFbjH`Zc5oTw!g%U92y`#tu{7JzpmQM@5Lq!qCoaZKCP6Eyk1~pP`W_F7qrC2N*N*? ztBQI;fEek1puHkG*7WoT3TAgZ<+}V1=}<+bTLp3e<3K3|g$g=~f;Z-)SYi~6R8hHa z*_*F*b90!8VsLAIlUT~CkzWeJig2v?Du$|<=naL`uc#?%ar~DOXuG`;R(;vb73}=B z1czUt;wsj&oCPysG@IpTd`xs-h+I4dpi_^~Yfh9-e%?YH#)K38AY|H0%Io>NVBLWJ zSQiQhG}VrXLB{DHgHvoc!OZ4au`lIbgSluyj}Tifx6O?S3TK|@YdH;G8NX9FZY>phtAmXQXW+5Qa; z()OIOLd%yQ=+yb$A}BM1rUo~2T3RioH?rnAAZBkCk?K`q%vdm>=tGH$`ZkOw=VN0c z0n7L-vOCz-*tQBclr7&oq_NUo$dq~s=PzrNooN?0Thz(5>+iGKOg!sL4o@0KRNl`LkqpOzO5?)&aC)?uqO|B;k zWY9*Cp#g&*so#zA8w+BlId|$F$n=SvP)UdjOtIwkW%9>&Sn)Fqh|Zi``C<|tlor$D zf7W>*e%aD-NH~3x0Y{H%LqMjvMcWq18b6mn{JnLof1QSm#sZ6lWm||51q#`iRCDdO zxBP>?f{R5$ZSl&%gd3FIuM18FF}@VqkbFYqz(CPGuI1$ER|gr?>yxlF;5K0leS?CP z7JS-(rWlQ`5co`eWXbyp%_+r*msE`XLbXkFspPy&MUh~V&7)Sdv}yE1He%2D4&Rs0bK@o=gS|FZn@@V1(yHS+$b6<>N`*7n8>{9pp`k@NLH&sQR0%*aYvp=Y)6wb_&b3z^|5WWMz~0mLZ# zc<%{*KXWXB((h}SH6bYdW&S-*{C%&MWH28|FNvD<=--)sF0iaBePie7fy~OmsB>As zf)1y$g$dBpLb1ylag?5V1pq2EMq&QuofCK=mZL&$FTU_7EPk5lVdnR?G^d<%C~>c$toRJ^O>0y zZn$#&;O@fSr0~p6kObVd$twCA7jwPL!LvABgoQFbfm$06^U2_2@GB@3A>%=@j`$Ne zoF>68)q3U$KL-Gg49;n>EXv=bSp|ApC|e&Z1;buUP=KYAkMc zEi%0}iK}x@M8doAI`#as#j^c5qutIzV#k#BB1^IiKy|b3@>>34qixMq97UIIFRfx% zXqCN(=*xKO%b1I=cpeQ{g+)6oM;9t+2==cyi7_m5ZQzN0I&z=iI|%fnmAYBBQfbSz zagMg5LlgT&<>E7C_zT94S`_`ubJOqZKxZsV4{CQ#k1gF zEkl+KR253*b&c}`m`}O|a1wTb7QzL!kuK5L92U(;buHZ-zwKdZ=P2baE=~R+y&5k5 zz75`m(V&_zFfu+&LBjY8%Y?%93<}ktHPB(3WKO0)t;`N!a{|~ zVIIv-8om>}?Cacbk?7On(@M|8M|kJPE3h_Sz#J4@gjv>55%zQsR`S~x!dT3a)k)u* zqjG5eYsJz4djNZUzn~fa} zKvr|uNLgD}PCo*Xt$0U)5eL`Xd-%WePv|$|u(K4pTZ8}93QR`$@ z?g?jxHHmqtSX!SS?2p7r^`WgL>susw16Zud3O$m3$h|>$gi7v9_5PIzd6n6ZXJyoB ze{xTM@xfJoB;1{*dW0)CehI+GHEq7M-V%ziTpcRb8GGq8}23a2TMR$0Sh^UG=J}+hd8R`<*X0gSNzFGYmHjcQ40_hI%otUa3 z%Qs#`4_KcW{W=)<~{jfpR<-NfvdnM2<_O?+rO96@Z$20 zeg3Tr70)o6FbOqK`Hs>v!Gj`i#X)W0Ll*;X+n+D)?=PI0^2IX_`vV8E=iQuNjT1W=;NO_35vShyo7D#*{PO$uWI9?BXo;4q5ejTsOq#o-Abw)P- zmV^R2`Ar31Hk%wbRKvc2^74>4GA2+50ij3$zsqVuI*utAMh#x{MkKZ9w_W9lfg7a;+1n$4ck<}W-#QJJ&459A!_G}bnV99gN82T(R3aY75U%X^+v z8wO2e_%Plbql0;SrMKB+PMBR>TZ^8)-&<+!>yjy|k%lwFF1XcWj1($z?YdhlX-88$ zDlYN|fO{PN+GjYbQn|5h1DB5YBgDvL)sAeH(U)(+!mjy*zfXvzUn*9>W-EVeT!hg) zJ2Ji@@-roi>7i`95nyYtx;Rs>z%i3Hn%ztk_JT=IqmU4#%?>x$>r=qn8erInjLL&d z_dBg?YnKizb1{pdX}(NDQ2#?qzByJwPa|_vAGOLO_U+*1WAJZMR7(a8KoMPJ)4v2fR{up@t-P%_S;JsJJ~Rb^+}Waj=*>k-G?5AbUk2~ zor|6c(U#V9A24`yPSMT6G9wKXmJ@Xhthwq-n;m4!lb>6u4f}x=L>NuAapJ|2iYjRn z?Csv|&DLvhr=iNQYsJc)C2|4H<}o2n5G70rNO5u15Im3U)C698iNIn5b$wFev&!|{*z0VV0RB4(9PcrMu+ewZUpK1@{i)))AzdQv^VM_ag1=*2V@Is2Rmq^udThr0nsov$)l7$T^tz{R1SotT$BMrCl z`he2=i9;CU=Bj*JJGXU%&JpU~52uG3&Ig38t2M?i$l|tdPvX|wzF^>L8JjMIRc$ma zWQTe^7xoT!Zp7FF(}{0|@+_ybQie}7_6(IBvKdP*4Z%dT=Zqh92VNBd2Mjar=NwT= zL22|q;F{5zKI5c$Wvzrn?hOwRCe8jGPX>%@87x#olRkxCnCH+%;E2@OSJ-N=dCBlL zuA=W-Ez)Cg1^-^vlxS8pNh&kKI4SL*+1G7~(`G=YXeA!|eZYm3gLwOfA`QoL(B|9r;ln|1as1l*@EPKWYvTKqfHL4e ztgbYtnHn3CHRA%uWMaOc0oma4i|ky$dS5Ivb9%7g`MI7tG=ZarOsvLvteH{S2rq3x_nViy%}KxPUXPrM>@wD z*Xrh(2l?+6=*`RSZ{y(XtKxU}=10JR@Cca^)|J%l`-hYc-FGQp|l0QBQ`=|^LOJAdu{DxRtdM#0Oq;erOFB@1} zJNX>KMoXOGzBmk=v6x{s^Xi6;?!k3|$&Dhe+r%v5Ph-B`YEqsKQeN#wgeM5~Yu2;l zg5>=Zuy0OAoB7e~##8G6a6#N$1lja)*nlcm(!Vm@vyt=AZ(_&~t-7KpfjLQt^K zIfAb?Q%14c?Lt%R#0jpT01oOOR$K4gy5uHw!qP*}Ux&yB4oP zVWNU>BfYAH|4H8YCRu4egXPH&V;RGnq}lHJ^5XwBj>l` z$5-vx{9hoKG)(}KvssbWk8f-_HcP-ZLM-OxBK&I)$zIb}hYksoWGEd<7MBsQH5@Cn zFixq{=g3YtNamVXUaJ()cGbKsB8#e4(U{CW7(sqRv10F)hY^D^`U$nHrE2PiPL@}L zzO6B3O(tT!p9krQGrHX%nHXK+m$rb;N3grlbR79LD)uz-@SSJ0#MnFB z>{!WHNdwpbA9!&!l4d(%2oXM%4HYpgMG7UpmSd#UStFpdlsPWdITNc>EWB?>Be1x8 zlW&+s^P~r{vzUxe{aYOdh)tX(28-V4vV0+3|7Z>!5 z(@v3<8^IgHH68r*boM+$CV*L%;ju0~wU01>(w@_uvWQ4Y6_oTle&AFwxw9@4Z3@`` z#6$)cEK6B-S^WG<5sQ1w()uCo_a=R;=r|bECzHj_qp?jlYfY=3@HyBo;aiFS+Z*NCsLsgDq%Txa4C- zZAIN?fA);TG=>wA&Lf3{C8V4w{6c|>xekZrV^=HCNKN10nK)usy8bl6qlt@#`a8%Q zwc%t0sRphUJMMLC5q(M^(piMg3KN34gkv2z^&N{HfDj@GeE z-C)sSH~YnVZxVu`=5j@Oc%HjSm~^mPgY=Zf$WcAn)kX2(>Viw~nFLVBxXg9Y zOi+mAJEyd@a@x7H20P6TU1v65m#Pa~(OmIz^A@Do(|bAVR3<@Va@;7j2$nPkUMA?F zgR?pudiWgper3Gq6TaqNO}RMhSR4<$&O?akXN){2r~ag`$ZBsg?%|kt$Rp0|T~0^Y zTjH&zBQaJldU@v+>aiSs?F_h+VlXJ7fdc|HB$L`=OeB2w;U{CljZ1ay@So?9Nd&_D zz1%*LI;vjj-5n1t&n3v#mc<>71lVPgx~ z{G?RF0k55%26d}&zhaC;XG#GsmRCxZ5@F8Kn2bop;XUL8ZTxWT&IU-@iX03%m=-jJ zitP2Y?>9kR3`uZaQrL|o_vSbcaYWnR>uW z$`IRy=I|)ak99eE8awrK&hU)ifOl_P^=FlS%lI~HXr-rJhU9*pZ{ZNB%V zPpZLHKJ|7|&@x(~gp$K3RZ<)2Cr>DjE=BLA(>`_M)z_OxT0;^!s){u8&fbLWv5SQ13wpQk##$O zzE_qwxb1S=^W?zd^TrD5Vi9o-jqT3=Z`Li%UK!fa2h&mOqf|1&zZj4DPR=ey$tcti zcM#%e%`h|&G~n?6r=oH)7cB%m*Z)+w_XGUT_U>Q!Ve8*ze3fLuA+R9-cU9)(G+GEk zfQ$HF)0QA($N!%H|8r;m$7s#JQ6HJK|8LO$l)?2spl=laa`n%AuK$Mde@w%H{R8*U z6dxbup#@O?CE@uGPLlKAIOqRzCV`gh@CAYp{3JQ*3k1&psK5V@4ag5i|F{bOP~-o< z5r3$M{!2Xc57fs+1AF&3{g4y=6ZQXt{z;Io0SAM(NByVN|3mfvulDX=lFUd4fy?=i zFZF+MSpP|3{m)5TZ{Ytj4f^kJlD+642zdjjA^tJ@&mW)B^}o;1Q{9;4YkvJOmGluM z^1n^~E8qm0S^`=a2WWZi-A2PMn;|&JM=LW(w}MavrSe TW*#6-O*w5*TMI)cVS)bzO?Ep{ delta 31538 zcmY(qb95j90UaFAJxdvj?T^6Dk?!+ z(f>DAQ1zYag`F}cHlhDwNmNbh1QEMefh$6rFWAZ=5eO0Zg8() z{rK;wah}{4Ifb^mdxkT(3fip>w8R5AZg2B8a1+wvL%DdMQ2y9JZzvj8Rfn7T62xs3 z0g+6a&BMDt)+YLu)MaxqB&>Y*TPpe}(hl2i$C{q+ZVixQw6WJ$=nq}XQ1uMo$|}Z+ zQ{8v^SHj(fP)kvT_`Mg}f3~oAEL-+fwXjlQKe1-`BFPF;T`|{e;`Ksyx3?5mmD9!acp-4w=YIZ2`E~>#1 zkR}{S(v=q9%KeVdJA9~L+udI!^X^dpHC4$!Q_*+r(7E7&fNcMJ{$na9TRS>qBNOWs z2sym%gyC5vHgF11|ByixtGJyS=(gYAt9prBApdoUT4(|XiD|Cd*uk4YfZol`O-y#5 zZeVj!c~jcEOb0lAIKMhA^XjX2MVo6?FM_BP>LT=Ef4##&=i z(r`Ka{iA8Qkaybl>W9&b&?5w^co3cc>EpBM|vQiTjLJ3wr z?ea+^>H6lz+Z@2!5%rGL=$O*(`l*c~k>^nO5Oa%u*Gmm=b`6yfp#vTUw(9LNHDH!Q zlOBm}53LX;`DHc@u(O2bCCQT)+?rEy$P%TcRIHYvLv2gJW5+fOcG5D>`}nVDvmrN= zsGhOhP_&bK)Y^T&>h4}+h`)1IV*>#8bT8h<0Mq;iB*tK!4`6F}u5uYtCxpT}! zVU(uvQHEmWB^lJHRv`6^N3pb*w@}C6BJgv0J!Cz*;s~9je@`8{=%Kc4^7E&vu#tTa zk9LcP7R=vAw?ixCGj0H5(MJV`A`R!E4h~NdF?_Wq%f3>zYZ4|q9-BSOZO&a6F3W>} z31dhpa*yygz-XPG#X&M?3$9F&q;gzboR-l$T{^usrt|rI=La8O4-HZt*n3bx5vOh!kzyVCz~ObWVhF2ynbZE;FT5G-JDoLp zhq(^GJVQWBZ@8LXpf&>~p>RGbONn*p7u$CGgK9-|+`R}LJXio+f5JYVfz$YuyxJxo z?O=BFcb0PSpv57!snR3(#K3|X0CtPZs|edR!K330w#(wQY9`Ok?f z*1&DZ7T$5v=gTTB?+O=edy~!Vg=D#s$t+U3bPAO{K&$%@ zXB#ckdYh|H)ZP!r=3b>()STwUK8x>;w;N5d0RM=ZP@++R0!#m7hMk;KwKHAM?#^5c z`Ar4Ju@t|{$+z@JtG9G3JIi!KA?^(ORTka|rFgO=X!e8&Uc-7?cUwcF%2(lE*$xhk zkEvu-)GXbL{?A%#x2f&WaH@u^4ka`izLyNzxSn3uJtxuHgc2RwKOQ6CUTH?5>tUeWZKDjWD|@e}Ga*fR=j!@o5p_=&eQ_W8oFj64 zQw%+*TDd+!7BEBk&ET;6d>c~S+|$r%TSP1}`M+Ev&q=mjNasrKFta2XVr0C@{Jq$2 zE16b_Y@PU%@SegWG~O&O6Qkob&^-uefUT?HM_DOJ^rg$(^@xyLRv2i`vNIWFcMqC3 zo7?HJiyZ4&m`PNUQYZIUMa|DZP>+>;7iV>gOGL~r;*1m6uLGrpXVrl2d)G<0wMbDB zkspF!&i01mhUf$(kOWpE23FTZGZAjrVsEDXf5}})8Jn_Pqb|zMfp}zzECl*3U?CI- z&Y~j-Izp%}w?IVz*Jml~>?^y$V2nWOy$k8fX@z22`)yO#- zZDh@hO**=ium4wR-bU|!>80Qw3DG8s3Q{W`eLo%XE`dmA93ka1oT7rEDS94KBgvk9%(yI5NFINl>;HGGO(%@%t~64_DsxsR z8%DI0y3Ma=&l>r}SC@K_gUiWYFQQXa!$G|&UPpPL|Q?()K>Fs!s{))<2dv9$gvqIl$vhn zT8W0Uj-A@>v=s zTQ5Oc*VEbnclC(}jisSO&B|32{(-LN;w+j>h@XsC3#mw?zt3+A!35|3J4_`~q)@Gv zse5_Ctt-yr$RF*Q!GZf(SrPlm2ZyuPVd3S@V5KK}kRLX$8P;e7I6c^sv>0ELuUb(n zsEtNKshSlOH&kj}0K+X#V$G4*HGE~}B~HocbJ2(Oeghvznf6L(VClh7Q;ZTY&1xqTT+8jF7A$Mll0MI=|w%=z$d2&RNWX^w}@1P)2GTGc+RqW&hB{3 zriKaMBAgBYQC-2>5%=!1YSC66BjU{IVhSB9v;7xP`f?r7hryH5HTUCHIbD}q1vj{R z;&^3VVC|DyeCMaP66X&RxNMy)`JvDhOt7XG4@=2K%#0A?{VM4!1mkNRyd?gKh#ZCm zw*GU!+_z77=+1;+9y|-Jx7gVH2)zkWAI?rJBo$u)PWIvDvllQ9mN@)#`UX{%n!fjK zPjS=nWS}G|yxLig7VS8dJh*#S+aAXAT&?PvAi$py1J2)@@x-&*fws+sOu~(9Eg-L! z5*lP{mK&#t7rcXhT_n#qC(zeO-!_uQ`1G3;A#Htp+=(S2n5_`m-4Ru$u)~As7-_V> zO=de#FXSd8_%ouOQtQ)y-`y9_j90zkiKUOXXIKRM9b{z&AA07Yt_$$dBi`7me}3|x zsOmp+aq_kJJ6FQVrkuQ$t$uiIKsmK1(B;jE(LG-=WDpynTh^n!T`JCdWUhvgdkhhL z&-+kuTA^#UdLyC{IE=Qb5xa$qSlC~=YI_4HdfkD56DR$ck}KG(FX!mPalOp+==rAW zz&PDMW^_21tSd3|Q&VcDmN=S9?bt7WsmGEh&{2OpiuH>!sM) z?zK7_3~{lg*#GjkflM1QRiOQGl8Ot3+KHM~SR@f#{2ZzUMPrrNb*(5=x6esCqqht! zYHnP+z(0F5V0xEI>F#VEdX^_1VGQ~)sga3K&H+=BwUq9_z-8@2hx9BP$K_H8Yul`^ z^t_70WA5P7ZuX&uIIii)r}_pKrx$EnEBxkI5hu&Ole6ubT9Wd5L!6`gVbZHPgO73B zA#HK_$D9Z5+lr32Jr-{*H6}d#ejJb+``yTVW!zhBto6v3rcJQpA>Zq?liCBk9IR@D z>ntdByN}}%e&8xW=W4sAOQ%j>is8s>FO34d*ZTd(!2@>x4t}FgMwTxU_-{?t8?euT z=Ck+*nAzL+>UKA$%$y)7VTKBL(YX2Zgfa9@E)8#-drNOBXpZn5ycR-O>LcI=I}`~^ zEVckhigN}hc%>L#g}{X@ko9kdrl-Tj3EYXxKat-Vkec9RVnVy)RG*O=@U8Sf;bVXl zv27PJ-K}&YK2Xk;hVY5(IkB!gp<$7m_m;|Zi!NffD$EEuVm>?+?iGhCO|9uI3^mlF zar7hKX^$8xO&}aDKPE1b7XosM(e|xP7W1k{M$h{9Z5y5GsUx?gXwMza8Q9e9z|A5K zGMf+mZ~7r*cW~)HKOntvucK-Et(yZs_wt9v%74w zr$;{{99CTtS{=;OimP9|I0P?Q_TzbF5x=}Rgf9yYi%zbN9y=Sbmi3Z7TElGrEiNUk zX`|yAFYTZ|haW%FFNmKx8i;8z5r-8*BYgD+HeL*Z56WNCvTUaPPC3234?(;3WVgWQ+cPN6Nw4)A>M(|;A!5AU&FAY}@#SbX zIdDdj=MnHl+qDUv=L&i0O@Xn=cEA_*+Ulffm1z065_jU8h+`)B3GMq;u>-)N`ICcm6PaLyZ=gdtV-v;-S$7#Qg)T6l28=+Ju%lIl1du% zfOmLDUB{O~M+Z>#jNK(jE1me{)tZhj_}59F2I@pY#)(97&bG8Qc9lKw^Xi`N^Ae41 zn5DKw1gW{tV^{X$EId03gJ~0)JPjgbi=wvNWG%L~$|z<9cS`9`4%1(hCcnV^CFcY& z#mpV87RVMTZHFj5eGDkvU(mG$oA4ff40f~4g1RD^^afa|z0s*P9g5*aI-eo!o*{MG z;F2`hjz0j>D1=*t9@+#6$0=H>dB;cEggJ+$f4!ea%lp;A+`opZndq60W)=gPE9@Lh z-eI)y7XsB|(-m-j!&^l;W7e&Tz(c$az}zhWy5-cUSln@p=*ds-Ao6CL1>Xlr~dqd+G`1a9Pc28@Fc)F8F!piTdF~$r^>m-LlVur!F+3r60hpbB9_&e(s;muntdcCh)eVsbr1#-O z6NCBOPM1`}dW<-w7xewOEOVW{b3C(Zetb+`yY(KZiteVfV>k|Oea%#j*fK+8`8hnq zRv#g}y)gAw4}|J{?wFhS4M;8~@V4yp&1xhTy&yTwQtZA-`1f_h_Hx8XrkJh8o#4Ga z0HdSLWVQ-Oyll{%L#+73C_gc_99pN2ht%MiX41%4M#(SwR7o{3S8wF|SNi zZ0L6br_?Tnnz;4aPQPTzn=8n8$>(4H5IpKRYEx@tAfpU8tw zJJOEm-mjpGs{&g-^*tM;+avV8G%wU;RZ`9FMJ;33Sf=kx(cvV5E7I57hp;LJ&*JtYE z*Li@m?7mL3p=(fsw2<6gv+O_w&Z!+Qy@7N+HV7})0b=1uqF=lY#zAq2NRSI7!|#eB z&&M!Tkn@Py{&_Xi=x*>uhgB7PMSem}iM3+&-P(%3CnVg1v8jWwFF-yK5PBzX{%8`- z@*=}5h5U_UX0~FSbi?x?jbp#QJ;BouJM51N_^_#OjCAMQnY4F7$%w@T=6N`48k}lc z6gdQfpJxKaj}-9KM@=-yPZ~w`F9#KmG7IH4FJ;A$xkn!H}BJ=#E1D$aQ0p_&{&7c4l+g%fOrOLu;zf9A)? z$HWPKp}J$mtak<}(QQz+Jp9aX1KV;f_+qqxK!9e_qkb9<(fN{%sPdhb`6?FH&OHA* zzTXO!+%iMP$=@(V(nHDh-QJ__>XU@_nmxZY(q1I_H*$nu5}ydwr@=_c_gUP*?X?K)uOxK{mqW%P8eNn+^zysV|r6UFr@(-2z-Bsm|g6y9qsYH$?8c zX3~DZ?>VT$)D+|BY@y^tM&;vL{zfH5Xz5<%L`L0|v>eBX6nsx4>x5!;nrv)&ux0Y! z&pv`FM(Rf?+ZUxN)&pJzKl*(p38<^7wN*A#9iRVHEmHH00fTWawXN_5s;l)X(TIaN z+q?xQR5WZnG>G@}>C;>~NvD(WYs=kTe%qNjg#<{t_<}r#CE9iUy6OwA5U^`wQDh7v z?-M^`jt@he7mbrl(F}XLhYbgTFL9N@Xk%)`r7R)8&g!HN%3?a26GeD>dPHOHH5y~g zbGx*?ua@^*;0uoXpt@`;;<#$79kYCkS+!&wR8bwh223#SlG2joGshU+kO!=fDTxaB0F(U#jn|D+i|`*aJ)hL z*V?x{XtbFf7f(7)rv9Dp^;2rTbA((y+n;9BfPiijrsac6{~;uQD5xO;yI>pXMqA^8 zI+`dUQ*ERu$V{C@=AjLPBv26-K=j4mK7UK_8EoSH*8hu-LRVZ~bK-;OTM!`}T2vnV z-Ms}+N#(2aeJEHGo+*9cy_wWKQ7xwKLEhm5sC!Am^1l&l>TtD&cie2&ojA@NA3mEo z8f&dK*l(*-ok&!Riq%+IYS}(_TPKQJj z#R0;sez9WsZE1z#3z=vVz;dp7uw9UnxAFo%(Fo(hDpept?mtFkQ49@X5XoK#DyN4e za5KD0H`cS8gL}zS4Sne>xIJ>UYzkDs;V-#81&7In-x7%N1afi>+yq6Q>wmxq5NAoh zh9$irAi-g$j6xDA+1)Lmcoo>tFe#9@ym0Qr zjIJ*oB0v57G#_z5a_R3!wk|?4K)3;#MY4~`dv z)9!rJis2N+_m!C^o{g`yfh-AYh1>z;B8)OCwDSYc8chfXDy;1mpe0s>VcJHEPcHi^ zX0uj)9o4+8JY;6`A2VbkGA!+LocW@-5c}Ks$k^^Ug0!VKB?h1P)3rI& zW7NDr_}Y&un!Y3c-sNKQ=y-07-PIS-pT)d~xwTwtV)TtSLBIZmCZZe(p1KQ2&95)Y zM#V--jK;?X8OUna-IBi7WVvfI+-yK`6H+T2l}6#+J|*Rz?44gntN!#9&u*}|3F_H3 z^)TgGn@ny?LiW;m$Tcb7UK=Ww`J1xaB9&}v+!dqaC?V^Z@#*@)^i#wj`lZCDWzGr6 zQled|BJF27sah(h%MFvhw#Na+#I)wb%CT2XhSbgrnnE{;2->%;izXu7U{W~0CJHIY zrfO3edp-tZ)fhkwJL(o0KBFZGw22=|-AAShiB)rD`(zvNzN;L*-Oa>xg>0YnfrJZ& zKwmYq>}VHR*SnU<9pcg~yQ+w`ozhTGy z>Q!4AShbpUM>+0Z`9`p5jIFLmbH*6o^X;1zTnZzHNHROdgKoSLL`=jY39&i-8o0JJp2G1h0rTIT&R}7+ZPauu{JwuC+rro1*IF zY+V&@p$mcw#cKtzV`*v$_f7nj-M2s8P}~hz^$d(k^LDfM>-#= zEM-@1{{R3X%AZ;=KXj%9*Kkg4$0caMQ)fXzro_3PN9}}btM+?K521z)hw70$ zHjcmDegxZ3$@jI)60*@bGWALPPGqxIMJ^fz=X|=7@AI7{B&Tyk>66%?6^7lqcpgx2py?fV0*FADu}3H{NH`kD*WW_;q6=|Gr>XVgjXL*&lPflEZFa3#;NiFB>fz0T}=LT6Q{aWdsaW!+xS zL6i=Oy4p&{nb6_l@T(ZyOzi$tP*YRVxM?(Uxpe|OQ%(|sH9A*fyJ;n71$os7d@_+R z+Hp}cG@tu70L$)j(m5yJcbewHoY*=BAf{rGe{pl8Q7f3X>n`)UtIa;0pCin@&E7MH zO-DCJ)LO-3+}Ph|tMo4FNyUODx#OhU(c5f?@?|a3!b+*C-ILtn*s->5`Nh}f1-JgN z8wBpZNzGEQ1VI8<;6G_-v_=Y!5C{;EDD?m4HXV%g2h}ufafQ)_atqH`XbmX8(Ud&; zMf`SfSx#9g9SATSmPZ?Q@e$@V?^}*G zk{l0$10DA4t%InJ+Qen+KXZtpP5F}g zr@6!07SOu7mnzL-w)u@)S|pl_8qJ;HjyDLuGg_FTPJB8OdOk4h?pgqj4GqtkvubB? zpkBL@qJ|K}1=RI8V6!?)fKCU)-8y4oO*6~l*XbPy?E(CTOwa_l>-(!2VW{)jyvTzO2IU znnzJ?{XFB))~Q$^bne*N44r{2p%&%OYmI{>8Zn#$2GJz^+w^f=D4(~JV+jlOU<5+g z41ND52gi*i<$GQqDQF|Y3DVU>Qj$PNlL9C)x{H=bIi+IXc1Mw4d4LEZVD$#Ar8yMh z81|xEMK&}N>)!JT#%{6~&{{KXHvy|H#t|XL%4qG&G*0#O#Y|5j)uiJdAOHYt=6H@MKb?b zq@49w`59?mEbAu9B%w-8f>gzsC~8S~OWTdy6=sdB`(p8Opco_9yC~T;ws;qmeSoa% zf}HzoTauk8Ve&Qg2XP6n?HIPiiiGG^@O6m;vh0#B(!yV^Lc!-G-Jg0{YUUNHw1GwN&`vYj5(9>W^?}(pZwoJ z=vW?cTjZBDU5~gAFmj`5ht)oL7;FlC#3U@KQPo7>u8%3^kBAcsN|0Z*cmy$Q)oJI z^G5)0SfK*0JJ4(gD31sxu3n%*ATW$-N_ENAIJx@`@2>+9&$X_z(tjK?v+c-N2#INS zIbq7iNfo65V5HLRK=D2ouUF{{x+G$#9ES8dD15NLMv7!=KqVhOb9Ma$0INXS8h?WxHeF(37`6o#^KX8!0s{M-=H`D*GsHO) zVrHexVW`r4q!QSqvCI-;T~62&ir}RAg0mg3yx$&q?$Pc|S&6dlxVk;3JCA)nRF-%~ zjQW#sZ8Ln^VcPZw7aAv#ix~;fqKZqRg0|K5UfH~F zxr={19$#wU!=y{EGA=5y|Ij;Uha$kFG8wZNlaraoMb;A^M&)8Ug_py@m=RbLiEfF? zndy&#O|J@hekf7PlFqjTAXLto1JinSk{w|8V zG+<0I^)cxY$(A|4AssMom$?*x4#ZnCGj7om6I39_#~{HrbA4m_kQ5trp-%*zWzoV< zh!sg^W&slqY%vT;3XYV*5hE$j6|DMsU>W39tHg3>5y+V#Fg5c6h(fCD{-*f5Vqj-c zqK2D|$C2(!2OSA7o0m_zGwlHZ3cAUp|AXY0404SIdE{gyOGU3j>a1bRp#;j(7#1zI zr@2~)Ju|onc*QU;PY-08nMs47%39PZVv!!nB`9;mm>!o^pIt)x>IIe!u|6#Avfjs0 z+?ZLMI!x0T0zNGw0M81+R5Q6rmFiKUL0PERzGXj@Qj=dx0+;BQRTSKx@GHfGI%xFW z46-VgYWZ-DbXTGb9GSx6jY}^D?TS63GpW}QRl7#hgqurzzg#aSk>KwPQs1Us(#8Ee z#~cI?)BaS&)lODyf;s)v=99rwHo}=24zxG>vVA7`b#$K*;OvBcFR4C|iYe2pQ#Gfi zJ*-d@^O1fFaWO3HvX@jU4hjZm_U#)ZI}youDR}4JMJB-^BX1hr3>sx=!txy)Dpnob zNkS@YcKGGJXr_$j5H=GWgf=l5k`N;lV5yYjZq6)_`84rs0&68qBupUF86rTl=s&~Qai_#?E>kCMg>aTJA z;Wi@Lfy!SX)z7=c9f#ZTUFLv6`atbYs7+tVh0PGY$(Jz=i>ht79Dk@#n@a_^JW_Go zr9{lLAer7Eb`#nm!hN`N*4|v#^p8a8@Y&*=oc=unpvWY5r}s*bR$vL{+OL#7oZ?H> z;a~>rx1iVwaj}e`Z3J?SMq)_D`sXu6Co}u8<0V)hN_?CQeCNgZCE3n-5dDDRuvyzR zZEp_8CN)m!G9cKo_-2tb6v0?BK60{fFe3-IHNEtl>WiJGSFV#$kqpb8x^C1FTdmSs zb9jpd;HAXnCt2*O!O4t_tSrpt`e992SORc1%S(Q2ErLx8W%>5(RO>MLiVfos6ZXgV zEC|sme-o^4Kv(?{4ZmF_`kL8n&uYufgaOfFNfIB=$7mmI&I~37Iq@Ip&f>AiF;7zVTTOUU@t=b?z2_Dg2u}&H)7QS zYHp@jj|;Kem;-U>9bR46OKW%m@J204`C zXDEapJvz&_amJK z4gQ+WvPIt9>mchgn0@+c8kkRZ6Z2X`p;t4=dM+YE2rF#_>VYYA4!LIO;}BHp)SXvSXf z%c+zj62=_hqzINqN!Y@TBDxc-)z{cUW!@zvykbT|1NN4apOeTG_5hk%o%bG;X?25k zw?P!$x&aQ!4x_N@UldzacR}@l2{^K89kMAUGEO*Ksv(EbIB`O+5mTxZq+=ff$3^U@ z9uu|{c>o73LhIoFAsYA&<$~k^qecvAa7RLT4g>#(yW|m#QSR+MxxKGsS7^!y}RURTuHAD6u>IXR&B4E7P*-A zli~7HHRH)$#Pl7go#wiX>^^xGK52gvV0hZpmCRSu=wQje`Kb1afC+L1j{AzYUaIZT zvOiEOGT~u$pBKlSP}R+Je$4k#yMzQ;uUXM5Bb_06P0k5i)p%6+EuHt1sJ#bKqO?#7`0e@vBou$0JgVPuHVpk)OU3EctvCik!k?Ym<3WDgKXCn}=%Q zOCnU+_lbL6`6UsyUVrJOs4%)^$x{q=`&{X{3f&^5=mz&7EQSiz)QFT)_VCi$(5^c+ zC?QZ=&|q`^n6#mNNrG#K10;$mdwe4ch0h#mTH%AkrD=47^w5WZ0?nuYKLLFiMiL@l zK@)KKvhwu^Y`P>33DA5bj_4WH@vDRXbS_=-3Ql*fe-RW=Wlou7jr^ahnOFJa!5y|ufI!sO@&~EFu zOUtdD$a$o>+XwhwsAwIrCUv`S(u2k~PzG`7D>R&&wIApHMx)-4ML=t~1m21TN%vU&}pz-#)zn<#o6vr$;B6DwYQb@crs z|8es``$+5w5jK2TakDE_T=bsW5#kNx+nox3GI*z};N?s*5Doo^%ddd(#**ZTiUVKZ zp7ZjF9LPa5L_ax>!@D;ufc>x*Cwg@+K>NTF2SfnPxoDqAp@MGOQgObRhJu`CG!cRM zn7FvcUo`r%G&=9N*Q>9dK{{vWE%Cz7|kO<1bS7h_bDBkHjd6UXq_43Gs~ z42%7ITaPz+G$hUbr;+JDDS8#wcvh6SA;?&5)Oj0*cckw&fEd~?S> zk%4W5B!gGiG2ksjVyTvqi7ilq!_&mQd5m-GIQh8i0YSaf`mGg=@w1V&HjjGxX1Dfg z5SEb(wKk%*nNYBT?ed#PwXgA2RqHs9Jr+!BP|jghwMP-R0P zh!r_WVCV9wa%40D@vmpGw8O{O6B+`xaM)QnJob{?ETA3zEHmREvdUmkSUX%oU_5X) zrKuL4vA!91M_>1uSJcE53e&%NfwTEijzxL27;^p@J?>XkDyGxuJD7s!`A_Z%bg zu|mp&J?Lc*~X7>8Mu=>9Hcw#napXcQ6%goWp!%9ugqu0;w?*L=IY zX|FaI${ki*9m-Xe8H^H~j+015IN6jFM3FlM&(aVl78N)i0hGGIK0f{zpj(o3WQ4V|O}IYR;{#UlTf6lCzWw%xWYTcuLVQ z{c%z83%LzIUW7!|r?C7{5VAN_LH8Y6wNad_f??4FmDs$hDfPR#L?dhYa)?mzS`ofV znMJ$3*jO2U@X(YDDlub46$7%u%$Wo#aag5G+V@k3#&sdFiEIuAHFr8->_IW zc~#tyg{Zb6cG9qg#vx+-LWFdL6%L~x1w{+aL&T*;Rliu~9DdsVAO%_c6Bhed5dxJs ztt^~ez(XNPDiFLFhY4As(_)34RCY1cUaY&U&RkGHZBq|VVfw7bUM#p=en0k8#jps> zWk@;@KWX}c(!MRq1Wl5PT{5Td8j<#=Q90ds9|t?FSxb^_4G`B z*X)_HqeRmX{USvUQqBH&)fDd<>Arnj9>LkxbB#$3e+c_4RO<6yMT*XTg>VF#qAOK6|8ndE*JAz!ty!H&mv)$-Q+_i_{1#Fjc6M=n(*U3`yM?ihqC*7jzwtk(Ha#dU&7({;zFv*}CrB}$i0C)Y%uGymmd&_cX@r-1$hWU;4 zY}aHZ?L^~Ywu*cu?U=Js&t2r|zDp;|{D|vr`wy=P>;9Bb{TZ@lerHjwMt(iT)Pku; zy6c@tqkTfBi+FIo^siEBKQtUH1-5cvlHYv-VKcAp+`5qztT}Y0tO+9ct!R*~k%u8t z6lh;V#u`fK7QBRmdyu`7daZbl#v?A)Ya%7{iIb068A3=*>UtJ)fs~2~C57&?IXOZxIQ=&csyz zRcQ7npj&dI=T-Lu@Axyo>(%NH-GcCTn*~d{b4UVt69z|mzh{Bh^Td$qOV)z3J)-vw zV;9HkR{(U2+47zyj#Ljn!GJ1Ds&5i^psfu9sOl%DS8f2b+S&Q-zazv!O}x8T^}k^W zzp`eqZ-lbkqVae3h&;|VI8Z=RTOnZ_sb)dY!UFr7jHB9S2OQ|Kfe^lWux}Dgc}6nv zj=|=iXxbMHCQw*+wM`VfVb18X(;fCHd#S~MeYGR|hpruVjM_XK_-I22@`bm5G+*3B zslMQ~jn&mrsOxO>I2A5Z4WwBhRr?IRk}=~`sI6Ky5P9j#$k%S53CMr{6>Zojv3mQ` zx(-u+cef#mCirf9@bUbzYJ>k%MY2yI;EEDiING%0i2n`XJ38rmr)Zj?nW7DwZnR{QtNmg$TGk>@Xz)k-;kY_(brL}?A~+9_ zE|`HCW3jDT!)c?W3!UbthN#W>^P2Ty>Uz~Q2veGsvK1-N3z_OKSwXvNeoR`*Y(6|SlVSE*(Qk%9#QPVz#w zxx7U3O*S`7^MpW19_Dfhu!5V}m=SxNTSks4B3|NQ1eXn}zNB%-zQ0qWBu3i!yks4% zfua`7Nlgq7{_G1y5U-t<9%T^1mm^|P8uh#K~6J}>UtoWRK+u*l5GWV zZHIyl0sgMKf+Q+>TOA612W)9quMwzUg!B}~oe*hZXPFQkA;vX1TQk)9p0RWay`*nY zTRcKc&9=fsgFj_oxYE#QA6)RpBMlgtqi5VW-m z0}m-1@}`wou?~(nDhKE?NlB;Jv2v4RtS&D$VrS1-Z<^iWSGx{x5g=~2cie`Zrg^wY z7zu{OKBH8`u5hcQ-XPt(P4{7LW&f1A9)`1j&V%8pH6Xr**Ax7bg>91VF`l!OY*YVs zXY4z)0R?O9+hjzjMGN-$^SKRfrsZ|V1N%<`q(A!xS~Lg-XFk0MXt^U%u8rYB(p6j&2+v4l2?aNGwk`;4WIY+dO6dyzrSn|;n-U+ zh=*>Y^8%*@YoH%}`FqaWlk?!l#EDNuAO;p41&vq$z4t;5#%#K6P&se3>W~Qa#twT^ z_oRI}de?EwCX6db_QDQkk(XwGC*05yB39*puL3UR^Y+ahA4-`*Q0+%d&E(hr-&s8=s~7(L7p?|J_~)$Zp|~JH z|0fAKbOLr<4+8>1Pxqhd*#9lCbTcqAywv!Yg&aoTBBL^AMWIb=0v!z748x-ME4*hc zES?CqfJQYM?@Uapu3~|85zZCgROFI&s1R?BWj0T4PS;6h9?|q$vwXYx3wQz=eDVf$`-zd3Tg8hpb6o(=W{&abX5e5pB%NlElCa)qR=0u8Sc&oFeqZrphlP8 zH!QJQL>X5uNKuJuQx^$yo@_XiFiv%Yqo>RWt2}Fpr^NM`WI~r7-U6poPMk!6kQCb# zAscLH2-v-i18ann&Tup$oxNH2kxl1J^Z0I?a$XeXR9=vm-c-2_`lZ=>@_V&XMUGZ~ zp;8Er95k7kFX}s z?e?8IJ(@g35eZ)jem*@@^XHaU0IM+~hpu!%NdonJ>odMSVRE}T9H&Zj#T6anz$mVUmQaC2pV>; z7=^G16;os!UAPgY0|W_*@DQZ~HpwO$cJT;VBuKcF+`$hK56bw$5hQl1hoQEM?^%Cx z`sEhXP}`RfW9u@TwW-LJs(KH4}=47g5eo)D2E?}1L~2pG>?0dpt{)(^uk{5 zYn^aGg|34-;un}u0&+e5^nnFa|>YZ>&BI7nOs<|b$vKqf<$+yvo!BwKprBEURXVO`A&Qx}R-+$~V zz@7q7?Nce7fvMA2PG|S5>=WV$1F9q(q(Ae}hAD5g+8S!p^mNr@e|;H79K*FBW<~q{ zblyYt1@H`NeTvw)v?*n3;($Ajms_?L3fL6dh0SPtESTopU-uI-$%C(E(|^146lfvW z!aPCQLN43$-X)WF)4ph*oY}PZkAGG_HQCVt*|T%j1?tDRMz9wtQX@gMFa;tEZ3M8G zWkN?Jzwe{D6Wq5VudyDTBA zqhX#xYPl*5V+s`5l* zGD+Kj0N7!$DWdz76oZyyH1)@n-MaYo!DDhwg4T|(E>wZ`3&=bmi}uhx`|d$06YvCwPFNR?L7aTwQUoeB3`-&0I6`gnf50YLGwkok<@@Q37eysf-Wu{pbgX07Yg;o$I z4%*{@fbcXzDC776Rp9@i6Ku+A+y&y%idp9HNNmf67`<*L-GY(Lyz&}Ck^J}I{EClhgWI49Lh)=vL)%Jj9dc}e6uIdz-m3>5 zE_L?sfo?{UDX@IBx~^x!@gV(Pccnvj9P#Z;>zlA5#czx;L8kiSi_kct7Mbaf1E&G$ z?7;@k(}-`swEld}mL3l0{yE9bW3&2u>1cIV?|S%9$QX&;f%wO;ah<_>fU4^Ro68CL zZAJ{+l@&^4>;T?cv!ab!l|~iKW2tHKwqFGDAubP`*B;sR3kB?PW8v}^5%gyrPP)0oN}V?JLNx!@eW-Ny#LK7F(dAL+c%+Gl?Y z2EJ}VcpS8Vqc@6O8Z^$s5H!TY)t5BL=rk^Y^m{zCx}ZKp&55DO7SB~bB;zqRqB+rP z2v3_WHwGwGE{iv%oNL2ll9vwo7^gjtK!~S%M=&$CE)+{m*Cj{A0Syd61C!9eJT$Nl4TKGibv8-) z*qS32OH$<)r<{9YC3T<74=ihK5Orr%s9u@d0cO!&KAR=`+*%vi3@weg(KwssqAS8-bLa?mksixGW{R4soEtE z#17|Ht%-lH^$$cd@@H&`%VsB6r(^Ru;T4{5DadYU>v+1F-q_2+g%jgDTzZkUT91 zA<6-PjJ542hqq*M22D*)@G1KJj)g;aAzNU24{v3-e^h63r*R`S&|$Qj4YhjIjG(OR z+p)D9Qq}tsGsmb2_iUu=RSx)H(t$&9DbR1Zn~W!e@Q zOXcg)*7MG;JhD*mmaf*MnwxAydHO@zj=Ica7>LU=EK>$E;p!-#3xFV+VjCNCMoZW( z44FI8R2K97lAv7}I?qOxaMgm>1%Um+y+}p4dc)%aAbv@)aW?;njum!c4|)U?uN9Jy z5F%}EgU=SN<&#?=BW((U%@(calS7049cs77`bQtQv~eAug*k;?8iGE-*)j>dg0Aj1 zxZj`OG__%zg{g;K{yyt5)!m!eeZ`KQNtssF7DD}D-zX@gXyr)@V%V)Oq-gv64Wzrw z?O9-GUp3%~?flxad}0Fh$q6{W@f@F^XV@;7oUCBjQdu4QO)*p$#!YlxJU_64YYSg(9|EhJjQnUo#PNV$=iiM~{%#8gl8&K7cZ$?`}j{3bT|orj#XXC-DFMz~ouS|8GTVDv(^kZ+*sR1K+Q`qsr% z^RsK}hbDE}$0z8&jo^RyZY&z@4?RQuO(!Dy*9Zpw)7<@L+Ld8rzb1_l+ViMMFlMM? z)wkkLx~e!#Mcak~!BM5AzBEYmgL`;j?YAD@ea~f-vF0RGyl>1>d^uI!Wal)&bf&xA zA3dmbx$mA-ImWDyf%9YgJgIVuHM^90L$JcE++`f(^A@n&JwML21hTT)`WVoGD<$CI z;6AyePZUFYbTWe{0a8}yC47mpgHv;zlU*$SfX&pgJbMW{FnANHBN8-rNx&#ADn}>i zK@~$(!@7C>pyy*(HlhIil-dVAlHqq50$KLR>D_Bs=PcYUb@M8f0Jj9`WUy33wKV1Q zuM&secHg$2HXWCZ4D52^9z7P!^mjnc8nK_~;&^qrdUl9NW;I0T=pm!<^NF`ovW*B@ z$qO{F79p1{SKKfyJGu(K{h98FmGx_+8u-%3V&sW^X!bSNk4@_~(Sjl1rwt`roIAnr z$10BAJDw3pOQ0EQ#3WS%>|eW(ic|q}-R-eiL1|CF+yh>;ZnZSh48}#ftsTfGnrI=5 z-g7}z*)4)&3AD{TpeZNHlF>KDHxNBx)WQgWxW*g-}+fwB8WMr^!nTNUbbk(5swB=y7bx|JjR!(S%JSd9hDfeKuX$HcG(o?vk%l{r_(P{3_*f?;X?$~NJiS<2?v3fabXz~=SK=AKigt1CN-lTEjFSTvoQQoL= z^4^jwY(7^z0knY(=m}CLEs|Ca^wkj?v6@*cX7$gknV?LenQDRc9xj{HgDKjb$;2%Y zsR#otDBMwj@eKQkKcm8_5VUlpmJ~rO>=8PjUxb?p#mJ6Rn=0SyF38htZHsR>ub##C4vNzY42X7Xg_5-Xz1J8`QG72~2s4X(*6r*H4-Z@z^v$}a z3xInYIriJ3BgNKr1_gi3mi5@tCW^!uv~J-KI8{%Uu%X~V-6J5T6`hckMokyq75{+_ zQ#jhO5S)AfxEa(5=m#zmiDriKXtumu&SJbQ%$^<&!3cHSQmVvY$rQpc;^SOV3Pn4r zATctNNmz!DfC$Ee#oBrdJ46gT9(9PNJL0;PxM<&NCnp!zaq9-)o9T$Hb+9M4LcZe{ z;#?EbvEmmKsYE67HfmwFbL$u4v4+qz5oO9df^%d5JZK^jDBD;YnQca^4*0*hwro!c zZ}Q~{C~1jr)v@}Ba7pdl{wj53->ImG&>1||K@=acDjeQRaM2w#3@wrCZ(D?nP1HMk z#4%YNRCfU1Ad7&^Y`7w#mewQOzl#A9Rd!sJu=lov5e0hhZwum>`FPZgn$wO0^-opNb3`eXl&yj{~9mV=}K)2&eI zr;7Q+o^~ydz`pL0z1nk*{oriZtM99MFcNZX;|TLs<1l~c9Ei*(|D3A{{L!pO{~qT7 zRgC0)KC3#W;2?6cnl9tk(;bp>27&I9&Mrd0-v^#mEZ`9JogD(6f+((hASRN(4>TOQ zCXS4wq#P;E5b(bp4Z^@QQ!(L7X_4ws>kJ$q@6I1a@kW*FdTMQ_pmK6=OG z5|*oN*+06_^CVUZCXI4k)psl|fA&~6 z-1jJS92V_!RH2+!eQ~8UE{{DQm5sYuCWLL|a)e{#RlsBQmLlfU(=jsemaz22@8-P1JxH1N ztRqMmt&@EE^<~Eprt{$mKS&tMWB-~P`t#ax>VuexbwQY>`Cd(%eqAV7@!xuQF`Hq z0)!Qw#a{nPiLEL0usmvk{ya{)H0Z*XU+&w+eY3p1c)k2w{=BVfbH~b1{CJw*ejdER ztvapu8D{=D?eTgNeQuHS_CYQDJ;T@cUg2r8AZ)_T`F*LSW~%_tSMu)qqPESCu-0wb z=Y5J_)BXa9V(r0U6YljR#t-gR$oCu(2$_q#>~_6hi>dHxct0Hlx_n*lU#|14WIO!s zqbq81k~2>2eQr<2--XbfeQqZ!>@$fTUQd^|dc0ByFRq^-eC)V`mJRJA9pyC7`MZrv z6af$4`?W>-fm8d&z)&oMp4nuD{H~>+Zp$@2?)PqU)u-HsJzh;_UPV=+qrUHe#;Xqf z*!^;sgXa0?q^JA4weG|Ie2&lD+cB}9(>okzlwa=2OH%U&2(eD=YnEDY4RCnEx5!h( zRkQtIl&;$S0R{hz1oON$ZRm^5cXYja(}`=)^U_seFUIY-d)e$u&>j)cq6TjG8B%ds zhIBX7EsANv?ZkW8wEyGV>pebDH9B(2z1`&%$)DWTz4{Pws^`~f{krCQcJDf|Oo-C@ zfh8DX3c0*(Cu?2ZGjFod@^WxJO{>2bshM+xuFug*i4isPT)OoZTi@fWEXwXBHu|!M}B(XoFuO zI?I+_UbP(ysc41f`5dD{@=mFfd$pc_uYgFNxv?Ui&lDs|ElRn9!`ONG-$$!FQ@?g!@er_T9cMbE4sn(>2bgVeV`!Fs}c3pi{A+26!Q`S%aZsVwi4U24(8EoY98reBE>*B@HE51D*4P38;l zdVXv5?NvsfH7RD#9^fX^5cufNNc`G{ep3oKto6ItS^G{d4%dK#z$mm_bz?Qigj*fl z!j6Xnm8@G{GHcxYSS=Y-TY|Z{KBPnXW16HF%29n7^9adN8tFEEL~e)?Bt_zv8Q(lp z@pc<#B9cRlQoT@&Kc zml_iPW_!KV0TlqFEPm=fgENjlzM0^z;yK0iyIH{}Rh|=^%{T(u2_1qe?!NP){-1^A zIr6o9`NI-k=aiamwnJkX?oe(XEX@>Z&i^{BM95^;cQN#syHHown7y>;@ef51g zxnF6Fd?W$Wa!s&!Hr68nG^OX+rAWp{fd|={Hxwc8wKOa(hV$t%O~~#5fn_dc?ZBLj zT*4_ZXXMWQbDdgWV~hSqtK?>FbZx_1YA7!I$t6mMnJ#D7rpxeS>elM}Ve|4cygAS0 zFStzkG6sk1pci4Q9O7Tyq6eATZ#%ym1-HxSnRWpkkbUzoCNWw}F4`e@x-T@?>{Z1b zz4;uT&C=*rD5HKpLddfPOTi>*6wenydx?QPj7ZXXh5`?tTE6sXUG-Vz!)5CII%v5! z-_K~aF;-qP4gQ*+PT3inj#jhnufpF69=gU1rFb`gW5fsg$!=52@stp@%D;2zv5r@~ zkVOM`nJv|`!Rj1v4%(vWl(|7sTE-ndtsCunN->}1bxP(wAw?Hyv8)jf5BSeQHSA#o zT|*n4pGI`O2}ySavt_kO&f?Gd`vwpi{bQ z`qc+ab@>B%i$;F#blKVHODtCHziVY<_ELf3V*A3k-t!GTuBmN$snY!AdRouev(6o` z_dR%XC6O0kUSIz;wEIKexF#s2x~RW`@sH4(pphO%aM~ znmb0089Z#E!nsMS)T^HKyaF$3YQ|pIV8#KD=B$QWXCvtq_IHK%Y9ooSbg^j-nuOMU z=!9eib~`s0-;OplO}sa+*^NSB-(}^h-qw{Y2r$;OazdOc8}W2cVLQ1i4^LkJG!Q1S zkG;?LWro=w0z}+S4d$k}9`cT-Z$T1LcM>3il{lSOet_RTb`WHk2J(rD!d_WEP|M8e zkhPaYB+;bI9fy16-F#+prIRG;V9G3^F3q7)jWMR!oMSn*D>dPSh00_2fvSa!;Ttpc z*S_!2=prh-mB;_)*qoH88%qNygIb&M<2jQBOQ9X7r*X$lfg0@HG6mE+hLYXNvb*;F z9*pg_su&3MTlS}Zv$oczGpIKOD^YP?r=$Rb!GvYVohCfy%b(5YKoQs^%AXFmj!Myu zg)cNI@{%c>W_MvrbrnD~oqQ`NMx=@Fe;H!H&fByy%G2l-`W|>|*N6-l5m6IdE%mkZ zl?5%@W16Bn-%l$ycG9~F;3FZxDZ`CLeD|0xgL%1vkO04!Z^zM=R;~m||ETeoHBH-u z4WQj6V6CdTzmb|BL#<_{xc3mK9A>;c#P5Hvtq+zdX4rcQyJ+X$IWv8kh|Cs0s!9Ss z^nF!Q&5k#otUg!Fqq+j<_`{?O(7Zj7iE)=qK`W6cxxclaHz|dFty8YX^&1y4E}SYJ zy>?3EkgPaagY;P&UpdPeCrkLqU|QeJ*luq`Sgnn5} zNV&yGyLz}b$=;wjWdDI4+k(~zPcI!;ema&xrf3EW_A@Q~Az- zM?Xzt-5eO{$QmL=FsE})?66)Y~p+(UaDULwrMkTzu2UcY!)t2(EL6GV%i=?esXB9|D zxDp+t7lk|;wKb#?%#2~?=#kNAW3k(QN7S2;!Mna}v#|n@4C8)95>P7UuUeI)U9*2A z2trRQVyU?SwOuGZx4{**3Tq&<9)=s$y*K-c?v|0J8)0rK8FwUO~KK)mbH)F$j#`2(h>wA~Rn#qhV zTvYf5y?vo_Z&TTs8ZKh0tT}I5yB~A>#_D+=Sq!BMkQtKMkU0l6h)g@U)d)1MNJD7l~XKXnSb^atTM<+<4~+Ds@~Hmf`` znBG`uh#m;i`xqeO4wOx}G;5r&WU6gK>FKNT5awo;=;#rb4b#g?sMw;TbK3RO@q_WPH8J^EWi`e4+|ZV6d#Xa-jOh8`;} zf6?*}5!k!U#0Xl8P18@L28*k($t0QB-hvA-*vM?-2tfN|9$B zZK}Yv-~wK0Tg79)mzr~lcBHM#3QkYs3BnH&n`e_F<_ea2MYk{7^5^xD7*?C}8ZbQe{qZ79C?9>L42jthguCgn zu~c+4*M9vCtH&48C$2EV&P}a#&9?3Kk*>?%R`MM~ye70yA{klI*rOKfdk2(0vz+z8 zBJQuTD(`W{h2J0DnmNJv0uDepj6v#j7CDmnjl*-IPK7WTgiPs^suK)e`6A;W&Yu|; z8OKEs9FYr}(c>QzTg?@8-odsPUzTN+`#B8@AFjGYr3CFQcMJ}YNWK1u>=JTO&GDcIwY>$0{^_^a zrFbIR|0~A(G@=zA|MD$`Uuw_^zL%AC@Xu%47|8LH@QG>k;a%q9n*b;PM-*)Hoc+Z!jW#>9m?uPY5_SHFVX-4|ea6J;M9m+k#zVxZaj zdJqF0g@LTSG;L|LFqXrfk0Ds#oc1FA3BBDIq99#`Z2&B%Qc6*^`peJy+3GR@PT?OX zR#|+bH`As*?@)w9#;?){Oko;(7IDu;MEn`jSyqY(g;IM>GiAa~GHU}%74UX<8-xc4 zN33StR(^31H`BmFwf;5_X2XvoY7BDhP+famxLC7=pcvl{g%s7bKqs6*Qumy}=tjm9 z1%&WIz<{ywTa{Jg+Yosq62)1t19ow`FhAXZGPB|P_o02n5bE*z$4f<{7C~^K8+A%S zjq{S^o2`BzgRUy<3ys7+%se68>xw6)VA@aK3w>r{m;`{84#|>mLh=Q*b19X2I6?nw zG8;Fwcy{!{2bUy^eK1)DJL^10F`GonsjGa9Q4K7ZMyzs&K@a^DNbi233NX7!csB1u1 zjO}re=&Ua`33i7Mr7ICMKgSuIq-}Yf9G1s27^n#^zzI{S{NW=S$%nZ^;*J1 zOC^&jaL2B=emr&F*?eGv$3juzkB%pJqZ5GNL?LP*)W4Rs-djakQ zeMRqO_}HC29ta?15NiLcnS5?sQ@C#Rk7rW?R;bH?BGsOTlUINuZ9+1)fC;aoksA0; z+%b7Cnw~c;I+me+1Ia;iIP6@k{OKUjVfs*A9tBjT!R$m1{^YIyVc1^tA#pd+Nh;oq z7Nf40UQptzUYZeoB1?x?2YG4)Ppg_Sog1mp|2d`IIbMY=hsc3h!<@I;B*UFALNoAI z=@ko7OkYxl=oa(R0MZ2|3PMRx6Amm{)`AvQOn=Owd+U$bcJ3WtT`%R`xmk0qA)nPo z>a;I2zDd5ab5bNHxy~9xKWaQhS zmi=y_mFj&+!1vVS617z4K+E?A<9xC;T^PdWZInQu5zi$uF}Zw8dr<rgn!rPf$7x~JLP@9Cf4|GrwRM5o#8*X>799*GSq5?P+C-VW?QJ-9@cc1XXv%c_?gzaXKOMs16hKE8ZI}J+bwob#XLHC z^;7pJ1mf1G=L=cO3S~fm)aMgh=#1GKHg!>U5i%S3nmJ?7Gmq-qmSZ{iGj9;?Fw279 z=3q(!gSkSVO?mJ`N6LX|Tb+g&QBl4w64R}){IF&cEQUVL@h}}*9TX-+J=jy2l|G}; z)mF8a3!qct>Cf(UWnP+H+~2js3FS$d zi>fK7M=W|2_iBI5!=Pf&dme9PRR$5FNSQhmVfU?ueg2o5%gV?Q8nv2($7d^5R%ve3}Fh4z-sJh#0Z#hcx@o&Da)56(@UYs z9$^PH{+hTgC!NBjwI}FtyWkaKpG7AAJr3MnO&afov2r3-*oA1IhcAM3cCG>8+)+;A zJ42uMSNq{gfqgP859NDhad%7P6`rH7&WopIvA#OaU$y}6T`1-S`q16$UMMov9;dPW zn=|rQKC=Qb%;Wt2j_I40azW2I9ww9%DE(pwFM7-j-s5V@txyosKeYpNs_WHlSBniBn9d;gF})V z9qXZsQ4s)))q7_IQXbmMD#n$vtd4INY|{8srEZIDT(a-=cLi>qPh4!mqb|vCK#$^* z4Xgw&ze=%U&4O+KC9i=DbGY0o*W;4bim%lVm}O- z&NEPuXW7;QJ9Y2pY8fl^A?#o#xM_#KQJ^f3Dslm)nvfM8BSq7^g;Ia)+`b}MXja+^ z2V2jRVN_w}{2G5_kWfNn8!YgIvH{&&MXTdRy#}#tJMJ(Kl*)=k8s#RCnzDXfgd`oC z(o>{m@Wo0Lh0D^obk^w@Umo;uYeN$eZ8gsyzhAfQ!G7?4$Q^vDzUmymnGO&8Ny+{h z0Dc6pNc@thC~puLIeY2RIuow*9Im<3|0buHix9giJMyRJX1RE0O>*QChE7yEcDlD4 zMAk4XrhQ*8W2E6u&>#xhb}`7XwZ*%j{HtL2O%m+AQxNFk#0R#-R{&`qL^}6@*qMgp>YjGb3KXDsKxg*lw4x zya&)9YdSx53Z$(6f{i_3ilshIszdzikalrt11DQy6LdlgJ!e!HHL}eDQcvsb0H2c{ zK0hr6sEImPGQjoP!8n>yDyP};Vm5On%wqlrJIhZt4ZncBvbV$Wi$Lw*Swlw+{2{Iffhs8$t|fICM=iFHv-E#6_^R@wO3 zSRQoKVHf%hYv`f^yP2PEa@sbo+&_qIjP=K$6Wp!}0!buBhHY4rNY3WF9magK$0}KM zC~(u#Bq9+;nc(1vYx{uFwsZ-gPzgEw;u*4h8=dt2EXmgrS=^Pu(1Z`}v@EbpF}4|lkI0Cx zz{xk@jl}QI(Q9yl?klIwC(8vGxs>$W?g?KNggolBRoP}s>J3KB1|I_xy$^T7EhMN{ zPlSS?B8iX9yaSOas}2}>R7Bkog$@ZzvDX%FrD0}{ls28^fiVUk`wxKSsdsRr>HBw3W+9Bs z-ii@0X`%VCpeaz35kpdI;+AHMo$dl)F^>XSeDnJdotrZa z_!;is2U7EuqD&^^>3l#%dd=dNM)zvv%aMrpQ5M`=n^7)~BjeOnus=mL6RWK& zsdv$hsD1^bT>p%u0Fz>BvA3T4a|2(aO z>RcmvHGE5AZ$1amU)uAtVW6Z^Psw@_6m`*Y8#slQL6~zC+0!?WBdHLLtB^#2`g$r9 zU0q121rBNhAmEsArP!&|S#Z`hUkga^b?|u(_2R;`)lbE@Ob#gK3AMmU_7ZzBz46KP zP+5tO$i6#zD_JZJSp_8{Tv6?IT&W>wgphnlR^Lu6l5O60*ZVlrreP}bwdCG;G<}Z7d z5z}A})vkh#iyvNfRTtyz-J0At*9YsFJt+1MZQCid9x&w<=zx~9k6RgV{sl?TySwT? zWj^);F}t6Z8SRfw=R~nEnbwk0g+VG>N{z_xe+}Hg)(=7P6yt8z07jX+Pctpk1RDdf4V5fe4Eh@ zEH=;5KD7WKC6DW63kV= z-Yrt`?1s*vU*KuU3z4LOrtxvAFW-rUyVvVm7(bgf0f|t01FjEH_|h96uyqiRh7At2 zh^d=ALb%Xx(=o2BVB1fJ%-FnbQ#D{{8w5mtHy8|Y#}W-UwL}u6FeVpd72LyMD+#d| z0x>3evXH-4j{X>fckDqDlF~hUS3~A!Rp1T=sB|2@7A7856Dt47k2-)>AgbSFSiHEF zPMbhvZYCV9U3=D6cc=!nf-ITlVTvJrnL5C!6-82|lDC67s3>5BpnO#CSPDd|fm*4p zO;Li+V0z*G5@h%is>u{T=qv;;T2deG3`E2fa*oKnNuAe0N+eZLJ~uV$_}mDY+7myh ziNXdw^a~wh(VW-0soR4)^w2s>SsDMZBN*f6(C&62g3 z+O#*YHI22axVM}~YN<0|r~bh$CgN23n6vu#T|F#tT5nQAV$#S3-mmJEPhAl zg5Rsut(0l^Pp^p+NRcPA^m5H%&j&y8-oNzJMmE2k#KA|fv$c-wlH@yExRPG^DPJwG zKx=iCkRVafLS40eGk|z8W!kyhXbJ}V5glj=(CW!WTw0Os?RyQ(rQJQqU1*x;z!l?! zX>6Av3A9llPSBxQ4u~y`0w`JS&AK&Q4-39SS(cIv55C-U%SGF6e`w!qnBn*nx?jnC z8D{IgY(Ym9$4HOGmvbrac4TNkUf5Qhzuu(u;hBLO?UXgR6K0`oba*w_ zS>Q_?T0mSxO*%pkXI3 zvk9+~*nOF@;UGJke5#6AjMUJj{W>dG93!;#}~M1Sf?-O+& z@18YQc3;taQ@7=j=yHVeZt6V>(WPo!qafPYJu4{g%Q^3f*-#7A3&fiewpipuWYwfE zPI3v73HGnf;<=5P0oTd+xTVqWIoB!+4+Rvako_)uRf-eK?6-#=5&`U2tsHafU~E^} zba)0=*SM-mvSj_9mGGNLso6U-Cz2RVq4J$+h5HXkox`#usYN6#=#2WBTZI>pk4Ae1 z!D}BA3tmbYek)eVxOoo{`nYC^IYaIH$)+@wmpgpwP#ra8fKk?JQi{F3z$ekz{ED#$ zSess!m3>HL5);foyhk&v1Fpj>mzpGz%+&F9xZH#RgtAv*5-ci7?+35ZK%zXhNs#Ez zRTq56Nv^LOqK1p94vM0j2mTKgIBr`4eM{wN`OI!V=H8NY9yO=+XThalL=-bCe~$Qh zB&D~QLuOrL0r#J$NNy)k-qZ{OGZ$HSYU6KQ@Wd2580)7rqBQIfmO)+d5^NliYeOlx zcj|1+y>o&ot{SOI;x6TlDv0R_2VOy1$6Qv}?w;!mKGOK-jw)pNxASe)7-oHu{3%1j zg!;-Z`8}^|2RDNg+hn;}NW{6D5~Uakl8tkEU`K1pfWCp<_yKq7_Vc-`TtnL7p+UIb z;m|#qp4~8LKriK}UTe>)hOiU$?6nm3dWxnvO9Hy~MV7SChtY8Cm$G$&o-IsAktG7D zwIQ?xagaAR+iFw<9P4qrOwzNXl6|}%=^!Rgq?=9?wHyVw;INfs%kCArBVM<@=+_hb z$6hbN05K{5TtabZ04R454f#XJ;*$ttOX5xUv8;tW55eURw_9uy3R? z>n=RJly}V2hw_`_Ta14@hJ8Q-3?o&8dE~c5KyCSKvJFR^ z^6Ja>G$m}3$I@WU-ssYXts)!IZ2cZzmcBKPW{8tuwVrt;nCZh*V*u{s7tn70q#YO| zfb*@z-_IK|j=}iVBzJ)r+Y{_lPq$+d8YTqB`B6qYk78K3{q%=DQi3$2rKoJL+SB{h zx5N>KRIDf|Jj$Og1m+#>--iH9s4yb|l@Bs95SG^xv2L~2NrSxwr^{u@n=cR=*{!_% zlZ#$5W-xvv^Y^4m#^hd9TYjq}m)IWgfC6d>a+B)r;&>*RYts;Oa={LRt}#)zUul#O zLQL9W*{Oagm?4b)7WZn(D(iOZnnU%%t-U~Ni=TgYruV0ZAb;5WnV?BE~!G}2O0>)bG;f#D1> z`iW^TYZnNUWeb=nRj^pFFNxIbW1?atEqhHRe0GgRB4&1peaXCdo z31CsA@O7C2ytsA(AQw_{DFWvC&fXQY%lg4l!c}9uQ%F{DdncnkzfR`D`1ak09q(*^ zNr)u55X;X{=26?}@yBdCcI|2xXEdP+-t-$Z8=(dEYmvPI1%J>I5 zqL8^b*V*d7j1c3je{pAMv3=m6ErUS!P`@d3E|C8&VOr1pklKeMu z^?#TAetAl2|4{cA#{B{M&yDf0`|qm$0s8mOgMj>v&;Mup*ZhvC!2W?T zbartyVRHMQxDJ%FWFk%ieS}+hM5D5-@bqPrh4;y7KYb7;$)vuP4I@)TU cCMv9A+M*n6uFfi4(k#~6ik>cV4kCj856N@tCjbBd diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt index ea399e1..6b19858 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:29:25 2021 +| Date : Sat Oct 30 02:02:19 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_power -file ./out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_power.rpt | Design : top diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt index da54f7c..3b0e456 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt +++ b/examples/out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt @@ -1,7 +1,7 @@ Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -| Date : Sat Oct 30 00:29:24 2021 +| Date : Sat Oct 30 02:02:18 2021 | Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS | Command : report_timing_summary -file ./out/led_counter/xc7a35tftg256-1/led_counter/syn/reports/post_synth_timing_summary.rpt | Design : top diff --git a/fpga/run.tcl b/fpga/run.tcl index 7d2fc96..2acb158 100644 --- a/fpga/run.tcl +++ b/fpga/run.tcl @@ -18,26 +18,26 @@ set shell_path [lindex $lines 3] # # set outputDir ./out_${file_name}_${part_name}/FPGA_${file_name} set outputDir ./out/${file_name}/${part_name}/${file_name} -set inputDir ./out/${file_name}/${part_name} +set inputDir ./../out/${file_name}/${part_name} file mkdir $outputDir # # STEP#2: setup design sources and constraints # -read_verilog inputDir/${file_name}.v -read_verilog inputDir/includes/proj_verilog/clk_gate.v +read_verilog $inputDir/${file_name}.v +read_verilog $inputDir/includes/proj_verilog/clk_gate.v #read_verilog ${shell_path}/../../includes/clock_divider.v #set_property -include_dirs {./out_${file_name}_${part_name}/includes/* ./out_${file_name}_${part_name}/includes/proj_verilog/* ./out_${file_name}_${part_name}/includes/proj_default/*} [current_fileset] read_xdc $cons_name -read_xdc inputDir/clock_constraints.xdc +read_xdc $inputDir/clock_constraints.xdc # # STEP#3: run synthesis, report utilization and timing estimates, write checkpoint design # set multi_include_dirs " \ -inputDir/includes \ -inputDir/includes/proj_verilog \ -inputDir/includes/proj_default \ +$inputDir/includes \ +$inputDir/includes/proj_verilog \ +$inputDir/includes/proj_default \ " synth_design -top top -part $part_name -retiming -include_dirs $multi_include_dirs file mkdir $outputDir/syn/reports diff --git a/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc b/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc new file mode 100644 index 0000000..cc977ac --- /dev/null +++ b/out/led_counter/xc7a35tftg256-1/clock_constraints.xdc @@ -0,0 +1,9 @@ +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv b/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv rename to out/led_counter/xc7a35tftg256-1/includes/proj_default/clk_gate.sv diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh b/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh rename to out/led_counter/xc7a35tftg256-1/includes/proj_default/sp_default.vh diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v b/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v rename to out/led_counter/xc7a35tftg256-1/includes/proj_verilog/clk_gate.v diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh b/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh rename to out/led_counter/xc7a35tftg256-1/includes/proj_verilog/sp_verilog.vh diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv b/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv rename to out/led_counter/xc7a35tftg256-1/includes/pseudo_rand.tlv diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh b/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh rename to out/led_counter/xc7a35tftg256-1/includes/rw_lib.vh diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt b/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt rename to out/led_counter/xc7a35tftg256-1/includes/sandhost/README.txt diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v b/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v rename to out/led_counter/xc7a35tftg256-1/includes/sandhost/sqrt32.v diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv b/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv rename to out/led_counter/xc7a35tftg256-1/includes/sandhost/tb.sv diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh b/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh rename to out/led_counter/xc7a35tftg256-1/includes/sandpiper.vh diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh b/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh rename to out/led_counter/xc7a35tftg256-1/includes/sandpiper_gen.vh diff --git a/examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv b/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv rename to out/led_counter/xc7a35tftg256-1/includes/simple_bypass_fifo.sv diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter.v b/out/led_counter/xc7a35tftg256-1/led_counter.v similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter.v rename to out/led_counter/xc7a35tftg256-1/led_counter.v diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v b/out/led_counter/xc7a35tftg256-1/led_counter_gen.v similarity index 100% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter_gen.v rename to out/led_counter/xc7a35tftg256-1/led_counter_gen.v diff --git a/run.sh b/run.sh index ccdfedc..97ab4bb 100644 --- a/run.sh +++ b/run.sh @@ -53,11 +53,18 @@ if [ -d out/$filename/$partname ]; then echo "================================================" fi +if [ -d ../out/$filename/$partname ]; then + echo "================================================" + echo "DELETING THE PREVIOUS BUILD FOLDER" + rm -R ../out/$filename/$partname + echo "================================================" +fi + # Give the respective tlv file as top. For eg, for counter test case give it as counter.tlv echo "================================================" echo "PROCESSING .TLV USING SANDPIPER(TM) SaaS EDITION." echo "------------------------------------------------" -sandpiper-saas -i "$filename".tlv -o "$filename".v --iArgs --default_includes --outdir=out/$filename/$partname +sandpiper-saas -i "$filename".tlv -o "$filename".v --iArgs --default_includes --outdir=../out/$filename/$partname echo "================================================" echo "=================================================" @@ -76,9 +83,9 @@ var2=$(expr "scale=3; $clock_rate/2" | bc) # echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./out_"${filename}_$partname"/clock_constraints.xdc # echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc # echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out_"${filename}_$partname"/clock_constraints.xdc -echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./out/$filename/$partname/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./out/$filename/$partname/clock_constraints.xdc -echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./out/$filename/$partname/clock_constraints.xdc +echo "create_clock -period $var1 -name clk -waveform {0.000 $var2} [get_ports clk]" >>./../out/$filename/$partname/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset]" >>./../out/$filename/$partname/clock_constraints.xdc +echo "set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset]" >>./../out/$filename/$partname/clock_constraints.xdc echo "===================================================" From 11d2f907d3fea3925f38cd7c6a6a811636365873 Mon Sep 17 00:00:00 2001 From: Dale Dantis Date: Sat, 30 Oct 2021 02:52:34 +0530 Subject: [PATCH 08/10] Changed Output Directory to make it more readable --- examples/.Xil/top_propImpl.xdc | 388 +------- .../led_counter/Output}/fpga_impl.xdc | 8 +- .../led_counter/Output}/fpga_impl_netlist.v | 4 +- .../led_counter/Output}/led_counter.bit | Bin 2192111 -> 2192111 bytes .../led_counter/Output/place/post_place.dcp | Bin 0 -> 167211 bytes .../reports/post_place_timing_summary.rpt | 338 +++++++ .../led_counter/Output}/route/post_route.dcp | Bin 176264 -> 176535 bytes .../Output}/route/reports/clock_util.rpt | 8 +- .../Output}/route/reports/post_imp_drc.rpt | 8 +- .../route/reports/post_route_power.rpt | 8 +- .../route/reports/post_route_timing.rpt | 8 +- .../reports/post_route_timing_summary.rpt | 336 +++++++ .../Output}/route/reports/post_route_util.rpt | 8 +- .../led_counter/Output/syn/post_synth.dcp | Bin 0 -> 142779 bytes .../Output}/syn/reports/post_synth_power.rpt | 8 +- .../syn/reports/post_synth_timing_summary.rpt | 346 +++++++ fpga/run.tcl | 11 +- .../Dependencies}/clock_constraints.xdc | 0 .../includes/proj_default/clk_gate.sv | 0 .../includes/proj_default/sp_default.vh | 0 .../includes/proj_verilog/clk_gate.v | 0 .../includes/proj_verilog/sp_verilog.vh | 0 .../Dependencies}/includes/pseudo_rand.tlv | 0 .../Dependencies}/includes/rw_lib.vh | 0 .../includes/sandhost/README.txt | 0 .../Dependencies}/includes/sandhost/sqrt32.v | 0 .../Dependencies}/includes/sandhost/tb.sv | 0 .../Dependencies}/includes/sandpiper.vh | 0 .../Dependencies}/includes/sandpiper_gen.vh | 0 .../includes/simple_bypass_fifo.sv | 0 .../led_counter/Dependencies}/led_counter.v | 0 .../Dependencies}/led_counter_gen.v | 0 .../basys3/led_counter/Output}/fpga_impl.xdc | 2 +- .../led_counter/Output}/fpga_impl_netlist.v | 4 +- .../led_counter/Output}/led_counter.bit | Bin 2192111 -> 2192111 bytes .../led_counter/Output}/place/post_place.dcp | Bin 168011 -> 168011 bytes .../reports/post_place_timing_summary.rpt | 8 +- .../led_counter/Output}/route/post_route.dcp | Bin 177555 -> 177526 bytes .../Output}/route/reports/clock_util.rpt | 8 +- .../Output}/route/reports/post_imp_drc.rpt | 8 +- .../route/reports/post_route_power.rpt | 8 +- .../route/reports/post_route_timing.rpt | 8 +- .../reports/post_route_timing_summary.rpt | 8 +- .../Output}/route/reports/post_route_util.rpt | 8 +- .../led_counter/Output}/syn/post_synth.dcp | Bin 143621 -> 143611 bytes .../Output}/syn/reports/post_synth_power.rpt | 8 +- .../syn/reports/post_synth_timing_summary.rpt | 8 +- .../Dependencies}/clock_constraints.xdc | 9 + .../includes/proj_default/clk_gate.sv | 0 .../includes/proj_default/sp_default.vh | 0 .../includes/proj_verilog/clk_gate.v | 0 .../includes/proj_verilog/sp_verilog.vh | 0 .../Dependencies}/includes/pseudo_rand.tlv | 0 .../Dependencies}/includes/rw_lib.vh | 0 .../includes/sandhost/README.txt | 0 .../Dependencies}/includes/sandhost/sqrt32.v | 0 .../Dependencies}/includes/sandhost/tb.sv | 0 .../Dependencies}/includes/sandpiper.vh | 0 .../Dependencies}/includes/sandpiper_gen.vh | 0 .../includes/simple_bypass_fifo.sv | 0 .../led_counter/Dependencies}/led_counter.v | 0 .../Dependencies}/led_counter_gen.v | 0 .../led_counter/Output/fpga_impl.xdc | 371 +++++++ .../led_counter/Output/fpga_impl_netlist.v | 931 ++++++++++++++++++ .../led_counter/Output/led_counter.bit | Bin 0 -> 2192111 bytes .../led_counter/Output}/place/post_place.dcp | Bin 166924 -> 167264 bytes .../reports/post_place_timing_summary.rpt | 8 +- .../led_counter/Output/route/post_route.dcp | Bin 0 -> 176594 bytes .../Output/route/reports/clock_util.rpt | 146 +++ .../Output/route/reports/post_imp_drc.rpt | 68 ++ .../Output/route/reports/post_route_power.rpt | 146 +++ .../route/reports/post_route_timing.rpt | 119 +++ .../reports/post_route_timing_summary.rpt | 8 +- .../Output/route/reports/post_route_util.rpt | 207 ++++ .../led_counter/Output}/syn/post_synth.dcp | Bin 142526 -> 142835 bytes .../Output/syn/reports/post_synth_power.rpt | 146 +++ .../syn/reports/post_synth_timing_summary.rpt | 8 +- .../Dependencies}/clock_constraints.xdc | 0 .../includes/proj_default/clk_gate.sv | 0 .../includes/proj_default/sp_default.vh | 0 .../includes/proj_verilog/clk_gate.v | 0 .../includes/proj_verilog/sp_verilog.vh | 0 .../Dependencies}/includes/pseudo_rand.tlv | 0 .../Dependencies}/includes/rw_lib.vh | 0 .../includes/sandhost/README.txt | 0 .../Dependencies}/includes/sandhost/sqrt32.v | 0 .../Dependencies}/includes/sandhost/tb.sv | 0 .../Dependencies}/includes/sandpiper.vh | 0 .../Dependencies}/includes/sandpiper_gen.vh | 0 .../includes/simple_bypass_fifo.sv | 0 .../led_counter/Dependencies}/led_counter.v | 0 .../Dependencies}/led_counter_gen.v | 0 .../led_counter/Output}/fpga_impl.xdc | 2 +- .../led_counter/Output}/fpga_impl_netlist.v | 4 +- .../led_counter/Output}/place/post_place.dcp | Bin 262589 -> 262554 bytes .../reports/post_place_timing_summary.rpt | 8 +- .../led_counter/Output}/route/post_route.dcp | Bin 271301 -> 271293 bytes .../Output}/route/reports/clock_util.rpt | 8 +- .../Output}/route/reports/post_imp_drc.rpt | 8 +- .../route/reports/post_route_power.rpt | 8 +- .../route/reports/post_route_timing.rpt | 8 +- .../reports/post_route_timing_summary.rpt | 8 +- .../Output}/route/reports/post_route_util.rpt | 8 +- .../led_counter/Output}/syn/post_synth.dcp | Bin 238027 -> 238014 bytes .../Output}/syn/reports/post_synth_power.rpt | 8 +- .../syn/reports/post_synth_timing_summary.rpt | 8 +- run.sh | 15 +- 107 files changed, 3327 insertions(+), 490 deletions(-) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/fpga_impl.xdc (97%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/fpga_impl_netlist.v (99%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/led_counter.bit (99%) create mode 100644 examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp create mode 100644 examples/out/edge_artix-7/led_counter/Output/place/reports/post_place_timing_summary.rpt rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/post_route.dcp (53%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/reports/clock_util.rpt (97%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/reports/post_imp_drc.rpt (93%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/reports/post_route_power.rpt (96%) rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/reports/post_route_timing.rpt (97%) create mode 100644 examples/out/edge_artix-7/led_counter/Output/route/reports/post_route_timing_summary.rpt rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/route/reports/post_route_util.rpt (96%) create mode 100644 examples/out/edge_artix-7/led_counter/Output/syn/post_synth.dcp rename examples/out/{led_counter/xc7a35tftg256-1/led_counter => edge_artix-7/led_counter/Output}/syn/reports/post_synth_power.rpt (96%) create mode 100644 examples/out/edge_artix-7/led_counter/Output/syn/reports/post_synth_timing_summary.rpt rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/clock_constraints.xdc (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/proj_default/clk_gate.sv (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/proj_default/sp_default.vh (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/proj_verilog/clk_gate.v (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/proj_verilog/sp_verilog.vh (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/pseudo_rand.tlv (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/rw_lib.vh (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/sandhost/README.txt (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/sandhost/sqrt32.v (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/sandhost/tb.sv (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/sandpiper.vh (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/sandpiper_gen.vh (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/includes/simple_bypass_fifo.sv (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/led_counter.v (100%) rename {examples/out/led_counter/xc7a35tcpg236-1 => out/basys3/led_counter/Dependencies}/led_counter_gen.v (100%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/fpga_impl.xdc (99%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/fpga_impl_netlist.v (99%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/led_counter.bit (99%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/place/post_place.dcp (59%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/place/reports/post_place_timing_summary.rpt (98%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/post_route.dcp (53%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/clock_util.rpt (97%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/post_imp_drc.rpt (93%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/post_route_power.rpt (96%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/post_route_timing.rpt (97%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/post_route_timing_summary.rpt (98%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/route/reports/post_route_util.rpt (96%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/syn/post_synth.dcp (65%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/syn/reports/post_synth_power.rpt (96%) rename {examples/out/led_counter/xc7a35tcpg236-1/led_counter => out/basys3/led_counter/Output}/syn/reports/post_synth_timing_summary.rpt (98%) rename out/{led_counter/xc7a35tftg256-1 => edge_artix-7/led_counter/Dependencies}/clock_constraints.xdc (50%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/proj_default/clk_gate.sv (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/proj_default/sp_default.vh (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/proj_verilog/clk_gate.v (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/proj_verilog/sp_verilog.vh (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/pseudo_rand.tlv (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/rw_lib.vh (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/sandhost/README.txt (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/sandhost/sqrt32.v (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/sandhost/tb.sv (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/sandpiper.vh (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/sandpiper_gen.vh (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/includes/simple_bypass_fifo.sv (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/led_counter.v (100%) rename {examples/out/led_counter/xc7z020clg484-1 => out/edge_artix-7/led_counter/Dependencies}/led_counter_gen.v (100%) create mode 100644 out/edge_artix-7/led_counter/Output/fpga_impl.xdc create mode 100644 out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v create mode 100644 out/edge_artix-7/led_counter/Output/led_counter.bit rename {examples/out/led_counter/xc7a35tftg256-1/led_counter => out/edge_artix-7/led_counter/Output}/place/post_place.dcp (59%) rename {examples/out/led_counter/xc7a35tftg256-1/led_counter => out/edge_artix-7/led_counter/Output}/place/reports/post_place_timing_summary.rpt (98%) create mode 100644 out/edge_artix-7/led_counter/Output/route/post_route.dcp create mode 100644 out/edge_artix-7/led_counter/Output/route/reports/clock_util.rpt create mode 100644 out/edge_artix-7/led_counter/Output/route/reports/post_imp_drc.rpt create mode 100644 out/edge_artix-7/led_counter/Output/route/reports/post_route_power.rpt create mode 100644 out/edge_artix-7/led_counter/Output/route/reports/post_route_timing.rpt rename {examples/out/led_counter/xc7a35tftg256-1/led_counter => out/edge_artix-7/led_counter/Output}/route/reports/post_route_timing_summary.rpt (98%) create mode 100644 out/edge_artix-7/led_counter/Output/route/reports/post_route_util.rpt rename {examples/out/led_counter/xc7a35tftg256-1/led_counter => out/edge_artix-7/led_counter/Output}/syn/post_synth.dcp (76%) create mode 100644 out/edge_artix-7/led_counter/Output/syn/reports/post_synth_power.rpt rename {examples/out/led_counter/xc7a35tftg256-1/led_counter => out/edge_artix-7/led_counter/Output}/syn/reports/post_synth_timing_summary.rpt (99%) rename {examples/out/led_counter/xc7z020clg484-1 => out/zedboard/led_counter/Dependencies}/clock_constraints.xdc (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/proj_default/clk_gate.sv (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/proj_default/sp_default.vh (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/proj_verilog/clk_gate.v (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/proj_verilog/sp_verilog.vh (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/pseudo_rand.tlv (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/rw_lib.vh (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/sandhost/README.txt (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/sandhost/sqrt32.v (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/sandhost/tb.sv (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/sandpiper.vh (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/sandpiper_gen.vh (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/includes/simple_bypass_fifo.sv (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/led_counter.v (100%) rename out/{led_counter/xc7a35tftg256-1 => zedboard/led_counter/Dependencies}/led_counter_gen.v (100%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/fpga_impl.xdc (99%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/fpga_impl_netlist.v (99%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/place/post_place.dcp (81%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/place/reports/post_place_timing_summary.rpt (98%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/post_route.dcp (76%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/clock_util.rpt (97%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/post_imp_drc.rpt (95%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/post_route_power.rpt (96%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/post_route_timing.rpt (97%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/post_route_timing_summary.rpt (98%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/route/reports/post_route_util.rpt (96%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/syn/post_synth.dcp (83%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/syn/reports/post_synth_power.rpt (96%) rename {examples/out/led_counter/xc7z020clg484-1/led_counter => out/zedboard/led_counter/Output}/syn/reports/post_synth_timing_summary.rpt (98%) diff --git a/examples/.Xil/top_propImpl.xdc b/examples/.Xil/top_propImpl.xdc index 136c368..d0a972d 100644 --- a/examples/.Xil/top_propImpl.xdc +++ b/examples/.Xil/top_propImpl.xdc @@ -1,371 +1,37 @@ -set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_edge_artix-7.xdc rfile:../../fpga/constraints/fpga_lab_constr_edge_artix-7.xdc id:1} [current_design] -set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N11 IOSTANDARD LVCMOS33 } [get_ports { clk }]; -set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L5 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }];#LSB -set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L4 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; -set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M4 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; -set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; -set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; -set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; -set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; -set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; -set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports { sw[8] }]; -set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P4 IOSTANDARD LVCMOS33 } [get_ports { sw[9] }]; -set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; -set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R8 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; -set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; -set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T7 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; -set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P8 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; -set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M6 IOSTANDARD LVCMOS33 } [get_ports { reset }];#MSB -set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { led[0] }];#LSB -set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H3 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; -set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; -set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; -set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; -set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; -set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; -set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; -set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K5 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; -set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P6 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; -set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R7 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; -set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R6 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; -set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T5 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; -set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R5 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; -set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; -set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { led[15] }];#MSB -set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[0]}]; #Button-top -set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[1]}]; #Button-bottom -set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[2]}]; #Button-left -set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[3]}]; #Button-right -set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS33 PULLDOWN true} [get_ports {pb[4]}]; #Button-center -set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F2 IOSTANDARD LVCMOS33 } [get_ports {digit[3]}]; #MSB -set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports {digit[2]}]; -set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G5 IOSTANDARD LVCMOS33 } [get_ports {digit[1]}]; -set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports {digit[0]}]; #LSB -set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {sseg[6]}];#A -set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports {sseg[5]}];#B -set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports {sseg[4]}];#C -set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports {sseg[3]}];#D -set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports {sseg[2]}];#E -set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports {sseg[1]}];#F -set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {sseg[0]}];#G -set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {dp}];#DP -set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_txd }]; -set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { Bluetooth_rxd }]; -set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN K12 IOSTANDARD LVCMOS33 } [get_ports {Buzzer}]; -set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports {SCK}]; -set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports {CS}]; -set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports {MOSI}]; -set_property src_info {type:XDC file:1 line:80 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[0]}]; -set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[1]}]; +set_property SRC_FILE_INFO {cfile:/mnt/d/Dale/Work/FPGA_Projects/Open_Source/Virtual-FPGA-Lab/fpga/constraints/fpga_lab_constr_zedboard.xdc rfile:../../fpga/constraints/fpga_lab_constr_zedboard.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:82 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_p[2]}]; -set_property src_info {type:XDC file:1 line:83 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_p}]; -set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[0]}]; -set_property src_info {type:XDC file:1 line:85 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B5 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[1]}]; -set_property src_info {type:XDC file:1 line:86 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A7 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_n[2]}]; -set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D3 IOSTANDARD TMDS_33 } [get_ports {hdmi_tx_clk_n}]; -set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {data[7]}]; -set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M5 IOSTANDARD LVCMOS33 } [get_ports {data[6]}]; -set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N4 IOSTANDARD LVCMOS33 } [get_ports {data[5]}]; -set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {data[4]}]; -set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R1 IOSTANDARD LVCMOS33 } [get_ports {data[3]}]; -set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {data[2]}]; -set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {data[1]}]; -set_property src_info {type:XDC file:1 line:97 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T4 IOSTANDARD LVCMOS33 } [get_ports {data[0]}]; -set_property src_info {type:XDC file:1 line:98 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {lcd_e}]; -set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P5 IOSTANDARD LVCMOS33 } [get_ports {lcd_rs}]; -set_property src_info {type:XDC file:1 line:103 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[0] }]; -set_property src_info {type:XDC file:1 line:104 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[1] }]; -set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[2] }]; -set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[3] }]; -set_property src_info {type:XDC file:1 line:107 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[4] }]; -set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[5] }]; -set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[6] }]; -set_property src_info {type:XDC file:1 line:110 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[7] }]; -set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[8] }]; -set_property src_info {type:XDC file:1 line:112 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[9] }]; -set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[10] }]; -set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[11] }]; -set_property src_info {type:XDC file:1 line:115 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[12] }]; -set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[13] }]; -set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[14] }]; -set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { sdram_dq[15] }];#MSB -set_property src_info {type:XDC file:1 line:120 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[0] }];#LSB -set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E11 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[1] }]; -set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[2] }]; -set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[3] }]; -set_property src_info {type:XDC file:1 line:124 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[4] }]; -set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[5] }]; -set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[6] }]; -set_property src_info {type:XDC file:1 line:127 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[7] }]; -set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[8] }]; -set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[9] }]; -set_property src_info {type:XDC file:1 line:130 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[10] }]; -set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[11] }]; -set_property src_info {type:XDC file:1 line:132 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { sdram_addr[12] }]; -set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[0] }]; -set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports { sdram_ba[1] }]; -set_property src_info {type:XDC file:1 line:138 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E12 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[0] }]; -set_property src_info {type:XDC file:1 line:139 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { sdram_dqm[1] }]; -set_property src_info {type:XDC file:1 line:141 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { sdram_clk }]; -set_property src_info {type:XDC file:1 line:142 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { sdram_cke }]; -set_property src_info {type:XDC file:1 line:143 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { sdram_cs_n }]; -set_property src_info {type:XDC file:1 line:144 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sdram_we_n }]; -set_property src_info {type:XDC file:1 line:145 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports { sdram_cas_n }]; -set_property src_info {type:XDC file:1 line:146 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { sdram_ras_n }]; -set_property src_info {type:XDC file:1 line:149 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports {tft_sck}]; -set_property src_info {type:XDC file:1 line:150 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports {tft_sdi}]; -set_property src_info {type:XDC file:1 line:151 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports {tft_dc}]; -set_property src_info {type:XDC file:1 line:152 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N9 IOSTANDARD LVCMOS33 } [get_ports {tft_reset}]; -set_property src_info {type:XDC file:1 line:153 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P9 IOSTANDARD LVCMOS33 } [get_ports {tft_cs}]; -set_property src_info {type:XDC file:1 line:156 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_txd}]; -set_property src_info {type:XDC file:1 line:157 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports {usb_uart_rxd}]; -set_property src_info {type:XDC file:1 line:160 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { wifi_txd }]; -set_property src_info {type:XDC file:1 line:161 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { wifi_rxd }]; -set_property src_info {type:XDC file:1 line:164 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {ov7670_sioc}]; -set_property src_info {type:XDC file:1 line:165 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {ov7670_siod}]; -set_property src_info {type:XDC file:1 line:166 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {ov7670_vsync}]; -set_property src_info {type:XDC file:1 line:167 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {ov7670_href}]; -set_property src_info {type:XDC file:1 line:168 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {ov7670_pclk}]; -set_property src_info {type:XDC file:1 line:169 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {ov7670_xclk}]; -set_property src_info {type:XDC file:1 line:170 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[7]}]; -set_property src_info {type:XDC file:1 line:171 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[6]}]; -set_property src_info {type:XDC file:1 line:172 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[5]}]; -set_property src_info {type:XDC file:1 line:173 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[4]}]; -set_property src_info {type:XDC file:1 line:174 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[3]}]; +set_property PACKAGE_PIN Y9 [get_ports {clk}]; # "GCLK" set_property src_info {type:XDC file:1 line:175 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[2]}]; +set_property PACKAGE_PIN T22 [get_ports {led[0]}]; # "led0" set_property src_info {type:XDC file:1 line:176 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[1]}]; +set_property PACKAGE_PIN T21 [get_ports {led[1]}]; # "led1" set_property src_info {type:XDC file:1 line:177 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {ov7670_data[0]}]; +set_property PACKAGE_PIN U22 [get_ports {led[2]}]; # "led2" set_property src_info {type:XDC file:1 line:178 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33} [get_ports {ov7670_reset}]; +set_property PACKAGE_PIN U21 [get_ports {led[3]}]; # "led3" set_property src_info {type:XDC file:1 line:179 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33} [get_ports {ov7670_pwdn}]; -set_property src_info {type:XDC file:1 line:186 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports {pin5}]; -set_property src_info {type:XDC file:1 line:187 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports {pin6}]; -set_property src_info {type:XDC file:1 line:188 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {pin7}]; -set_property src_info {type:XDC file:1 line:189 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports {pin8}]; -set_property src_info {type:XDC file:1 line:190 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports {pin9}]; -set_property src_info {type:XDC file:1 line:191 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {pin10}]; -set_property src_info {type:XDC file:1 line:192 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {pin11}]; -set_property src_info {type:XDC file:1 line:193 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports {pin12}]; -set_property src_info {type:XDC file:1 line:194 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33} [get_ports {pin13}]; -set_property src_info {type:XDC file:1 line:195 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P13 IOSTANDARD LVCMOS33} [get_ports {pin14}]; -set_property src_info {type:XDC file:1 line:196 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports {pin15}]; -set_property src_info {type:XDC file:1 line:197 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {pin16}]; -set_property src_info {type:XDC file:1 line:198 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P10 IOSTANDARD LVCMOS33} [get_ports {pin17}]; -set_property src_info {type:XDC file:1 line:199 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN P11 IOSTANDARD LVCMOS33} [get_ports {pin18}]; -set_property src_info {type:XDC file:1 line:200 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports {pin19}]; -set_property src_info {type:XDC file:1 line:201 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports {pin20}]; -set_property src_info {type:XDC file:1 line:204 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports {vga_hsync}]; -set_property src_info {type:XDC file:1 line:205 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports {vga_vsync}]; -set_property src_info {type:XDC file:1 line:206 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports {vga_r[0]}]; -set_property src_info {type:XDC file:1 line:207 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F12 IOSTANDARD LVCMOS33 } [get_ports {vga_r[1]}]; -set_property src_info {type:XDC file:1 line:208 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports {vga_r[2]}]; -set_property src_info {type:XDC file:1 line:209 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports {vga_r[3]}]; -set_property src_info {type:XDC file:1 line:210 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D16 IOSTANDARD LVCMOS33 } [get_ports {vga_g[0]}]; -set_property src_info {type:XDC file:1 line:211 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[1]}]; -set_property src_info {type:XDC file:1 line:212 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports {vga_g[2]}]; -set_property src_info {type:XDC file:1 line:213 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H11 IOSTANDARD LVCMOS33 } [get_ports {vga_g[3]}]; +set_property PACKAGE_PIN V22 [get_ports {led[4]}]; # "led4" +set_property src_info {type:XDC file:1 line:180 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W22 [get_ports {led[5]}]; # "led5" +set_property src_info {type:XDC file:1 line:181 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U19 [get_ports {led[6]}]; # "led6" +set_property src_info {type:XDC file:1 line:182 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U14 [get_ports {led[7]}]; # "led7" set_property src_info {type:XDC file:1 line:214 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[0]}]; -set_property src_info {type:XDC file:1 line:215 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H12 IOSTANDARD LVCMOS33 } [get_ports {vga_b[1]}]; -set_property src_info {type:XDC file:1 line:216 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN H13 IOSTANDARD LVCMOS33 } [get_ports {vga_b[2]}]; -set_property src_info {type:XDC file:1 line:217 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports {vga_b[3]}]; +set_property PACKAGE_PIN L16 [get_ports {OTG_VBUSOC}]; # "OTG-VBUSOC" +set_property src_info {type:XDC file:1 line:219 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN H15 [get_ports {XADC_GIO0}]; # "XADC-GIO0" set_property src_info {type:XDC file:1 line:220 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D6 IOSTANDARD LVCMOS33 } [get_ports {sd_cclk}]; +set_property PACKAGE_PIN R15 [get_ports {XADC_GIO1}]; # "XADC-GIO1" set_property src_info {type:XDC file:1 line:221 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports {sd_cd}]}]; +set_property PACKAGE_PIN K15 [get_ports {XADC_GIO2}]; # "XADC-GIO2" set_property src_info {type:XDC file:1 line:222 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports {sd_cmd}]}]; -set_property src_info {type:XDC file:1 line:223 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports {sd_d[0]}]; -set_property src_info {type:XDC file:1 line:224 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports {sd_d[1]}]; -set_property src_info {type:XDC file:1 line:225 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports {sd_d[2]}]; -set_property src_info {type:XDC file:1 line:226 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports {sd_d[3]}]; -set_property src_info {type:XDC file:1 line:229 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C3 IOSTANDARD LVCMOS33 } [get_ports {vauxp6}]; -set_property src_info {type:XDC file:1 line:230 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports {vauxn6}]; -set_property src_info {type:XDC file:1 line:231 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports {vauxp14}]; -set_property src_info {type:XDC file:1 line:232 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN A2 IOSTANDARD LVCMOS33 } [get_ports {vauxn14}]; -set_property src_info {type:XDC file:1 line:233 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports {vauxp7}] ; -set_property src_info {type:XDC file:1 line:234 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports {vauxn7}]; -set_property src_info {type:XDC file:1 line:235 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports {vauxp15}]; -set_property src_info {type:XDC file:1 line:236 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN D1 IOSTANDARD LVCMOS33 } [get_ports {vauxn15}]; -set_property src_info {type:XDC file:1 line:239 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G11 IOSTANDARD LVCMOS33 } [get_ports { Audio_L }]; -set_property src_info {type:XDC file:1 line:240 export:INPUT save:INPUT read:READ} [current_design] -set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { Audio_R }]; +set_property PACKAGE_PIN J15 [get_ports {XADC_GIO3}]; # "XADC-GIO3" +set_property src_info {type:XDC file:1 line:237 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN F22 [get_ports {reset}]; # "SW0" +set_property src_info {type:XDC file:1 line:362 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; +set_property src_info {type:XDC file:1 line:370 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 35]]; +set_property src_info {type:XDC file:1 line:375 export:INPUT save:INPUT read:READ} [current_design] +set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]]; diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc b/examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc similarity index 97% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc rename to examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc index 1a05b2c..57689c3 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc +++ b/examples/out/edge_artix-7/led_counter/Output/fpga_impl.xdc @@ -1,7 +1,7 @@ #################################################################################### # Generated by Vivado 2020.2 built on 'Wed Nov 18 09:12:47 MST 2020' by 'xbuild' -# Command Used: write_xdc -no_fixed_only -force ./out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl.xdc +# Command Used: write_xdc -no_fixed_only -force ./out/edge_artix-7/led_counter/Output/fpga_impl.xdc #################################################################################### @@ -135,6 +135,12 @@ set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] +create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] +set_input_delay -clock [get_clocks clk] -min -add_delay 0.000 [get_ports reset] +set_input_delay -clock [get_clocks clk] -max -add_delay 0.000 [get_ports reset] # Vivado Generated physical constraints diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v b/examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v similarity index 99% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v rename to examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v index f6c76c9..cd87d90 100644 --- a/examples/out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v +++ b/examples/out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v @@ -1,9 +1,9 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020 -// Date : Sat Oct 30 02:03:10 2021 +// Date : Sat Oct 30 02:28:48 2021 // Host : DESKTOP-D80LUPK running 64-bit Ubuntu 20.04.3 LTS -// Command : write_verilog -force ./out/led_counter/xc7a35tftg256-1/led_counter/fpga_impl_netlist.v +// Command : write_verilog -force ./out/edge_artix-7/led_counter/Output/fpga_impl_netlist.v // Design : top // Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an // IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input diff --git a/examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit b/examples/out/edge_artix-7/led_counter/Output/led_counter.bit similarity index 99% rename from examples/out/led_counter/xc7a35tftg256-1/led_counter/led_counter.bit rename to examples/out/edge_artix-7/led_counter/Output/led_counter.bit index bbc39921df70ece59fa885d161c56f3089bfc321..918afd94a0fb014e7af1eda83b37830f8ecab77c 100644 GIT binary patch delta 119 zcmWN=O%j0s0D#dcQhuV4kiTwVtmGtZzDu})#kV)^;z-tAA#dh&KZEZ7-A1?OalOBg yKtdVFP$C&gEQyR|B2$@3Dsx##Cb=wSC2J{UBc*I*Cwr;nAV)dLSuS7d&$9=eStt|$ delta 119 zcmWN=O%j0s0D#dcQhuV4kiTwVSmh*bzDu})#kV)^;z-tAA#dh&KZEZ7-A32@8 yKtdVFP$C&gEQyR|B2$@3Dsx##Cb=wSC2J{UBc*I*Cwr;nAV)dLSuS7d&$9=cIVcYR diff --git a/examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp b/examples/out/edge_artix-7/led_counter/Output/place/post_place.dcp new file mode 100644 index 0000000000000000000000000000000000000000..03b09556b92930d5c7d8f6ce575b106b188e0dbf GIT binary patch literal 167211 zcmZ5`Q;;aIwq@J4ZQD3)+qP}nwr$(CZQI?aZO^%{rsmb1k5p=Bt+kU>@{wdkUJ4il z1poj50w5e&S82o)=rSD;0KgIi008`7tFe(iox6>7OtPL`76ZyiUYeZ2eKT(|5TtQU zqB39-XavBa+!CJ%30o9vAR>ljuTR#fmcbHL->#GI*+(w;9A2jqoTm0lFq)G@3hIRc zW2T0f_nV5MBE#LA*b-?yA!yBMA`z{qo~h|!yZsp{_7Yq`TJD`T7WJsHCC1{lh#qNe zmlwMO>n2bWAt%r_m^ol824Gz4pKrM2E-#g?Jm~?l$beGwNmeolpLBJ`Id>A9ND6cM z&gy`=(PLA&b#_}B>QSE^gUnc$)P}rF+wF2S-cr&uOxikP@k{5tBa@->qb!-!o}4LO z@4h_-{wDJQ`&aI4YAcLm$|-XftXVaZF#^7{w6o2EqYr*ph3xdju^XM4%%1IDR7nMC zI-wPes}Et7o6=v?>VnTaf8xmzCP8ldw7G8pB%HYxJ*JL9lib`9!`%Fpsd#Q@gyU{N z)o_IcPt0|ndcIOpG$Why>JJLh42(CBYru6v8p%w*mL~KX^2oFxW(}%Q&Ro7&&x!V;eG}Bk9m~cyrTfe|>wCIJMK`oyflT zF8i?i#XMoY-9%(WBq66nBZnESE@`y6mF`UW9MoP=8ouN%itw%#3YG7 zxMxWRk7)C^N1WF*&Ifp|DB|i55ki_V+Qyk%-ko5YHCEh`UKBJZi-JZzjaM|7&zW>G zzli_K9Rp&G+p4PTU+qr=N+R2_FtRP$*=KeW8wlj+K7kNCx($Y053W>jvJX7=I3_Ot zrUbKQxD;H2SoK8Sr?B<&mjUJyJUTXyg;4?5mfYCqr1+a?4En?L%-t4qSiZ)T&$8?A z(9(7woVZPYJdL&zW-~qXZHmdrDjBcV^2x9;lZ1WQwz-^!MHg~8)&L!~i?%fOytl;s znEj3;qv@k1m!fO+^Vy+Hpw(+D;!#D_Mx9?(^>O9IQA6~CV`da6M*liFRVK{B zy{smBIpKOE^aJ{!vs_>^ns*D5Uo=vhmff3C`9X?Q2Ke)!cKXk&6iSrGPghW9ESOl~WjdA>Dsy4SsbDWv$;)T*{ z<3}rSGcPvvZ9+IgDr%UR5)+Yc#ddRp$1Ey3HyAnD;Y|Y-(8qo@S3}Di$_D78bA72@ zWk_-VKs<>dj^7KI;i^K96r4|m+w3FqUIqR_2(Xp=<3&c(y4I(76|GtKXz^4qjji0yq3QCVrrKnP9P#SD z!@t+jX8w;VnL&;1a^PDMzhs=5%3`8zEmkSk0djGELTR3yzOX;XoSnHTeg|qL z8)(Z`)scV6r$+GO8&~Fwe-RW=72~7KGJ)bnU0Z9E2oTPMkSdDxpLT%j3H?=f5NNy2 zwq_wDyt);hukUbOIgOYAkl9dm%6U^O;9wXF&`u*nT4`P7^1pJV0O(*dwcYgz-tIJYNzJ_q^}mWwtd}nL&-TkZ5&;_x*P1rI^05%Sz>%Zla%A{D$~} z5Kbz>Z)r&?Q8DKMV(cjte8I5{e(;pXl6BWewnoE5-#Agn*;9z;}ltAJd?fbuM$36JIZydTjkkVXQ-XGl{F+r!RX95_sEzZ*3EA7 zud?HExL^4+5I;`5A49)NbKol98;Y$qBkMEr;r<{do!0P<2ELqQq? zeVu>LAcYaw?cj$1h0AfEIB{@ZXE3o}nK-<&-?!HgIj~j1=vdKNb^c9Eibt)L-=0y= z^<0ljpw-*C4b5L+@sPger+)R@sn7Nh+;&9F_|YZG#j2nPGv_9>pof^0mygF#O`Yzo z`Rnfc%T!s(KSb5-wG8{*%bPF8kw6 zPvlG!@-9oICxcaClU9(=g8&W`VSOBP`WoT%#R`Q(kqLtn`j3Rg$z%5rI0eVBzNj&u zphC~Rv}y`Wz5MY!SgH)pkpNMdM#MK;Sg~CBFt8gL4CoM=gcNuE8se3lEy?J2?s+BrkH=2+SnfM#XXMG^`1)qvf`wgWL;cA0Geix zBYHqOB$#p~KCisj@b|$;j*_1xGDpp<_(eq(8>1sL1NNDO3ax7pnI0fc?mmidGPU&HnuNB1@;59A*tc}KCj=mPt9WM< zI-(BSUyhSFMG~;wA#l$7P9%laTZb%rgrInYEKl#67bQ*T@~JxCrhaX5=e4h9Gw?xe z@kC~VvkU@?iKq0>B0A{D%sBOj}t<`w={;gSK&(!)AQ z^9LLM@x4CucC@T0GO)lJe*aSj3-D#d8(5syaieA)O1Er5(V^joNG>u*!?OqnZWhl2 z3yVYlRvihC$5)2I0e{JdzSV_(GA!MDPuTYo;f0c!{HrRA7#C`UCpPoGA{ojv94!Q9 zt;>u1dTw{D22ik-CsB~Lt~;y!Cvi>c$r&+*%66*I4N)?o%SZqokgM(P&0i{f4Y9zF zCrA%bGz%&r6Yo?nT&;AwZ_Mo^r?B=by^DHczl!g+sT4W#310wy_r zRM1GN!q(56YKxe%&|bZB5Lp{#gKqSm58++$gTdl0=n|vG624fM{D?gVVr~dGUU&ol z?el_mb-SnJP)?a)_LMD#w%twT^qWZ8BcckBnAD$|xLl50pfLm9b~}G zRLt~h4eO*I4eQywiyU#jIuH3JhEnX)GjvzK41w>bHbZ+F8WGKdl<=t&X5OI5Wmq`8 znO_x$_|OTgtX@-QZmtw93PMievRWnEk*U0RbuQ1KBoN{E;Py4KGlsO`KeMlr!y9tR z<+xq%S&;^n?+^N#K3xLt##CkU@l8SefcgsE06=nayD(E#rJmn(@yt6d3*vMLfR!w| zPPB>^_nxSpXAzcK_6@!q=6R9WD2P;cX-O4DirzQW9^?-nY!%BH*%B5dWTY3o1*Av` zk|qqWp1Ev)C>WNeywLSc_LhcIE9J&6PeAv7HoZwUiaML8h7e?5yq1Py>dvmNRl6An zV3+y)yw7H-{4Cw6kK$3yrV8f$cHr|mPI*u7o$;kOPVZImMcx12ud<204SV;60JPLE zgk?XrhuyD+IYi&lp`Bwou4yFo%N{itWVIV^VG8S)-K00m;2gDoe7|csdD0 z_`RvOj3L8xJq^uWG24O)uLdzPZuWAuQMM&w z*&cJ@-VnmsNcljf_6ydH^6hBM>8#9arvIxhh~!j8Wkhf_R>h64P35Hi5Et~z@#Dww z5q5syhx0Xd|2wq6-yY?diu7IMkT;0}C)r-*n0onLWA+ny^h=ABJ6Sm^HAq#?m*^;v z+7v&A-1FU)4DPy8Z#*`P6?~3e@_Bn~71C@ycY)nvU1>rUPYpKZhm+#8lI*ZES4#iZ zLSlSGVVQRKn#?!eh;At=&w;{f)lYHL9QqK3!6l6+a^+fV!KFM$D~GExb3U$d&NM4s zM)rDX7UN_RV@7?`rg@uIPbGtA&@4DYK(y+Hb}^48@6S?PW!O;V?%;D;JX*=@Lr>yE z-{|6Z(6sRPH?AQeV$O(C^@4SzO;wn4q)hcVXO<>)Ru9=dNBsd}?=N6}SZVxlz*jzHnTY&{+ z9?@C<%jH~mf~O6>%bDHY4X^dI0s{a?{+DN+|K7C(n@)H&8{bUbs@6&sSp<7g8sv`N zZ@ib=Z4N>oXTovKOUrAsuX?T&+uz-5Pn9y38p|?=y4I{hYdbchleD2;GA%8SF%{ja z*w5PBytMaObEi@I>+Pl6qOMDKZoE&@@WETF&8urz1WSGalr-72!@kQMIo$oEk(i ziFsAg>Yua6UByD6{-!cJJi9WumV0R2EM@*pMMY8ltbeAvD|>H`VXXH|XP63yulSa$ zXlxnG=P{V)-cvyCThQH8I7`G?901(vSI?PLcVc7Ot}ukEGD#-|Vw&|6RPy%x$|-5T zEzfBW{lL2Dx;*S811GBp4bE7 z62QR;b`{^+--8`K02iMpc2WdBjDSC(2^rmfx*B{F3*dq>I>BvEL03SJMQNz3C9v4 z5WgbU%r2OLB$I|FloT>Kf;c+-b9js~e*ZdJ=opZ)`AM0wjZR9RaNje3lT%``Y<{a( z5C(_R|Ml6##WL^bLkm~6r=mnnhzU?_QR*AJlOVMx?HHv-hfMDP?wIv0)$w~ZnxmYI z0d(W4$5tn{XzNK%UC$W3@b;*)!suPNB?pUSgUV&8Z8)vzHmvcPCo;U*)oc!P&TqO? z@zKXR-&7d>c*{`gDgT@|e_FUufIBF!9+gUXu4Pd7yMw!;A0Ek!;CF#xwGST-@d(G} z87@kEo&jQEE`_2QA0nR zcFnt6`|cg)&QH`#Q4|xh%UtUwZFk?!qXU08ZDW$1xmlct;fXJXHkV`l0b$ZjYBE|6 z)z{w3mjb}aIPpSg6Xu!?N+baXjPE>Ac*~HLWV)6~$)?TVy_0yvRS|bQaMZZO<@hz+ z@AGbvBT=K@LGNhq*fT^S=QWTMnFOs#D2@E{J+-r&xRn<;2DGb6z`^Lv_PJ_pu%8;2 zjb>s#_v}!4bL)(;nQDckw&W5zW~Fq=#9)jrK@lap-K4T(XNlajoIW!|bgUd1iPSW% zK12K07~M-!Wrw&DN#cz!gI*}oT7w%&!uw)IYS{$6uZoF*jeO1Jse(=RLIfuVT_X}5 zD6%qAaw~;6R3u9BxrONOy@t1W5tmUcA6~6WedYxMNWc>)$ALnkJwn@V?AV)S;1NT`7}bBMDE%pl`Jenjie5 zW_!dH%QC0_`U;_F?Qj*d3fje=!SNh{4V9f_3`^%xwXuVsY6su>IBU!+cWr_uW|NF! zB9|e3cS1hBFB(#v`kUBbM`N-FJ*B^O8dMb!ziZPYXG1@ErN`KigLcpsoduLwkL;KU zZDH{Z?=6e$BrAy>h|}G&9*A*qxbV8<72-pEd)rlpwbOY;HxD91YpkwQq{zMw)jmnaL zBE?dy2K8R1)-;`UBTcnTAarw#nq8t~c2Y6yiu*wXzLCcPR87n~oW#z;ZxqEUvCq*m88`|n#RA|Q82?t99Y8x$;&gr%$OU@ z(xRHqwt|?KUJd|c~Dog$_ zq;`D-Xtg)p9wKOzb05`0`4lWBU;8U+$pkAC&ojP8YAe|o-+sW(gBl?f3w4d&vtvX^ z#3I0R@l4WPZ%N*)$|zbQ)QXiWAV>x?+QPX^N;E5;Bdql`zAWAuawJ`~{j6|?8-KoK zab&c6tJ5$uq7o+%N){x<-ZCEY5n0WQF+|uo?w=!T=7D`elCQ(2S8<3NGznj}VzTRX zTw#lwzKwu~07JM*Ce0(7VSQ*HD84%!4>{k)Y!K}z2^mBZ?-LfPAkHkNLOS1v<EO0Ml$0sNNPxp8<6uKQTtU)BF)Uy()a^p#-HC?0%Mxx?GE9?PTUuL@PfBmMmcU2 zhC#WMLY7WwAaEtfKpj%|Eg`!hp;{``hr5v}k%_hlf637sUiQmcl0&Y29|IB0kj0NA zMV(mnjcw4L0Bzr5=v|M?Px)W44j^H`?i~bn*Ofbzn*d5vz1zd?wE%{rlTd~7 z({MPDIxkiZ;A657L&Zb{6mDz)5Zu98JHB5hmkOA(%v#m(2Mj{J;Uefh?8JhtPGN^- zYLLwut7Vom9<0TtEp+a8ULHzq1uqHnJ2@C{Oiop*)lN;VZ2Ub*ouclQ-SHW)a+Q6P zR{q*6Aa*=22QN?TR|O^zcGQ+kCS7<1jtnG)=Y6y@MixL^;(fmiq$0>PV4GkuLiJDd zB$h?$D)x~3X*TbP!k z|Gf@Ec8h`{J&z*HCE?Cb#INwI=2sy9QKFKOI;6uYGE8nxTT9kGIK{72SBCLh_6>l2 z6MQ3lR(&Gbm7X;lw;8p{2)$~EPhLX5@_o?Pd(yTkZPJ7*lMW~lBH)8huve5;7shyV zX!95dYVxv#>RCw22k4 z8)Se9x&1`#m5a%4mOxaa0zr?&;8s&*93he^LHM&-r?;U>H!as%QueB`D@9*mMJ2gR z6SiJ0gxzr#KTiK`-{-Jg$pS`)6VmOikVrUvhO(8kjnNDW!p@fN&`tHb^>Kj#zNMq% zL-%s!39Olc19PbnO1BjE^y?1ik}M1K4lj3&8SQu;iIXETD<4Fc7qGGq-$$s-f!aqL z7$Q{|tM?@lM-D4EBG&oQjB97Vts|6}J5X8pMrh5+Xt(#@^SrL!$V`9)0LZ8MUqjN- z*kDCd%Wi8NRhM(Zl)0BAgCi?=!c)VVEsBo=$>kNuN1le7foQ9d?%uWyP$0?30?TsT zQM7f5fZ}5w$VMUGFaKP~PYi!Etrz9m$xmqEcb>VJ4uy0>)wpYehlJ>Cnse_p2bxnj zGL+#d@#td3u)vsGENpm+MS`6Y+yGb~$Qo8{cF>h)1Jc8%(OuT?^Tt>0ElcYawn|U85=E%DA8@Zy zyC+}o)fM90g$A$P-^(j*trS7lYA4_sQe<~Cs=48!)+HQQT?#a-7&G3nH*x@uAU)X= zR}g!Prsg7a?2rdf53_F(h$lS%)w>(z-LU{TNjrJ`#fH?J#e4Vl7Q40~$lyjPSuNKj zM0i%{TA*_rI=K@s5Ec&TqZGbJ=1=S7tAy&3QWUpBu!7nbvysi@Cc|u_@`HMqH*SFJ z(U^?ySZs7hS2AX$+1aFQ_*2l@f(~UMyP3aS+5FK9gRW(~>EBKlta(B&I2_TkWEWf| zG8bIR{@JY_+r)!+nZj?v&0p-AyLCfMkrr?7n7mF)MYRBWL=Z@Ct9p{%y=`jw0&urE z3p>WJqww6E9EwYDu}d!H@AG>3>PTs`=bniODd=-vaplIolVZ!lxPwc`eSnaP>0!LL zoV!=<6%XC{YCLCU!yww+bOxR?7w)AneLjC98XNH4xQJw{$rfPc=8smix5-j% zxp2wC(dm)aEfGx>2Zx{$JdsBf+ucQFkx`g(TCrJ79ItsYnRp6*5P)qK-`E?b5W|?3 zZq)CFK*v1sbGJSrLkPO4zjt>;Or%ZMJ0+~MfCuy>12Ml|65kzyH0WGRV4E3)oGw6Y zXMh55ux-}B#v0jO))@4#W!e{4R~3C-JN*4a&E9jKU`CkU#BMA0n?x(%I(vXO8sE&( zrp?l5oAcQrgYNi&Cs@8bQ}4uBLT*k74+Ulb2P8zC(cQ^0_KS2lMzvW7`a;CKCW)liJfndlJMjWdlc19%mh?^S}q5_R91|b z&5D^0|F9%w{H-iKwLo?RKATX1r8f7- zg6I_!v5LY&t}q z4ywAwvB4Y*VE^3(hFG>>PZ!Hf9tsLlM;#0LvyZ}%4o1EeOW|VewM7Z0`L<bAY zYL$!o)*HtVwuhvgVox+Fo0R!8X?Bih7f|5@^7q(N0N~_1mf(k}xI!eNO=UA6Ju)`_ zC{mX+nuHdA;*xv^#TVpW2$ZqU;qPXw7*xGmdv@~J`6b#3nQw5a_F1?)cWr#k(gDWA zhC1g1LGMEzx%ogyC9#<(5UP67d^5o***FA{C80C?LQdqx8*Zf?6IHpvEhFACNNsKGA@eB|F-82jDF;%$`Ua z8z#E$SaRA`V?>bfUP$|8=aBwn|FV3QJPh1p6hJ`-@fJ8$(ykfKb~-!&7)$EH46nEo zZmQL=bNb1x9vCu3-$-%lY{_GVH5auFpg23$u)@^CRVPbtQ0gjmi~;WTW!RI_LAJAl7q?*mJr0DKMPxiH_v_qpIY)8O)B- z%2{iZ-TKatKc|MpK=kK)LmcWCi=f%F)BADD{qbCc{KKcfd5|cdzzs5_j`LlV{9j=~ ze3D~iydlLiWJnQLvOaXo4=cWL~>Jw>5n=jlJSuR=Y<%Z9BHH7>Qf~J0#kuJgt(2F z(tt$5Zgzz@>RI6;%vdDQb9r_S`*}JEq6*`>=8%K$Z{Z*xDLb#LP+jgpC=~`qq3$p{ z`DqZ1g*wwV!b=W}eaMeZ5jZbyVWiMO0j3O|3#at*Q{)%Pg!M3iZRM#bHFA-&gQ1~{ zG0a$rM%2y^)|v3kI9lVyVASS=#F0hcdBdIe?>^3sR>7B9EEuo|s^^KF>4$kUgTv03 z5J$fqgGLZjzeUDt^<0et%#7FNH-v|3qc?C&L2bCZ`G{zugUlsA=kq6nDC6zBohCwr&D$JckQQ?Rg~NH|6a< z>U=!oM&H1}cxH=f)7(Ew;pbteRv??4-GynZPZlG3tKk=TXdDduJ?hhCPCTRF=dTlC zX|%WWqZ>=TttZGe`HI@lOSe3Sp66dD4(M0b7ElL{*LugtE|mIk;d+tbeD0Od7Q9{B zO4T55*8_jy|NGR2y{g?#j|2d)!UO>D@9_3N-^iTI4eU);G`*a&M_l$au8m%{bguta z;~l+z&<5derdUt;2dvyoYSLJY)EZmkG$6M2Lq!5^bpsJX1*fL=QV`mFI49+nI+S~W zEk1;AIcj`mv4<#4+l z_po9@eBF_Hybo-2Y#h0nj+x$!ug1yjF(uK;8J-?bM{JqJ+Ul*KL4dFg{s!0(zNL7X;7$hg=T6|!qU?@a8KjH6g|z8) zBj`>1uKgG=sZdFyV3lZ|{PCz}RNqZI2E?pF6~z{dm6^js&z=}2saPV9lg7`Hc*u`V zxjfp;KD1%dt$<^rT2b^UwlB{KD;D9Zpu}T4_3TikT2ta7I#Qt(N*aKPn^aa>%fp;C znH+~hb8qhuB}fyd=z?2ZoO+zmhr zMjj^l?1MZ6j@+%~IQSytUq})*CyEL%kTwzA0Henn(eIeSz{I%d4N4P&$@`>ULG~_* zIYdiLJEIn`0~3HSpeB?@rynET%(UsDsyTB3U;3sQ4agjIsn4wkev0PO6M&vTQhhCnHp&brO+V8f|L zD~lq^^hK26sH~Yq(ubi26mj&tgX>*|GRqiSA(zv2WrX z_V;OVVsXaHWHdu#Xq4MSwseQXB`@qo2bV?Pg5)-+BX)p=k}DFqC4p|2-UQOxmSe>S~@D31&1<)U3k9Y_`8qVcW$`~vpV${5VPoXx0uk)=$ z(XyLQkwc!%K2r}2(Il`99hiCMl}lWfIBkl=o2{Pne(?*6J#p%e+F>jdd*onnUEQM? z@H6=U^vpXmK$35rCwgWA#eykjU{ctpSdj)=HxW{6Aph^a31z0g#p-MrfjzYmxH$r{ zMeiIhBFFL2O$31AfC0W`&bW##2w5XOxnsEt(bQY`U+a-f>j5zn!}epGI#)ijnva4H za~Bw`*2l``(5nz63<+GZ7UlYtDjU=zt|6;)&t?T`cvP_$WYVE4I_a|nNNH>Y*d$Oa zy+Ap^$$_^}2humdntcMLFRXA9W=-`#6n=^$f(_*GMn0Oi47+8__@JTFeRBz4F@yq3M%Tyv5)6Y!0 zG|QIF^(hS){6s_GRV{}F82nU2MM>acV20kJQe8%0V6@i}57;JJJ#0v4W5&%KOc4rn zZ!|8kYDfFxEewb!_z5=ljAU;ziNKj#E(~gNcO9ibRWAx!6G12KHBUi~O*Jt7j?k1o z9+&&8r9imZ^{9XMi)-}3%dAcEZSS{?gOe9P`gZo`-2(RnCTo2!-)8@@_DrZf%zqdy zd#n6dW^Yp!XyHiSd6m(RAAsk1Cirj62C;HV? z+N2*on?O)!ubFae|el__ZdnP zPrd}eIM=e%uyBqXjSMmPUSX+!pkbKOeEg!7qdxD+YT;b(^^BQncAERE-i4&;fIUHZ z`ubF&f02?3umCK6rsP>*2a~bM)MUC+>BLY5Hr{3zAHxzL5%#}y`k3if5;^Tkb{uuVprtr4!@;_PGFBBR%-cMT55q>G2;($#5uM~3Yf z8#KBnhw+&l_>t=v?zO9qW;XR0tV9u7$+26*kVXs4130G1n6sjR5)=rSpoSqgkMm_j z1I8&3FhLKSH;&o<7uh(D|9?oZjpI65(H~=!@Ms_hA~sILr2nXeMFTh#vT#}^{;5Ou z(X5($`@W{}jR$ud^S-Ste0=Nk;AeyVw`S+Q;YB~z(lLB1VqojF8 z?X~8Z#!dYChv6LJ(g#pyBPbC^t{-F)4n~wnjL#taj;5p5n~4X{@U#5NX$Sc~S)NSp z-`VGUftB!p@AvWm5)#}2M+hR%e8UZ~3+#$8h@Nz;(a7$>!$rlv*di4Uf2bp~=WmNJ zh@T3;b>X3+hHnY6$OpA3$i%;}A|;5t(MMbb`yve^hy6qq5DsKemPmZTMIhJY;e!;& zYgRL1PnQWPq75dvywvT?37k#rF;<;^s;wwzbAQ3;L=d{WA4%Kk(}9SCOdu|7yJ|wF zfE@pXA>%YZgLrNH>F03oUK!{x!vT-;dlVZ5taW+==E(Pg!wL4>)WqRAaJ)-D+Mg&5 zY9-}@=)&Q-&@7~9#r--$mH{syh!*l(Q!o_TlBb<8f z|4(t`csC{k{wT+eE1Y&taO!wxvWDa{kP&d?Prndw;&@j*6@Xk?mLn5OyCATAvd@_o zRF*@^wdJh;FCIMEr>^C7U(_HP6Y!3JOQZw$yUYwY(%msoq8l|cK4>cHtb zUJvAPob_)6Jt5&5Ys2+kI^NNCw>;r}xjhah7+RpqhFuN5XNlokXcVfQ{sQ67qkin> z2{CulD)ZV88LTm&-q|y zEu8q?z!W-n%>B|uemMTh{1f@&NB$=U{*SaM{9h)6|70SFOoX`o1{sElurp|aBkB$^ zCogyiRg;1^Ut`~bFYjsCYmIE0%TZEgYwL#_rv?;w7+ zr=C{%P!G<2ptJRJ!tTC+Z+lNE)VD!7CDorSV5)fNLr-$Si#q3~hJfa$h9u{s3?$A+ z>e-%N!bA$w!dCtjlOpt?kA*EXXbJjI)RH#Z)Kp!()pT8$C>cAgYOWrP)V$qR_ZOB> zv&A?6^Cx|0tR5bof0SG~dU*Is^daOcn<%lhsUhaSCkF;E4|mF5j6A#l6tn+mcmHVK z^r4jfTWC%JX<-rrQ3kGets^W4BMf@(TZV^s4-7%>jMAjLt?5eLJkoT!Fip{Iw58be z@Q<j~mKIF!$=VDJI3h61-kKs!zjHmenX+bNk|NL-vAJ#2Qn(-t&IMfBKp+ilh*-ngqBkjSdj$sXD zZOYAKmJI^+m>eodR5MbCx^m#U|JPMFC@)U6F>5F)oq_UVXFY+O7l(4bB@DD0FIcs* zj(WTlyxGsy@+=DBEh{R~QC>08SB=`mt-|~RTOdZxAsd&LLF607h(UBAv8-2Wafg*MX^gI z1H>$OO_INWy`Y6pH*8=pGM7M=JllK_)GovuxUlm1q&%Y00Jn%p3@AO#NDQbQDJnCR zuGSYHUM z`9MgW(25n&0Z)mV1t?TDDk~cGfbtg60Y!ltW|r=y6;GpqDK#4gsMQxsVhS5bo!Kgx z(Lk5BO=}byU6nY6`a>11X_PyP$|z}Y_uQ3{{9yb1a%)zWYW)?qBK?S}R;v(8{gxs1 zdVAHL(ZH3~NlfU}BPu%@^`R<0qX8nlXKd(Rm}Oa`fwSOE6PmYO`K}mHd`ioHiZ?C= zujs%HVI3ezj)Gfe7fOnR;9is!6VZVzmFpEKow-ZLBK=uaH4H3DH7w97Fck(C=?u$x zigY&>#;UcLBp+i0PK>HTdGh;x2s2=oL3BOh4`P_IXDtIwS3CPNGcvy;-SWBUO~Gs9^e%bn*SLAHzwp1ep$kXV=S1EN*px-RDdK&L1o zM{GWL;cj`< zknvI9hih7|E%Ui$kfK(8^?l7Ska9WhX_XS!<5$VJH6Ed2Ihx0u z&sntsi(S3KhqG==RHML7C%9Q6q2V%}2Qna4++yj9n27nx^_(CgZn}T9BJ)Esj`?RY z3f9tgK!wLTv`N9oxu&Ji0zZS(vypLUt1~o9c{R=etWl#z$E-#>9&kW58L!2+r39_C zU)NSaOI2e>w~2Q&YB^MvT4aUVhrX84>+6`(p@FO65B4Pz+`Vec&Ke<3zl~*49j2jM z*XFTR==@Fr+h-=BqnAot9VVQvtj;mJJ%_7@OG6$ioKD{6rCG@GYF+EQhQTcN3LHPR zv`5jy zaG0``KH5r6jWQ1jMB4J0=D`jaT~(Ksf!Bx7gm>pUeqDB(ylYvn(iv>er#*RpHL)_=uZ}}eH*rgt zb!V7vu=wsd00FoFa3&TGrjkYo1;cT%#6tSIiBU@qY?JNq|8i~NOCZ;Wr2Mz z_MJb9rG{Vs=&@kXs<8`d^S(hCF$~ComyHGXTG)Hyoc^xtKgKC_FSju)3Xwv6%-PJy?AFrwyXR%2G}F@! z)!fY<5No$o6r@RhYSaF>0snFR9kNI&E3Po&l%z=wJTOCymQadBoj^4bi)fiZJwh^E zBAD8DDJ_KC0>>QW15#YbQceIH2`G+yl1PgrR%A4^5AhkGC&x8|G4&*_5X`Mwl0ZGm ze`BaH^ig6+}trV{u_b+7o$0;JKz^L|jeB4TcO~{R$x=4r|pd;Vm zjH6D9B{tJcQ%-;zIW30L=*N{nHEP)8l~A+V!x4=r`-e__2`Y}bQ|L-m#)En@R}cYL z`LJJDUVDvDFb;{c#nL$SD#NIncC?UAgkli$m!(LcTBuv+D8sa>f2JnnA~+?|nnZWE zkRnItJn1wjL($D@sC~cE7qh&<$VRAa!Ie81x?7R0rR(q<;^a<0L4rtssT;8yf5vgt-9U!x7S0B2cH&epz`OvEp@$0{F z7zhv#dzRASh)>LYi8q8?7?_nQiACm2xIgV>Ma$t+!jT`1MWe`(9F4^y%#mySq$3`l zkg$06Wd!4~3wGxP|VLrGOXkfh~^`hui(hs@i zcsP~1Zt3{FZ#9SAc6|nb-{yVjA7%~%zy1>3XIa31@g_W^QO1$|aGe}@;S0XtE8%|# z9MZq;+{$SG1P#k5;d#DcL*&hm@b#@Am9jq%ClZjYF;!pvB1cIS1a*iGN@nDW=kR@Z zLZ+_Xo_!>wy_)lS#x*Sdy`O`90`U@-D<|JZ=0xPh(88$0c$fmzv2E&Q_k22!Uf9E@ z_4EC;7{@-WT8*8-68(2v2| z0|@mgnBdb8C;IT6JYqfgUc8&(SN4Y9GYxE5&wd;2@%F4V}OJRp^6XFa?0sMFqly<9z2;?kGpV7L)Irxs5&%f z*dRK6`3ExtrdOewV(bFI&pbkNt0z7)X z^+9V<)FJ4gQb6KBr2|ZQ9rYo_hch29eCs03G$!|J3_4QGG{Vk34>{~zN!Mj3Y{XsR zowT?+VlG?{U5Ppp+jO!vC!15-_|rTXYmrxw@j;Nl;=sfF2YOL={=1V5{+6^6P6O$t zZ=R;>d~(b@Kv%KTy&@V)R=OuPX#aGNZCGXbWMAM-bVZzNO>|AJx~=4xUg}J|5dsB( zpg>|EDv%in3#0~q19Af)f#g6;AUo4$uLXELLXS7;$XEj+w{$G=$h1kO*&mIYd@B9w z_y#fSsB~ITz?k+ov+-3mCID=8WSiyGQf-w*j6N0<-v0D%^7Mhm;q}w)D#MNjmPlQA+fbHH{{!=3ied0SJE|5<9kLQ?3B)SE zrPos*ycTr@!Wya>L@U6i*L9*U=xAlM@XD|w^XT|Le6$YUc@s1tFqJN9jqEf{dhC(Z zW|YN0^#I{S$$W<1o7k(|JKxLN+tBOOd)o`&8`Ue_OTA;VgSn%+!@lFPgE(9)}AIA2JqnBmlivatC%ta))}yV&~hA#t!$6#}4F<*bey)29N~^ z2c!Yw0(pQ?KnfrhkOPQhkL!-@j_m&Z3FHao3FhhR?vE#oCxj>bC$J~9C%7kEuVBvb zaiApPP9od{NPPf45%wSOn*gvp6e_UD05tuW2xWwSOR7F=E#wNEHFz^PuYYzggFfdB z{5j|Y*sK40FX#>tkjoy13jrTA7Hq_SzZZ6g3>bWZEuT?eE}vdMCEwsPoOE=iVL#3M z$Y-Xf|4QP-7{EKUF`nfUa#1nS1$F6ixV3YhX}xWDX=8uIJgeq`OF|5{}6{)e&K@WEB^9YUs7-Fl zweG^S8hS(K(A2?|W1V|qa_C#u5$E+(7_^%Xb|{04&XWu3jFgeo-H9#A)_rRbs=!g;F&s}g>R8f*%=3}_}DYjHi0^3C$zR7Ly~(t z`A0GtnXHUtX#ByitP(N@i%^D(GV%eZnl9|)WIn7A`B}~tE2^pN@<%%opqlP z*HnAIGsnAzFWn`RF-z^)+nyyp#zkyID59P^2czXj;ZbY{g06dh_&T+02Swdwj#8$% zwz_1j$piWB9#XZ8sEIZ|3e+Oj3?Le^8?vAe9DiGSZiMYK?-5feNna9k&bQE!IZ9uG z*$ArowP1sQYN1vvu94w=;>Iy6&&syNB`CZ6d$Kvx0KP`pBSRwf>ex{ouyYI)Q8`~s z=4uyNN?x0cU#wQFN>QqKuHunhD$`ATsa>p8L=5wR739h5Su7rHU$#)WAPzb{%=yb#bv=IM4yKbfE~k{6xK9ne=DZDZF3~T5~W4ek@1TA`LTN*5<9FQ=@1?30%V~HPv`kl@PF2@`k+!0medByp&C`zG3>jPfv&68bdTdef!3qYqq6_KM#4*Kt3PO zVkv58uv=EGwpdfxc~5viqGM_~nNF4q^0{RV_Wfenc!%g_yRu$0zbpFvBK7xVOVPAK zW!QeT;1C{F5^Ldbw+bFo{aurC2>MRhFQQ#6p|q=Foq{YyNh)HdXSitR^XN$HI^~-X zOV&agS6$H;IjE+AYU{HHwbi||yL}xi6|@e{A(gaEnZy-Sc`V?I!$-ZfZe<$J6bE?8 zcKUIfiQwus)?YdXN82XIvQ5JbI1+hK+APdoUXluoCH+fN&TsrFhLDxip+bJLSLvk> z@ap<{tg}UM%8_L${ngX5D(iWs8a5ahSG)xEYcSWItjcP5ZgQQIWD3^cUeShlRIrgY zd4W{T0iwZ%cx;T-^9R~iiN%@qOHUc_TC6f-EXv)vk?+$fXTA4-sd6j4314ef^_9BQ z9n+JrXv%*+81MH_55cHwP(y#A^+&YB-04K*|>Hrf?QLLPVig#~( ztvA2LFLUE0^{5c~JFYFq>j8CL7`zder3!ynup2%xV0MT2 zmCD!LcQn%Gu%~ce~}%;`-P0ftnWzlAAQ;-!;IRe5Kf} z^~~_#YgLWkn=$Y;A8UYWw6}p;9+^rAVa*USMDLc${@zKKq88-nV6F*XigU>U zvkpeZk`s;63~kQ zR0ja;9m8n=PLIceRCX6tdD>Z)R99s;I097&8tw3ez0m(XQcpNv>}%VsW6c}ny$+*< z&Y2nXW?{;0izod59Vi1BrIt>cymD^g(}Whp;1J<8ayvYj@xVs#T{Vw&p$49xlVB|| zL=R}&LO0E*gAaHr!L`ick-JvV2FfU698{FUEr`Mz1=}_QA5|c7{{I>$m@)J%^qqfA z=8+JXUM}RZ)$uMxvDMoD9wrk`2QUa#_*V#+ro^!p+U;U*TCej`{N7X3^`T~EAS}wl z;G}6^hyk~pf1dc_ytMlWsv{;MVf`lwl-!uk>3^gTMA+*utdOcxZP^V35gmpKi9AR@ zi*QK&3rqzhdzgYxfDhX-RW~7So~Sxq1)~BzpX&H2JO+;Z67xrlXn4KIyYD`VWBK=l zF9s`kAs&PGsQABHDDppAh^PGqMb+IUIkr05Mb(wn_&&Ildax(m9O+s&RAp9V;g5kH zSSIzW)+TYaP&h6h8BLz|D+sfzz@Qgl0ZH4@%6Jw^@}Bs5IG&9`_}JeEQmxR+4c}ly zV!HJ_(it#pGiI7-)t)!o{L0fQ}rjtBxIW!;sIfq!zo zE32VuXc<$bZ-oM83IKrJTvM+0jC)YA`dUT7?Z5b^Il#t8-Bw;XjmF(y>Pz+PUI@w@ zSVA!F5>V0s6c7JLR=>Vb{FkgEj`u`b++Qkasb45GVC;fwUl^OEwRg7LN-X!a6Lv&s z?!PGRKw)q}FGttvF7e1DyE`)?7J@<1i-?W)Q)LLhqNb2W?GXy8gA#O0B9mAnDgSzt z5^srR!W)<*BfDuKuJU^m9FRk zMiE?fPBj@`Tv>%_K+7oAHk%~<*N*6>P(2c>8Lm(TMON}aPy7#tciqjG6CsV>uz;ni zL>WuQja;IAP1D%Nrcb=(@4+d!3K}U)#kPCbrMTF)vLh@S!lJd(_p^9UU6~n6g>FUq zYHlf6B@(+LOVp~dmbwu{ZmA2Yxw2A*gN|fl(w_aILBO*|`FJ4JWU5YW zN=T&U@1h6a!!2F ztKmi~X}kQ5gM1UU=z^w6Ii_B^$*sb*#`4PGd-H>sh26r(!J;oYcix-u!FNF6_t-h& z#n9{!)f7$$5gu(1FcZ!E{JmA~>w4tQ>w_CMgUh7dHNDxo;O)?GTi0z|Ax z^a>D3;Os($Z#u*?h!YX}?94QFYpexHmXHWNpElG6OSMB(nafoSWTVSf)v*gTF*ycH zbwgyCEmaJpqcc=junN^MT?b2bLUfo&j?_oHM%@ zMI?UafHxgA3)MP@V}jIIB_OV0pUj*A3Lq%7Ze7DU=v^G4!mdUgfvx5if3~k;rt!Cm zQzX_li;moBH&Q|1WECg3Z*WN4IUFuUuoaj>-we?t~MRu*B zNof69Q>tm^lf%@tDJrBlvPlMLp_gdZG*8W{H8gKk*hDKQ0@lyX@zmG%7Mq94HY+ad z8>=cW?kqd@7xovYoEvp2vkw-hBIdPgTyqMuC)b{JFZ#LY!^f{&@r$^c@|3t8EQ4sH zm7%pYvf6jbnY+B13D{mam(kKg6i2jC%}uojH;@_ zFH?(Os5yW`0qzcmCn6ljM)teN>g?bIQI2BhOuxIfx5=0uR!ulXx7=^dw86psL}BRy z#cLCVEv4JS2u8oX7RSQB%hZ+gDA^3XI^S*&z{G?o<0GE`!Nll)2D93PP-iS4Dg&uZ z_Qy@Ky*VP42({_EJ^%75CN=jpNmx*7%O|-GOvcR#v=%9P;@iq5o$he#jsEln5N{9` z;Xk{_+S?`J?jng<*sw7;OOsAMk9+HwSKQY+d~K_12|Pc(q`rE0A4z>)H(1TnZ>N7Z z9@fds*C}~tA}X1L>O1)e>@jl*4=-~Z!doDbE|m(Y!}|>5dz~l zbZ!r=F;xi=L4zyU{ZqvJSmeZ?l&g<#lGAH&bh@FxxkI-V>oP zpS=le8`U4H=6o`pw;2dJj^-zr9%k@%Bs6=UTt0SZz_kuer%%H&ZmzC+ z+E|Dwoj((mO~UiH{5-BF;V8l!84OAsp$jrPPQc$jD}B$R-O{m`v1;ZY*X(8sfa#HZjOT#bH#~ky%^mUF_E`zryE;6d zL-E{>S!~<8B0Qf1alH=3Vm5q}wpj$uG1o$EP8!!j63%k_LNrbqmqHd!8n;4C&T{8M z8qRXpLR`*rx55+9HtF}s89K(YA-ixMWT#DvwyKUGCd7J5i5S~P8Qj>Ej#*1i&3$pJ zP4jHLDz`#J+s4@#j<#7-&b3qV^$qhVJR7&dY}>}^n1_y8P)?p>@vx2KT08=W!a`f; ziI~`qSy)b<6Y;o?*+k(sr}yUe^ARR;!4x3Vjorj>*z7c3fdlu@ec#oD(S*=K84J@^?pT)Ds|wh6EOq*65&9{Swj;VU>_4zIA)~3qy|GMp zO=fJV6N~XajwBPacv;73cm!^Rm3Z05f9~b(s>gF&3*9!3T{t}_V{}~#0UO6AoID5O zjBT?~ws-xAq3$n~?+kW*q)ha4_H3`H3&+2FGZR0lWG)N21pQjRxr~tRHcWXJ&N$yU zNRn<$OiKYL7d~)-IvBAq+a8w$93+jmOI3otH>-Y^ZK2)*&&v@Rdd8M1^6;VWZ|~zG z?{T{-8{PL1IZ1O8g^8^FEm`_WtP}FtGF;i8bvpF|fVk7n_Zjf8>AN~CXm=7>UwMQ% zi=7{G$QSrt=0|*CuCY$Q$4%}>u4V42dmiIBJAp7Aar)6@1ggT4Y5iM-Ei+(+vCouGj#E#L@%^_MXij)O?y%>=*Z<|o*?xH+llyh2?48zsPH)|eO%Jp#5(>Ex z-u&djKjH&g(uwmeKR47iRX1<-S`c8#3{ocHvc{}kP0^SvCF!O6xjwT$pC3o2dpcei ze_H`2f@gXOu;8n1z$zz1qTG@-}%6vb4cg`LLa-+Hhm(gLDpU!kE=^B zfSpOAabo;&?sign$n(|}QBMM}^XX*im|1(@MKXe= z_x^v@LL}M$S zP1GPteH&yn!8eJw1>wPKp-2%#2tm{v`5K#iXyz(H5t9@`Q9e?kZ6A0q;?kmHx5fw0 zZ=ptx-BuVu^J!@ACi0JJDc2B#V$Kuzf6lWUamAnxAelfVo{&E6N3}F+Iz6$_(h37_ z;yD`=pylkayJCQB(89Y5o#`&@5Jk-5?itwZK(lt##5} zwBU>=e3h-To6oE_dP2I6PkK_j2-9K5%EPY=>Us@#FD`jC$nA1mG{jJIV-2^ezZX!q z;}rCJ!Gtx<^`s{gZ%5P_P3z3gKh(7p@SHOGq~Z{4u0?Fm%~Q>(snpFXI&l8@j^8G;lSem#D|T}Inv_~epHAVuYV?FOHH#`hW?Sgr_#Mnh0hM>Tfw}1(fIb_FK@W7|zIb zO#sobX3I+l4x%v z9D|^dMYI&dX7wM%)aj~KNG*gw_P5KqCpTyUHu%%_AA32Pac9Q8dfA+B=PR#>)ng<5 z)~&xd;&6n1bWCwp{yIdMFkQ@w(lNV9%B{XX#G2-k*SV=7$^W`>^0YWQhdWdDw)+^V zMili|NJ;Ws5DGR&J=jh#9_lydCHG3!LZ2`r#_JX713R6|h=_iw;C@cZD%)^vv_cz?Op>Z^i%gvv! zw?5uGh;H(LmAqO;DNE8vjmyyf$cp5M_uFGJCyWtYyK_%>Y?39I%8D1~7SP)b7soDL zBM<@%U&{?_yv3DXF}zV)I^Rh9u%GaQb$wi|S3P5g`CXnnA&?#S9tFHSJ&`cC-p*}j zri}c==7So<3ceX5TH?UeD}&02RSLmLmZ^A(SUGnT?3vGiMy)kl1;XielG6qzR#(K z>2?F}RNhkZJ*eSF(e1G(UtDV=Z)|U}7_VWsiBoh#>S4?-s{PfEglNIEePizy?i|!{ zW?j!%vsY=B%Vn-dz|vzM-AMZ$_96dk9@(w8IMDxbeE!M@WxQ_649bMN~>MawWF2nHes`}K8a!4Ir_`Sp3m{^!;ScSB>Ni);;hJPF@n zP!zH-(ZJtCip6Bowy+6e1Q@XXEyh|zNN6MspgY7?O+XYJrCK3>C?UlW%jHyWsjbQC z1}(|*1eKeF@=2p9DOKH@6fV`*ER`G%`7)_;N6O4W$?qU$o-3jV88~HG3FG7yJ(nk+ zS7%1WCH$=F5rb-`hi30au}D!vEHkj5T%I$mrw-tcRKVCkMP#51=;K0JY|)zzHGpRu zlvRS!qxj=)U$AZs!m0#lq}Agy^OB?U)?P&dV9h+3E7q>wf-d_lhz=(am~Kyp0gc>X z^&H5@TCZ3c`&S%HNtjTBA^9pg7|p3$ra;?MYf6ol^jfwpO)|N8OvA&NTCdtxGQ>c| zkc*@$w$i3rnsimEPKjRnnS?GTSVmZL5`~%cXz3tYrTXv+QC_z_bv%$I*5hO@{BZlw zYV62#Bd&MyT&;}`yCOYHd$b1IF5(cE!A4bLfs7QA8ydDHQnif_Eq*tjyDe>UJo%S( zeo~im&`9h$=|i0>AOMrYUFm zK=cD7P0-eqqP1$JDbt&wCNy{Tt{&=XvVM>TL2n4S=K?;~bEuoHNu@R(^jLDN`8<-% zWGg@VaV1-dZ+DP5KVZir59r6?OR*Ig^K^x}{5?VM!A>C;;7dQl6t-J@J=C3Hu+X$X zmWYWSVAagLG(r*7xu_rDIn1E7&$sZqLNGa1*24EF#;8=ze_W%OK+s(LxJElbQ{f3` z5l=EGbw)GEqQcH6K`{xW!p@r$OOh-B*m#%9Kk|Hh~k-*omLCwgC!N4 zp;G9JDb>YZ7U}~f<-=Y!?1L-y&Rzy}88{b^p+YJMsWmI9SqDu)&*Y&XscCQ%pU~L% z*FV8JXmmho4k?k5dek)yUnPNn$>WElYyV$Ga_Zi{%;c+(iHx+8s1$Luqqb>$`D9-D zH<;un{*rlQUPGe&l4bxBG+0lT?%p|lMhw4ZdL)>VEJL=_xbnZ0HNvYyDe?^mta8lw zFyh{vZzskO?_qk^b*UrhMNxu|5wGsd7vrMCq>arTM1O7;6Wk=p53>bqym( z=^T>C+cgTp$UQaoaHR3gBGBm^63FH11}##!2GAHA25CEn1a{d=_%VRcb-*B3s?N(s ziPQe+8lhc86%yg#+&naZVnhbe4WK_HP=W#%ws%=ZRQBYyp3gOo_D;v#ixx!3JcxFr zzQ-qx&@gC4=MX?Hu5Oq_KCEt-MNXl<=aQ0U96_CuW*YHDQv^9f!ysmgdk}f|D4JdU zpk3Xd7Txta9kb|NK>9RHw|lm z{3SlF%t?d&t?obEmrtF^4t>h>SHr{+7D&iK13eRq8-<_VD?ezNOL3mpXM zjD>Y1jo_LHJ^eX77m*s?kE7FnzBZxqn@ABf7|*T$>$}T}L$4e6uzRNPtX+5qCto>c zSd3hpTR!*B^d3UvlPCLB)+AB;papHy3x<7+l{w>}k>%PZ^y1nbh`j^y0RTI5RAi8b z^mlV#mNHT3q7Ty7XMpiGPsNc5Tue}z`t@S1;=>pvq3AYq&x}WJFCmGmmIH86IR) zt9MDLNiCi@Nvq)p*BUiara7@AH2J`#J*eQ?j~dmVa4zNW1SjHd^vo?@Ghmj<3eQ`< zX^cNo-e%p$&IlT_3^D8Vun2gEg|IfW5Sz14<(}T)yW8|BP-C;v2Ch}su;HH)0&b}` zp0jyggFmZ2gPX$ZJUpS=ZI7|tWjtygiA$STep;8u4Q+&WWn}NTK=>KU~%VJ zqT<(VQAn)XI7TNx)(t?C^a)k*>n=5hOT~^uW5)Ny<>_o5`ElfUtcc%T;IMMpNMDMtw5r<}({KM1(~#?q!?|_F zGE8@fc!ulTevPDDQOd+?wi#uBST%gtzA}H{XNAN`+8*%tumPp>7mj~`P7eJO6yNTA zX5KJtKXO1BHw+BFs9fN8eV6%q>~1{Gpgq^@%&thqtG$TB@f_EAAd;%j&o8_jWdFij zUpJukxchq#*f^XILl8@g^bd;FO#Vr+9J?#mmSLE3_{Hl7LN0HTWT|mKIX7}}B)DUQu7)Hb8 zb>(m&xTnfJNirfok2+zQ2whxED*GsZ`>*LjOC8qV*DGg@MXFA}#zG0bH2(D%o8yF^ zf;3lRaqKW_*y#JYM6v`8p69ud$8WegJ`UYAGObI+re*%f!I>^L?|``rHHp z!xs1ntmeO#Whz~=Qo$?GQ;PLeaKPRwXym^vqeu;L?c$^0d&1a1$@__RZiDhm1(`W6 z9CAs?jn3irlA^C>kHBY{MzsyTI|U_N>xLcWc!B+R163lDzzmO4#GU{f{bkZP9>TF- zUu38_rFMY7mZHg++8oJU>-qifUv`TMg)R)*fC3qoBDgg*5!L;D^yfWtG*Q!rD#aU2 zhv9>7x#87;Dnutyf%)!r_TPWH6?qG5(*ODLlJ7!C#fC)qfy^^@j!nHRDH*eYvU_) z#~6L&C?dJ6UDK!8P2WTL@E3rXBvao)98UfD@tx(CpXiYC+3&}ZTa*sfHhibFuF_6i zI^=M#HUhF=81RV4^doS|YlskAJ>wR%1-kO^y0}90i8kBl)6-3XtJnZ90nsW9=#&lq znX*JV$c(Li4f#ft%ve1@jY4<0BLk1k*-~B}L9E)x-h%yfQvB|fjq};dbhtitAia~3 z)FnFhRybAn`QyyPfdE(3$pxQdyq^w4luI>b_Zv67&6{nvttn5Sm+mu>2 z0`d>uk0KE{hD7U5#1oW{bR<7fZC6G4JA|W~RT_5~l~jHd`#7+JG3ZllejrRKqd{_g zB;Pa_nvp|qc9aXb51922mzgShkIpm^jA)Ux14zLF1FA9q+8Yv*D92$$ZdNuoY?X% zt{xMp)63R;izf%9JX=$Hhen#5&TH2LywpMX++{Rn0PNgbZ8}LMq{b z^&ZBY0!m_rDQANV9Km2S`A+ris+9Os;_tr6hAL-+<~Rn!bF8M7qxWKK?`AlaWPENf zr^gBA-IFtK;*jdUb+*u(Me-u_45HGSd%Gqr)cO7#(L_zf9f8A%OHO7@pjnG^)_jyE zcu#e?`+jyTXmEP`jWLsivEv7?r>o>SUDY0E%n;HsyWUttf3q_n)XfV|ZX7mj2+aG* zpsw&Z&f$&%`fZ=HMozPVt@E|~P^=PN zQml|$@WsdMsjP%MBfBtEZjpY-T7j&Q|FXd2FQYD=Q0lUOuW{TAWj{D-W-(>Cpt6rf zVu}aR8_pZ8SBR}xLpP~j^BV-8)uZLw4EsnuE(nA*3amHUzX>2)RIK&Ldqs`3=|nxr z;vIqi)>(^r^b2y>svc$X5s{c_30X^Xn68#>hO;#?^#hg{=CtmceE`M{EX7J=gWOMoZ zqhGE=F5<99E>`R|<;*|oc(=^GU!M;xJd@>We;I5xrnxu|AAE=J>)ol&=P=VRZDZ0R z?t!x#hYx{k1(55tW#F%R>}Jm7SbtmU1g)HJS0Cg38+?{zPz~C@_+^|0n<}5UlNbnO zM};xqdx<-jAkN>Tv^N{?h*#t%wS2-Zd>c?G5i?-MlP-sKy^^1Z!h`_f2ZKKAATE59C6o`ASerrMDW|-I6nUNP(#P_s|-%O){wP+O9~(!K4Ph> z!5Ky$;_xFoY1G|DWU~S}4rV}kfx!##xOg7OL+(XQTh0uSBnzVG&5N5xP28k|kI~1(1 zj=tHM6X)X|3IB{E{}FTh*5yQfrOzZ^Z>2iDwF_W>rMD)wEDelDw4tM?%M;nGRjb$m zcyH#WdXQUe(T7Cl4>N{jh79wv12XsI;!GJoSqbmW$roA>L>HmE8PQ@&<_H2p9USGi9832_9$eL(mxEoUU&CqB6E~NKAKH1N;G&ShoI|Xj7pvuGla>q+`UW zr{hfLmSzb-@Z$)Jn#eM#6rpfROmDaY{8?%pooLfh`m=rMbG1CXB z!a>=u*eLO<6OxB{H;hed*nlIqU&QEI?AYx%{7Ubd>(vweOY+rg-6m<)%L1XR=5Eik z6W!*vw}t6Gi=AdTvb+luwrp>ph72qX>*oOmEDdfG7{y_s=`!2Q%6Z*JIOSU*hnH#8 z#&HOV{DlOpkQW`Hv!}>S%(~Mn+l5-kBTq0Bj|c+`>tEY2xmy)U@KCi=r-q6>uWsXO z49&1td#Or@poA3uvAy>y-pmBZleB>Q?psX#sk9kw(^^Am&V#X`CNMnjp7T3JJYbGRNt0}ATvuM;;FHPu8WlzR+J z-8IHUNtBcMZ>!TUdO^%6k;#MR1Y`49YcKsTe*eAur8MFvApUiNWh4Ec6D)UQqoGV4 z`SqU|p;xc8v48PsZ0ygyQC4Uvk=P(bl72TTscHoA!|G-^ED_!+E_`;g%DF;T)aE#0 z1*u@x?tA2N3kY1fn&8+De70?FkF2;n^3&Bv!+-n17niy>64tqdR1(sd zo3H{KhhacWHf^0-Ax+BApLXP$_Iq~{tNVP`&-L@Oxgu~^4U%-ap9m`ogYaM=78 z9Kv7ruzWuj2>C}uq#YU)jbKBkQEO_9Hn2n#_@U;ljlZNx?ol*b{!BVm3weV%fb!oAj*KjVA1*nQTSqu#mZ%*)zG0m0~Vf>iHQ~hW4&)fd=DyLzBCMs|K^T>p0anQWRWvf0T};fpcCdEey74xH zf@9-klQFr=X1-+H_xn#xzTVGtxFr3slw&SLO(D{PoZ&^@PEb2^|(Y=pQwQd4wjmSDj zq;e@7wtW^ep}1JyH`5YSMO%1x#S#}WURTb$);om@Sriu<^+_l)7d4)Q8u9~SWhi_} zd~=CS<8<^tAOOfH*O0VmZGv*bz)8uJGb}c9k9RVx*Pn)Ki4hpYF9GW(IeL8)xt>S0 zZo~=D`Ym*FE2{mw3@OX;OruV)EqvqqDnjimm2x{dG$Z-suyVmqv2hsri{!syCUfmc z2C-p&|H-@yRy*X-Wfsf{YS<1^59R{6@!0)>BRD<2AFo8#>pa1ymVhT$3RXj;d&2!b z!d1pl#Xurv9x?*k*G|_ZN9|?IxJ~+6oSZ~F+&oDI)5k!lqq%_<^w^? zT3hXy2OT3CcCg&VFiL>wA)H*od-$|U!4JU|Y>Rf^2=1Su3ay2CN<*#elo8%bP#yG)Vh~HV0xEuz5UAvl>+rr zDZCK1z$T4~2B)L>aUg^pd39_?Z;JyE!iik&O?3dd76{>1BuAyz|5yiv@VZzstKn@K z?nICWF5BvSoEq%HLcL-o4%oIZP~vm(KBinmDh!epb{e>zvGfZhWbXuRwtL&_3*6AI z!gBoF05|Hl<@2Ojg=P4;sii!6nW^PID#z4k>q8N4MCq>I!hnoV;uNYMFo>u`ao*aB zjvwE84M#2FRDKHbdC)tep5E$<1rv7Ui;sd(wgquRkV56a_ z+{C3`W}4d279*v3(Kug!O)h#PkGb623%4+B`Bf@<3z0&@aqZ4;7@X-A#4U27nHO>LiLb2s&F&>L;{uPM*->TNxP9djY8x%wu z1@+(8o4XsEn4Wh@))tK<6FxA&fxw59_2x?!aPxscz@kBTeD2EOJ8Ub2KzHT?p)ewe zo2~+z1)7Z-^b6F@{=!}Qr- zrrV+OIqK>YSj4I8bMVxkY4`rO$nDhg{sOS&c~P{i_u7=Y<#92|*?p6oy7lsbtmpNZ zylC0Tqiv&BVd^qhDe$<~!Pxb7c-nDaOXyps>tnqkaNV`M_59WrG+tpP=y!3S3dr1T z&5jqo~c`No8 zzjxkR@`G6)i(P--+wu*$^k0sB;s-fvh?`2R@XNxbwXY5BieH&izkg$ItJHfL!v4a zdeIl1*JRA9P3})IcJBw?Z76YTRl&| zInM7wY*?=ax{qs^?C(775yxVi{i58kcYHtk2ne#}mWRNHN^nXySma@J((P=jYvb=h zgOc6P8p~FZ*STsp5I|JY+rt^Ya|V;Go|P;BKJ_nMQV@!AaQ0H5o4sK1yIOf&?Jc!4 zf^1kGx3^oKnx+^d{xRKw-dDWPwlEdR;DAAOzja4Z7{`W z3{Q?Mng>1=J%m1-z9VuT_63r1re!Mb+KnKihJuWJ~y*Om=!fNftay04ntfB9OzGb3zDUQiI4i=wcP z)Y`}NQMmBp#hIa}jWY1FyRF5x=pVPGzeZSW#ts|dXUL*K1+{C;kJ+D4GmYbzx*w(6 z65w#md?ya7;P{zsk|l^hX=_`iA1Oaq3W8w#7X~-0iejoxd%Hezgv z)de0ab4)V3=KO;2@~V$3SH)J2a7x_v(6Rayx2J29dwh_+)CGO{Pqu)={>2bz&?z z9cgCVYA@bU&Y4^qU}QoYOizomiTrWc4X_AfGlttjGWoQ_T2-0pFO_SDD{W}iT}P(;r(c`gpZYqGKF;6Z-mU^l zrUm_>Te5Xl+uckK;y%xV^n3^h`WK~ZdhXrCha0{HelIneYG#!?6YM1-R?8j%Z|6>>qevY+w;!{y|%lr@@YZgm4i0fu<2vq z1&?g3kQG|y^klrcOGxuurGwjr@%1hrvaWfRDut3 zf{#)pKbbJW>VdCf#xo$L^HU4{P_r44Y0G* z>~2p%xIu_~84m6C66ADQ+3@k7G9a{@1m^z=k3TT~D~Mx0{;wd0`S||^3Czd;4o8KN zw~9m0rF-5g4g%%w$8S2{d5@4UW1$}hgS_7R2GT}cHb|Lo&Gz~VuXh?wb_D!@ZMTmj zLAM_}b>VzizosSr-l9H>{OCscKWx2aSR75$HjKLlcY?bU9D+NGySqEV-7UBV2@u%e z1b0|0XmCgfvUq?5_W=2Z>y{_?dwf5ty1RO&y8E1}?w;D&nY7PV!IUZVp=S^9XVgr= zTMx+Fkk|)E&X-U@%7QCoGx0xZemJR{cV-F5u$7h>)o}~^5~SGTgxKPg*px}gCVSXJ zk!IJ(s-ivdV1ctshNXsorA6ySe`G{az-?!jud-K*{#c8m>^6TYc$LkY;6gR*Dfg*B zgUt?qg5*EhpeL;}>P+6ZyGd3;+ZV-ekxL3Z*zCwB@?<7JmO>f&U+A)V<9Aj5lNIYD z#g-D9*Z;zo&71ryf5fxmQ-K4U9pUd_!il_LPuoug20-bbY;S?c^waUipJlFt9tFZx z0xE{vkNwE7AyYTX-Da~Mux4jYU^AA-@gGD|eaCk;U+Nu0a4(#)%}O5ls4MPMxC7NZsj)&DX?9u*C_m#VN3Lx%Z`Se{)6D5u`xFoks0W`&)K3MBS(E*eKfx zgRwyVY}prgey7oXr+%WhG2HqdVaaYKs-HrOA6NkfYv8!iuP zkc~C{K`|B6fepJeU#d{-(;J-7l+zobhn}Rq>Ftv&{n0kAJGiG1ve3TBzK!7xtB2rO zyWWeRR8OqlkSx$|=#6~!%UiK!#J(mYq3fQ(T7RBvAKYrZA?oE=2xWin(lc-W3UF$R z24p#AjB6OwNLc+C$OM;uxZaFvjs+Jb+n~AVKa#IhK=z>rG6;oTnvx&z{j6|XM2xyY zM!Wgjk}l}a)!QhE(;}Gxs`hf4%?8Ye??X0- z1J2l~T;Jh|^L_)IZW3s<3HH?Dng>7oln385X5b0xExk#Ai-w(D;x4EkGcciki!Zea zTW4{z1%YqB$8<03q{0@DnuD#A#fC!U*9W0~h_u@T7>RmZ45pAUKg6=ltRs9h?1nu8 z1_P$<2#*HN@aTc3n(DY1Jy)puSE_CtZ2i|iA=`eZr4l$6XD2B(`m4PggIoANLi*9X zB~mRlseS~&lr8ZhP`By$!AM&?7yre}?5KXgLE%kASeBtS`fQsv`rR0bkB~roLhNjj zp-poVLe4?UPw5aa*am$J@b zMw%6`x`z1|A6a|O$5+9gYFzQ0XPxq#o5=P0xnHH7B*X;$Hd`i6P^ zG!VUQ?)#3rKkMapI-?^CVQm}IJw=Q6NB%gwf_AOR|I?(S#nLqN^q1dhpiTk%0%o3E0g+morc*vAbs&8NK)#1QY;mpgttcaIVDU+hUFO))g z)Qij@C0HNQxXqWGP@H%}#grv{muTXKhX zM6_6(+x1}Vdguw@x;hV!FQ3F?`iehqa6(VEZ;vVw=y5^N`VF2N?SXl;5nA2m-1>j3-nea^ za6g$sHi+CJaUwNRLT}g=#j0e)T+K97U^W64Xl0=|?<;X{#YEwd!{ng347h?7iU@BV zlKOcy;U$ZzPEG&5ddiycXC+mq;{T6~yQ1ne8l^QNA%XU-f`|M>?H;-?48YjcxCotVQ(U01p~3A zvW~Sy=%VVwE0@w&ZS0k*VQylMWsvJNac0L@cZKAY>5GpPFr+P3`7*z988k#H=vDpt zHE&_`P+)Z897_MOIkz|Y?%&fH*c=AZ8EzxV;uxTiKvld`b45H?seJX~0tP;?BA%|= zD6X!nVMN1=Z<4BCAxc#I_G%fV%@L$Y2>gPyA0c^1N|?t%i5iicS&q#?TdxO}6G=bB z-9&OkFiCjeOhzwxq&0}V6c2W1ggrfg7rf&@aP6@}7bVdD#8~-Gu+B`}-@R<)YMDOa zGqeYNKLhF}OpbM5omRTqSI-7oxGq8N0m5XL*s8D0#uC+f3!* z7w37AM33Pp;eUR)HR7~~+hKL$2nO!;6TD~NIk~|RL~NlF?(4T|rcwe;!4fur$%PLG(!bEw}~PTu)I1BstX=ey3TtMWY~7>G$IOx7SEXi^#;b^X`vM zZ`ym)``FK`X^g2-DUJ_x5P$W;Ex%~PR_FXaST{_0ofm!65FEZNQibg{cbB+!E&WeXs|rl;AD=F(APu|ZAWB=a&wM+#z0KP)q8_)5w>}U=cnW^AL^=wz{&pe2R4eV-=!n-K*q8P?h=CR?T;3X zsFouT?!d^Ojo~ad6|GD+wrnsd7aW>ybwj-mUp2EC@ zrKG0ohrgu^?%Oixd?{4jb9eWjGV6Pz-wOa0he7X@nM< z$BGcfGQ_9xk2jo;g@N?ZBp?KEuL&&knV!W5?+U{|Ajjok?C9E3`?<6D;;@q_h_(kH z@w7vTN1;eo)Cw$wHq?F%F!158!-j|>6{L`eR{|&-aiwFXMx{AqC_TB)Q^8xm?u^j2 z(qNH>z*BNa#O@MN`=;_zjg7=)c?91^f8u|Qb2~wz6r~UvTjKXh4~NoykI49mqSol- zo}Q&eOZVq@Uux6`#i*UPMp>x^aeQAF=%bU0McvFePSK2SZxx?-Lb0jCPcQr76{tnU zPGS7>Bw&JzN0k=uGly-&hdYvx)tl;TkW(vf#{*#U5`b*Ob#Egjbrz!enNfwfi_Z1D zVj3V-un`N(54I6|EiS$XE7dLfI*tfNfck6>nnYP^=xmeKL;%BN1mUw_&1G;#oKL3| zvt|y8`x`+45wT7y#S~YH06v@>clHAf(e{!9TuQcsSxo7aYZ|dme4=YRTCtUs%OBKp zWrGSSy>BhPz`*YWoU5g%R_LaRm~29)vtS#io2()K+2%7Kg2D-3_VOD z$v&c|%&t4CmnZgBnI+^dH1$Ji0F`mR0dpD}rYYT0Xfyed#JE*3cB=jcJl)a_O#GGD zYs+Amd&@`d!RaCaj*y^iv&u7=j-yHVojP9Z)UGe0uQ@{e!i*naph(@fX+JMIE=FWy zr_U0xU}h4rav6vyxKw|@SL~YDr5K=5ZKJ`y|7R-$I979a&AGqw=6;71%tt8|yni-1 zJ>4~pbo`r8IXeD{36?Wx3+AF~$VygHmeHA^?ET~rPfcIe;C+@B`q9~Y#+uKOHu`QcSHKz<{U6pdcuzo;pXzj{ zcBzZ;W8>|_wg~t8KmI^A8TPS0?ud|;I}>=v&~7My%y}Q7^qrXihhYsH&gXhI@}c_f zHr$6Je}vFhVo326?d`HGLSJK$flJav=u>Dzd-oUd-PQ*s{sF4%TE3B%`h6&+el}(I zBQ*M61SjfVQgF!ZO|(n-Nj2TN*(1*RAdI0g4Kkd#K~}X^b)zadLQo?MHmG*|Rj`6F zG7ZkSkN6J(vo99ET#Ys&I?E{{195w;tXLOF8Cs(JxAjQ&f>BTi)2; zJ!b2Ns~u)g}O6YR5PucM8m z)%$uG*GHoWBQ4!Wgr@+Wo?R=@!~VDH`7`l;JHmEscbo7OCN=)5UkChAN&0_;7+%f| zjZ4HUf?CAJuA|5X)SUNpYc5i7LWLPZlg8{UJw+&gQh(tn4h{~VW^s*2cLXJ(J5Cc5 zEp#Uce9~8(7eU%MyL!9oJJhrN$axPBfl~eMqlpWE(Dx-2V{|?X3&&9@@uOQhTYOaSMLX zZj(z?-0Xh|K|9Tj79q~{U-aYNmG*;pHQOr_k5`y5HuJz@LOLI%YDN0ZyH!%d2+is5 zo$>@`!ieTq_rGq13|-Zmvj?*OXW99UB-<(UrbF`6>ihPWubAA;EITA;`y{#bUDcx^ zZ#IyI(e+v+&&sM--rvTrz8CbmuE$bqls+S4{8mCtZ6pq17|v_z6Fcp~xBK$c624IZ z9N~);QoJ?!txx>N_m6%rUA4P$1z1Ax0rEs6BY3LitqbHcn2DGkB2*W#nSe9zwZY}?{4b@EK->(hO%B@Fcw&Pzv8w%9id_t3l3f2w z?-PP1XkL5&o4_qL+^x4)xff0_OnoDKo6ETj52W*+6PA|D1^&ef0f|uA){I-Tor5)!{7eYqR}O#jxEoZB(l!h6cG(zhbZ2t&rWRFe~|a z$k%>wwb6{C)0_8-T`J2hLN!s_U^?cp(Th~a)OsE+!nDKT)0SCsFxArKmn&AIE~8j= zy*>|E>W%g|;|}=yk28Md&E@vL)--kjj$T*h2jRc(w{}N{1=ylDpnuI? zm!8{}9Uiz?Pv-(0NE6A4?l7a~&*f|~qPFpoVHm}Zjjnd~jjoSG-@E)Cs;)VDnXWl> z)f(Mky8ys9r2WnZZ-1jajRz+(sU2ex93gE$Qq3T~z6qxh;uE4{;*vaoQ<=U_W~wGAVA2Y!E$MK0d) z#(_~n=2rbdU4cq5)J)gyrMy}2_so!fT4#XvKi4F<32*)KI&zow-j!|OyRw^JUG}=t zAo@LBJ*95$k=#?jD!_-lTd}MXN@U=OtX@}Ggx*0h(Dt+2Rq`A1Na9+!?C(RqYTV;bXyI7EH zuV5U8C++!gqHOPvt2adc-EXou#Sh_M*DMNfMfS}-(mj1S^TiB(X*=kQ^U)$4r?7+u zL?oth`Qa07JcXEXDsdeuY5Plis8$4$DL&t+%7<_#@O5cleX!b#{_EgVNC{&6j4(Y? z$c|a)I&o$JhZ}~HT8;n`J>zcj5usbuIsQ5d*M2f?SvR+3rhr`1`AE%5k$~;qc{le_ z1!H;Oq}E;1KjJuzbz<&uG7Z@;WbiWnR$)wT7&BM9$(7Tu*zTJl2Y4`<;uiTcj4F8d zQ4ap7Z{{`I$Nri$9L5wl_0c&0XR_g$OUxcfG=2%A{ZE(+*z@&%BRM!XQdgD>a7@CF z|B*bZfV@1SfUGP>inSh^ba{uzGdBegx)AMSSL85B<$is&#&>D`$$xB}M@hK9A^y|c zTEd&M8qkK+~S89+RC z@Wh|0W#-8#5o~%ouL=QiqJ(sY+&^4yyrq+H$$sE_Q3qy%6=Dw|1rU_L1Q0BKMi2}# zc)%amx@GP!CHmAi8oVv>+05R$;e z*#*OvKJdK;4}*X?yk&R{A0|`9B0rT`1&=4%VGLB0YOqB_iK3P^ZTA5_cut4o8W$1y|UKWhTPb*8{CJi zhf9Ui`4pLu0Os>~J6eggqiyg;^1~(5`TX`Ms2C_wjolrTi-+7h?|^4)6N`^!|HZrrn{QDj6I|p^o&Idjw zA!doSPq)mO;8U$IA&F$-T`+Fh1D|DPvF+mZ10QeBKG;A&jCswfXx#1}@xL)mVDStg zr5*>t%iKAHsR4a3w06wNV1{AJ8{m7XmCIm_HlJR6Y=Cz2KNIcU0lo4j{oiYua>#v6 z`KiI*BF?*m^x~LEdI^(rt8 zO;!E6bFk%@1~s_`Xm)-EmZ;lj`}#Mm_&Pg)ePtFS#huT?6cS2^HHr)-=drc?=jFIB)yp9u2Mq(eBLOh zxYrXfvq8Yj^t?jue@AAVYMD3v<(o<&_l;1nM9RaZ9*sn>!1??|@WW+nT5&J=-2p3* ztb7KA7G2Dn9c+V7D~`sutLg2ZD96kLxMa({ksG5_a@ zehd_=972k^Y@_rVunQ!5@B}C_eSQ~!Px0=+Cb3w`A58)=_u@Z}`RswZF4G`hFaMBy zpZSbAvAxQm5zxOK^BK60H2eQ@OaQna&9?^^gn0o)|F5Z;e!@51{A;mp-T`kk;)f*u z&ni>gYt9@L{1X=QsS0fhdXo z#GzCWvoTO8_3gnUfDpyqfe4T+0zk2!&r`{S+#jVCKeeY6uNPm;xBb3-u9bSn9&)d{ z13m$20c*T5Ba;Yr0&MLlqWB50H{e5pm&jni4u9Pppi5vrTpE7{*(nE*-YvB;{}E&l zc%IwDp69kAU~Vf1Z?ygZJaq=}RIy~@a==qB0Z%OgJoOUrRPg_K>OW$+q&WcO0KiiN zNU4L|=+ME*2C$MM4yRFsFW7{P^o7+n&Wk zFhVH3*kkm2@QqwRfbR$JjZ8p*8I{{mSU_ zvR46g5`TGl3?x0T0s=PRfFmP{LbPV)%?K_piNGMx^h5T0@I1X(a?la|xCAgr!0eO( zv(qX8SNctt{;26d5err<2eY2hR;jn%#<7GIl*%P(F2rdhka8<&G$HvV~>A#l(MGdo7{NBm`NAwB5ci=D40lln==7RgFsn!DIcS`9NEOjKkEU;Q6+!v`Y zz<#MMDqklwIFu){sw@_MsT>^Fu#lC(r40rAc>X{8Nu`)h7UILgw32mPlEYtVB}KGm zG{JD_X>?awX&>t3((exxG^q}0D}DFquHK5L2L#ep&N#<0%qD=gguEVr_xB%R&b};y z`X5rHf!FKR!E^H+2*y?d>7$eZdb8r{kxy zylGWf!d1ejCH_QOkI;t>wu;UvvR@oHqJsU2X69X~>ygcz*wV@0sR>cHXAAGN*fu)) zr%$1?+Owehgr}noECR8vmNq0Ga)|(uC66%rXyK54-_94iVMN|Kp^NMl@S0b=g3cy7 zh1A-QB%ht*1`RGQ^)5g-NObhtUz2AyD0wX*Y%xCigWSC z8L|lOxA@UIiRz;$>}^a~R=+?9+PX_agl~U6wD648&*%Z|xUgo(bY*xdi=dmaKe_*p zb=VDh`z7#Ox7*fH&Gc``9`5&3&M7<#2=8d5?U zy#IjYt#=yr=gc>kF{uuM7h>-}fUN_1?R|iHt1M>0coQYTQW7IzlP*jO7B+y4M&~4L(Rgt<`B;&)^^C+t7qOc!xc&9l510bMkFoN;n5>PfS zwz=`>vWL3J?>BIi&DdQR09UFE>Nh(?b=xaCO+hO<-2-a4ZleDDKH&lpn*z#)&ZCMy z{#TiD2jjzb1Y@^1L6Q4LhX@4E7J@6jOR@RqcNYZrSW5n5T~ggJ-g<-RCg%V3Gw2vK zRQoh)+N_6B#S7HFebd2cJaKqhv5V?f^Hkl)WkF^lxTfyX~#fdefvNfIrh zo8T%&>ds4qhw>sWU;xGVq88p)MO&fqwbKwy}f!jII!5 za*D$G7Vu(#e(fUySo~XAhQwBpJNq)l`X|v%ivJsw%?upq1FnBg-8$YDq19=W-4n@8)Byl`7jQYM|9d%H0CkN})L)B% zQmd92MW@FS)1nqARPT7iUi#eC7xXb6or|0*-6iy;?OZoSBI`ZW(rum^{PgqHbVoix z$(57Wmo_PFawYyl{Pii^6?Q%BZTj8k_sbGUw&wWZy*{c6F=+9Ir@(*MQ@8jd1Upb7KSA+nZBmdKG1dfVl`aaH&H zE@byd;*VCd1l@7h4d`hHcmj;pw-Xnwt*fLd=9t8rWNp^$L00r$;E#9>{>{@}aR#s6 zyTz(X-J{LJm8YP6Kc^d!!6az-JUY$IWY2*MaxPpS|HfNV_SNV4W}- z9zU;=H_h4ADenH2CQyC#f!ZxV?W8hmnpz4WjP)9)FoXuU?Ih5d?fm?R#uW6pc zX&!@GKeJks4nAEC`jh)>UTFfKB@)7b1HanC`cPirkb`NSgkV6l{-=7RFaAIx?E2DlLOY_ z%1lX+oxTSiO=lMHO}}sVFOno-@<$qWugQND!k<5{xZbl5@M5BXcrqQ2{|{YG?{S?6 zHZD9W>#y}iEj}s}Cnfc=JuXIbujG`6qDFozS>>wiV+b^j?}+(&%2mtT}3!%?bWgF6+U%k z3n#u@?9i;h5DhkARMXqK8vPN#id;}9F>jrwvMtNQZpj6G^O#3?CIIz)PTFj7Kf`4M zr{E^S&mT=|uYZ-S(EFMXTcr0QN1!%rJ8Q_rU{$N-ya+F9ir&M&8r#oA(Rf`RQB5!V z22=Un^wqWB_vP)~+Sle@HvazDq`Afh>sDPwV)jsXn~q`VxLw{u?J~3<+Fp+nP%cD6 z!0M_pJWl=IQV)`!=#NM=rp2BLHl+qNy)JqaE?S0oog^ZhqjMk|}@bR)_0+V*W& z5kIxb%e!$xZWFE{#Yc+D{uXv2NwArhP{m;Lg-WBP`s@3IkFGR5J}rk6+g59zzi*c$ zq@<9+w~d2*U3c0|pGH4UkIsnjT)xHVp_O)X`r(4vQ(Mx8*poGMR8rz+xfKA<5VIAp zF&jVIP+8*Z_@j_C035RpWNm*GlEhTo#=s3-;a#R5t}s>doawTEbY#Pn9`qw121!V| zMdAJE1m5utMo&^I@odr5wZX(}Vdv6bjyW80G;u*CRc`x6lx%Y`(%_GH)TwLa+?H^J z)NJT-NA=1O@@a~t?r4~>x_#EXTAH=s$~)Y3VLbna#aMwad>1~;z+?iMWx|Q&C#s3L zHW8Rn)f{tkoU}5Fzm>Is-aCAK^P|+TPb?|vTXR*=N4;vCx`ccfJ6{v?T-4>4&shleh>=u7p6Grv6f`TXgsDNP>tH z2FLibwpWxni?4czTw)|CUI$zY#Q6}YU{jluWO9-%AzQ!{%JlK zA$RhDi(*q-0#S7`zBHalbD^Qgl*@^_k}TY)XN{tutt-+iIeF!09K#!_+3s-Msbt*Q zZmTXOVoW~srBC-WKg{=(pLvBQ6*tACbAD&H>x(39j&u7rNT1q(hb<1wmj;J+NGF}^ z0?m=hkhsveG5S6AI>%9(bmekecm`B#qA;f|*J=cM<1;vp_E31I=fFSYPA0HyV-|lm zM&>E?aCYT4G$!}b@unm3W(AnN3NU-arB!)jgUp*{MX{t;fMv^aMF5UWZZu%EQq3Jl z;myK*W$2JO1x>5_M}6KuZGCkwHnu5W{xB!j8hL^ChKp5(N`#_dxeI7v?U%?`dn~QW zcU8~Lk#*`eN?mdso6`ztRf-rPH|ZX}U4^KWiz^7{RxTi$m)B$Ja*12h@D`wX9%b=Rl#>Y6KV(U5$X>um@4J_u`*7mZ zFst<3vFX4Tpa9@79Y`^$eW)x``>)J}De`{VqPv^e>l0uA7b=A;-dtoD!k7m@3ykU3 zL#+1V;DhZR-V9T&BjRAqBl9Kh`wvTyNuo>t<|W1!0Lx^#!=81BYrKQl&-tBF64BDi zUxSlxeI%1b-11@SxqrX~*MmnMHEf!&e2^dJzIffc{?y=7uWtG%whm!~*$HCkh7T1Lhg-c^Z#L0NYrN1@KraL0H)5sQ=Q z$5WPch6WBl9X}USJSe}pN$O;tid?~HdZjeec=Jnqn?sz#s1<+=Pu>hv(5dW5;X2}} zG>5%Hf1{?Ay4b2xn7ie_B13Fb9^i;)k(qT#5P*yH(T0z_q_trt@t*isRJ7@kBums; z1yPIC+RU;F(ey%RJ8KK|e5c@eb2y%jA(6a6Wf8#hmWDMdd^|FzejXSc4Sr#hEm?vT zsvkksKISpJC9Qo|s&Z7pG~dZQ-t3ytsA=-dz4eH4Go90LGE-R)r+jHq$~9+13mBu6 zB)wds)R^t-R2i}Fb(k8XKfDk4e)+=F#rn6xbJZTR{rW4Za}*`PqKLf}ltHZ-<98n1 zY8%~0b;o;rfFrEdI2V5Pb^lWHY_Rdc$YfI%EIyVS5G6h`M)xx63f+x`pXUGKOPXuU zW8%pXd#+N#T9RG@iYCk|QhU@KG))1yMNtZgH3v1W<8yp0HfVt*TEg|V`LmVLU1TWE zdvIPI^ehGC+Tk_H#+iE_TGkg7aS7~cbF6Fk<(Eo~S^?!5%sq2snWhKUuLuV8$L!%y zv)buDu{<=Z*(RddF^iGPzDXgBiC!wx_;6{D|6Eo|d85jam!K-+(Afae5N^s~F8ns; z?Dg8tkfv*}nLU=NQAFjtXI!Zi<}a+sFac3H1ic9IJXwd!dBd#qB~`RJN{^187I!-p zY_5xqV?VUi-fA*+xi_v?sTt-B?0<5pL1mVe?#VM=nwE2WqmzKmp=M>dtICI3ZIOb_ez7;tqRYfZ$M=ebsk?6uFWv?45E_W02LRj8xj+rm|=)&4Y zd5rpSIs$0U%j&{Ii-bk6+{AEo?XR{HoM=r++BJS(3YsB-e1La| z*gGZYH?GoBs5P@i;+2gAxIV0ddAw+SO@v()KRV7^~?AyFy+l^~JNaj;E2>*$+MgS!jxzdlH zo>#ShEz~`nZqfh_`!0jROH@do~EbI4l*qK2{{%)AMZLYex}d031G2A zb@7#9N3~#IyjrTfD)#{K0rUWG(*kmqv6j_%^$hL7jJmsr$6iHT?M(naTjm$ZkT-< zY0TLL{;*?jsJ6lh{^%a7K9but_=I#>U?{oSXhFBnYcp_BIk_D&bl9F7hrQH__32f& zq?dha42w9Q9)9q_QqoE-uf;?Ky(PQ!Lq*wa6|fVpJ`a|Dqtva)5W031p9>1* zo{m2@F@nS09fM6np9>rHz%};mt=t~bq$LDiD5TszR|YNV*6~1y*F_As*Xft7hT||K zIQ>ndZ^*;EzA74-u{0>59^`ht>pG0Xm zz8JTb&?AZwVuSqzeQf`E*D4c<(9Y}3_F>{}MtMv{M|9~J9y5z&Y+Yo_s>7EOdmbd& zd6>hQZap4-1l2H6)R z3iagLRmEF$i9<_*9t|4e%XX<@Sly3;{b&Tp`td0+&smzM%Z4}!F}AJg8A=LDTdkU= z1n%KC=?z_EYAZKl)diV~=j@x*jefF3Ugv1?Mg0uZwRa=gJSnuIKRM2a@14DKXotuH z{Jnmi+kP*^eOLH}n85l#oR>Lc6HQmG4jyGPJwW3lj$&ACuZmYXZ!?XpN+>;B;kCbXgrU_- z@~vHx%sfU)`|{m{`fc9`aMrm=H0f5^>-=a*Ph^PAY1GW~fs{IOA{taBX42PZHl~Yz z;uAjcd=(?sZ+@>H%GYE3wY5NGJe6vu`R;}KGNxMPZ=J+!Zd!aP1$89WZ9?G>HnreE45RRhck@9HW!dMY~qkiIgN++9K^R(j#{dQn_$-ln%^FwC8`9xmP^C?T}D(9a`pg427X7 zV;rIYr=>!>e;TM*T-|uP=k3~iL%wS%o_uc_OFkC-J>94P`DjZWU4kg)7rKX9q|D`u zidPsfSiVcyNSc(D8R%;6Dkt)(wb^+}HEH*}9sg*mC4ZGKTm?al9p6fm3+V5bs5_u1 z?yY4jgPEW}bvJ8|6xWXEwA!YFwqihW`TiBD#r zILgE$M%3_dK{2)*&~l)tgDGn(J`wR~cCp4A^#;R$>x1$kV>p1E98@d;e2 zUI|=wS1N+cs9rDnqq48$WskIF9ZWJ`$vUtWOqpSPTOnAM5+2J>l|f!xs#xGVaQ8Ky zmw(Yet^lV`q6ouEi)!A{6W_3kA*)X!2g5puVs6uJ?!{(IA{Pe~JZy+xa@3t68N0Fi zi-aPv>iW8VTy=?S&_wB%d#SVxTjNd9|D5mv+vg(~j<@n<`S%J#c?!c>STe>3uZRAf zEPi83qBzGz#n~FDlHE^QRM+O$U^6WYF5`+8Nl-7$FZ+j5``Q6(D*ZC1q3`@&m{H!M zv)mLnLIVH8;pD2~VqQlEgXX$HzpV048U>3Kde`yPY(zd6B#q^hsB?0k3qic9eT6q^ zsLKgcAQ8;UyR3*sG=^)uuN+vi!{V}EW#-ivtp;VJOmj+57ByZ(A2P)cl&blN%9IG( z0Hj~4PQj+}5i6TXJ675*s!^MQt?-$e@kcTvl`-ljksz4gG>Ho`Mf(_{& zWJ(U%H9iI?<5#V}h#p>HL1&F3sG9ifr7aOZF!w>JxO?tHRk63`B1pLMkg-sktvA-S zbmeO#h#dXl{E0GXO@Fw>6NV@;q+d1_#~oK2LDUS1rnfh+-FFkNkBplS_Gc+Ni!!xT zAvI2kM*r|Hg?C-9_%6pvUrAOW0nA&jpJ!}qv8nr(ki(pUVcP#m!fd(RYq7~E&VpYP zVRO#S)~<*Sn)MQEebSU0F%95uhx$zM|9Q7(M>^ETXG=n*h-; zwCpumJ@w28D>UMZKkGq}`8RDcTlMzYj?UQ8tKmUQ4iw&TTmi|iEP6!>mw{VzlN#oQ zC8GYs2$oVP7kPfK`JnPIKFc|?qBY>s@O@ng4ghXB*4;j!ZA+tj>n)?AiYdI~)MR*r zCFc8e$zt|BS3SyL)D!~udod33@j*++vxZY8Z1m9chEqmtbns;ZF$yIix6(+VjBo6c zp)Ssma{q7uzB_jVoH);_oR0^7oFB7O?Bcu9Wl;{-+4BajlGtd77+WW>_VbFdi4F`7 z0J{Nl`eymq*r294NUAqR)_3|;U5onHVbKx@JT9Ac1>I646YC>r4X$M*3y$rFiaxyo z@`er+lGF0S$+KvTyG=;RHxY`P!Bn?rI_FO*gyN1_b|3v?gePE%KbAV&7HD(qJCuAH zgT19TLh4^GBkpI3HuD^ZO^eKerLwVlZK{#?g^UF)jI)-TS^{1?MRr~v%vQKhR2z|# z#S_Ml*E^IAdn%`m1`Sj-z=GXbl)A7xc!(kuAIpO6RtRGELOM;@2BYzYE#pb>jl#S^ zyVl2Q;DLHsVVDa+x7}+z*pPz=lVRDAyHQ@jLwWr$MgvK^*pzqlQ1 z!N3vn(>f~)0?zT{+_XdH4SNUt;FANpy7R>AECiU{zF0~<)CNXt;5ULqZVs%V+b+xm z1T6kgN?%Jv#bn>dHWh#=-jNHtN=4>c5c9n$ob$CUjGICvR(>AmO;pUZa?nTq)o?O# zV>0n+zSUu}b$HBTdeWdCFLOJ0f6s}u3uR}sYPRC*QAU;C*3auN7ra7>gO;?O+Rl`d$|&o#{I7y7CNFJZ`;wBzGaWM|mn zY;g-L`U|2B{bRCO9sKzXDWlrD_t;a$jTX`oODBc9NikD=&Wp*vAupd$PB)Z?=wuc zbS6Y##c>@H#HZc;nN>q$^JokIcr5EwYkilXIF{MD>hF2kFb9g{4h9tWS}lJ#Rzo5rlJ?4ocgXi=R{tWJ9NvK>oy zo?k3MN}&eQf+Zka(~59g9YKnAHCeR@J^U0=6%&~_gjPk0MVtua%ZR#p^<5}6Jur2D zDRQFkQ{_#PQAY5!i^xe{Fv#)Utif}yQ?r*H-P zwF?y@^gI1f-N$p)PV3CuP4+D~kkzw$kzm_3gF~SWm0QvZ6@y}lkMA#lB>CNk%+HW|e@R$M;j;No)S;A2IfhtJ^7ZmR@T1f$f7*7;!jPMe8ONuEP z-Pmmc8ENw$F}FGdFqqs3BP0zQ<|r76<|#*TYqHdA^X}#|q*&I*pMCV;(Mq>FO}}Ey zxE~Ep;n+C}V!0s7%j>#32{*+MzLh0d{+?K7GJM4$r|E`r$uVL?&qpBmk$}8M88SSNJ~^Wl!SYqUR&9$p zG&}0$OfZTY5;sC;@zxrRp^HXz0$J8@+WR7Nz5!BATOALzc?7}A1Gqso!P*13vR1)Q z4sZqE_+Zt<^852)Q?1A%@ZI4(Tx0L|$!LM68N$dO@lv_H61=#1?})+KBGHx2_9i7o z0vgl_d+Y~}kVE52_Z$(~a}wv~)Ji%tfkpE{N;yP>&oYAbipcv{$L1C3L6R8*J|wm* zw3FI$xs^#=PUY(kK-lnw?+g$Yyjha_)9X|g8rc$QFnv_(oRs!H6tlHDUPDs1)fIwe zd&N*JUEbh&g7wOi%!Vww0qwA7J{d{3_1L)((ctAh*q!WAtDRzUoPo+_2~hV3!?i3F zsSSv0KJo%$?euA=BO#ydbM$q;&U^W%xo#W~N)@d41^QNZx&9oOJSlvR;jUNyKPAYT zPvoqKN_nrfHy5js+1uxER!%2y=opXEl5wK~vweAKOTyk!Z?EujK!fH%HTh$!#}fvj z@k?wo0&^caG<@ypcWv_61(*E2JS%1OG!&Dgd@ z=z1Ywq=(N{jaOrJkp|!Ht(PG&h!-WQ5Bic9W8r?EBK9&Oj|WZIgqp$iLQb~6YU`6& zU*3I#sC*Ka^#(M6aGy13$<(IwyB2YqFF6HxIracr$z|QtfcUSR*Ck2)bF6sexpOz! zUm}2L@V1XeYudZY1-ov;;%}5|yiJtPrXQvW-@0YAHv* zLNqw&){x9zM`g7+pjgn%UMB)F!D5<7JvQhW4)_+H%Cfl;HV)eMaX&x%`Rm`Ls#OIj zFzSeX$Y?EBqL*eGNKe84%;=w%L&K)~k*{$qu^WGzD3Lwc`Fu%4R-gRarL1Yb_OCXz zs%s-g_LkUg0cqUq`{Zs-Wn9O|K-fHCoOmBuu*UX^lnb@y{K~*iXaX0BF0SJ|K6fYc zd^V4zr*egw+nK0~aB`!jSjz$5xY9jZ4@z~h4d4B^rL6uB*@Cam79~Un&Dl|F1z??z z@0j@Jhk08#j+NL)n>pU{xIkr|Be=R%;D5()9Zbk=fXCb86tBdOqYSBhrD{_|N^A=S z-J6RNcxu5wIJc5%K7eIh$!8Yeb5o(_T8)UW)n7!g+N(t>!qmNYiAg^-0^+s8E{t7t zX!%aGf&Xw3IwjVBg1Lb*K&7>pjO0aY8UWk7_!#q3L*KAEDYYk~ z>SZ7!J85N*S4@r!tvf)_irv%Cv*9~u?c6mT1CPKXH6FI zGWF(>B!@R_J34wp9D4hF{A}!%CLJ9Nq43`vrPYCZlWuDPlo+{rd8DF>Z{(nPtGD0- zzRxbw@dDZRyhBbPF*eh{LyIz;Gqj6ShqGp^T|sRvB9tz~aKA;bn=?-eB2N8QaVaYI zSx#7@#}}s~%}qb@zVg9S*%sfq3x=!}_NadkT{dYFi&~UZ1!Bq8N`_kLx9Y%iT%AFx zt+D*Ea>gF^L($*hI3@{Cht7D6J?z2=e3A5lWY{Q3j4kN@BkLW2D|>>z;n>>PHg4>V zZQC2$zOkK+ZQD*Zwr$(oV3XbN{-5u8tKO=&s{7a7bLLddoO|xvx;@j~laJ8MVb z1L!-`atkJ`#Au_WIwS7Qw)rcM9S$Bh%?9UoSsNx0(&FDkurR=CGQen&s^T|4jd5k=O<#|we3jy(5NOmpiPBpesjCa}|Az_GD?HPpF_77Sd z!5gZHJpu$}$fK)ucQN4Saz~DACH?!KCdF%~_lauOSOq@iRNhwfR(!J17eq&` zE#GR3HPco6h~`|uw5_5mz>_R?J-c%uXk&3XgRBmD(LH-2E1K7Mr(76#SH3ltpy;>$ zv6&Ia6Z$OB zWS@EPrlY%_df+HN@zND@*`^n&CF6B)*Kk=TBzXjL41g4J5wW`yUa06%dW2>=c!$Wi z|E6`hMvfgdmKC#Z;K76u8P;{BOO*c@e7H=dX|@o<3m^yoKUiZ9ljo{rdH();c6XrA zh+vT4lTD`Y_S!c+vN~tinvFK{h=|nNVcyQEsIxN1L^Z z9$nTNTEzYroPOO6PKoS%R4!Quro56lWJx@8z}jWjxHQ#&S4(+haRXmc1%#W@3)=$> z=3P^{)Hgk6JX_vm!O;=YE~2tFVf9r7tI6hcA~ zn@2q0nM<&V5Y!s#0cc96j#P zChg{w92a7>25h;S1vPt2XYk$g@|5W_+_Y6qb5*(|^k;Nah7RMj+5H0pZp; zwKKoKxe!z|u>)T&gnp7n)5mP%Ge$lDiUN~rfjTHadOZLj5aCyZ5{tp8BdOc~kfcwO zISXoUFUjFsUlXT1&Y`%(1reO8c6z9W4DAe}LMkv$qbJ04L_@zu_27@Eu77{Es8T8a zbxFcS26(2qJ?6F_d`+=;k4A-#;^!p@Pec-<=G7{sBv4=N8 zw7wnc*+L@=jI%e?2J5pD)RHYG^c8pTbmMZZ@%UR&s;N2+=R?KfH? zNB)~>U0YwL=Q2`;+;Si3#0!j2p;sc?9 z9vVvPD(pcGshZ`*|Ig)Bqy$)I_9AIMg1A)4l?=^n174n*$_EuY!}SAf;y+?!VQ9uu zG?WY|%qOf%<--k3Alj5EuvlrSUqdndIZ^;6~!X72RD> zscPc0pi|Lokc;6;jSplaFH_OBup<$0B-HJ4NwaRb)O7*TsH`F3(TCqOfcV#Bklxr} zP#tGWw^@?Cv$CI|tTl^Xco+Y5v(i)7_9Ia7)rKd=g9Ie4{-WvpV+6(DM6t#;TL31{+)1}9lwT2+)~DE%0)LZ)7H zzFit<@Ucr^6j9qM+iuZQ#Z+EJ!=;qS8^8tsKdgV$->2e09!ZjIu`$%1R=@X^`!@L19B+yW1(~&ejug;V1b6!@|!hgU+2r z&q6N20?oF9CMZz}G%GPYr-d7nGL*fZ#F;-#-z^F(62oHRR zstik?larCmPQX5wWUCV^_{d+D`xYh9hkZvE6cP36gayB%cCq@?snVf#kNjPIAr6Nh z!jRT!VA_oY{Y5xKX)_+4jvnE2UxITgDqS-g@3|DLbUy=?i|cs9M0} zY zuJ)$bf3h6nwGIjwM@_}oK;wF7XefwiZ46~k0+kilSWe^7x0h*u@LTpX)kt<=Ai_Ac zVEy@F(+>O#4)e`Jc$`8wTDgv0J?l=A)x<|#?b|7368sY~0X3#89TqmhCMH2vvKoL1 zJ|fKJX9s4IXHgg6^W6QKo1~P;C;o2%YFkmkO;U`R&Do z@!Syk@Iyn!r+|KqOV@G@T#RKE06$C@4d_jBD18e55RJMe@FTkj6N^94t~s^nf=x4G z(3~--6j2ji4yOj4+GBH&i>Xz7t-`BT(fT1re{agzUx5W-!+jVjZ_GBqdxb?^@5oa= ziKT8i;74-8&383*I}CE&tUu2|i*dV2EPM6lqAQuj%I>GM>bblKa_pSm|ANVx#jP$2M=mDI(%~pBsz18-u|E0ZmYx= z;D1dV|6*OH1u*uV?1ekNN9^j=h@&*9iF1bP{(ZQ@-mMt|Icfjld&C$GQQIHk-Sa17 zRvbK8=%9Q;_@NxHSnU^^>EM0FJRrl`?5>BmBky4kgPnezYqua7zJzxNzj5*W^YV$y zFDwV^$);t%*sV=DLEGy(GZJ;|7_fP>AXl5pf-uTAjWh2B+as7(JlNxPOj%`PdK2N+ zMZIl%J6J>toSQH&j}(3PI>Wn1met9;haakeb0GOdVub8S`VQ%z!p#p!6q^%dN+Z{t zHhA{=h*X0zSPAmB9Vql5eQsLZ7EVt%d(6yC^~7`&_?M)fpkZO5On?#MX8Kh-jG+cg zs$Y+DrM*)}Tg{&_cSAJDDS=16o9_~lu?eKl`9Kd4Wys~xQQtK~~+OrG~n zF@O*ut=#p!MQZkD;$%Bd|9{2qnjn|TA)^$M_!&yRB6yZkdFEPqXV4$|@l)xyQ(Hsf zIxtw7^=+qR%qyv6g}j|FCfZt+(G;owPNG`jhJatw$c6V#4$wXd!|HA| zznLocW;6D~Ls07Jv|nF!cK+EMZOW~FR**pNh;wGsoR_42n|9T!YzR4xvBvgTv#|+2E}ijmi8g9l9qw%ngcU!JxFP$gH!!q zJP2^bPdm|Ruzbj8SICa~QxmRKEd@V^{5^o*9*KowUJYijG}im<6wN)(Zfb{F&T0qN ztk?rJLVTs;9B?&D>NpAX=2~~$QKKIXtKQ3K6$^B-rk?zu7pQkHvwRu**t5ak8_=>X zw;A6&ZjjJ!4gm&HCIeC;L+#XFtqr?6eB8+SVWE=GiHwj}_N*0e#6CkoS+5D&I9{2Y zdy+@&ZZkxkr!O^)<|S~%i5$RZjviROM&+lAqLXYnrq>#n9x%-$%RD|9rY_3$+(=-t!Z9`LsO z_Y~U9LMk0#f2(&W`;Fw9sIxcUTWPsy-91E*{TxV%r!aK z%;n?U!1Y`Z)9&2$d*UeM%f{6cR13@=(H65twV|+xV!IQqVduui{^@Z3WUsphj$Tfg zA=nR%BaJkNi~;j@V4BU=N2OqyBjpEtN>OklR#1CgjkR)}8JFC`^M|5J^lNW{v3EVp z7K6r=0J*mQz@XU?3tM`BNn+{N$_pY+!Wy?Hk=JzxsaN$MDdFE_Q3%}7jG(WY;O$Rt zbKaU{3Gcagv;piBjf5U4q2Vej-cST8Ud9Y6UU76P-eJ4ul`@N5AD~-9z;`3i8Gjz0 z-3*dhgL-Zar9A6^G-z3C&~g0gnOMu9<5k1ym+g_5D_{O}eT+YH1TU6&ua=pN#zW9q zZPHpuIOG4FyS6a(wzM^M{|-R0IIF>`F-;>BeJa9zO+sQt(%4pJ706*{ySDo02~Lc2o>8{8~u#q3RI*x;`K}hM1R8 z56h8>AzF^f^)SgGr^8Hx1~5AymJu?RhTDcIw`d~57h;2BuSr;XP==?c@8*{Hnrnn_ zMat}fuuG|djza_isK*+ivV1Yh;;2T^LoTg4+VPigmg)TW!$L;ihG#ck6Z3VshXXyQ z<3Hv>r=PdibJvWQ6gJGF5H@ttPE04nJ{~d5Pxb8$XK;{kuH3WvB&@0h<=lXJfRItq zf2QkLRKdj23t#(^J5)X3)c?a29h1v-p>sTpG^r{BuqHBopem)DY6Ua!th0MK&?r z4#SbPWq8Tufs|PT^|3`Z(QhwHw(mrLgS9Hqw!o^^~GodAd-%UaWk8U6`r_DfNX$Yare`Oh;0f zV=;ryX4qDX*Old$&3jvSQmLV~k@dn*Hcs?mUUN*Na3+I>d7XM{r>e%O?3-Pvz>{#d zTnMy9n#7GBQ;zEcUa2{b7$&SX?SH`q=uDw3J-?v*FC?ef^;>RU2Ai#Wok&(BXqcr^ zy_WP<^a;svHPVJh$W+rKq`evTguYB;Ov(dPAmg!Tbz)CGVZ2ogk$8OS%7cIhbltZM zl|AxIxATZfEAEZmPSpjJ06tysYzRji#^@M-ZIl59yxs9b0D?jaCkI(%^u1Ilf4B~tsqLlbCweU1y68#J9At$0A~a~`tf(3q>r^P@1+N< zKDzL)wT=qgX19zujPqg=A~eC;dSW9I5zfA%CIEtf zutN_uc;eBbCg$2(ExedcikHg>OlebL2r}e8=T1%#*}J9 zTCG-PfIU^Ha_G|L=XGu`vKMq8s5&e7qB3fEIGXM0G`p4<2_M6;Ly42CL z0oDOe8xkHd*e-1A5*N&}hzbK3*%FF*j7ipoU-b**nVXVCZx29 zoW_-NY^PgmlWAQdb9pNIGcaJ`#RftUPWCp=GOG^lnpdGdA``5Wj6;yV=9m>D-2I4+ zmHZEE>q=g0_$Amky*8(hPk**m*}=I`OJ4@(HeSB!a@Cw9P%&;L)f=TX37)k|H_DEOt+FWXJ@Fhx0@q|YQ`Tv zz34l7p@{SZh3S}!;$m3uKF7LW|6>fay38Anapptn6TAf4Qb0I4Y(`#*ME?V}gqCY| ztN#mme6fNRG_M_ybg+LKAJ{zo8PYmK0c)Err7T9YItq(9$b2(sk|okl;ai*`&}-#h z0pXw_K~NQFD~9D{uL=dMi35;MOG>PGqd5)Htejvt6%Vv77`x{}ZCkNihfRcoCoJQ` zEY+9c-pew;ahcZyw_roo>7Y2-KSS2tJc8G`Q|)g8jG&8k<{b8IV8QC#arY%%H6p;a zSwgg>De4puv5=mDHy3s45vaIL?BV`d=yHz@4U|WCI(x96#)v|L6i|Abp$KiOCVfhH zm)2=@3f`%LBAOp=x);fgVadJ@;9g%if(>&`zT1<49B`+9c?eiIPi#F`NF*$&8(+-| z^mSNE{c5cAj7X;@CWe6z3K{65>HXFXnjUeUcT>?vPZVsvkgr#j4Y+(@e_$Ck^qGhp zwlz&^-MrXyHTm{!jE>luo4kN>_0uSKvuZJ8mRc!4_8U>LR=bK>@D%8-~VCwyMxQV<)h%4c`G%HAOzj1mF@3`NXM166qQ*BzHZ7Y;MyACAkvusCLd%QZH+Ta>$Jcm}Mk^~@3t5s<81HTvKu8t=6QKDw+4|U)xfJF`Ojnw(%nFGWs>|t$lt~>aPEsdYMKq z+9?5?HUGZdsSbTkk;@+DWvx*;zhAKYn@mgUSigOwNc>{XrfVa}zd2@SiW8M9$0jiF zKdl7_J^d!`>6^ti`tzscai@0%Q3^KO3zm|&6SBi;Aw5ipwASnZ6^%jXjY@+GF+f;% zV(>0IO$VDcbdRRa?BF$Oit#hJYkJ@gBc1on?~n~Ppho``je8Vy!x9`@OiYST^K&-x zt=ak1;vKz{ql8h~U&HViOFx|tKXicHZ}W?Gz&7+!dg+g5qt}kZ(Yy`=QQlr|*k5>} zr~66|JY4di?dxbV{iY;Md>kbJecK1Tez$@%m);V+;3K=PT+*W!}1e+y~!m<^i>W$n~f zd>}_=rc~~uqE0GunI<}hy^jcF{dS|jy-YN=t)9iCkNoUvF&Ut3FQ*#EU zE8{P9ttA6nxE^MQ`b)ZX*14@hYb|-Ux^wpJdEC|lS}lExn9D&!k|r|c4Zf9 zf~0&02>{8YWK6Ii9f2%V{j?tcotRO{0c{fW)OHZhX!trC}5>x7HNU{@KJ)O)YF zxm8v;tQJ-{1|W{Iu&OSy@ndKmVL1@@wfFpeiL`lQ%i&ZOq=tMg4F^4j&$HOC32BR5 z!=ZdvIm=vlBA6s^jSmQ>DcqItMIZB-dOGnl$IaGT95F*Qu8 z^cYxHYO&T&r-|tt#B{iaOz9ceM<6mLr>5m5t}-v=oZ-vZkm>RU)XT_5L=t6@z-keL zOfYZF;+!gL8B^UMInNa>qI0LM_%lU3*-Y;e(XiFBb!-@_t)j~t*}Pdh&&)OC4j9Xt zs!E-()pRoHaz@lGqA|Bc=|>6@yK*>#y>)SlP3cF@GfE5^b6cZePBJDx(%XXnlfdxR z?9tf@W?SZ$%-rdG4>qH%iBBh*CzbTc8p&R7ny2SnJIre{3Nso40S?{WffwA>Oe=By ze@|xFG~L>pG-KXPh*Ln9EShd%a@tcYGDt*7XzJU;jKtf+91zEXI1$7tiFyCMd&7te zHh@D)?9y*6Wsx`5P_dQUzf0<^hvV=g1@U={V>ciUiOH$>^rO!UoY~8yD+P&Z=q&yM z%1}-xY{67qF(Zs~sdd)MGWbe#_DcQ-|I;%CRON{ld5#dN-=vvU9MtHR1;dTTzd;0iExNSXwFD0-vY zCI98!78r+s9m4zc+8yoUT;P7hx8ck?5=H%{jv^?`Llu1L(@ zFA2F)PXFEpHzgu}8GB7klTA+#fL6Svu{UigD7BiivS!m(7c#)iK&1`>(Dj?x#Am3i z6a!YRMvKP6PaTXm3zBUE=Ibh)yZknsOoo5MUBk7Xe=mShe_+q41eY0jmkugXl-#^( zhA4;}+_l|#Ry635Yi3aJhh9&SbP$zv@SDB?7w1zp%nvCl!|l{lIJ?a4G>$~E4ixCq zkc38T#z({G50lrz?NlqKN(xZ(#kkEq46;Ns@8ObcWV@r^o?Gaet0VJ!xy;^5#nddW zV&=qb*?@rcl#R24=9BOmrk27mu0%X&e^Qi;LA_`!pxr(@WInE!{XPYxBXSSxJ%SUQ z9Zx(02e{2VRD~#pF#$(VCD3Xa+qo(0+B0e6VRdAu*Y6F5@Ir*%C_>Ai`Ye91vooFg zeK;S{vj<+s56HqHUR*S;%H;ui4+E!dhI#M`Xxs7G+HCpTY!S;EZ4jy3ZP+g=?Njtx zbJzceux$|8rrMVBHYGbXwki#_=vJ{EE1F>ja#MKI zJ{s$qY8I=VfEBz@i`=U|zA+?=+%HltbBo-<5XSsSj3k|#vieQd5S<$`O+6v+^>RTA z7JI>GuJ*7rIp4V!@w8s)uo#!>Vo`UER`za5_L`qfFmVrYgZ-?T{k5@rsXhpOa?5Dv zmdmSnt?rVhxeMBsEvtC7?xW*;L+#rQQT~(bs%(w38QPZewd`f9c7N2Hm!47U~kqw-$ z(K6-7A7{)bjp@An<=?9rgFMwr*E|b}@jG*`D&8@Qs)-{F35T(6HQY^0#bIUjPc&7N z4L|)dwpm#~D2DqQMNLi4!1GK2fA!i2`xwq@{6Vt$8+zBZIDJT*gx-2esJ)E#@bJxUqGf9q{$y;@KiRFIZY5cuc-&iW;R$>2g+u?q1(XIn-AXN!e$d|HRKW* zEm%$3S&pT%XElAQ$^)jDZI9Wu*53b`0h)I*9r@4LN-2!@GD47%aG}**C)o%pdLZb{ zMcuKK({zTqT|olgv1m=WRNkw(26REOm91Pivtg|+ux{EJXIN zorrG0JZlC`cohCal($Yl{hP|A{5RnDt^=zJmL)-NYF}uRL2CX`34Jg19|f9B%H9t> zsm_1h>uLQ3`BH=I0#CEtzGnqX<^m8QXrjhw7?-LT(-`hcQ4xL0NY&}Es)0Q>Yp1eM zC#9&ufpCu{N`n7k0w)N?L(W4b)8XU9FaoX-OC^r{DmXGlt<@YjSO=P3FUX`!eJU0+ zhz~i+A|&I?4dO-*ai=loJ0@VDkf)83k1#h4*b6+gkiBw)xbZS%EM{f-Et4|YC#EyA zW;dBQjj74Ha2a9hbFGj1Zo~2RAT5tIod_L-pW_56uGlHAh6cL9za2-_%*EEAMkK@ThQKdQ;(OX7UVb?)>5~UD*fgs2cwY!FD6z*^I#pni&9IvB#N*b5 zhh<3VY$G+(mX7m`j^Os01E6Pk!cQ_H{v+-1IJT5?4&a#hk-;cy`uC*t?RZ1B^mIxc z#$razU!KadmB&AOjy=?)3mMByG|C^7VvkxvOrO)PhzLX4r-!6o4*pQW!b}bapr`uA}^VI{ctswIn7X*}>yqc?Kq4FN5H5aj-;RGyP z`asl_=@X@DBUBF|Hs}_u5JlO_%DFc}SuZeEUR@p+dN7#t`R9RYs?`C`SZ6(7GLu`X zGk)+cKuETh>jgh2Js*L1 z9xaU7*$7AJj9(=yCn0j7ZWo*Fb05##LgDq@BwKU$DHWOfR&d7y@q82v=2wnhaHj_G z91;%p)j=w}cK~(%CmXDHL3hB+%;3cgRBio^0BP?j(j-HSPJGabo$;grO{mVb1h7SjY*VV|N#wSe?0GMk2wxN=F%_!*~F2+25Ct#bDt1>ay zHhfonG6grKq+xz>=<@eTD)R8dd@QDkLiOME1+(EUhHoO*SM=U6Vy55-o5&@&${GIE zu(Bg*>nI=VGJ~{$$R%qHV!c-|W(I;S&k(-Df<6+~s#7p$4(NBer-xDD#FGCm5>;&$ zTwwe(aDtthIfIZe8wo`RMv5&20%lC07;?yYu7O{S1N&9An_b*AqJU6NIN>E3Req4; z0etWJn9y+$p|L};M?g3wxQ z;)c%rT`QgVLJYpBIcOnMypDbQS!dQ(e7=OJI&bgSIipl0Q7~`>kNW{n9gC6IOnom) zi%wpECfm52d<+e7>QBI{2w)wixDChhqQDS&?y_Hh#JUe@v~y#Jg0vY->D3^(8N{}}K3`jj>QmOJO6V)<9dn*IJMjFA~& zEGSy**#6Cuj`Cl|z~mYU^?Cbu_zmorX;uO>Pu3o5+$2w7G3r|+c9Up}4%j!5Jmrsb z9_SleVR0kp*~JCm2(OqFq`5eCs0Sx0xpX|zdZ;{+eYmiABF*=(@MY+`E~(#l|9s1F z?{!d*vA!V7ah0XMem!D_&;);1$^U#M%4Tb&WJ=N0A^+!yi|qz9bE9a)<0TM6!cNe%h=`zDkWo1Xlepg4{)EfBL)3x-F2Rbx5- z07&XxCE)<*GCo*7J}baqCe$E5FfxDnMzeTl3KG}|-=Gk%%&ew|*m)(9CYSQY*!Ih( zB@6zu?XS7Dpf?s4z+y4hRAL8VnY{&l!N8WIxyk9Dp`nv4ldX!^_V#q67Uu-6%iL4@ zl?pXFUQ3TYU!h-wZA5|JhQ1$b6W9zdD1L+yu8GB0lZ{!cZxnKrE%2YVA>lZ0$KsAI z;>!WGXElx_38xZ|cn(SRGPHjC3_EfDz~#Dh9%iXIXTv=EZr9>narmS>a#k2!AO2&Q z6=c(*B50A?O+*U_1_(a%YQ!lDS}!x0AULk#ldYK}F0vp;PjO zkE4^jM#_`9L?Ysd9mk3*=R_0!go`$Bsj`AI7@4OFj|c6>%@cwg4da<`q>tF-v~_IW z2;VTZGJ8VdqO0YJMWJ}ZbbT-P&fjm)$Q4To4%NHJK!R;S2B6h3=F(r{s|RN=a0q0$ zKRJ_<%RUCC7L{WPl$|FPxkjHZS^=0uv*lv?+m5^-N%DmRy*I#qqDD?5;zSbK%079i z{LHG4?dLFmO8vGDG>76c!V8+wk}O~cGYHDvI9F!G=l;QQOf9}WznoZY|I1#4xWimC z!e8XsUEP7;2KkG+V9$Xc4=I;EG6?>pCG8w-p5iaw!Wxb^ry$I;nsCBn5W4P#vM2)) z=vX8)mAJ5#Pkv6XgLI9uQlY3o7{UuC{c`eC4*p?JZFuO>U@}3rPuLH-VYuZ&4H|O3 z<=Rx@3(aNQ0*BgXH9nM|df;{+FneWDl>_WvlLx#J>4bu(PKNgQ#_Vs@5w49jH-Tnd zc#q%jc+)3yl|Rt0LYzdcsYo1`X5LhM|-B(e!VG>uO^!Wkq$G?*@fO} zFu9guYL?OXiy9S*oW%(CGHR#^n>-?Fb4j`&6j6nwro~=a6}Q%1Bn)iZ%_!>lmZe)t zoAwm`gV*stahN9#*9bLQJ+NNKg}W69V~V(FY!|@A!=l8**+z;?yiA~%_+MjmIFob; zENDNvV?Z048`Couc_i0Htg*{igoF$@ydU3EN}@FumBjflZNU`0$!S-?vh*e9U+g>d5!` zAVgWOI-62X$)rU+P#{eZ&J;ac1ArcrjWQVW57`Af;(cTexU!{mE@|t{ zUGg&agEUt4%|~+0TI4#4x6BXzLCPrmxkAc895NLF5Eat*7UJ|4lJ^!4{wlQT8=&r$ z5MNeYen4A^yR`k~Q*8N$7x?WimSZ@s5;@8>Mh>lSEMW(kw?YZJ2R8fzEiNI^Kjwm? zPUHh~{w%N$>e*CS2>Q9;lS5bJLqQnzQqB~k1onk0AAGTXrTkJ7wTY%2W)bq?Sz0@s zIC2k&eB<_2*t_7!suM8mcwA7&M43t54rYxsy%9509Gf;5SMx%*rio+r^f{}x< zD~%Y@ZdWCYs56$pyH!mr&G~5NCPkvUlxKs~ihmNwZ>F;u|C!dz!%J&*)L#2WDe$Y+ zQkC8$Sebs$cce2$5`(53YUT=*;5SsQzc;75j|R}z;r6-olMsg>PNcNOX!!1ETEIDH z&1#RG=}McGFv#j$d%gnRI{78id^@##!NV~NHkL=SJHgt;w3;^F4PjFoR;oLNBp(FU zTvSR7_l+=~x`jj@Ru_iP*f#ybAya{3T4>HzOk#w(Q)m&ps_{H1#gn`7xNgOe;jQkl zI!Jhm6yZmaCU~q!dVct<=fqIBci(H*!u?y;S!P{#kD4mYV$g;mM=)Vb)MYAI-%jA zgHQPl1n39}f}h6lLA`=RSxuLhSc9%xM}Eol=qH&2t|-jB!07`u6r+=ScNEl1fpen> z%rZ&YectrV9Jx4PK4=w}tkZ@$Q$^&G=j2JpM|Q=FwSR*t|D7+MRZtm*+UM?QWpf~t z1D~b)wy*aJn#m3pl?pX&+Ho4YWcFhjZJMj?Am)h6t}^2p^8Y2iM#=~z&pZU$bmkH5 zo5sqrxfVLiemM4h_VgR`*3$m!c_mLI3Nl~XQ_&Ut*1hXZ`j{UK_0gRXQx5QCoQn&_o$F+J$;wk`zV+}{e$dLTLwz--jvl;?(oDVZ)kapCp~nGzT^QikQ( zpwTpT+_>J8SnBnzUg`g2SDN21n2SBNL|nI8XF9Om^Fi(eCqV5q#X}|Fb(k3giaF>u zaZ%@}`k9`+ngzDg656|m?7ysx1iuHM5o!qQy*<1`c7z4|Q-K#;1+U1!Nqs>kMizby z2r-vv^$~js5EJzii$l-F5>a@8xjG(g^=-Tp5)0X5U;P-Z#UAhVZy^zPkzh@lkBpiVg&j9!FrlXT1oEd*h0Y1W@ zcOHn-H^>2an;Rgj-VVO&|Ur3uAGlF~2K1PVEL^E~xzsVVYw1 z1g$+2_lSuR@XWdH5;wFTpR^;+h|KY92Woa!>EDmq*|@&mI{pbRO-kjO^!ht}NFP zV7k1h$Wy$$AkCL<(sckP$5TgvJo7Sd_)LZOnfMBC@&xwZD8zgr-{s9`+mkH#$e>Pe zY+ScF-^h!ajAe8j*|;(3rZb0k4OLr|z9;RU9F>sda$(gH&|6kSw$eD=-UavrCnP7! zu&y6Y@+hI9h09PNde-2;5AlbSUa9e=vL*hoD7abr+?G|NOH*gemIb*smLU51*1QPJIP+l~zi`q7Ce{oyxpoX{!qMqnTvRnX1u;fe z(aLxH3cH-7`VNJDZH9O3D>z04JoV&MQfVG@SzhzY@8(27^-v1^Em@6$(TXs9qHSEO z-*2hOu&+>2AIY%0d9O7?wKZouQm2-=AU1-Bjek(BS4NiT`WOa}&WyB=P#b5lY5vR} z;KyjyXA3iIs%tP?G+?^`WV)rRcgdA4a2zEV`b>+vO~;O~K42uskZjid8r9y8h?m(b z*7yx~zGUNQ&bpI@g-34;<} zYO0b*m+!~JLgDLmN6J24$ zK7AUax@6|Hg){f(6TS}@BEQafLqjQ}x?)gU`qh4OKG?*spKVdvNdZStyXRES_enfn zGV*zD_a}UBE=0gi6!V`-iP3)z88(L!))IbsO*N2WyE7-wcsxkt0C%XFgEpqDf0yR@ z?O1@+_Py&V2_pigiFDhC6J1~@wo%xmX#XVve`Z10zeF(}=CM1|>qUQY zcg}N;8MuoGX&y!lW};aDZ2w>CYbX6hkhmAOcFIto$xYgAo4CVCyZaxFqxPncnn^)k zBEf7D?77Pnq#a)=9kx(9;yLtubv$UEj&2nZD61O*Y;g2sj43M(kS;cHuKy3kX00dn z^j8FdW_5+FO^6TA7#D6N1-V!Nz7850haDeikT8l;{C34=#i@l)k{B!N8x@0EHFcFi zHcgKLwixQ%Oo@gbHa?*L2_j-%(48*`E?1bxhX#2up0NN!Ip*EsE-#Y(L%-da*9yQc zVwV^5`C)H?+-tBdgZ?-}D+h~<(fEopN6Kn;10wDOz<9Vj@aF@BOViyxs%!P#eD0Y{bJ{BHAs5S)hRw z{-DR7u6EY_e~tuCtO|gj-iZ;{Amx~XAD@=wz68*J)RkyyF>SWZ$!xjlVLlqvj%e@QFyS~E?jb|L|9KBYy#S6OmTm` z_~=zwx$${DADuip{bH)1J-#`(b@2xN+S44te7m}NI0{l>h%s_g9hx{?BS?aN$4G-I z8Kzu36eXL-5ow=0Iu|iX65xXdDDA>WbX)x zYtxWylV()uYF=5uVRPy1_$4}}7Tp7BGFSeJ{C%<*(dQ{qK`n4Xf z_HK7cb}yln?*3My&6wQY<`X*&vPnQn?0by3QO0J5bN3rk-dxU%A}jx-;e7JCoT%xF zjI9}qjR?};^Ht&MHl zwr$(m*fut}=Xu`mt^2R9Zr!?7w`#h3rfR19%pA;|?q7Fo-t^Uupy)3s=pU0X89pHNRw1d5|+2cF+gj(-~+r)|{J>Rw;GRXwQBfQ@ z%t!1|0tcAEgkoGFa7&6h9`{e}%zr+LeHmx&h$y5a+I^l`yzamYLw0@#JT5Ly7I+21 zP=IH0b^tu*Y~cF_%v(0NX(aF!cu|Cdg(asQ(6t}m_GfIN+ShB|KfUsCJ77dxl;-uS z^{u+Ddhl1$EH2BVB+0MtA9pW3&p!d_MwDZ<*ksg$6VhG0pH%Fn_H*mx4QwBLYjxni zI!uvQT_%WYFH=PfHXN>m{uE&P?_D5*YU{6A3Vh_uXlKtnB(MF>Pp^=I31^^+z0p>S zKa!=Qw#hmYrnb~hieJP=#uVixHkvo+B-1~sfjks9`Q9>sjl@@M&O;74*9?u3ze44a zkROI}2hJm#4pUHhGl)&WCyiGsXfR(e*e8H^H+=E^AZwl}weWyx^!!JBK_t%U4 zXCLJG-;IH7f$M95ob8|8Mal=FU}yof9C7 zMCBU?((W*vY7#z`cW}cX(muE%986C5A{tCi;d#bzO;xJ^Vq^0IW+Lx#PtL}@7W!lM zX?55A>R16ifIgt*9bI%ywb8smc!jAbfLz0}k#MDok48&+O8VxlrDvMJRw3uwmoG;O z*{3^STGyIpMOOz(A)U93F4A>=Ps-Y`GeKTHvOD8VT=o~=wikk`4t%oQ^@i#Xr6q&4 zQcDAC)yx{4eO$J>fW1KgXo66&4kjR~Tt##E=}r<-rMsV3B%!bIH=^Y1Q3hBdqE!&( z)G#w^0&6P!|l`d6UAuXuN;%XlnTQ{Rvl#r~FZUB{p#6NL4R5Eck zuhe6&@Hm?Pikmg)(g*D6PIv(%X|W*PFIcJgQk~PN(s#e8)#XZ3C9Wo1CZ!VxEp}lF z{_}*~wD%V;r2sShuo+e|^JVN8JhcF`EJeI8aC3H!y})Th3Yv4vIjad~wE%hhWz)NC zGWqpTiev2b#<|Hp01B%GiJoTYoRb4%T>@@CF(ssE0<%IVX-aOIp1ofTQqfX6T$VEH zc_6FQ=>UA}k#xfa`Py?B5b-QdsfsH|2*dT7u}dgxNKF7dMyaq7Op zw2rfg_8X)L@n(6f4=u=7k_YCl{T&EE>xMG_hQLJC~tHIM@G% z*Ep!CUur)~HD9oD}zZW|RoF$U3%LF{~Q>7dzJbkjzKG9(;NN5q*p zd&6@ga(}=Pi4`;sk8_NX!$s|<=CqJh`KpG;MT0fe(DaJ&1)jig_M!0w3I9I{d6`PU z(ABl^9=ibf)e}kE=?|h(tJ^Z#%{my~kcIK^88ELz-_<%AfX5zs56;f1LD$|tq3-F^ zV>IC?*?kC#&T(>gmWjdcWEt~?J7nXGGgyVe23P%Wk;lI%ej0 zVt|WTv8Lw_eNT2lvxn!`D2>zTt`Nm2W~sVYqR(tt>2=9VE^6GA)U6Ojeoz`3ffKP- z^4Q=;X6}5VX+$sgS&h!4#2020mu4CywtsyqAzWKSy~K@u$>|M?1>kquBqrr_aQ&ul*D6>3gL6LJj?SVEsb) z6McEMdk-e(3@+yZF6Vo&0NHLxsaisGtBEDI$2Xp?D)46)gHJIc_#`o(Wi}Wh5&8k2 z|I$SHP8>(Vky+>EA*_H4o8HT5eAdk|DX-1|f9iBnm`Poi%}e>pJ$Sgv$lPmkaMn$x zC-2rB@k6URh>Q!{QJ|i*t0zFpV*@gwx_M3)YolXkVtf1Ax4Q-1ILaGoSv?)3c-*yk zpE1G1kq94*MgJ)s!dP@86eEh!Gz6C(PXh+?T?ZCm9AYa29pbk=s@+szUuQj@psn!( zW-6{s>OsAIqCsZ1#LL>G_>c59#n&+U$Vq0tiqO!=RMh_dhO>SSHJgX$%cr~h>!S`q znUXl%^LDZWJt%YS?_j*n9ckr!sGqsbK?#xmTgj3BD>lrK&DC@;bl63{nw<*`5I3Dx@yjO0a24(w;Yw)RwP44fa4eF!M!bcg*;<(lIZ_(@? zrjLhJsL<(4u8r8F6-wREWZMoR6jUs%3K>i0jk**S4071atQ9qk6Wlyk;(wD_x=>S!zd7Y5hH#d(8bka0pxT+?yfU`z zeqq}1vnOW#^|jr5yH&c%&MyzRV#GyuC`V{OMtphDBmQYWk`4tWfs};58XjxLVQP?1 z-8sPoS;N9u2D-6<7W(f(e>;LA*# zG?2|glP&RxTwKaue+tX12@^9^R%cE^9&A-B;_h_E#FRRKni3|5m;E4%hsrIQo*!n+ z%sIie4xOD8-cmzho^kc3$LogHHtR8gS%BZyB#VD*m7f>n=9j*P~%)_FMps>Y=dYJOb- z|57g=y`9}1qMVF#^~&hspmPdL_(2ZP%NBd)@yA+kyLdroEHAwc;Y8~Gk2gyIQaLi~ zbWuP-;bj!3g?k0Hc^vRLDqkIj*fDb2>1>8to%)$JX{@FHBNzQUW|hJCxI8v+;-4(^ zMs8UM^oo$s&CLq&YOBQ9i`Ethe#z-b6PB7L1cpX-rxE>rz161LdTk+})n-bHvJArz z^G~ylx@8XiD_8%j9^%?ZCvbL|vR=UZpxhw68V^DC)hDrL>0*4}V=(b3juL?^2-WV} z-@BV>f{3JC%k>z6;+7DBKhb*EGeda4Kr+4E1*J?abm|?mFv`Uy=>~wmY={iL{GHyu z9MlqL`dcv_+1hVG5Y4fFaD9a249Fi6&V zgq7#-)=J4m-B0g#_pgD=q1W`jfB1B-4cpfToPf*bK;wnsx>|5n-ETudNGC#%f9Bqy0BWK1e7CojrjzYf0bjtSBB;$(G>2iM4HsIk_P17ns@?M zreD+#AyX13#A{|mxZgI3M=rvRa+QRZ9R=bW%znw%6)C86+Rbt7JmiZEc&KNF(a?`- zBa2V#58O4p?}`#P_-Q)s1s!)L;wd|$C+fduB5JzobuL0#rpc@|J7Bc*`u0oI4?!zG zSJK}P|88vE6EqWWL|>nuGZg2b&$3Ua9=8VmT(4{Fb#zo5*YAbWauN;Y*55Vg__sfJRa2B z%>28Trbfidc?J2#>-phMydAt4KZRSio6l=R<#*EV?i~~~tP>Sz&dLSBX|H<#q;2)d z`Sxf1+cnn3o46^VC$h_FxB!1n;Giv{@Ag@h_-FW++e=(nk>pl%CHmmgsdBqYs%giA zJ*@28&0w-~h5;nQ%lWG;L+_6@F$7uJm2c;PaYJ36pE-}0MPWjF z;TzM1f|-u)rI*H0ci|Ds4K`chcAUSWh>B_5x3Ae&h-cv~>_xZT|yFwDN zLn(>yamP_hd0wHZYu{>RPj9zwUjDDTZ~%_Q7}$_LUu21sjzym~(rVz6;3BsbPE&NY zcvV8F(z$^p8fQsM+g{t89e1^fVBwnC>o+6egxdzI>@Mow~nsbGjd5U|3tO89=o)=F{4}<{@(JM z@CA8aHR=U*pFFgSY%N)z@|X!Uq8<(XmV}?8JzZrnGTO7Ve?T|A;_M0p%v-yl|0nF? zI(w8>N9ml!hP9qjJ?c*$6F1kZO7RWS5bIWF7M_oI0e3E^$wY>Jjbbn4Zp3S2^2XZZ z)LE1~W8sLXXlOcZZgL*+vnSr2Vd{wS1G;{-T~J#D66(N5Bm?$!v0B|yG0)#1(FO~v2p3ZPG}vFM-S9<3lpDJH z7bK*+8+sx6gPsZm2O?r)q~1Ho7P0+3b@*l{j1WhS(d-n75~+=zKNL!)A9DBTXOsz6 z4YL!{bIcu5C9}>V4sxk=^SGd0+&b6?Zh_(Wz6fQx-77VFjd{#3lO)s6)z?kB zdIigUizmlh9}_u*_rj?qNtpZS?3oUgeC&xi^PJx@1w1-~U?+Mo(wC_g~U z2{GRj3i-XjLL}^13A#ao)V~o4U?Ali?^;zx0Bl&hHwGnqb)8nlT&w2Ua zrT2FYEC_C4kn(pQtj_tBR`ZReL^B9A|B_TZDUw%|Kr;Rg83|(Pa&R7wKh^cz0y=R6 zzg;~keiFSYFrw2wiN^Arm!=Pe7iv5#mPoY0yAV+p zC!BxL?O|*5(W@W1gCw|uqs41s?jhJRs2G8W()f!`{^9*!bbTCsJn1y|zu{1@rn%^2O4{n} z-~bAa*Qp@Z!s6es3bDJuu==qd060e-xc0&Y98MyrP}W`Jf=RaGX`NLL`zShWno z+GELvSdbjTgA?Rql|#lWO)rw%=-udNp3Pn{Yfl*2Eb%>G)0yi@CBSSdL!Wn?3Cvi? zGC@JB?b>YF_bGk7HTU&0vH%ylLHy$xq6asqh3D7#^S7>XhTu+V=O{2XGIRAJ-fsL6 z4>(wFJaldq)#x)6GrmrKu7r^jX{AGwTI!?gaZ=HRJ-8{=0Nyb;bOQFzH{Kpz-_9;x zZ~n!mzfaz^A})!~VQV6-p))ub;VN1Cs>ht@?j*=)CB!`x0fWgNAYSsnZIY$yFQ8NVcPf=B$4{_dK1dH2FxV9n20#>5A+%XP1s?||NCpAV~ z&ygl33L_$GiL%n$R&cCMjCm2#1(MN@hp}A0yD+tohvHIQ0MkM{ykr|uSc5UYJl(yX zSWy*v2m7cn6(yuz>5s8w`-SSa(E`K%PV1?_u^Wd9Qtp%TxD*&MmTmh|Z<>M@KgtfW ze=kW{OwgEWuOsqTL5^XKvUdEz7X)9&)#s)KFgQ~Or}xj!M~jb{Ei0y!ef??dq9r{a z(D`7#x|FLTeNG9*Rmi%L2bnG&ATw=(%(4t`ITL&kc6Y%)Xcev6xP%DC_B7JcE|+#@WAt7gZbTs z+M0d8k~p*@RTxL|ATG7JMTmR_QRvjrT@I2m@u94?E>zaCvv-21p-OhLdRx5`97ygI zWMl|*IwW{bbUI>H^B4{*hr=|KY3Gc4RaN=&GWHrLjhTg*=a`ldf*Jzf(-oIs(DKp( zOuQ2(5`uSoy!5(K_c-`b=OZ_r1_4Z!^4-%E>6SYNr(^-vL={2X8ukbFYQ8#{#Tt== zIi+7q?7f0cb$4rfaYFSe72#F-L{G;6F(fce{NyhvpOamZC$x&%b=Qk+TtaaW%7hJiV zq`2Yx91G~Rg;Aq$=Vo2Gzj5a zV^k0lgg+#>2N=9Wm`J2n@pBY-lXTgfnLbgtf@Vgmopwj~;q~z)s!%9fj)svbZ{fbM zZ{L3Z9xpOM4bJ^)18yhiAhX_ZT6KQn zo3Ts5dqMky3W(-AatZ$U=PH&W53SW z)B5~qpSYImD6LmMkl*iTG#`77^DfK_u}_Yd_Hqv7XISKP|?u|Zo5h5uRK}m zsOWDE2((u;##0gX3u-D*24X-1SlOy!n3gnnb0TGBK!UjTD%)DfGYYE`#7?tv)BYCa zPLT2Vfal{UrbEWLqW{*Q@86OsL-+`i4nKL|VILypI z3DtY51!%N2-B&JZ0i^31NmJ1@hD#dc6*V8s-BD^RKNopnTC{~ml9H{x>~d?;5q$pv zrQKb$9F#s+s%rQ^+CfdrNDOn^#(V#d*Z9zzN>-3zC6+`3ZVU+j3w!R$4CzuBV^h8% ztv_^d7_k(6WWUwFDXa8FZi}{3t6or-IAP&PG&ef%+A^v18YN1m;Y16`%GP$0D|U2i z&EZ53dC*{DM#D%di(}S3R@q^}oKKBdthiXJ#fCUul%sKCu27XaV9h&4)Ii*!8R`f^ z(IHYw%k)_!Ywg7i5wgRh!^w$^P~5PEHEk_ed?#tQPUYqlx7IRTE&d(G-h{$iA;{Sb z_=zep0uwflD_t8X7=S;BqT0HuMtdV9Kw19_17ei6u?jt~xyfj>S)H=B>UVK-5*EMF zhL&M-)!0J?lr$Jb?71i->e$U$zC{~m-nZ;(%F~M`2_)RR!iE(LW~)g@IMWFc6=lh9yrn1{JJlvYErlGVvZEW<$Q@Ln@6nP2PF zW{Hg|(f$#8ZRe*)$GgWL;9yaHP>H!R-|1LK(SW^3Xo*lVXj-`}gBTPP9?IbIvU}AjK7p=`v@zn5oSz{$YG-h-@Q}-~vI6#0a-eos z8~yvsJrsYaAr_}oS5XTZp0lDg%ZpUyiJU zNvh8Ci5h6TC|w18+bxPjkDxatT@|Yh)|o4c?C%pVvJsJo(_&EZUlvd^ARKOX3+iPD z9m+jP0f_#Q2a9W25IneaA|kXcDHz>2rMz?D4)4s0hFou+YRYvyDc&l$wBF7m3&&q9>4g-~&8r4U>d~_)*<0lM;n=44nL!UK9yDRwDr#BkM4>UipAjEOU2^G(VBu-ctG)bd(VY8RIgk}h8%@P)A-jYzT6ITjbqcz_hQy2O)Tg4A2#tvb&EO8m1!QoeBF7&_zJ-AKirQ zP~wqnq`)1857mCKKPDiA%`<43mT3ePVy^~KCiS^Mhu62olMD=t$#9*qQL&5DhYs-M z5gy`wwXjA=$}rKf?hE%}fE0M%i$dkYlZXi|@1|1E6^U~M=DrLI;hA94q=IR9;KWBM zz#0Jt+@_u6|4e*g%<62-Hld@o-Wh?mSlW4U(+D7O0w7KVKs6!yK6Jh3matBYa1e-2 zUlo0-0gS^eVz0NX6ct?>UUuI^wfUWpL1$H*UcZIp0GlQBkQ#VfcY2pFx7r7oRQYmb zCT^9jDQLa7P`xjK6R|Ez3%=ojEzkR5*gL7}qYj2xUXaNtQClB!){+s*v-dK$D*YyL z#gvgRWPzAgzm0`Sh*+AO+q1rkj`y5K{Glc++8?Za94#qqG6R%U3Z@1Wd zBhNGbK_7k8xGy;u@C(-Q#gPTvewOH2Z>7c-WP`4&Umeu$HT9fOy*;4{F(-PjmdDVM z&`m{xRq?ZC^BY*O19fD=75Ejr(8_Xd2lebFBAIkgo__{*KOIoek2!w>9{Ud+V(hzpvgfVazQrFTRJn#h>?&;*M1DiACu$)IlKf2BY zYmUr<46ae@KI1WnFd3y*`zL8ixftW$JNtBrW*?@+%&OR^Rk$0 z(&)X0Ab)kO_B`16oqd#;KiKHPs&Nn$Fbk|?*t7SN7&&s-M~YwWYXxD)hdYCj}=0u|pL;u0_PhPMj{(Efp$K~&^yn>TSuIER@jVUkm1 zg~7^Yly1$pB68w_{iUw4n`U}xE{`1`^QALTAOpV3*1Q?m0p2VV#ovvOC`Bly2NA9m zO_`RfIsMgEA-m2G9@<>w7Wzbo@a>3k!*DM{X|ICx7M)^xr^=R;iI@;8bw)p`^|rlVqN2%xFtdCH;;_-Ltksf1MsE5 zzBVz)YkBSwMO{3xzY3yh-=q)`WVu@_$tC8l9O;q(X*5jj8UrS7qQ5+tb;4p*V)~Of z2WW&CodZ;V$niyMFL9oQE*CvE4cLu~8=ERb4Hyt>3DVnudWu+HFxfPQbo#lT*RJ5#; z2$9Oe$qz|OCt6bd!odu>W?E*6(;&7o25s>mN-9JcWKM)}fyCfDLzby2W0Gmcyvmw%J$aF7jl6>vavS387G*!Hys z)#OKPQi^=r1zWQ2{2x$(--U3STT{I6!S&ZH|~tZo=QNg z@cFDR<@GC8p{dZGupc);)1+NiqyRzsnadHC8CSICQ|NW81ha;xs+&R$_vKvF0sx=y za_(uNn)woW!6GI(>uL0^QDQ>gvwg$@SXkL6ut>b;#_@lWn&I*e3CR&%=Lv}|eMC*y zDk9VP`FZV=SmSx5pWzz!pR+c)LcCsoXqjkYSXYM+J2!RdZRxaJMwzCK0S=7?%0wgf zqjUX`L3kQvtkWNgjDvsI_`eGrWnUTF>=KMEOvP^_#2-gr?f1@k<8ijffJr|<%X{w-nK_i+{el|V(v!5r?ahVoUNx8i~2SvBr!VX@ZF!#UW+G@Ls z<7KpA5{iXxy8LJ~Ui#*Oeqc-W4Ef>WOf3Gys%|8=1^@K%yE6qBB$QH#O%Gutfm zk+*{=S*}0(gnd4lmMw}yTMNhh$RS>?hm?oSHuR(t)YjvHm4f-tk5dczj3+=3{oh9X zoRU1|hVOqRTuvwc>8RwR!kSj7s@kkjcUlY3(_;vAsZaE*&Ba=nj=|XXc=LC?Yw5dx zUAkF{*@^=|7 z`ri8CwZ}bd1|Jq!H^U&q>_~7o?;vUHVO>k`3Ds=b70Hg|7?d30IUEmgh3+yF;GqYm zXkLdgAH93?(jezS3c+QyvS%Vd<|wv8qbtb_=A&LrEK?J`H@_D$l0pOFTa`4BzjaRk z;aWYjXWgJ5@2bu*-3Zz$TXv~4$8EWcXuObXVe8legPv=KfXKI=z!UvD+PM@K5%`qb zD8tXuxTuil{THU%YmLY9o^7K=Cgk;Y|9yW!KHR69d+ZfI)F&l>d#sclg+LWgb`fmwYW%UwXdV1$(fKfi+6pzdhBpW*!uG z@{khINNyCrTRsh(J%RGL)Eg&p9Lr#~j7ty{R}O9q#~YVgorX@-@2vdhVAZ%I0`?y8O+~%sSgh&d`qc! z2r6tgVmZ}!(-~0olDkX)i??`?NHtz*^Co77u9)<^SPEM>9m_3Lo;{;(X%|aVd7b%O zIEUOaC<6dgO|&nbNa`yWI3zM&M0?5d%X?+4k@A0G1-j?OXLYgA#haKLJ%)scC6MC) zl;zURS|#GzJDopAuEGg@X&iUL;Hda2Ob#g&u4!`v;%g($e|1hdy8cvKF9n@I3W z_R@k)4gw8g`Jo=Dax01!@5WVBJIO%%Vc58Msq`E1XYD+k?nn=j(Udo)2yV;2QjYtw~F*r+GYFhf24Qfn*B)9^3;4yU9Y|ySlu7nQw(bgwV z1@H_iW+Zz6iKv`E-y-=mz;eMFu}P{uq}up|JeXMuALZ9<)R7Br+|a~s5E2jhD%GHQ z!L1kq$#I4x zh$|X~D<;teAm#8s3x)%*kX8m$&S(ct1V^kU2z;w?>|p5)@{nZ14OswOey1k4R9b;` zJ?xy_Lly2NOXJitkw+*TJTAz(7s`652XK74X}2GY;>J0#&0P|T@zhVG78D-fL)oO4 zf-$+P358k5$Y`GNPSJ#_m2ep-z^~8`Vy8VKSqz@kpX-5PNkQ!x*Y*mxUT@PpK90Ou zym#bVcnTCToje>vV;oYqv!^v~l|4NGNGYiNf?c8^U<^Y2PfWx-U=b;I>wofw-iF~z z_q=MDD>%GzzMWwKN`WQ)V-iI?vvcX^M=G{RTtAha@W5q&F9<3BGR7AxzQ`Axj7;D zo3!Jt(?qszo#=$8(bm-{w5S5ZhNHD|*Ukj#BirMo=TGmon?v5x&bNJ9+gm5xc6ZNe zvNh|j1WiPGG2^fBLmv#9DK9E*EsJ^Dl7HgDYQOaolkti z9gcQ^%1xNPgS~sO@NgH{l-%d`SI+20@>@E+^g+yD7Rnzl!P<#4<-aKj?4aiysKryf zKukgX7llaO?O49IyMc+NY~#OV${3mNoaI9dUWl)h=FzO0hqM>X86rxEXyhrWXySag z>Y1gmCE>3QCgUQy?zo2085rU*nb={Fz0=)x(qlCGN#kIbNX2++daut2Xw34TGgoHE zw+R_$)SBVly)D1A7+l(rr~LgRL-|{sL1mW5_qwA6)k%EVivAN3mPfhuA8#BOWa7tY zx-itu`M>Z8wyXcXDN|8y1^f>;%L8(=H*vXvUOJ|O){>N1*^|CB5-mq8rrM2orkj4L z;ofE^)VSBXNn}3})@=pG^`qFsPLgzwfaW0xzoU$`(X4PK93B={8uX|c?DUkjszpIo zs~|5})V25NSlxcAygPU zVGrZOjN6xt@0!ZA##S*TV7=(3C2sGGt9m1J0IOO$=4=jRuQ?$-pNHYN6~{#~6Tt>X zcJ`=K)5Q64EMC6WvbvM=qqCVIuC5---B4FzY?02X%BfF)%8aPwj1l+NZ{~x{p?G6J z*v_s|Y0batyM@aXlmrl)o%)yeDODE2{IWG>EQ4Vp0Jq>$jzr-FUe6IO! zk|vg$eq=}WPDJcGJ4zrfeVF@@v*vxs7js4;tK@C6fa#Wr3V8o5A&NS{wra!6t(Jy0 zxoa^!NRFToM;;BGwIVw=$b_AVif?^BEzP$6>rZjsGXnwdg!b`$;bhDVfo6$2Tj5J{t#%&=`Tj5BxgYHE;%$It204^cguodq?M!8{ zR(<^`Fk!ZU;Wkyf#KtaU3OZXg2s-5r6ZJ)DA(LpOUv3|oL(+%YMa-}2tptsryZPv{ znrl=8rdyp29+p}jSKfW_@fn^=qZ?-=#5`BlyYTTWBx{paRRge9@LRVfwU~9-T@z*y zuZA0CnT0mN`1!9H)+1WmUTxbD&7a}D%L~Y>v_+s&=>K#r=@q?DZo1z+y<&(DlBUDf zv84>~64>VJnFVljKotHyzn&Q2@g-9aD7O&!O#K7+bmQ^p62Nr4v8Mm~<@G=6?7kVT z>X+oy&ENg|0iaQ^-OkbTvDQXl8+kpvy6n1tG1G`TF4WonQ~1mCJX`=q6ND=SBj4x; zpz5&lVP(%|-ZmOkGz0Vd`MU7**-Ey)55Mm$&h6IWOh*aEHoLKnw z^b7--SZ0@4w#W@n#RdKg&&VYUmd6+So36>F{=sL7$G?CAn4No_^cWY#IUs8iMt+-Mbh`h%~h>tW9oaFwyh%n%#>NLcR_FL3>ZiZ%B0Ef@5$d!DNE0QL} z2`fu4Wx=QE3CIW5` zoF@5v@v6WwrJc<1vw$E9|6&&1^l38lPd#n3sN#KBJrf$Us6Bq&S)Pu&bs=Sb^Rn-o z(^r0O=q{Jk(U1F0Q!wAA=^Ok4brQ4hr$c0Gv&d z)$%f5d?Sh)os7r6StQu?c-pd2&S5oJo1iWF{zFscTVUVv$IYnse1ZOi0P#ANSR66!x_)gZ^66zPak_%-j3Ff&s=7#5gKU zV#t!*aTg9?+fp~Ka=TEF5d%0oqX?8gGb>W$JUm!|(?Bof79*oH2b^!HBt>7!R4*Z7 zO~B&sKUU>CN4x&Xa}ff$+CX?F7MGzxbaJEy*X~XlJ~%~lBN%|n*pIo^69>1i7L2g> zsRRg63y#nt0d1O6$NnCzj!lhG#jI1ht(*~D0T%_PE?vb;8MfG0>xYzj@Wm{hz|eG1rPPVL5s`=LVTiaUTct0>m0q=N}_MxRXat~AN6hY>aOKa z%{1|BO!$#S)k>Ap0atrJk?#y}NFRls65Y~@2E_qEb&*$6fL+;ssmbVFEhB96kW(1p?MB0k`8P7_{>}JiR3iJwTX-{NC{EQg_I@!! z%nj&AofT?D$3zNN9aTuC^xTKrGdt7ReRyh@cdo*K3~p+%T==BEp8)%ASMQq3JDetj zVsU}%EB#NeT3FbJGxWl$Oh-7)XUs$1p)n|k4qrDQHx3EGCFAv&Bh74(aN06+L)-qH?>;;*+GDBy>Me_vHXx1+v%xQuBkcm9VdP>_}~ z7@}3qY1fY~`e={QPZ5P>i}%<L)`Rp);JMjw{)2a z3J5xaS`5#E#M?H}2?-$*-v5vC3{>>L@~rm%MV{5qzk8nY7XRzSP=QV?%YR&lp1AG`5=@Bg4;Pe+6d$C7e3J??sx<#hlEwVL9_QxX9_HH3s!LLB?uz zsOT(cdzSyjIGztWH;orHM@>cJPu9lYi@z4Hb8J{t3j}Al*#FowcjX|7mA;v1ql!uL zEycw{jYCs*Y;ARsTV8gn_Di`Mqg&edyXWVm0=e)JTJlBgnF4~t^ew|d#NVv(UoM_Jr_L-|XOulBBEkV#k8dg? z=HC5Y$MXgo<%2X>O+V-!{I&wrqCF#37;x8F_a2719u~J3-*x$BJZTB5)d<5;Vu)Av-Z{ zcldPsREu24wA>FIr}2Lb5_;()_dIrwDwVa!S6ylt-IgN)d%+!5EVJwQvJ&siBM|un z=OKoLsB*!1yFvG}JJG^;|4>w{zK?c5R?w<;RIUyXJEW!z2XS-a+f>1jP`Zy`UMBlU z$l9Avyj%JTkm&`v#X+t?Qj!a6MWGmMCfth*9iQ0_oif0vP|fmHHi5}nkR=v1jL|?v zGG{{@;Y2iNyWpif8NxJYgGq!#=M4?CbC(XlWeUYvbGdoBu=vdK3)5DyQIC$ltD0m= z1@||xrGi8)$?`73`n8PduQ)8_a=|-fpxpDN8an|ld!80*jU>uYMp2XGoFEKZLJY9D zTQ{rrFv2tHeCFwOV+I1VWH2BwO9cY6i869>ATT3w{uh|-0D;-8^1r~$4hYPG6aEEe z6hL4m;{89s%(U$vU{>=VU`7E1W(uhm*8c)CVOMg;V6LdT*T04WKwx$>3CKN!8L&`qJW;jrvukLq3%Na0(xeffP$mVZ4Up$gNAxhPh z4O;cPK_uyHYUsdDWzFV>XS}sBGM;;BMBdc}t;$FOoPx8(Z|dsMmdc7!sEG2e15K-3Wi~H4)4>sRgmpB1YLbW83DF%7`gUcydvgKQhM^ zA@sPjR-MyjV&0gAn!{aflkPS6N$6BMDvM)H8e&dA_CRP08?%fiNUP3g8}W=xjws4Q zD^=#)rn`w0$gdlQXqlABk2lvjPNE~z zGcs2cBf@{;nk#_~T^M?vYQi~F8dhe&ix&4SVLnEvlbCSmAao3ddvpN*Pa2r$;Gr_B zL_=fqj<5w ze(Biq`}FX9r);qK3uwooD%6MMb8XrmSdS5$=x!O%EpctsdLu!M_YK2BCww_6$U2iU+EXv(a(|SH+e^WE~O{_h5|4BcXxL!?rsGx#fw9M7A?@y9s2#fw)^hxvw!UKkV%G{o6I>Ub3Z4OOrpFa9W1t+ z?!Lg%Mep*%c<-G>f6y1yp5SzdGb9xmuWqd=JJxQ=Q*k_C+88o0Z48z;Aug-Ji-*Xf zXHa_ds@0?HJ?j~qzmkxc@>cQmI&ZWSA~AXp6uN1l*#~kpwiXOqC#JwZv}(K0h_}G* zL{aD@F)r85&OYgSj}SSpQ&8$pzcMv2a!m6;jqvt}XxmW=apaTHQgM z+n%{nCLt@d4qC$)o?Ggi9OvLr0gl4zvfom2P4 zAR`J3FD~ih)!}WUh!XN@p%_EpNaBf&F2Jg>yF+R*c|&N?RmtKNl|qEsOfY=udm2Br z`3ai^Y$dOCz^RvW*31n*wfh?~0GcjEX$m`=&bL58HrgPyp#+iPiAiiPKPX&c1(-x8 z;Hq?Mixge78d6n+asN4FDBnj7tci}~dp4-0T_y}$>4BQ6JTr=%Q(ol#rBzqet|5QP zM7XTiN8#@*vZTsV^r(UMfD%7M$RR~sMqJ}&xyBed)UIMhTmt9}rfP=u$$BVFb3Gfa zpw&1W98zqAM}{SW1o49!E{sP8RH;4%Jg94JfoQ+9cuLcb0cMOQ)bi2nNSN+*)#77_(gB$|DWMI7r8rrCCeqGEZPOytyYmT$KVsrF8e2=l(H zK(uA1bAneor^wfelaSi1d^7cGH>kQ$B&ISdrUIqru{_F(AD69(b0=6_)oI0(!~_Wu zwAjgmOkN&<9$nZptWQ-zfLhge%8W!F(pClp__}E65Zu9i@tDqH@t7vFh3?2IZwcHIjZqf59uSIb=4}5@4op1^W-xSiTWUD{GoI%` z&=|*fYfaXo-cjakqhCH+BO?8>^n=hVxJsmm((hb&kOZ5%>MUXGXI|4+jdsQ!kL_5BuEimqX?$5BJqlBKoawXLt?qQn zA$au=BtZ|kfg<57DOvOks`8%(r?tBq9`4_vggr93?hEa26;>U*nEqmW6n6V zrv$N>0f)avjwGC1lY!Dn3cCdnc*#xvW23L@p%2Q2q8M05Nq)3dK(o?aRei85v$&Xq zLmD2k^h<7H=b#ldvF!M=-Ejp9jr&Bm|OP~D{6iAY;>96^FHv`Al%?E0Lr zMP`@y==$-hZAxw1@{f>1E@uaHn|?wS8zRyL*D~*7{FwGefZGLvR(>PRpE>};krTKdSlWK2OO-&va3*8WpOnXJd=n3=cr?QmINXt1Cw^HDpfjrQsKx z#A>6qdAnzvHK!8Xb^0z`{8Ca&XQi%F26%C5YEfM!zWD2b(;9)lpCGAIyR;*e(x%*p z@9(_Y&Kx}cCoy(SjtY_Vh!_<(9DVBTk!gOH z^pIENSb!qdLa3g&TS|i9WN1L1tMyZq2$#QhDae6Xkdql(FHkxDcF&uZtS zYo}mFWz|&49$k%YeDMr}X6mWc)K|JGGfpelROnCI7U7=}HCDt1y-iB&UtaEr^1Y;_ z=ne=l+aA=TE-V-@m64A!5`NF6NtYlmW7SBV_vouK81v0McUyor--?$vrU{n zZ#WL8)z2EFMRfUn?EmBEcXSbbU5@fZY05J7(=Wir5mkM46~n57TngQt3l0RQ2lYg} zP!SmLv%RqW8?Z2We%F&^d(?VhU|QOVodcqltY_uf37R%(n&id@p16E{<&Ao4m~y22 z`;+!A{2<2%o}e*ujbUl>o~5K-#(eiwcdu!sOy{Nr^`-ZlfAE!zzI_z=CaNkkv{#N2 zVy^wi!Q%O??UI+!^uup#thC`a%>NozVmT>Ehmd;|W;?Y192HefBdg7(98FZGTaMezY+--Q$5Gz?z-?jgYs&fGByxn6e5pk`_}CDa^IexA z=h&B8m$^=Ms;6~;=wD7($F zP}BK?mg^Q<>B6gVDu)SAN`hWwE#DaC^C|4MEvSx-`7i7`yj6SZShQCyc33XtFoqlu zR!AE7;}1WDSS2KrJjgw^%JNiLFy!F57Ru0UuV_Emg6$H<3hrZ%D}=2K?&W@T%IYTg zy5DtH2*>wie@uwuzfAi1K4B!G1k<@xvga(-SET<74l6=^kqw;W{QgaCIweyAA4~Y| z^nP;Mo~viqr?xk86taRr*se2@@$dQLCF60eSq?fC4DU8oA0$2%%!GWm*xpR&DtO?l zu=34y6}@GDct-|b<;Hr?zS1;pAtLJaiR3fWg9;h^_9+!}S}D+Hipc-^Wjfr19^Q9i zJniZsK~R7zE1hC~1ug%aL}++&bjnTeh(L8hH~(`TqmXYi!P5)ld_L|Lg|+KwxR9Wf z9O*%1gf%=cMeSDkd(mI#&1;;CN)vODfd=hSjx94GH zc{}m?P7%`U%dvu=gI2L4ggEQ$`_EVd{>xl5*c@K+C6+Bq^ZO-4LP`#l_F_JgNAq}a$p3L_=0$3$G zirmY(wnklSn82|<2XzVYM=G66zuu!`Z1HGLO!)pmu_z)KqcRKSYDDKx%-Bc%fOTFc zs)hfeb4;+sVmbo^Y$U$>Oz;9d&OI1Z zyjz*Wipr-W?aTRFae%+B8L~AK;`1Ryzb}C!%r)z9tNtYdMTl4dysulHcT2O?SLl3T z(%DX5Qq;JdY}C<7)j3`_;1SwpwfE zntzfWRXY8p?fAOiqd$-?ru-CB-oSmNhF<*m%kRnS$2(&tO>%$F`W+IX049Y(Hgn>l8bg`>;ctQivOv<{oN z-+P0Q)JWr&+9lFujjQXu4x7@VeZPm|1lRHx-}D*CjT;Q+NK)k0kuT1BIy-;NyV|be zf@F0TYH}CZPnbZa{X0KV{4Sezm$JnHwtbAQaF5&8Vd5H`-6h0BLzhs8@e3@#A-Yvr zp+gzat)cq%&Rt9OOYZ!JCcCqtdGwjX^NM!APHj~_vibXwQiwygByz)ZqYV_ z8_j=Dg{Y@##dM~0Z{FPd5NTYX1u8{(Sc+UE*pt%1{9x} zz-OiW2uhAxrh+tS%gU^z-loeTW`!9@ccH9=6DkfS$Ot@fOFcpMzV}^UP9{~?=n!R7 z=}X=dXtm}jShT5*EW=Nh_39G7s27v7>Pf~I?oKAn!Mh^4heHRGbAZ_6F~TMqLy4E6 zlgzh?ui=u+X^G|FU(+xMfC&7t3o{2*T>C2>IZr{X6OO#u=Xo4*Ok0T0doD#p zNVoH^SkcMQj}z)j<4h*&EXQBmPDR%qm^ZGf3sD6ZqO~5koJ36Wwj`2T_Usgkt(od} zR}??b7r)DisLBsBt1hT62s7g)>(Dw-yD>xwO6TR;vqfZ)Pnna+WZE+`^>skxeO-9F z{-nK>t7fIaLmnh=w_1zXUYf#8KRd-en4VhBlWnG`uuP<^*N4+}q!Hiv7LVuTMC{@IQR9`fYyrE<-)}WB03meMtN1 zzZEvwBK3&&<5GXY1~`23#l6ZO?fIMRd6<8?Yzwa2u6WVJ_s&S0HcoggT5m86|Io!qbm10-+dfE&zQ1)7y;q@fvZk4i->mVbOK=lw(Z z9Qw02@}&vELtpBT-hcW_Tn$o+U`QE=|GFjn{H64i<~Ob*Oi3Z<;g`Pl8RC?%nA|D)r@yFxde!`iVqv_`D#Wa#9iD0&SIO7dwrjN59 zm0BaXMec~D;g;N%pWXD-Ai}0)x2kr4gYU6k0A&&DbtwgO)1_u1N@P9i({YL-TOHXf z{(02D{X+?R!{pwTIw6E?d~`hA4z*<8#T`fuD}y_=+y4*aofRq6ho25C?8_MLZ^k{x&VJ6mKW&#dPHC)H}`4_KJS z`$?=2Z}eN$lL9>P5?O%V-NR-S;8ul<8sA7U`*_h`@FKgw>W_2zOU<5n zfvnfaKiemXfm~sG?KeyCm*dt9k&0fB%H>nb{4=XW!N{kA`pk>|uvMjZ**|>({Al;- z3MLyg=j$}rY}o4#Y*NTjk+kF^jOUFgNp;)ci?N{kN7wzIX1I1`4XBAF%q|h5bwXcUU^e z&Q9BujW1)}D`PSei0<@7=bqj#0yMX6?p{h*d-@?GN?0@<#U+{}ldD8!PJHd@|gEPd5MgV|$fb&g?y85mr=T z=TGbjNX)lVxg9T!SY(%95+_v&9gJfN++}H&dMjlO?TkM@NQbzYg7}c(D8{WLPCI5) zC*EVZVxLnS%eR^m)t-8`NE#_*rFgYuQWuOKJTlR)*s!mQ@S=wX#PG&MJ?Sg$&TDXv zGK^%&#Jz)fhMN3RPPDy+`Lwm1gueXo;rau5WWd99^7v=$@lGb9B7O)WaU~>P-bsvZ zj0s))!uQ%d!CpaskAp|m_`#Wp+`QHJT&{WRg_Gmf?b8;V8;4C<7uTJSnDDOj2FM;e z5oE1b!=;zc3l=x;PsAVjq<&HaIGZd5^_JdWhFlvypBcEVqHR6vr`)%7AN?loesDY4 zo-gthjkx@+wv1?u)m!f?dTV%+eByC{^sr;E9|~WC+VjSLPvthOr!TL&6!T(S|3r2% z;Fj)70_g@=hjgRSkTk4#!Gh?+^xu#%XH4d1pY$bDr(o1F9ta6QNGw=+mqhG#-jWHr zZZU{Wws7(WULx`5Iede==XB=dwuWIKc0u12Cy^VkwShs3rKp}pUt9OPj~_%*Pdcy3)3w$YSLJQNc@eAdcY z#VAlSQ7I=2yIv|$Gx5QmQcRHWK2@h<70!M@IxHJ!3G=N28BrGz|6(!-E(p86Zc#G{ zXn#aJJhWjSd2mKQu6F?bY|KZx>$eQI*tTTbQAKkumyL&>K;7sBxw9g=tVg?aDJ3)U zONt+N92jOj{&=%`Nh|v{bYJzJ^(lVud#LtZFV`UIvEETNZn+BU>Z{<{wBD-Yq1mtM z_dYw!@}aLVxsS>&|Gmk;tA62lPdV8JodY70Rw8W2)tEmU&IRo~G10;rNS?WwGJSnf z>qhe5(AMN!L7XnhTwN^5yltvKAMXnl19swVFFSWo{><^aqx8WlVteSehc#x?x!{IY zBPA{*d|ijmC#RB3IQnU^q>>CzS(I?6iWPpbaO-0XB4gRvv?Z78{SJqB!w25M+aBGX z9MF>^b}3PrffIYpferilflbafU1sjeb&ERNMyO5{6BBLQFHkgZ*4M>c6NN@^sl&yI zjvAc;{-vvJYX5YFewvTY2_hS1JH5hB-!cOp?oT+4ieq*paGr=G0%9JJgiqXPp8UTV ze*cWp!OGnYTf~8hqw1JErRo@O=(c&(nUBD~ky?f+Z5L)4U;N=~PJpRf;f_T0J7+P| zH$VdC%%$h<>}c(c;h%M72O{~mg!Udh5*;!cp^*>EWQ#uC z(v8r9ObaZRor^xdQ`9P`1roMHtM_)Izj?}4t!WG_up075YQn07JvBGdnQ0AXDuXLi zWcMn*Z)*Hx|HUN47|{M}sA11$Z|!@Fx2fr6-TWC*x)Bf!-R#?F_VsNV-n9HG_w(=S z5Q(wE?beRy2wz9Y z!BQkfNvrcN@vSKEF+SQ#aR9@Y_Nq$6kYlLv~M4X{Z9@cb_Wfspmt`#_fl*l(WD9yin{y8^kLITa|m#Qmt^B(uE_VMvkl#k9R>solVO&*!4LUr}> z7z0ba`7zb#nZC_OmtVAk+TJ3zZ^_!|9(NPGj#}K#*1vYsD{onAKCDkzw>RHBp4u$q zw%FBVscu*P0(rMJf_}f4-uHooU5SscwXZQH6hki*H}+<3TbDmXP&s4~_5U%9MbMy5 zhgL8)UNQb7+WGyM98Efi9I^gu(Nz&l{r+?_)p>N|OhU7Bxq#JG$$T>m%Z)6VR8-^V zjJ{jPQF+t&ABC-?+NhZ6RW;SprkhiZN(uJ$2$2KprFlgk}qGx&rRSBX-3&#)J| zt?V@QadpVDylC2;w-hYsHB(9Jo%(vG1z8i)dLj+Cd1?L}YuyObw_1l&OkXLPmNf(T zI3r>jl~lRslsK7(G(-LUj2w%QuDJvn;(xvE`H2 zz1678;#5dO8JU-g8HPd_n$=?bPclO&>6>}bqf1-sCJmT{s+tS5$V96|M2z>!hnAA6 zL;{K_!d~kp6FF5eIIjt3O0hRQJ>$ViKdox#w%aNBqSGy^9A@Ryy}#h7*fpj9jC81I zedu6)7-M}{V|_Ty;n9TP;BV>U6I?;W2V|6Yo#(YTL3+}x|XopUc$1Q zSm_Px%Bk9@gLrj@5FMpv-H~_^+zR^(B~ERUn;P|K_yPfrKnl0FniQ?!wb=?kJo@=a zs1sX`l&zj5dVjxW&SX);L&LYBcp52MYl^59UM7m_EGDfTS&Dq574dr!(v+EDt`z)_ z@t|P|AzJwufe}(2cyFW2lC$l+#F=)Pzq|G$+;?{^GwbXByF@P@R$x!nrQ~c2Rsf z^(|TQ9Yxd+%gP)}ih2}}WZ-jkW9lu;vEixFWmGZ_q3Z92Lmd9xe#JermU`tC;i~Q( z1n4y^c51_KyEl}=t$eB#iv?$1Kk6>^>&SXFuj272qxnk7@+=VtlWBzvx%+;EU!Ia` zoY;v39>LMJ6p?D2=m{Y{?X*FD_$PlxdQ2m5j!lNfjq7APk(fzoMb>`Ahn^xEVwBKN5UVKHR^pAbl zoH%e+@78#f9n8IkUENi#Hp?AmUr(>)mS@OctN&h|_O|N1x^>$AgMh!HQ7QqRRWkAU z8$2sq{y8V$T1VBOkD(?y=`?XtE#6kljYwz73Dq;ANV1G|(zPIr#+EUd$V73>aJ0v> zNoNw2$7)(-5_}-puOEkY^GU%1vTLx}DnF(v=@)V zp4CD*&VCqOU|2ZZuD{oZ?cUrkp1IEH6=azbs$z3%z_z~37@85*%D zlkUdGD78we1P4{8HC?Hj?gk6bs=j|6*BPeXtrT(Y z5BAgI@72qhyir@^nZwe2LNr)sPrG2xBkADN{MUN}|7s=cV>6P8k2!%gckAufJ9X41 zpfVkA`dV)5G~O`%LuGLtZ_UK4xW_&~%CPm$@F9?qr1>3imcsflCY?n&a~z7T)7?he z5}M}Zx1elZW@wa}6>wb&v_+ul!)Y%nXad=qL5_aJ`U<)Ro@iO%TJrJymg=gA^* z-J5~-)s{^IZ=c*Gr$hygB8JiP8&BusWQUzVWCmHc`m%T~5=W0so$(v4)ooysN-79YW7u}NIl8~&P`ni0&iNw8xv=lz7toU9yEMyLxT5f- zEs;Ggm$m=ItoTauo8k060s1Zh?dxO4OS+61vTJevkC_lu|xVUM>vBdrHZBe_k#b+<59-L^S3 z^RQQ>?w?K4bRBWwk+lK46D@0m!YFFEU)P={U(dOHJ=S?=zH#3e+0wClLJ0V_f$r@I z?${8%`^P?Gxl*5Cel!zFe1}mKzDFJpWvPI|Mk?!Hutdh9931DSVpIc@p$-h z_~>_1TuUhDZr5eT;vVqg^|9N?$XLeOHH__J1BSI;SYvf1#+pjZl43BSw||dFzsVJu zNxsiIp#T^>n9g;@bbtLket5cn>J#AWFM%%FDa#< zPyx_xJ9D{tFq`G`y>${s51frEdK9%Sng~P!bR!;kAr3)<9*QC7Q*di=B@Q8@*)h(k z6W!!@cQ1R_zamjg44PH0_kV~OLnE5#V3>Umh6urf!T&@)unWuoMaT8`#oqB+!ujvJ zV|d$66cW%)PdVM`Oj?3@ZmxDFnfcH9|8!ot)2!{VJgim3>T5rvzxXNpgNs6f zRGQumZ0Vx7x9W1(txD$zT1;+E%gJVpJU=9496yjb$3$&wtW~&qKVDo|oCY;MB6WVQ zn|@cun&PMg+y6<}l2OIrJ*Jg1La$t_L7`P0PkDH$(g%CFV8s{leRH~RcMJj_7OP_B za%iBRJJ;qPo^Osy_N`;>ILBh6rFckcwgszfs?W-n%UM1tue+8${kXr|KP_-!S~24b zuR&W15l{^}%Iw9T8-JJmwQgO=3U)r@;l}Vx{ddIx28Adr936p60bl9HTS%AV31^Z= zW!0T3_z!MKW2*XBB_-pNNxna9WJUO|7n9KAiTQpCu#kz*k%_X9_2;_H`C|~Y2Df<7 z?$rBLD3@F;YF!ANEVc(eT=gH*F8E{o>hY{8|sc4dwD)pFnC-2SbV2 zl?0+Xpy%3ODaCrF9630?BWTMYG}{VR6{40IonC7YSdvP$UzCOowKijYe*?BXVwwXX z^kVPj`qvNi-M0C%2>P^2c<6o8ZfMi+`vQ`S2~Y8l{UX927X~O$I5?P$Mkm@qlTYsnyC71E$741-Wx z;t#T)zub>N_1hePfA(?G-K8SfluhV-()5HFd&W6m!`w9?K!ShE-}C20bp2yZ32WJg z@3Gl&{hAO(funK54KIRlfBzpZ___u$=YFF()rNs2D?B;VPrj4I$JHFjw#2(o`Dq3KVU$m$K%ThE*3q$l0j~h&v z*Qg648(O{Obd!GK+vX8GKYj4_D^8QW5XSxGIJq-FJ-%`Hc!y^jN@#vLJka6d^=){7 z+vVqOHWt?}hkDx>`OS&}1wC;mb023`MdY4fk#=03fO@5sg^le3(e3R)F4vWpr`wkw zzdjZW5NnTS@>m2`RYpUOkubpFJDaWt_s^2)FFQin-c*n`GW_cN7*MA@*#6^q?P?yq zianUCAV1*KN7*mOG1sj9MKD{!Q8-Y;ovWcmO-rrZ&#d2fr>{gaf-V~xCKPE{Z(CD` z&!PQVKfQ^yTIVQ+ell@`?Cu|2BfRyZ7+$oPe%}7Lz}T95SweHbDJsfNKc1 z+qEQ8*yd`V$TwW)232lfDEh4;MqhwD+eH@xXq1aE;g@-5MP}Hu3()OowLLMSPw7t1 zZbfsNX1IeJH26KPKfw{^z^feKE-w5JEU2WQc|B|GwdtDWk@i%#1BVe?GLY171Xj8n7gQ zpq++b(}xS3Mn#-9xQrh{S>d z^msp)gAyvwblw6R*-NGZ5y9!YdEWMm!&wez%O5{(=L=LD5>(CBTY?IY?a5DW2oZdy z;erF&g9;z&ducMEv_WTPZt&1=!lDNs!PO^KH{>pFy7&TbrXXBA2_Vp}yDtw6Eg4Wf<*tJK3GEx=~tf5EHb23c? zwxKUwMn?(rz^t{iZwIO-sFv^BL*12v`b(Ac$T%n*IzX8 zg?ThQ7B95C);fM{OCi5Unbv$@Wjz(&z!C}Y5BP1Z`RQm&)_`bb>)rak37j{pU0*R7 zbIm2Vq!!;+w4D9L!ou;Co) zshfq|r3`T<`fNyPVktVe$gJs616sUnUKaZYgT*LXJMR>D-yt7*z~=W|%cnP~l{4{Q@yMFlh|NLiGyeiPk}#Rc}=kh%VQxwI3F^_3Gn;>-+Tq zxKTH*7T&Uemxt$_uS88nb}4dh9{Gi>IbA;XeN?^KJ#caEpxj)y(j_p@dIpU`D0VQw z>Ah&Pi%AwaetE~Yv5Qy2vMajv)UmrRy#CZ7=0J5$bPRV#=U~SYhku&ud8f8z(!{mw z#%>hE0cYquNNYSgk3sX9@Z^s{6u$ZR^}fvig;=u5ZRJ0_c*t`V=tGw&;?V+O>6-`gMWeZ5yd z-JS|< z9i#|)9i&f4vxb=QhL|etU5o0@?T;ml-#L5&RHxI`&}1m7Rdu|?u8Muq+>nW51|m?2 zJJEtv+fDFse)*&DmUsyhR53M$P`EUWkkbJ1@=5yVp$JY>ea@N` zL*goNpt|i6+#zo~F_URB@i(~xydFYSQZ}Cfoue)6K?YyA0U#_~6F{1!2}AGxWLgnu zSnTS1W3Pyy5e-p_4QY1T|LI_tvhSUXbQnhCs&?YKCh9lgR9Qcm+G;!)KUyt}HJB2I z;6?61gmDAMM`W87X0WWHj83bza?IGx}3)i!D9-)dOF@AzxJ8Yz(WQ& znGT!~68Ry8k{ws1-QLH-fbEP0nVJ{}dO5Ivrm0qR9~@f6Y;p8;jW13rTbiF;VN7WyVrC6;p;m95)WhX=zGppdqOh?4}>L4YIO=^mcl~~@R zQLroXw!k7HrX?rSh1pot@o()iR$F|1QuAP5j;I`Ojs7gl5XIqI&aRGC*V^<9VmJWh z9)XA*%C#^Frt_7gIO_a(n7zu|E2#~nmv1?!qLXdiGLN#n)l;Q-{gxG$w3QSJZ_EUS z__sz&X}W0_%CrP17#A6c$Wp3GQ>AjoZ>3vKYiyQv=gS-BTJ;o)cbsI%vxpVHsPzjO zlaou>tIXL;)XjZVhwrc*rBxNxx%gD|Pz zd(lPlkfTzhNgc<8$0`&%+RFI8ncYcUs{V>48;ya_c9jcsiMwShRH&6K5el}g{ZdnKa=>mRm# z@$Pd?#nd5p&60Gw-zRf&%<63kmv2|GtOoroDq=0aplqF1(^O|IGdCM>Fj~;HENji#)bx|n7jJXEY2_5l1!W6oW>KOF=|c8ei6JMlvU)py{-nmu#wkOCOVl#g zQ7Rh~$MKPu!B1na1ZlckVeM`oZxYwZqjhCdiR)y_ka=+>msX^B47|Q*Mynl?<%MeP znjV!HI&vEn#Ut^|k*BE{1;-geFoQyCYIC)U=m@J$&oNnemOP^%S00?Mt~INi5fNi^ zEf6_THw7%xV;dD`;h{cO;c(v;YDIs%D8p{O;M`1@Q=KTEl_r&Trrh}tT7mwwG^uifLU}UOVNR&x zymNb0bW7KoB-Y9(smMp60#VcaK9i8xI&zcn;Mh2lmm~T2*WKOoPCpp_`L)2K+-GtQTUeWOrQq1v z;0_2Bj`lCti4r(4yyvh4M3ESlASg7BcB=Xp@iCb<*Xyfy0v|)v5}WF5`;cJuc_zRx zD1OKh<02?V&=KhM^y0()aIacv;|hsIHStv_yyBi0AA=M77?A1w^;W#o@7Joi2g0ki zLIxJoANVK0SpU6uE(lMMBV&yRNt9g{{IfgA+(`VpD}z471Yk|*U=h{{@KnfvG7LeE zC}1WYv_W&d#HJ#~5a82y10-d!fU4mBaUw}p(@=`Nor3@iPkUEyTxg zEkWXA>E8i;W#Uh-d%_WHJlQ5T*|AN4g@`-j|C-VM1Hho5X zZ1t<{^oE@frh;u$djvt&g7l0*F*AuJr~;@M%Q#G#43L81El}IL6CWVSOtAF}(75d4 zD4=EOLciq#*)f^mvv-^XK#zFi!J!ej_omevo0^tIvCJwyQtz(supXOPAoH{bdySHS zK&ja`N{vlc=Rd>U>UM1=YYQPszAx7z@I;1CubpO{ z9uA0fM9u*fH#rCpt~G?a2VkM)iEor<>5gEM2di3!+bUW>g=Z+NHyL5(8?r8;QfWI;c0|Ix-8v8hfywr+;xIUF4*N@uIKy*ryo6=;1*u5W8B8k-2B z0($LyfaXFYU>Kf74F-3PaOlAj<-`}mnE_?Nd@0nikn94)`^Vz}+Bj!juWn-#VpO%i zyJ%B79r6*8pcVD9^@)luv-)hVQ_@N@U_k?7M{mKnw-SiVi}!3)Z@MG(6L+PoYENln;U0^iYh^0fA^ra!ebW4(Yl9E!QEWIzKIg!Oex;U{W~ta_JRT#yFe`hd;MmYu8D zbOhSb(Asy+rV05T5z%S75aL1u-JtMHK5yc~qYAmZ;tna>}N zzESV;_+L8WeC;j>@)$xg&&!hlFNjmr_lS|{;*NMIp6pKrck;ZRSc;K->xG5SkqQZ! zy-)}asoNX~o7ElIHR~Djr6dsWP=jC zEok1Bl}$*YdiXjY@8=3U-XSCAclqvNBodr^ydnA@&st+cP&=#cZL2?!+}aw7xin&C zo%-dvH*P6vl~?5B!S&FwT1fwBg_o)Gcgc zVh|4WC7l%)@MVNEJ!o{6lf*hpj9Fh~Y+}&hV7Swc6S>+Q7BPqr`L!tkhfsKUqVW;E zxjs%l@TzP`h;qo_;L+ZI2h6L{Jvgt*5p>o$A%GB=K92watW^y$Zd0}D9XEHEr)DUT zr~yM>h5(`X=a2>-?*~o@BSUClTYd0MzP;I1k0O9I!1I-CFw02Z5rrd28xkdwGC9Q#L2h@QFb(#iq{LCN7QgQFG~gR6s*#Y5Jr+ zI9Og%390AO$R5N~xdO&;gn`dVwk6751Tr2fIb7iogCvUW$+lE$X{Lf7$5aR=TM4?C zwH38ED-12$EP?w5SJrS_Wj{(yLa|kNW+Ig3-71#j>8_dJYsDuFpeH~IO@5#@T*%@P;D+hpA-B-L4{I7WZD`~oQV$-0*KX{$^2d~Qi!fP$sUv2$^ zSAzd7UdjJ^ye9sGSAxHIC4a@M_&<2%;Bg0L)%**uz?c7p*WvDe@S4~Jn6EqhFJ1x1 z7U;hGb%L=-0e$_&EBPy4IRLy8{Ke~QtN8zd*NK0}D+hpA0sybE|AE)Py8DY)yptSc z`B~%NfOM~Ty#^W^fLC^DptJFcSDfc?G{%Ylz^mmycuo8lUV-NFiq}l)2>`EHdawk4 z@d}jn7q7#en3n&-E8z6M;x+OAjMs_(4PIj}fP3p-c#Q?{I`I!)WB=kc@gKa#{>AIf z1XxbZ7({E)BlPh(0QJ?iB|zfg`S2tQ_3btRM(FwZkoeW3(ZOiw42g@=aLY&JX*aV_ zq&c{$==x?gI3^Qm<4}+?c1Hntkr455cI;R@XP?al!`4s7g5yy8Xu-h##0M@e@(r7I zQm?L5j_$KDT$S0Y0~`xOloP{;1Vcn5o7u06z*#Gyr>zy~P$6yi9rpceUPBq6O6dQ~ z$nOc~ba1B=qQA91#v0t~3ChWGZ-<#hifv<4moea*ruB3J1E}~Qkgk^nwPXbgl2f$oW30|LXHz;&Q)@?RUu1}raoo&{~?2LI4peLgvP?|NYJYduLd-p~CYgbE(5FMe7! z@x~9`cCHJZGDOFveGYJ1n7oh&%q(hNM<2yq+Dsaof)D@)YS+v{@aq{F2*mYoV|%bf z8_`ESYKc~Wvor8NkFougRFM-5-tDb`c`~^H^bK(f>;%W4LKZqb?o473@mE_2fFo59 z62}brI%-s7OaL(*N?=yOPYuC?%n8xm?FRU!mLD)1i}V>H8;J17a9~&~l3@ddz+eGj zI0ta1gb+3X13Yp9*4xJ*4u5rO0qlVp;5OB#09Dyxd~SjxHCiY#o+Jl`Gw5F3T-2@M zEwREg8Zej(@Du+!--zfyCnc&W*WU*4Cldgd7cL}jb~xx9;k8wqTW>34zPh@vfw=(l z6`c_A^BQ$Ggn8$JMeU&gFU1rvA<|!_713C}GQ#O+=HCbg2cpQwevVtQg2?;EEvtIbQo2asPUR40O?eR+6;Iz!^w%I~!Me)cgWS z_ugHE*%y$tN-jE!5)59Y8`C+8_aBY`imGwaF*Kfydka{dwku_Y9Sn|@>fh!9IxHzz zdCbE~SRjzmJAzuE?;@)X-DqZE0p+Mx(^Rwxbj@fMdALe|jMG`G+kgWdDzzfgU7)9! z3VRcm$B}Hu>0VKjUTLk;F=78d*n8`sID+=y7bkc~kl+M&f;$9vcXxLW4k5U^ySux) zyF0-N?ksvH`BmLh_tdQ`bxzg&Z>>ucL(h>nLx!qWqDtIpa9yBdc!>lI|J>iz00R zXzgCYmQwyBos_(C(eJ7v>AY2-L`t?YbkYczH7HF zP0GP1-Y|=B)W4iv;PnMzfdCc4OAELtX>+2e5q4I=fSqV?uj&WjyL>`IW^JN|LvD0{ z#AMY4_xC@8zX&lpniuYQy)QM=BLMILA92X8r)SWQOVs;h*w+0?hNn7B@tgOkI{_Y# zOyqhPAJ_FrOw`|A&F-Ehd(a1mOxyQyjt73DY52*-lyAta+rg`OC9BTUdDh@PJtBIQ zklz=a%x&u0#p^8mb(S4gAlpWAP1JingISIV!YhB&Dh3WpC}39&$IiYS+57~Qt@N;| z=F-Uvl0Z*SV=f2=&1ePS;cu6;xcJZC?2vGIn+u_k-U0CM=~18<9UewF4Z50>K90-&;5kY_LNG>Hpj^g5vVAOe2f!@iUl;?ddj0()4FC3~0fc^%2asV8 zQ0sQvoc{uz))ZGosK1m8EZ@gWp?sC^5q)>-lup`34xeO-X}^k5LIBX~Tkw7z>mT+Q z+JlZR(5<1$Zz9W5{UC>bg<4&z^YJo8j$i8^?k+(Q<61()t{L)Z9vE~x0P&SCa2|Sg z)yjG98BLf3?JxhzPKBgt6mfgg(-EblC6|wV`3G)>>=+hsu2iu*c|fyd39U(JQQxZ8 z13s!WZ9zrVad+gWe}wqCkuy-}#4}ZF(mc=; z^A=XYtUvvw#p{tK%z!sK6gE7-BeQ<}zY)`6VKu|@Xl;wGgeY=ISMg1Z1TYyaLmHjz zsx$r`*a@B03pk6Cbl_-VIVbvd)eS~YH$XUnD~t)m4d{N?(mvR*nw!`abS^KzRK+(> zws!{`0JVVdkG_4gcp^85@U?(KVt0BGMFTe`!`?0MIe~3jn;3 z>7NRf)elj(zUk}(XbX&1WBkiW6VhWIlF>CBfTZi@4q%i!;OMbOOZ|lAiptz|>;dcz zmcDlse%fXkUj6{+qc5*mFw4Wj5LQ3vz?Y)O%fx)|AU^#+MXkE(|DslEz{|3J2X>6U z>z;Q2;35RbctF$|UZFMcH)Ai;)(!Ycg9GTmFr5K? zh6FH`etob@@!7w1{r_sJ8mWN3Tg5{<{g8SH&~r8)-#DB76QLHb_enM9KZTrs+idI^ z*T@R)?}!Ywvr#ZLvT-o3iLc<*>dD~K3mN|5(!_OPzDN@a{GJ=#-zo`za3s)x?Ex?f z&Yy*8WVO$~O$O9R)?b_r+WrP`>~um8P`m%e76AY3pB>3^x&0IQL;_}}z3KZu)IP#K zhk;P0=N~Mr`3IPUhLS&k@{$VQKjrcdxAp>rcxFJS#7EW={nseiZfrvT_7(qK%s}B_ z)uWl zjHL8_=CxlVmnPZvG(JWuEr(0HL5Rh%RaiyxfY8v=zJCAgf9%2nTDT%`;E>ARLKH+s z)`U1f_GNJ50Zu3}+{POqYM|2w2=<8NI*+G_4T<@kYf{3`u3yfcS(g8aOUuj4$AGv5 zsJ;KhrGISkS6pKKD=q;bi_fKH_78%vuC8|-1^{|xG628;#ZDqQ(KtiYv+qX&;KaXe zD~m`~aol_{!P09_fxz3Rkt{s6Q?;Ae%_$2Sn1 z)gcB9CsVSUh2)0nlU|1})PE%3e+B*@$@hOl$(Qo~jpX}Ijm%2kz{M5NT>e1F8Cg5k zQ>a+>p#G$CX`%d29hRA>OOu2K2>LSTe-EKI_5VkqS25y0LhpZsUhUJ{|FuHz<$r|U z|7oFDmgV|CLhrwR)c***|Eq-F$Nvbu|IJ64S`<_qK>!Ot~^=0T+e(9a4|P)jpVY znI=+1Rdb^#K+pHiyB0Skv{U;TK6F?G+_E8{1QioQqCya~6TfnF&1$TJ9{&Y3XWbmL z<0vh1#~bKfdin7bIETBhC4vUUwM(vhut2GL6Jvqnjlfkwxz#&mQf#0%v>y*q+3*^A zM2N@Akpv1S!;FMz`~{;eaCVZNzIDsc)_fBeG6yF{k%ilG*RRgIy|k%+MT@becLf&~ zrN(V|)sGC1eud%<(!xVZkWjE4O_zh_Y?qgX`07Ye#t-W4b0;eS*Cj|4)!F2&JWYek zFbLG<0Z|1H1|lCU__o|gp>Vl;oK40g1xm0q_YO1j7PG9>7j4f6V8r5YW1c<=br(C>T?zr)+Bm_#1mVA~R@ z`qP(}F|!?H!WnQN7`>WM<-bdD8xeqvuRQ(IzJt+uL-|YQ_9t+rdzq>7$;*IXUBH&%9 zuv}#wUMs!X%mj?VsT;4`F4>;n+R)OrDPSniaUX6O^INkdz^vxH#73 zjtchvLln$qPzj7xH`*6360Z%B#xlk=6V#GZ{Mx%>@&f2T1VOP-n){uSQUI-G=bil zE6Ofa=JS-Sr1K)PXzLDKp{v$!M6gOegTP@;^KRE4zANr!@{ zO5|?$i=Jmy*Y97_Gb5K&XTmzcFKX9E5D67$J1p` zbZ&YQL?li9dRLMbAvh9f=pR?2<;<>+aI}_M7>4*gNjEE=u7jd%!5X??0j!JvV>(T} zK>cgp!R(%DnY2oiq{&9yewk>eH+Uo4&jHLdXbd_c%%@{*n7|1wo_&(8#`klS}|G6O+F1~ za@6SCHk`>#f+#Or2??jM)7vaX@n_Z*R#@vxa0Pwsxxj8%!~?leD`e$~12o~c4+T$u zYT+s~T=z?AnP%WuQp^HQrEYtVlG=-Cl(K3ql!i;?zj~>Nqi1jslgcm|^%$X)hE@-% z&m0QEl^#hiiJZrg@}ml=?OrE5iQ#8lqdwMz=eXxNWFK9fl#ytD{g5fi1{CS`0lJjzG&O|FEZ5n>!N6nv3ylS1(v(am%&#;EHeHZym6$%+F({^z8l?#@)|XHN&<0 zyF?o2;3Rqel)%7uLg-W{U+11$(2&N|lAN1#ln7>-5$Lt+jfOhyZ+xm4pe4av^*`%Z z>Bb3Zli&zAA>bHJ>udDhPg;>uGL5>S&`T2qU*m>fE>U-b-Q;gSeSQYLA+uZea0Tb_ zA6g}NU{Gdl`40QMLP_%$funMk)Vj&_Etaj?$iLoo5Ta+R+m|78D}Q+qpCVN1)+EPj z7v%|yIb<1&@<6+jRk)kHc7wT@LVPl9c~SI!8~Mfw88SAj_=G|b*`VV=+%(}oo8cuIWNMaY+EXK}a5FyMM|8PD3!BW`l^qv2z}cGjra zdx<@#S#(Ca=yE5AHKiHkDh<)yaBrA@Gt1I_fM_wV&6J05k^K5#P~Y~8KtGNZm^Q8h zQ3u=nwEB7l@m+L9hOzm}kpSl*8LO1s_7+srmEC*95=u=93 z?FER>*#JDWM)AFFlA1l0>qs+#F7mcF@^6L0=yoc2lz5nEtus(5c%z!D6Y)3_3!i)hK$D- z3!{+BvKZ3dgA(|fA|UNSD~Mp@CCcValkRgv7rU^r-*cV9M@nn( zJq6&d5!KXi2mbWPX?i0{opHZDg4W=M<+$rC8-~Zv7m1kK^unxQY7JAtj$Nh1*ol7o z{t~<3B5Z?Zbj|?dp3ZG*nP7a3{75E|z+AqB67QNf`k`4N3K_gLM%3}+K!gR0iK(AZOo$-c z9WpYO-~yy4jVOG6H83)x$K8DL19j`|H+BW!{kHa2MIpP(GPr9cz`Xjk zmhXuKxFFOrtRWY|Zg)4has2H+mM9@;V4S1ujh}~Hc9v!02xfAAu{ZWb6WCh~K`ho_ z`brZbRI9xHd;P=z(X57CobE7&Tw05jkE*!J4P}vEgD!;Sy{Y)^tzd`c+QEm%1DA-H za16u7t+HQ(4Y{PU8#Q;ABY#K~;10RO3l#tdYyRGlpE2ZezfSfw7Wk^txpTl8_`ZQG zyzgs6E-=`aoYWzWlp%R|*KC;Cc{RKl~s(v;9GsM;a}! z;3&h8$XSQJTaRV99$r&1)Q)agl7qvLxLAYkZ;iA4g?O#s!2mIhf%S~Rdd=yEw&cD_ zQ51LAhPwAm}*^+=`Q^%`hD}{HiIdDw+`pG ztOmq+E>+SCR7)kRb<*3;D4N#fZ5s2^8}q84$2ur(T;k2S;GdZn!+J5AJ1Dp;+_5#S z238h+KIGTsv5;idW0{JcNWIxJO-5>PpP3yKuh=31dmSw?GPYU^V1e?8h3 zlmEvD3%T1qjw|TT&F}f3^hNmg5eqMU)ySN~y=m1g;j3O5Za)Pq+%{5`d_yb#NP`ti;N$WG* zf-31d+0mRr!FkMyDyk93?AqwIWxbq(@m}w4DxD5|RhZfwC@J-vOJmNWua{<8$Y7=S zORAr)CSI(jUeuQ@uU`i?&nnf}+6-vj$~~NI%KI|KfJd7S+gS+nMTpb-=i_?v*@;ZC zCJ&-B>RqopW7Q4GFdi<0GmFpW;1;6gbD3)9`et}>WQCv5S?+EqO;U5tgA>WJJ#z6} zgeAwmdgN}Lhq=_zuiC5DGe>NGw$5^!Gjf-$&uBfX(_DQ}qZT7yX2`(08Tlgb6vKI0 z#9XIEVj|ju(3B>mRMoR=c*J90|-E6ZK@~M$59BWA5pi+Zy9VCeoSq+DER^ z#Y*cK9%W0>&zlV!b$M_XE8eRO5e<19rz^lEvdrPUk!J6*?6#_ZsI3{tb=q!P#_T-* z_bHADU)J4fms2O3l2B`5{mHSASgI)%w1cg5ijg%NVSVJju-^4Z%m5C0`cdS$j8%PH z@==#Sg{o%s01hkq(Zz4TyYhezn)PRfx2Lr1ma_{PekXmitp@}ay|1zjF z7WSEh<5}Cak&)^)qZ_x?l3sNDGg}>~0Vu5*6mu?KQjs3S64z^*@{P{oI>08jq^*#+ z;B^JXT8hl)Ux0y*9#jTirS1drr5B`E$ra+|7DT(F&e93-!EuVNhgGLQWEh_dXU|P{ zd~nKa#nhks=v3H-=_eELKoo6xCOmxJg5%#V&jtf(w?Vv4+xW8Q(Z(?B<7&8WHQ2ln zUSnSKvck_cSU7NP3cK+o`>n=n8W=bZVFt+v;gv8Q);)RSKPa^}kAwR3YP?Ci89L(w zXD$0cG>!Zy0(E}{6&(FAFw=NIYHPXU=SnT-;}tkyPmV_u$#raI|8j?gF_hs{&3>Nm zKd_Ql$3k5}(u+IJeVm$xa*E7C4vtf4NcK-IP}i9)=mP za2pmH4}(gHoORu5uSV;#TfMkF@Z0e#{bVG?II9kt2@XAcnVSxp$||s!wo{ZJxXG)% z-sOG^+7lL!FlRz`WVK1hgE8Ul4eNak#t8xuvrR@~kG_`Un93q;=$mZ%G~2r*r#zV2 z;2xN6uydV`clliITnRN&Ak? z8wi5}+n84N49bgbr^0ssxfEvtf@7)nC|M`64q)#MS;q9q%X5sEIOF)?%F|?XXpT)x z+mrb97Eb|1R+q^63h6n&DyH>O1XM^L`_~jz0VeQuHn7iKk*xRFAB~`JO5HQL^X*Fi zDSaU5IFEv$Fe=yc2eIL;d_2mWs621GeA%pPs5r!r5m!)u$HO)iqDu_XO!XEcXms#y7eqg zc$R;yax?MbM5S60PmPP$lL$@$mw|Mf!I{S{-C9w>ue09Hwa>>cviWH|F-LPtw$^Ls zjv=?ZH~R=)2Nrut!o0I4B=Wty-d#RkUhX4oF-u6_1+Jx&p_|7Z26?s4eLP!AmWB(r z51*rSk9>%(QoPKhLLey`jH%y#xVyQJYl-8t-*wl(BJ0{P-9N@&H^O*pbs<7BoRm7p7F7b4f;5OW|AL-g z)~SqgX6un~46)-vVR#7b^})lXu{pjur0IM0$os4Y4g1^ZVnM2> zPBC7BP47-#-j;u3jb>te zk#KL~TXg(iGk_!8?M2ZzX8cjg<>O9SwTm`{qNYk7AdFyR!LSQx_%a#6 zll?h}33}blTSL2BGr>4uJhSmB?tY84vJGn-&*M%21bGFn6+%Dk8Ffo8kTKa~Fq5qv66EyX*Uh)lSt2Bd%hvi?^!= ztH}4?47Hv^nZGN!zMmsC1tG(TbZjs<*qWX26V}MOLxdV{I(EhVG^a7(%tsT#`@*w5 z{NCTg6c1>oY6MPX`{V2g(vX5e}JQBhq^Rg zobxt9Y-X$XtQsEQG1Gcp&MGoJtz~Q$0mH}lmVxR-FU@^Dps;LkoxKWP$oZ4kr~Qz{ z6GO)G;UxR|WNr@h^m^^c0QNCbTutZd4s?P;s?7Z2@=AMwBqw%CT`x9eYqjtWqP?AaHom|7^}Jd( zE33@(ji$v_$>q@0jRF#`-H~4qKD%)>#ytdG<~E1XR&;H4eDes=FSY zbzde?g$~ky%^I%Os>o+8cU4#jfhN|}k2|c|-#;aA zGb#@oyYyS@5%*hvA?Xj2z|kCF=C0{Qg@Yxr+RdP1{**Shh=R_P`X)ZA`A)L0FeThP zf57&H+W?<+ET+49s%w(=H!)A9!qo46_O8V*xe@hW5c*A)!MMdydZx!fuJRrVFtfz9 zG8GCddMf6c`o9&_0|yZ0J|G<&915(D^xlsru{)@%j9YSCizlbn-d^6`on7C$dA|v_ zeuqZpu{-q}WKHUug+^E-)7RK&PA(=6cu7sJ{nF$Btsy_|X+m+WvI!N%DqG36|bj$8Bz`+=as_UL5 zcxlo{UpMLy?wcQk`u&!?lB3t1JwEvqA|jcup%5vJhCUsu{C%t#Vl`V6+?uM~mleyn z+ra4M8mc>q9ITTY{Bj@8mqWI@hSrvz)l2#$WGsJXblJotA;;8|FwOTA%m@;g_Tox) zIhv_iDqMDo(JpM(eAGU!Qm`mYR<2>xTl(LPoKf#j;l=Cw z*gwAyr%tBEEU~wmS$e_OIrkPhn=)HjaFh|0Cghxj7F=wd(V!PgA}h9joG#&OE6&Pl z=SgTc!iBOuP&dcqlm?YThX$3RmAVTg5eY6}C|{&4$md8&oehjxSvgr_?=ZvxNNbUUrs3~tF)nnB(->-4 z+VPeyrI3jp3qxf#)ts(rn2H^W)^c|O3+T$yN&$X~feUhNgSVfR1(g!y5(JfRP?R~8 zUb&RbZCQkrk(Ezg7plWo=2FhacjNxVEFrh+*{sb7TtnUI>)2O zh9yMGh6Bf6$Lx+izmRyR@$y=22l4XW!Ucbdk|wzL{E_rNj;>Q`v+ePFma((lQ+b*H z6wc$~Guvs_fzeYRZsdd8u+C8$CqW_oH9#MSH@^)DGM_K!fqV`?(n*KwPcmgx$8$0y zvJJQ+b4Bg1)W_+V1t!q@^Oj&7cZ}c9DW&|m2#aXN35y!Q2#a=`RWd!q30)BrI!lDF zZ!1`UqRx0Z=%I<`0!eRC2)4v+-AXgYdw`rr*)|w*_S!ba*(dbah+2pbzd@QrA@NCjn)_Iu*AjlApA-VylM}4(tCUEeutBxKa6KP7Ps~ zII5tD+O8rt6kVR4=vKgv^2yJsTHJ|)SQLvoGTsBVsIfek^PGs&Y=c`z7MOsp1hM zEJH%N4OKrLV)vVS{Q|g8Qlu@%pw84#s9t`)!nR=4DWVX_>gYZ z$98G5#AjM~X}S&n#~P%q`*sN|zQ^`DWD!35eRmG5yZ4s)X2IHF}`v z-sthm9}YIni#G?@7BPOVw{)@AhOJ{It|jWcvc%Dz-@m1fU^IC6Oc;f!bat8i93JLC zT+gbOB)Hc|V3Gl?A$B@v?rpFiS*vV^Th+oYPYB4c$9h@EzXA6?j-27ouHH z6>L@U*{)3$_ATS%C>rdWx(kC+bhKuzLnh8@T1Z)&&<+xN*Ak(yp0=wNqa#Hm{jF%3q{p6S62PuH$$TH#NOC=Z#SAmgIaI zl4R~MC2M&cO1sqTt8*u>lp2+KJ)|fRV00U%P^inXe@k6N z?z11iM1EbB9_lGNSM{2!R0;#aEKt36QT6ufEOgSL%sRvDs5;`q;}r_Q18;+A{n+t;P!;EZa2;q(%J9y}YWcGB50 zYma*`TH0&wO9G9JJveQxUegcwfCAQMW4uZDubt?RX>ScReDdS6Zw+;PaF+;*?uMjS zGYYHBW_xcB=e#&6y~<h7Ce~yUUMR2nZZ&UckA;x?qn~T9*5jp*$eNe zdiSb{JDa;D%V+Es01Id^*-Ro$Dd%`|03*U6+u(p9j3Uh((3Q9Rp@wHKR zOS3hhy3%D@_{x}130s=Rx0|P*7d`jlM>r1PZ$a*lw0pac#iit=(dJp4c^l9x+CP4w~h4s2<3d zVQ0%tUxB$YV>_0-Va?Y6U`(wV8;gQruZMcEe6g0kW)-F+Hd1gDI*F z2g((06Nl*{S+{v6KN6%Y1B;$2S@zAnmg)<+7Z9UH%K2tF72jk{-eOc^<_IbKU%vc`NgmY-r0`>x-a?`7Xr+mI{=gIIQ%53>m@3jaSqTIPAk0K)ILFb zKmXI;YD0K<4;Dne{C3>L4ev_RCvibWI)GyQJvd5q9DK>;wdtM!Bn)foAuGe4Pqt$Ny&jHHlCS81fqq60+H3*CEq z>);i~4E0NiXgu?Jo2bffB~M_9fVJgEA}uW|${Oc=J=&?+lJbBBlYZyPHIsYm%`KBF zyMpf{8a%n2d5fqT(uKNb*5~bAuSBmF?TsecOQ>RHPyJn;RMRFq)?VMIMjSW)FgH#1 zul?aqTghASZ#3y%LdDj|dQ4J9TP_tYhmYoTS0=ps{Z70D^%Mr?Ik4@5M@_a($bI_+41Z$vmTBLUB0?Gr!JkdBuqJnBQkI)hTWB;EB{)IuZQ#hMf`FOd?%4za)` zXR~)roT3z;my@EDnGf%uwopq=ce#4e!!JIBad#3kUw^5> zbn|h?-$K1l65ZhvfO<%h-r?${dYv2G;*`C@(+_Wtdz8g?%j#_B?&6{G+MTT^5FC)) zz8P9OxdFl|kPS)I@sZA__JUQ$@|-fHnfveX9a~vU!~U=_GMulm5K}08U4=KaCl`Y5 zKJe*TUEZ_|4Mt+7t*Rq8_Ydf_hK=q_m#>ZD~nF7b^I z#3Nb2|2US$8ss#<^E!`DSo65g9v{*-=z0?P*BfIk_Psa9lEQ+>7e^^5f0D1F%$~fRIzBBvpcJ*z z!S%WF8k8*CjA2EhPZG--ufbjWYhyQeS00Bo>bx!=qe7NY#!edUL8mw&yovpz>g^bf zpoyYGi*V+PkNVI3(=)uXG|Bf%L0eTqmj#L`;YO^nd7g8AUB}Zs@qrME`_$DX{_1Bk z4V;Xyb2ZXfPPIqVtgt$vww74IcGSf1MGEM@{l#m-Kd1aEG;2u@D?NNZ;h7DzA&LjR z6uCc6q5WO3LAyzTVw!e) zeH&H@PmrXnfS#smTQ?|P@u+{-pq1>-O2_s7)+)xAsbiu1sXG@{U!f$lgS`526W#Mi zr#Emhog|qC7;%ad&`Jb#G!#F%ngc(Bm6&B;V~-`5Ufajj6*i1}l$_nFTOL{TCBBao zb1(6aSoqzHU07D6TQqpSwFaMD3XF_2j0ojdI=AQI=idp_5u{_Hw}=-FTXNEZ<|8w8 zrPs9f6@CjUs9~+I9z%q>U$jMV~{ngPU~W<#mEWK^mZQShRF2c^)?N=R0L6g*Pus>X$C9MQ99 z`K^E<*%OIO*MDSb716m<#Vv)BX+bK~Z9h`f&HI&fVsKGEX)OST0NblLGM$E5 zl|VbYK^qOWfi+Jxu1?AEhdgbp(zjWudE%nIP|P1=I^UtvASL+^x+&D!Hd9GxB@RB+ zMqBVuDw~>XGMZErl1VFmc9NX%iXE>qFDZGiaaL@Y_tT(ycyEafvtab>OyA1d%1S&= zrpUUTwPlVtJS({?Z|5074jFO!%(Sl}l2Wq%qsYk&FH3>XSyy9z5V8QdBidGZr83iR zgo6Bfz|xk&{n-Ccf$+oR_uj{3y@6uOB&r!OfpF$pDd*Dx=^MmCqB(0kOapgzOd{I^ zK}US$%X~{{7kq0at900)_?zu$2Yg(GNq<4+;k}P7aO%36d};ap>+vd_7H7q=o_r6Q zDGlCUZ6BNJFcxwYKQFW{2`!k<&s)06kv4D@$FA;`?N!s+R;Dl0Fo#YP3N(D&?Ar3- z*5<9U%?c@IKNdxx|EQrVX+B0WQR!A)nAQ0#Nr+${zSyKzY#>gJo~u6f6dHo@S?J5* zPRkxq+UVX-Y+Ff_d}jz${G;&58>_L0KR=^eVv&iI6RQ~c*u9WL6OU0+jbu+%pRZ03oe!tl=H@WO_%DwFG39@n*1p;Lf zwV7scHN4ar&(SMsdvUFc=t(Ma3$vyPi{n~!0|#Fh31YE8nkB>PVySAX$vdMr*g~6} zojjFONs*n&WC3POO19w9EDh6Z#DWTqV?+5r!VqjtoLw{;3@(i)?6hJ z%M*xii3GQ?kP%xmI|RCm(_!EWPubLB7){rut11<#3U7a^G&EGjXF#x^546yEEV~f_ z&q}CDe@vj&t1R)SQ!CXUq|a70FNiUTvz>+YD-6nB;L5E>1@Z^zC=JSp%08U#*Pr#X zpm>&u46&Zfn9~odSJonETF#VdD1;ek8!1u8y0eratu~Ch_?vl+wAD54V<0}3eM2C_`8YL)x$zSMqZqed zP2BLWfd&yN@^%2^`sUzRp;-@c+yBp zGMm+a(;f~lAg7Z1H~qOwX-|gIkzZnR=paY3G_0)Bm&A1*D1g2zh1Y2_r{<%rOgY8< zHR}>f*@spBi*r(vOiR1k3E5Xn|&1Z{I*?T|@%m_529G1R^{59Gxo?Q@5gBo}qxzZ!@? zxgFZS8gpVgQ71xJICjcly580+;=EMDF}DeTOfLR?jKkdlTFP)-un0?zXWkx*q zH4y`k$KkTA34T`sFgM+!WmkMWs7}TwUQ-i}2$qd9Ss$AWFQ_!B!hn^O?|@idv@@ zYA&|9d|>-qrh0h2+X(FI9;z{F{){3LSyscYQ-cx#P29cAy0~IfXPLyQd$D@BPUB;L{5@lTq^pmp>ZXCW9jYGY#rZfhBlXrdl$c+5fnvOh0EGCgWfr-kX*(!b6O!)t&|47 zR)UA~wN>H$!1a7lW%yHm-KHD~?TQCw#cF%Lz49suiW1>uLfQ*M$u{s$U7k|H7!s#j zX42w*mlRvW=fn-7fKp{evn`eF9{Be`O+QZg_7hmu`}cv0?>B|-{B7PpY>^VTw@j9* z&I%hcXkE_HPXpAk!h-G>9|sK2BR3At4c9ES^N`Yq}He4V!3KcwP$dcp6Kx86Pf{hTb5d3~{o36I+8-uS(6&8O7eobB7oD=So; ze1E0Rq@e8y{XOXI)r+@rIkCzC{30;T!_1K8SU?cfwCbds?P9x8&wQfbxzHK&?p}i< zB9+!>WRms*?Ne2*vRZtIv#YJ+=Sp2ZB-TY46nWy zg66cY>z5Rm+V=U)8Qu1WqKApwB+aKY_lqc&Kp$7vr~vjt=3y=$2d-ZMM(YvUyd9E{ zw&zdBJcC^em02KonW6Wp)2EQ?Z&7bTa@tgdyg`a6?HJ$RuRVxTu*s9u#?*cAb>K6E$mAVJS6~>iB*mSd_PDJNV`3-u((pl$@ zlD6|6l|eJ;zdvZs<~u9Ho*WCj*80o427R;0;5{g8(W|6GI5_ar=n9$`q5Ir_9?QWq z+&~5*Be-GVaB*-w-8)~VyvwUP-0|~Be(r>Jyu&RyFo>HG`^?wqH0F(g+?hI`!L__x za#=5ZYUqu6S9gA>e`HXZDVf?~U^kb)!Ax*-vuRnMF~}PocNw~&?05vKov}@7;#G>A z{%V$w#vnq>hCSy1e(H$%`rB!Bj9KN&{ zyw}Ot5~&EmDhE4vFYYn@!>^>Gg78Jzf?yTN zf+HhgC$3Jk8Qv_k4RG3M6UgWp_wx9VK!x0i?N+}PO%u`)#Po4 zDU`w0!+zRw;aqFp4zFQCuh4p!_o=(LwWy|>o2A1d$JNp8YbP-paZMJn*`O=}r~NG| zj~DOvr>9$@)U?ls(HM{_D~u1Ovg=(h>?PHgUZl|SSz%I2n?#=@Jj5m0!$`~Rf8!=r z4^Mo;nAC?$leoM3k>%1^Sg%&KALf;%1?J2>W$p_#@EIddCgR>XBMBe(PB;x}R|I3> zM+^#IR32<_Yg;6eo{AJTwM8&YjN(TflCWR3C(TR2$nDUvVn48AgHMQ6N?_NPC32c4Xs^Lyy@qgB)$=s5PqKZ!54KF5AN!4Q+`DL6TS>P6M7ai_t?lbJ7 z?y8ylLxRTt*|eIQxg4!5W#Y$R#khUJN(qXI zaZ61JT6u$JtlBL#IX8JKDIEZ0Q;T0g@!W4-2L~2^|4jbimufDq&Qvz!X`j61dusoJ zDYUcrxdW+}x7{x^M8?TDlM}*9rjb^Re)cOL*2>@XsX@CBeet7D30aVerbEu6T{Tw} zV<4(RlA z0^O!B0KC9Q_60R5yu={=ds4pNS@+&yp3^fS;N~!DwlfT!2 zKWJNhOP*6?GXc^~eN3|D*Vm$C-Bl*LJQGYiJaC0NgsGViSk5I_$^VV7w*ZTyS=xq! zJ1p+*8f0+^?(QDkA-F?ucNTYB+&vK7-EBi~3l=m$QXyPh66@L7STqbn*D)A)vUw9Eq{P|59G7X1%I~t&Na*>>XXoqUTQC@L zGgHMoHtmKjV(9dYe2G!*S+IGkqu>+^kAB(c&=x#5rJqhoGT{Y}4W}d- zJb37IM`0oX!G)x0I@$reHs>;{{N-@EiOkPYp2cxQwiHat=kur2C5u^7Tl(wS{w`8T zG9ihl+JI~8{&btKDMb}B&0Oq-56Ht0nD+ffmM^A4%S+jrk+=fd%hE)ne)iw0F$y}) zgdempll zTR`hh#X(cxLDd3_a=$oQ-Ji$I3u*#ElN%&eQe8eV?8W&2BXMGC@9k2Gkug=4=^k#r zy$LuYO~Jj1R(wbWvCEl?ftS3#X7&}SrEzkdn{&5;(D0Z$bT2y2hcDCxllC(;Y7m-& z&-Mn|K^XaoH=p^yM?Bw14KLe!qxbwtm2ApBCsq(T3dMg=^VxW~^89i72-(WmwgY~@ zr9@TW`*_mYt4QoM%GMZ4+>5WerBp5Rk-w$?i_m)@PhIw=#*{dd6-S_Qg=L02-(R{* z&14Or95+77>0^P_IWoz|Er;`UZC^z$x!U5rWO+VkLB^T(wEp>@?p>M`m?uG-eR-?) z4ds`Km~j#88y(9*d)*v5E$C}qK6#Mm(L=DLrUq9|O@8munx9ze%mqe3e>km8PlH98 zJ~MV5D8)cNg_R-JjV7D9|F1ep$@ORu^05amMVPv7(pT_y(51sMfbgQ~Tt)q+TE1LT+1W*yN?&aiFY?M&KqBMh+9nUSahX`t{|A*$NQw zoQnpi$eF<6=b%8`Kg8X3fI?|~O;z|~)pIb12-*JHs{BEngIMwx0v${w9&MeP(Ehu*ZR zJ8&8XN3@9oAq zLi+_31*KC`eyBbr-IZ0E{tK!-ir$@Bk!6;DSBiloxfA+DNo`xZX(_yj^2N}W;;LxMdzzRsOq@LAqn>+lFTFu_Tgb*e9xfxC2I2;feC`M8eGJy+ z_{#F@87Xx-CMH|>Ds}X!1k8S%wdBq;PmpPnf0)N9q~np+kBG|S4l{H3_8f0bk%8wH zLktwb$+I~-G1H{#Uw~`=V9aVhs!+8&f5g+mMy8w>I%IIvt;ujtT^AcBp{`VCI5v<`rNovYtrF(idfK4 z75e$j`jqJ`3ygP>>hIP#Dk&Y6O>GQI%mMkjU@rdlTJYOl18I*=gGJ0V|8n{${r*@d z;nv5`T0I%U<9_xexXl$a5zCSI$8=ZB&O)&oyg~A-zE4bTQw-xa>F=t5tXh+xd`nSR zqcj_cLORH|)pRK}S5OOb_bG2#v@Kp3<-n5EyO4QJ&>+VA-E#bUCyidu;F}rcSPN1b zy@v4kc73r8GUHQz9{Q7RPx%k~b7s^PoBT2KCP@MO#z#u4YiSn#rkAIiXGWxtLvoOp zUimd%-O8?Y#;$Gx@ z+$WAyx=^Le3}$b&iVKFTwu}*;o5A75;mb>#JJ$ui5B>U$Lxi+QmUGVL;&f5y*O)$5 z(^AQI)nk=WV!XG^s=+PQVG&wIxz8&)66E=q2d?zI?psO2ZgDBYZVpMq_@0_L9)`O% z2v>2za4H@?r2e_XD;&#RGYi(T96a7RvD3;XHpkq09aGg6aCsz%XKeL+2xaDTTqMV2 z{{Y1nWz>92-WfsN;ruc#O(hA-21oPZ8>aR4rZas!(VFjUQ0c)JbZ)p2%%nC(#)@xP(9zPxscC_#FYBZ3GL39#$|SU3?%CR|F!+*r4oAag~6x8TB~hzIsk;2x|LA2Yz9&@mL4Gg_9BWE0BGh zqYJ@3BgZ*9O<|IYmd2;^^pppcNtM1PjnI8LOd}}Bju2#IFrSN6$o8;ElOX$x zj}U$ODF2_S#P(jC9Ler=5lrKXG5C64RVKUNC-i))D(#6w4;Bu1TvSy8A z{zpE=GOv$Xl3MFZ{_-TxInC#^V8 zjL5q{e}8PuigMeN??_L5;VQVUD7m}m-jEToFnr|1nj<>}_4(F~4zbNX;xT`R^|p}> zM?(u#3&_|k$oY%%X2-|MRsmAz_ zE&c`M083K%&J{jnuv56%)GLT8hX;#PsOnyvpX8WQI(a&y4gr1|HecLMsSSWK!hyElA*vT6Dkiu&fD){!4 zUnClj@x`)scCdCH#5M~T5WZIij*^j~PyUPA<-pC+-Y`87Xp%}DoMF-p+(9%0v z;8pOr&`fpES~v;QjQtw|H!rAXF~(-P^b>Ob>gv@;-dw>!n%xvI3#YY0Uz7a z2%D<|3Z(E{9TKnY@i(xB5<#e9nlZwR97y4{IwUx)Yt8XScwF|kiT=?j|8AR(@z?4( zWd5r{bpKJI)q&rK?b|H+NM5kqfaOgO)DLO5VdEelIlBAs}SolH2b&%>CF2$eTKnblB@=}5dtgw~=NE6@(Z^0T-e!16P@BGiMV zn~{{s(_uuXC^(fj;i8xW1~^|e_MeKIBoyPG05xRtU6Vcwvau)V4K;#DGf-nBIG)a_mo~};?+|8*+$(&nl|&6ST(BDd0jFh3S+_18Y@v_~ zeOQmA9(vKhgvFu`@@UtJOZ$pd3887^#;YXSlW^?!}{D3Ohs4vg_b;p<||b%yWI zrpmXkEH_M$+a4c9k*SVAu+#LlDHAjS!MibCV5+I2idp50E}~WkcXmq#)YzkgQ7ty6 z%%=X47M-rjg+zsr^=1&m~94UFRvA62p%h@gXL!f$Da4*-H^9Shz z*X%LtK$!&Nv8>rU!mpXWZK$1jPUjbOLuJqzqs<7p&G5r~qDLz8sVft(Qy%omqyqHsKp*y>@TB6Jd zW)2uvtJ)@Tzq%k}9u&8T>24c$rtHZSjOLiEd9+WSx=GVd{6!XN4CdLu>`3s*2#V7 zjV1FL{5$C)Wo17BiSK=p`xuq^tGmZ1+=}Yakn=Q% z^uM?qb$X&;<#4RKP(Bmm8r{^*$Jds4$z!VQk9B{^gIwJ6d#HZoIr%pr6hNEeQ(m{G zkFY5<1BpNA0N}oWmc4?se9~}BHo%3blY*zjs#_1SZiG~HwalpQ#I@H)o24YW@kx9igO8SA8 zAvYO}$?nFIKbF#`1vyFrE+>zSwZ2IuNO|-7 zYV%vu7tyk!r-XNBIN3IW?`9QTDg!aph}a8fu+9&Z;E)KJIN#2XyFvgtRN?~KQP^lEe?9na0}RU=0X zXg|ADh!aYhB{bpQmo@*1l&Sd?j4Q3e6_aZLfbe_s@_GYT_w<)1R<$kEwaUtwrI_vh zf>HVDk3DN>mNA}+txiS^GnzWcl!T7xX2lsp8!kacjJ`i%29QGx-`GL7_=t4I4&W7Y zb!zQ6v;`dfFRHKezHIltXdi9{hdMUKN(7jt+L#H6=w>t{;>iVwM>E7lk*EV#LQ2gN zN=vw7qNb?4f#DmA=oWH38yzfTWYg#4YI^YqnfuR+g}~-Zn9&X-JP3ullW^IFH)8W8 zx&?%Iq=Uz<12r*U0_cvoI1zqtlfF>N+mOMZl;I;_=yz%8XR#o+lB9=OhKC_qYk)#`;hAgjk4V?mZkMFLMNgSPjqvp3a) zSB$8{tXAbIC6XkOE2xur&Gt#199%p*o zzEgK~DqOQze^6779%h>3K;OR@qWV7or@T`QB02wvgiPkRl3<3_Uzv z20&d~Qe5LT!WpM%2FMtoVhNSdLb1C-FYRu{oK3U4lJG~9_9*&vEaqiVjX9pn&@jk| zh!MPh??t4!u?{oZhspHlC7y2Tvc?~+SJ>R3iA3j`EyN3_RcT}PZ3P{8u56MKTCK&y z`P_uw7C{MCogJ_O8}QT<@ao2s)XLDds@BRRu9l8DXjryaH5;zzt2NdWtm?)))XKE4 z%2`>Gv>Ae&CFxzAGV=A+ivB^_UWQQdCI4?CGkW=(*h!3Di9334!(nlQ&A#2vsol=% zf6&9?gu^RUUFiYxR6P9K^gpau9?MUM_gr03O8o2Y+N(GtBH%=0mL>t+glFFdN% z%Q);u^tOHHhVvWn5qUkshh$n(iY-^m;nGADRlcu7>vu=?t+s;u%7g<)45dReLaWS> zRtZjS#K8$7I9f~egaj_m7EUXSzXk5Gfr+pM#3<9y)rI>^Lh%+66I30u1fDTc2#J(E1`n4jFC|F)26~9I;PmEn^bG2fifFh8ka4SAtIgS9A+E3{8&D@9dSy6pzs1W z6|u+Pm-X;A+cLHS_UEFc%Z*;Q57^OFc13m=>{S(am;*jRzFpjXaeY zirE$wZ+z=rY`~aH6K)rWp6hNnEW_a^ygCcaCJvXP9 z4ZL8Jo|qtkwlg!keJ;02Ce*Jp5CykBkvdbCIsB@5e4;Ld$Gelf?ZC5lGz8x%vC+^k zj=eh=b6LmUvvv6&dFK9P`*C58WXa#s>~Uv{et=?R#8E#)*y)^edDiv5-q5ed5;{OJ zdqB!(hoAxkt}Vz*Nn!Ak)bIi)RCr?hOhmsHtlh177S(4}G7bl1I9%z}^yyXWHXI^o zGQXUAW;b@$Z{6LbD6;YAJ@2;#e-heZtWTw{%=zRK{1dfESiO_sM`le|;fL^qJ#PLH zZM)!rt?IBAnH#rgeEyh}U==ueg7vx2_b2W_=q~b8BuXNFvT03t&JrsMBU;Gql9JBN#mu+Ay82^3uARiUVKU=G zH*LzMPH!6Oe)s825502uanWKY7wwH6a`}T3JQ%s$S{{B3IRQXKvv3b7DloG@VW5FC zuMjpYO+pk<^Hn%CO}>`w9c_EZR|q*Sr1L&@lkrgeDxBJ7FpA9c zgS!CXf~Ep|XmAx~d3Fvtm=$TGU&&H5rd*pIuVor$+Pg&EYl5WaSjS^vx6-A%PTdZU zEpgbbWdqIdFKU#6QWXujbe3{7ICL|aOWE5D$p|j%SBNKlY5IIy3=eJP7L8Ju5f5vw z(=n;B;sL(h?85Cp9x;=A0yRSZyCDBnuneR+IH3FqI%;4EKx3_myV#~9a6;{1r`=x!IQ$JpmK;S=_X2%kXXCV1RXCybaItGSlc&n zxQ74HR&{^=F5=={dHS9ytK#=xHtoJGU)c# zJRVK!t8Ed)e=r2iFUY))hRkG;i>MKQYSw@fSpUF1Fy|XkT#xeZA1f6we8A$73FI%Kw$xJX+u>VB54FO8e@cpt_8wdtw>gG<>^h-d*OWh7V@9UCp-IKv9Y+Xu_Lg zfKIit(3ojr!?fZ#GE0i_n`!oiOnSr5s1sdAvCjB%Tt>3aoGxsgJ{f+aHdo>8*jLMYSV!ZAhGM+S)PwVy3X3z5?(xQwmkT2yZBR8{vxL>V|wX_d@Ux|idJCG zpJ-E?-%5|@-;SCvB9fJ(&|}PH>c+@>n0*29zh&+0w?~*e;TWt}?ddiaqb~RWhw2ji zW$yhH+-<@vgT=|>Hv9!pRJk{3r|5sA{X_W%|`{d`u}poRgF z-;?Sgn;$A@tI(C@)QPh(SGhUKC9KVyLzm*=zt<3g{Nt7?H{|A&vzHXve4U2> z>DSvaDqXcFocW{OtzJ76m;9q5$epE`H*;96bsnkUkIFlYw2;Wal zqEq-+T!foh7vv4CO3o-Mv~r120-o+qQ_{I&NJwz-5=o5d(W~1UwyL7c`f&tk!6xd*DVbbja%P@ zM`DQR6r~iYVsat-D9}xR8y!$3LoZiV9`tnEB_3mHsl%?w3Z|&xU9pqDAcvQqb+O3X zT|tcerRlxGD{=8Y$+Ie(^&4I>o+^Vf6VSrmExV~LhOSQM+ier%$Nu)x<2IMlo2j4M z6sh*N__Tx4%ZGVo_P4W(z~|#rY0v94bpC#Dh`w?1u0QfRhOyF}fo2xMC3Mx|pM-iwzR=V&_*uxvpK zdN`g_oMXyc2yMxE(;t-p4wSsd#^$@A*99!ovZk0vNAjjgM@Q18LmsxbIEG3d#a&}- zk{{Gv*?mtj;uJ@C?-3CY_DTH95TBWFu-B<5M|t;2>LQ0w-7s!b^YGTG-e=xY9!f=; zNJQ$X@8N6vB4G4=3PVCa3|xlgg~foCf_LR3O2E#BzNfq~5({bQ9*R!6lz)Rz(M$`Y zgM_MxNC$%lQkI9U(&VN=DK58?#SvGSOrd*2{w^}9;vuCAQK@_#cZ8P+^YbZHLv!$H z5;M^;p6t{s9p;4vYB^C(@T>d=^c#oahlKC|ToGaC#0Q9x1;Sr!sdmxf^Fv{6iwB6e zc#@n_u2Bzr6d9IScDpvnG*5|urD7>EMsPUt-h`o6IoR?Yq(!$luscx$B%zGRBC@;K zu&f|jj2V54&;ef9yFUv(Km8ZAsd*yH7y6}I$|5OhezJcfFr*Z4%n^IM^_4kM{Jr)Z zEcIcr;UwRW2$wgAqaf9>;S3=Wm0FGt8#VEb!t6O9X#HPqI3_LS3mFxh^n?LyT3^nm z9j9Z+s`-Pbm%to9f6tNPPGdPEA!bZkpYGDSqJOUH8*Izal*2RHl;beu;;gBp=a)NI zfA3D+Z%tP2)!9)Aq|qcK_I7n8u~A@>T>H~0yTyS4^*?fGid!0^El(ZevWR?qoJ!qV zaCyYpiwPG_K=bxcUPPO=@F)!tq0JAsSYzFfrazQMM0>)Geh*R9?D9DT>_+zT*p8mc1DxDR?6do!D+(m%JkBjTKO6IAIv z>$lSIonMW=_s2qoLr12B!8%ugHFWE{b;h|KNW~X#gD4-32-yY&TAUKzcT}e{4ffku z55=r~;P!V^0zt;hFO&e!f%P_|H^@S}j$24?q^IkyG_}6A=iWA~f_&=1y^g#`Cbi7h zhAMvR4pTk4|COckN1mpwmg*Se#kdTf)3Yp@S5aIvXAM52ieMoaj;e-YtM!d^r?`EA zw`}qDr-UV;%l7sTP3Cot`_S^Vv-WK63#NQ7MYQj@=@(MU&Ku& z3j=pc7TZzKn1_8im24S+i^LL@r%>qtznN{ZWqhKNc_t<2SMCt{^F$}L>{k`2_ng3@ z&ZI8}dlMbm-P6j7iE_L*R1*Gbs^wd_5BVO8J%?&{$odkE35;7~$c0@&s2Kjhd}Z{3 zveIf*`+C8bz@P{AOoK%EMa69C!%$i>qi;RE^%uClxf}F> z>bcy&5ybf3mPYT>+s~^d-R8}S6^u#s!n8j;Egh&DsQB~5q*~~G<~zoh&3XG#b~ePW zT(IsH%0LsFn5zeOmZz7j$=QA*E3_j{B%R=d=6v>09^es#zvD;0Ny5OU|<_xRe`5T!qCjY-r$OuW9G^$0F%d$IGA3 zfZ6w%gcax*I7H!IxNUs;-S);%ZTv$E!h-w!Q$gn&Lk`M*azgQ1nOnK+?h`@Jr+27ex&TcMWHcdsqx#v4tgX)A-)0drRAWo%xi!QYJ%Q@rbMEc?pYUjZv|-p0%byn;RjrO05y+ys z=x6PmNKGOZPifF)eVlADgUe78UGgJ?sRS|GF0!PH%UvGSsqN!yq{dJcj7-RB_V<6! zravD`SK>VfOf@@h52gnnNmU8`(T{=2rC)qU{7wf)qRjwt5r1fPnQ59K^8E%tKDhia zUzI5`G9cf-CbiyYU$0MH$VDz$i8Yv2*dxx?J-?il3qE_lLP%An%^h3U(YESlJk$C4 zvD5%pmbKKm$a48B-cp2@&Lj>ur-3A*X@%6F>v!8ou}MyMyu^Zao5{Nqne>x+jUK0# zL<)?-^8wnC>1Dx1-;o7cZY@W`+w)PS`Ebp&4}$Q2WnO+ zL}`go?;dU;7_+9H_mvUym#C}-ovwn{>bTo&GmsDJaQ1+lr=k4w$M;7Bm4p!iwr8Wj z!I*3YB8BCVSks>$;O1NWY5Hi#vqxl#b2WDzzJR+O zFwJ;v+()TZd4&#!y{N>?Hpu=~~j$Wnce zzfM)8?DWpfqNjoYpmp3?MAiR0;`tJOw<-01@;6z~l;ZNuk`M6eOF{~tL@o~ahb+&} zI?>my{Pu;sB)e1#@EVZzD+$(_gZHL$dh@5IV|&S_r&D{$rl7qr@EPcBq;~wQ5|!t- zMC%2~B`^3TJgIlit(%ktYR&TB29c`_)3X%cMYL{8q)FYD{&9>nk4`eiGx+FMa zokLL_EDgETwRziq-1JLYGR%v$ho3Dn9E1rleLQ^odooK@?Wu(krUl1$^RE2;BOS7g zy`IBw-p_v~Xqm(qOe_5aVJ|;jy*z4s7=xw9jnnl;)m@Xxt^9RvoL|F)=-`f0S7Jr5 z`L?2=Grd<7L?2j8d8XnZ==9@$uMy9_L;R0>brqXIVn})-ihx0R2)V?$X$(PMa9q?=YRHOW%#^Ea{ZA(0`ey)8k= zrFTRdvCjYPA%!w-O}6*U&5<}@bURnu=NsQvc64KdFKZJ35fWq}(dfA>dpLj$=l26Q z4aBewLL}x7&u3`8-qst1{m}-V#H*{%U=ezF|JE zC;mh+eJeJ2KFa9(`3b&}uxR&TAGg;uFl;?u?Ylqpl5fRs&PSo|4e4)ppvC!x+uQqb zKkMhB#4zpQ4;a<&ze8{XuXo{hC8ybzk4Sc@`zatEdBC7uS z9D11X+!OBjO?Sj$?}(P6H*h2(D|usMfB}(@ms3iNTPiX(hq+^TD8bw6T8MtePA>Cf z4rdv;6?ifMHfni}X@+ytoFtvd$z@`{=EYm|;p$aZ>K>Lh0A}0I%W2WQ&}j_E)D#3uq{*Z_{?k z*6dpXI^K@dbrFf!A+s1pp#q(;UKHAT#@?BFM}X_&GEa}3J<8h;cX<21rZ%>oGq~KP zCATe?Njc-bh720~sb23`TDDPp4>~S#Ag#c|Q0XX<4JFH%aEr|cP1wh>@m3@n269h7 zOaZn0bxBw7CemzV>1PuZbBQy6>7UgICLmHm7jwTNTF@z8&SC@!9-{tC5WkeTkiEc+ zcC!~t;f@l&Ej}HU?I1iDvO7fI2aQvy`uzO7aHtiI=~ z`+NAQnI%kRtf_(fV)Ql&Ivyoq&P{zp2FIC0<(g?l26k(Dl?8{?nW}4OZ-=|A53ZhI zGT{YzO5^}}O}C(3hmk!BXlGyD+$McajbUh*rm$oZKZ9goSSpi>2tD-IEhh9wJ<5dv z(da)dYv(3`e+dcw?$5r~!93oinSG`izLQy~j5dJRV}OxS8?34*zK{v4qx)o!IGaY( z?(lKAS-%s@8P}eWB*y!U`C~xwd?Ojzs3V7l=W~NBk|8;6X0p4C!I%`SU`weu(C#Ez%>+>Vgt}$nA&JpbO*bwUNI(b365dc7w{fKLx zFm(QumZr}DtgwbnUE?kp=1SYUvH8?3w3BHtxf9j+Q#m}-h@unNsB$DOLR&9K z$0=De4@tA=*_m z?3~Nk(vHX*Q|>u|>?;Pu#>xD;FNtvZv6==`2$XE7s z^{r|;HyI;$oIQg0Pd}UiqZUGSnve$SpR&R5u9@Kaw{E@vqzYgq%dW#Ko_yx#97m{YPSq{?`cHYJ@{Vsni zh)3R$^&=NEC62{#>eV20b8>bq8yBXQ4f2sQHi@6JzjD7G@DypBb3ww&ey45)i1aH$kRi)^pW#itDCB6V}lbL8fxKr$^qpp9* zM2|i*EF0En1t*dVp`(f()e4nu%;)(}WL&7bCc?+Ckrd+;A{aEB-_-zQI0*?0Dkgb! zc!b!{Vn9_@35~&0is2ecOF4CW9j=ioJ;q{-YF)es_w(0*OP_IE(%yja;(?692nea< z2y8TjRPZ(Ek$nrV9V+NbPVuNPRi74+$h^>IKY%xA(;}<$<6*Q@50kb>h+RU(slcaG zWSuB&6R3fYEmKSpsBw2sFk!f2OI=Qj0@GutD3Ro50)g!Ic7{s}ZRI6ylQ?#ION{ZW zneZf8x!fGg=Ej;kUFA=1)zh*SbKq^MA~t*ZBfZzsPMxtlRcT5!D!%lv*#D`aThys$ z*P~}Q&bar{Nk~PkQ0-wslnCcGLQh4sz9fX3l<@P;A=pd<+f;nb$rLg(tnoJF{%xS} zS8E#noFbf;F1N-@jYO`MpJfG;#UzI6z5G?L6Zh~yGB8`26>+bK__*5 z_?3!q(dQY&2%GLjlfM5!gB*-|6+_i}3&^f1*sukYDNa06Ewkv8d^~iJng1365@leJ7p=?RM3`l< z!VnE{=-JGrP&0UUb9+R!oyt;QxLF^q9BhFXn@j#;g#XR=;w@>Fj2otTCz9r~4YU)9 zX4n&pNYK<<+Qg9-ErU+nS0pY+s9>Py^G~7$$5MS)f+tqtRFuF)9%A4`R53%(9UuaR za;?H&2}gijYY36UOsSA-ir_J$;9<1e5ZaZ^|Mp$k`!nZCscJ!4IB9X9f z;oSvPr-5POZtxN(xbShb$av%IO@;_@mi90Q?h7nq+O@7@=JM^(jVC2)bf(HtH0zfb zX0shaSM@$UIQ0>Ld#5CFa4d_l{SoaVs1}eVim}7QY2iaB?}TtZ;=#Y3TAcs`05e zzo{-Fn^Zr}XhUDBae80@_0`RiRsfs;M8I#)mB!mg^paq#svVbKR_)`}_y7~xsq#ug zOGFF#sgV@w^b3zDt0Er?Sn3Op9*)hEg7FZsbzOET4ao&m*Bc3BLl_P*WC_-RjrTp~ z-oKXed!AvaH-R;Ze2Kr)B(0YB^I>D04D&VKq^c}yDf3rKQQNDqm9{ZNmGg4Z)yGoX z!=NiTTF4vXN-gCQN$zFvaz(I=$5wchBNJDk$IHG2TbapEhioSis33a_8v?0YAofpY zon9d&5e#;1Z=345R=9qRKDO6*73}BG=LQ zzow*eb6SDYxH%(Uw4iLeZ_tIY*{ah+j|twVh4a$^!Fi2!77oog1-1JHb}27oPk{_* zdoJa|JIbn)CxS)qYts6kQ@Mq?gJ49emd|edaDW88g_(mZMAV3zDMZLLV2pKeq}aO* z5XCw*M3hD__9~!HASj_7WXn=74IxVmy1lvK!}VthBqG#A_U8)JH{O8@!$hsm0c`d} z6yr)c+Mq1v>gc&M!ws2N)#XroS|DARMlHmWHw6$6U5)yMJBxqOU2YC_GT(;3q^6TG zlaCu%$k2b`f)^Hpsl}+{YAShM|KenZ3;iDrbV*D(H77$r9Iq{t7747>5`g5AvJ0dk zdJiknLfFM|5W9fJR&x;vUfaURzK9Hw_}~^)gS5)y&`0PW%5{n`R!m5w_1TQ5_8UT& zI3v6Qzhn%z`znem^O*uoSY(};I>FWHB1@(05Mrfd7>NCYHWnv%Y!Gp{bOUjd?Qsv8 z`FAU!+yoJV8OIoxHlv;?F+LpglPX3i9(?F1R)WR=Q3CAPA+A2~%njrB0?%L=vLu=X zJuIy30{IYpj3I!-X6FeyM)A^3#ti?Z;=EF|>WZ!p`e38@rR<1Exm zW+=n~$tQ@dYUFuymV zzj_4F6v@N{5>USw;6@gIK48fPwE4)L*riGwA(spyMbcWGLaHb*g3B<1!SQm{7c_b7Z=`)fsB1V)zM?dn8At!1tZl#8U zT|`b3qV%psh*U5^MEBfuMrDOgTYuv>>k_dvfraH;x-?@&cS`A4EotWr2PRV7=i}jBS1IrU`fGP{Nk>TjHQ)*$_r4z7;*Im9welN?m*v$#hj9r7lq`#8+Z1yp?s5ts8+kU$Nmo+8>1wQLdd&hr=2BqwNUTl;1lR{=Oz zOG`la z2F*J#TolME2@FM@j8~Qx%-?0SQDuoU!UPKxL-qJx@`7Aj4pjZsS+?!O7bM_A2fdeh z3BvbwRQ^b!<_AbJuZUg|0!kgzbctwS76_f|heXWCK#PeBQa}-LTrL1EZr-<6B6Dh} z;k_)WF)JqC!(;!nfEfM)kfcR12?dZCpX#Z%maqZy!uj&TbC;3BPU8?wZ|zK&E&s@P z48-!Hn>*jy0e!2~kUNOf$R)Qm(VW^j-+KNL%o3#-tg&bj)h4~C7L+)(FBGDeX8u_0 zI|nOG4T3AxTp)D&i;^1udv!+H<9fkg8ncK+au4CH2`BLS{k$s}4@4zZc$w7gWZHeK zW()lZgMo9|I+WP}=tq82SUQ^X_vEQHcLIwv%iY_ziN#h{ncx}4@KxPx4fQ|qxD*xR z^e7uN1tZVarA!D3o@=`8i`16|*9<03^V&2sxd9ISq9gvN5$%Jb_U{iAB1dCrFngX3}AC!Ux3dC|WT z{uoWWfD!(nn`E7Vm9eJptCW+c@5`0drtj;N-;0vv(uR#G3%6`Zrnk~BMc;nLslO?x zwes#u8{AZ!>$C~%7&&!{-P^>O-NZS;=N70<+4C#l{lVn!)iLp%qNSX2$SuD7TJw|4 z64qXqjODhMp8-q08P+`nf-2yrcv(Nw-7XwMU!narTya3CwsLL=`2bWVa!OmN-Q5krx)?wQE;OfR0sn@g%41{y%H(}8#)=RT3atx zE}{X07&@f05{ty}jY{W5dYqtJ#!J(G`r`6}T?DJrAEi+`O&cFPQ7xU-F56$KQ#x%( zn%OS<&i_>^YiuF3dnpZw<#4QLex=0TCw!->n`D^<$>WWe7ss#QG=y97&K}3x4*f_r zEq?w{;kK>K1sO zMy-nGE;rf}pKfyT3+N4~Q+dvI!`eCr9pLNUu@@r|k6w)Eb0^oLTNfZt$O6XAGDIa4kbC#n^OR8xR694R@c( z+6L|f?LtpH7GOWB!d#r7ij3xu!s3}`pcxl1|39w2I;@T!=$BHwxVyVs3l#U_?(Xg` z2a3D9yB^&2P@q6@J-EBO>&N@u`_H}mB$M4_lRUdgCcDY}W`wr%+96(+mA~9Kmq4D{ z$Uu9F&|+;0)vEq3YElkx8I(@2tcPGiiG;TFQet+LPipF-!{IKI(*DeyJxj@SF#Bj*+v9J&MFVDbZ`#%zD|$ZQQg9zAMIp4txQ zWBJb_>>13@v^D-x+|1IBU0t={edj#co_5ptF2kFD+ZsiRbvGrT?KL;0 z>6z$4McC8E{0b*YWlFQ(#PWo(^Ryb&wG$srD{`6$orh1;hL9RKMJ&k8#4PRA?hCRwkO5qB`5HxahJ=HqUq_!*~S7 zC4~j_KosLbA@ zy%A`r%9~d=C#K{w-plh6Fx4)5bK?z1uRCDOmKC!OquBw2iIONge!chZjb7ZIxLn){ zop1ekZ>BbUwRW`^_gUuc0#(>$U}qP;aRs3IIqo4__j)aIZFK6*>?)OYoF$*}AsR&- zuFv1U6p3Nu;crO?bN^O~+!kh^%)5OT|Nf=qalJTn<4m*mtvnZvKb4B2x#@p?M9&J} zz_2A37^mKz{S5f8#fcYHA6J8AkX{_(z*r3U%2QL3|@<6uzOWC4_8!7D&1yXrg|z`4`bmI~gzo`9zp2clAU;ajn1- zHtTXB!td6n$b7H^!F&1Xb%dB0sX@kl&Wf^{$dT})!fZx7<-)_lNQFVll@$JoKxP7m z=ZE0gn$B?xSa0_L_t-JQZ*Qg7PQ1BV`D)J2HQrjY*UxP2QD5W^p5zpCaO(7tt6=A_ z7d1&?N%-5AILpT0>pZ@H@VZxAhO^BSL=wO@pXf@z#Egt9UVjY;s*z+ei#&$7Q0sn~z zsv`*LCcEAgcFR2gt=1|T_ed1?t%$nCaEl^<*=&gTl>y%Uv^SZ^hxPMf%L2!D1dHOs zSbk$J!(bWE1q`_qhfgYG(dN;H%cFOtlZ?J(6G}@Am>z%LzZ?nZC&9xt3r37)7lDz$ zBl}tujhhwvgI$Ry!1Zu5nyK}K@LNbTJk`K70L#IcjUc04B3|<;^YI$yyX9N7E;%5NdQyLx3(!a8G~llX;+kn{M^wN z6DA+n3J{ZeH)U?8xrQU>5sypsX$?N)evKmqe4ikCtbPu-Qe8G(JH@I49-p5I?C=}n zlYHAFWQSsd)Ll!_x+QX+^P->$>Ron0ivN69>MVSwTyeA(c_7Isg^U7>w&!AS8HBdV z|5DNar5vC1cf!J*N$tsy{^)%YVT~Xia`aRJ-f6~fgYym@=_dKI8a{o3Q61Z^H-oJ{CAX)}!V* z! zrLrd9u{8_9n>IbK2ef1FJm47Pekg7cQJk0pss9 zU-n-+pzcL(JvH?4$#L;02eXuRCR0Ew#j-!@bP9YX&{Mp!X34Z0x0P-=AU5_p{)Hnz zdO9CHs^e!e;)W_lSNp8)m}B`%r_mRVWnmg<1R0`LlPn~n`*AwnG_IsQ`tyq z0{h6s0}|PidpKb9mkhafQTo9p;yuI)Tr=-v3W;b7{!b<-F+sc+j%MPT)z^rv4g}1j z`=N^y=-v~Z2W#yi?u0R||Hcb~Zz=q0&KARR4ARS@1S zD9M7)%o{I9B18KW6MH`XAdRw{;=~be@PT-kJTRk0h6_Y47bt&Sgd?6lue1*j*4k$G zabnaGbva!Y1jSwYn)o+z6W@1Q07cUtY}dJ*E-Qj~YKw{}Gv+B0^oKh~>SS=^Md z7I&e?AErw~e&{|m9nvfv8(gg~W;%Y=iv6WGQ)!84TR3335wpg9{oJ)2$JEg6~-mh1s9YlBw zz3_i!VH})dyR-dE*U3E#sw)FSf7wyLnv=v=tJ zN_-YPk&4zk9qb+3!vzn=?Nt4Y%0NcW5a|*sRCh%N5{0rE91Qbo>CJ zxqv?qeSU6mJ}{+&!1>>5(mGoh#}P`%KXI0~$QXx);WzH?v-__3yu}S*O8oI(B9*GA z(!r{9-|ZwnGDN4b$cv>bIsy#{#IgRuOlpMn+e^}|{|BY&oLX-3Csu!1+iF@DfJq5) zBPXAMaGfIb=fOuMthdxQ>W&U)ucrJkUKo*UU{OCQ1Mc+~oGz)dHnXP&3k}j|+-_lG zKSzE4_6B=_qVxrt(GJ2D;M0{svSQu0;*J|QpuF^g#s-lvau|7L%w)Kl0Mc4pR2Yu- z_tO+yCdwU0xsBcU6-w?^KYMQ8t;sJ?6)WjS@@Tu{PPkqpB1(d6_GpUihdg+GK$&dk z2|aCcMUQq9mFwoS_QQyh3%c)D7(#G@5EdN89zCi=qB^OrQyB5Ir`Q>)x*st{(|Qwp zZ9ww^viDOTHJ{Vb%9kHx8l4fpGP;6iquk3h?DxZlY`lqd&$ozEZ)Y<4qMdK0b$>M7 zXYJkXlmSCdp8+5Di}DgrOw--pcMxcB3VA5fz!e48A)UWxE5WL1DON+lDNQ)1y(eM} z!|bT~7upfo0r42>d^(du)~{$zLc5;G!%*S>j;JY7%EN|vIH|wUmQSP3=jNsS72y^g zB7}ghSk`h6&VPRFEQ1rilYf8a@OEH&Nl;v(bJn5Wat_JNNDUgbYpj|jT1^^i^fyVD zuliYDpBf$PvuDHK!u=yyKyvgi1T{Visntyb4&S+#3UP~Mh1{)JMfHPN3C;&*iax4J z@=q(dr$-Sv_U6c8dkH3(G~mc8c_L5W{%&8%)?tH#IN9RqXqZc!CGXV@x3n@m60KNrBi<7eRk zetfTsn2!B_9r7~*gfWL`D#K2@#-K}W^npI!WH)=RkJ;hH$l(nzz_ZLxcnBtJVD=IF zU44U<1CxgXpY#87KygGuazkx}T{21E6*Xb@!U%^M1lraUc=C>8+667oRXRzLL~1tTt!E6xzkr-GE}v!ucCwV;{lRHbGRxR&a}_& z@N`Fo7LvcfCB?HCKC-+Tij}!c;e1b;X@SS0#3(}2-Z`Xb7!O#*l^QcAuTkftu5CMi z_(|XJ0kg-`#@%{(nI`wWd{SVs2-riFvd1?r=z!O&F6HBt>r=4`&%`*nz>aM%yM6n9 zTVM}veNml|k?5!R+F0FJlc>lPA1bQogA+Jm-tDZId(VK}7@7~biZEI;yc%Znm@K3O z9|(A^`(@mRDkM`dP4p$tpg!4M|8Rf5w}%|bY@VX41daz+=RBcDSZBA$^03Qg{BI(v zZSWdc%Ags)`pH_*0EWGXFx(pkrS{Pn0C*{%c;ClOWllYjj5C%JTaFClZvYj&-61Zn zUGW4k_WlVaY3Q~w2_yhf!Tx1Zm88J}9DV=rnL3X9pccO-v6Wh#(s`yoU9%?W5D}@E zQ%*Z36Tmq7YuHOJdKDA0L8Q>Spwy*%njcEKRfCbDE*;=!)x2DboI#4sm!FYqc%0Gc z`CChe)B{jg*}3EWl0IVCdI$5lsq5Ooiqw?F0a#L_@-ADX(?Y>rzD_ay-nGaw!aP)5 z;>LSx*{u+xzj210mG%p~q(=C2>p1k^YeQ*U60?f8?MZ{3t6{zdGCl$A)C3HrB_9w^ zv$>^Qt%Ysc$XoMS@w-M8I_D2dPEsHTY)qnw%zGvl>eTm-c^JUx^SL7*zpVwQgiepQ zhbH3xk@etNO`T-du|BT-;il)JRb^KwvS(uGmx0&9I{h(~TKY`>Aa9i7vc2b{HDfd6oC+{T43GQbq~#Rt zFi0|Jl@v9S04iO{#9Ts47(1!UGu9rNPmFV{pG-Bozw1>zK4@iXD@r{_?=rs*G`O0E zXShG=T!(yPVN*ISp>J>L5{%|9cDH6Mlfl-SOg0;wQOdyIwt|IlQvm0b^Hgo6@6}bn z;*Vp$W0LB+BD6|PY|t7fd1SE*(ci{<{x~GF*KB{GnwtNn>r{QQ*~l*GO}y29TXu3l zL$1ms`X6jHRRMoj0Uwh)UeR%?CvXQtBy4u(N(u63dZ4}WR0bB88^=E%r-i$KHhI9` zg*o81cfNJBlX2)JI_s?qW(x8iqm}2ZP|J;3n^(MjXklksN~(Kx>Lp%R)2KAkA)Y4f zQ}EaVkN}JUyN^K-a*JGZvgN+oyiOb32+v=YTS!NULNxR3$8ndOMySM<+kuTsym3BLdz9OVg_7pnHvwYo^Re_F@31ytuD zrf_AP%0q`XBJA`2Z-17Whoy_F z09}w=*Y?7(3ll-)HOCR21`3tAgVWx?LGv(~09Z;^THOy+jKAmJdfQ#hOh%4RRUQ6jvG!qW7Hh z1q63~NiKMU7^z$5bxne^EK)zKRCAcC)N8iZs9pvmiP~y}4W&3!XI3VZK`WVd1EhiV z%#6Ji38^d00~yRe1|rCQfF#%t7ncJ&GJ&wTASEPDEehdsyjz))@mq~aVe{!Qbh|gc8-;1_`Whh`X;VL z4!7ca+}!F1ECr6a@`hb%a->X!zt_ioB>>kC+YH3D1d>p^8pcWkSPJ>++37R2E{NHd)7ySJ#7ezXh@|KGvX^8niUix z_5ES#4UBTymS!t&f<1ChdfdoQo;YI70=2~n3h{vvuU$;;xNOLS1eHxb=g6S3Y-296 zv+v`L!S?Dmk{(U7(>juIj;gY7wb~?S%T6mk=}>nCDuLcBGKv?R&&w}I`I687d9n?P zAbX#rg=kSHa5zv~_b#W-pIxv9*`rJ^%7eFb8WRhAmO7(Oa3Q;!26$VTeCXOp(c2@u za4^&0msIY>s`c)WMD*ei)?KOA98!l;t5Cn(lml~iDUjCKjD%mF%blI;#+NWB3eO?L zY`y7R(A_b3BqQUD&6!sK2G?#i$tXTV3}?94d$oXjAUE_(4D^0DF(w9;qHbXjY1XcRiAd%Y57hgBW;Eoss1BaQ8vRj6Nzo9M99~O zTJ2h1=WtF;+C#2>cqqT-r{k{fpU(U~A8U3=n>}AZ#huZDMS;uUd7-FUij7o4H`W2~^syE3QYVJ=3JYV z1OA$km}ALnE3w`fO^!hegBkWzs?jgV(mt8wt<73amn~)lK5Jb_UG3ql+$BrUN}9p z<)v`HR)!?(roo?J-AVj!8rN@O-iwFuWRNM3!oP!Qxr)9C6p%h=SCDO2B@cInX>SYThQm6Ysi25JQKY#A3qV zwJOY}qJPi7%YFW>FReK9hLs_<3ES~qO$lG&C+BZeaB$`RftmS{()4Ayel(5Vi9}O< ziKxyq=6G`ByV_#fIe}Px%3^%|Cmt(DI`qe?PD=1gMEI6(-0nyR({Zl13^ACWPRsaWby)y<&Nd>XX%Ab&%_j`%Ac(-<*zO_I8Y^ zWm$~MXmn~epRpIx+K3147-~G60KVo**gujQY&18*_>*0UT3otP%;vYO*QggVoRJXl zVe$G?RSNHr)4B$U7^`CU5UvJT7jLiXJh_XxicD5TnDf)(YM=L9d(6jJY`W*zW|uXc zk>&?Q#`QrNOIalCd>X!qIkQ^P)lcvUi_Y4?X}d+|5(^w_5K5vGIDnXBmFF+_MC2@sZM3{;AdZI)TTZw zTT62KH#r@RAxO49O&sEZuVGZtt80AM^TV)**m z46izdjST#^E1&2--Xl3i4&mfkOBa`M6*D*b%JsO8q0;nq7vM|ocP`LBc}EIa-JO=0 zJVoH_`{}8cOW5Ry8CdT}(zjFQ9rL)l3^LIS!sgItab0zb1L(#xgyye*vKZBmtuCXK zMQq{ih(^k=6D?UKe{8s%a;J!4G&&ZEj)j7jrVyOCR=R<)`urtAhcS;EjWV9NBt zD37Q#GSJ%EMBe}XVr2)D*%|8nz_!tY0Pl96#2?{ESuCbONcM(Z?rWO*XTDj=Vbdtn zw6!tBDv{{?>|}YF_*ceuY}%>tGJ2Z2E#*$d_^-9p_(K|%ZjyBm+ehu?+USqS|ACq|EM+=xA#9FI$dIj5Xb z?cGxsmhSxv@*c80K*Jx;j-q(H)OIMEL=);`F1M=8eGYBzXJuKpN`- z!hbG2nV#f#3ByZc#VI9QVuwXpv4rf59D0B5BxycW{!zTZpmS6sPE~Pcw}fzRuG)pm z{JT~SD^=7~XTs3f)5;ke7c*dN6qv?ko5e%H)EYT$*VPd0Dt0b7j~C9=+u5St58O7Q z-H&ac8)7*Ob|XF;6(pR2O$Z8i*4_o`16|#Eiv9$^2!_T%)+@ivO|C9|kj6oRlirDb z-Zf6nj}gD7^W4dlSsj@&jQV_^=;KUHX`Gn9Mu}^uako$)nH@Ixx4$KL)ipJ!MbRxN zRhEEF4|)h9l3l+O72NvP{LbrJTqfMV-{k@V&EW7KoTPS42OqNTjG^J2`gfH1`@PN<(Kd^dlH@2>c!=Aiq1`BTn)?1n~DvIFP zG5I%FO6)5;+z(Pb6@qvo1cG?ad>kTu$;8%84tgkq%Uy+IPJpv8Q33dYZ@*CuU59j#W*Nd&!q5sng8=7RiCK=Q^T>FYG9bN`(<3V<2=xEX)8TG8s2 znI`{*S1hMFt z?q@kcs^?~rpvARt-UZ#)_xy?TRf?(r`WP3>1EFi4riWktonfBJP6z8bbe08<%r9)- zudw?e_WAFNyr_uMXl337NF_x*pH;m~O+Gb@w_MWU>@4kX<+`y-G&s_3XS*?7T~6>} zM;GzPZ**NiDqO^5jPf;evFUOgDf9AfK1#OA)uZ`9?BU8fB z_+N8Vb|#ulW?yg7ZH0Lrhgn2_f;0V&nYbB0TAhz+YC^m(v4kJXal4RVx%@9TLWXlG zV{q53o=j0nB!XtcGOo4pF^QdnBCn#PNirJ$xI5mhJfpyJnv|V%4wY$W+up|q3?Sj) z@*(oRT!l{emo0>)wPC(FwR(q44fmJDA>U-FwHY<*t@CS*rvXKM_#cB8KAt`v9p3km zHeU1n_Hr(~4?6vKx3-xa07EtgH;z}iB#+Y%pqsM`Pk#cR=IMo3y$kPuX%{|^>kI1- z%Rc^x&8>hn3()zFz^ChZdvMCTeE!%&dC%(c>KF8UGtl*Y4&v&%)7!w2|Gw-W$I{c} zeKt$%d)=w;v$9sx?dQVpd*`guttT`NOcT`X-nk+6oi}gZ-AL*2Iq?y=Jbzg5aH!be zt1{^J)dg%a5c?)jU#!0@uT=b5>YW10cB%KOOv!jzhztsw}`{TXBXZW7f79rc|!|O(1p6jPU z0q>{s=Zcp(b`YPs;MsjE&&9T+l0TH<8a&dlnL;Y>GPh}as`<@x-zYm_(epvx- z^l0$!jFMEoKXpsXGyAtaf4zvPP64UEjrxE&x?hFv!u0v8`TZ5{Bul(Aivu3iKaRI$ zh%(vA`~*6l%noY`eWd^!tOGNT_R3WKw^V1Buj17G&*JT9Ko!plETHY)EY9J{f4(b0 z$Aaya#J+bc20jmZyhj2ZCl{UOBNX^+D$P0Le!(_kqN`66>wb3AGAka9r6+4fq71MUIz(!{g{E@=2a z8B1{NtqAr!193XvA{{q3wHN(TemaW>=Ku?ab)Q#CdO^&HFM*&pzJkR2JDKXsD zNknns%LV^JfCJ|8a%C8)HBj9+ov!BVaaVdXiL(!R21gz1Fjg!d9m!O-mlanL`0p2L zYhyK`&DC|Zq_;Hov}t>?Hy=NyL>)&+wmQFEi#OjN-dFUvDgjmw_#P4OG$Sq7_3S{W zy`sf)i;of34)asXa}Vk|KsmeJt9|Q-5EOb9`bY=A_reahC-o+OXQdon5a4oBPgS8? zy;b33De5?b&INduR;eLd`90U|?#y75zp4AC45*>k(L9z{37Rcg8S!uEc=46oZV}#4 z1uX0Z!8Rpa`mNKoz3v}(4#Wz*{LVV|ftrhKs$RdZKoO`2({_0pIo9Ku$^6si?Gf8_ z>?LytC@j2xx~KqY2-%Um3EfSw_+Qo?`ru@xF&X@Ea&`dV9mKGht5 z_)V`=#BAuj8Q>UbFOuNhz&#co`!l2>G#+<7Z?LRCZn8^V3NX89seKF$l<|4;k1MP; z&K`esJxZbo)Pui!YzcOjgARLx{HJEp`~jar_@XhkK0738{(FB%>_R@;o>9Jik!k6^ z8V2{cTU%A{>s0!G2sFzw3syX%WNR;3;DB*F($4ChKl@JF--c?lk1g>#ETW~31b-(bfR)G6{XL1UssZ+B zOX`Cp4p_t8lo#@SPyB8#!FKJqr=uK1uiwn|J|O=6a#ap^Wzbcw&km6GIeF0F@>5J3 zC+zW1dTYfor?SiHsrJrA*-{79mV*Q(waGwT-U_iz9$+xa?k`}K@LdqkjP>CO@L~TK zFih$0ozN=l(hd9~uyyyb_VM8Gv5!>i^9D;k^tBu?c%Ys{Xq9*61-{)1nsmaK_4#B| z)vu6Ef~}SFA&?l$e_mQd0@&>0K&rG;QiuOgVq-B)+PEcAOfls2#IFJ)nXzv1(_NUAwX-Zp2d+Ujw}mkVNa}A9v`LzFiM|i}((D4LrvAW(;nsxSz+t09y6G7~nPA`;Qm&6}Oc-nP2Xr zSXx?WwUUg$3!xFU-SL)f-NeqA%(FO!wEE+pKgIcY3zM`+Oc2KzIjk!zsU5(0z|P+tjb z6UmiY?xqGNv|sa33&wu&Gk%<1f1=f0Gcj)|^u~gZ?aHo06$~+Nv6}N){dXqcP~w%! zoh%@AoT`qLKpDQzp3a-E`FCrLUA-p;?NMJ7{odc7lWRQ1_mDjeo*6|=0iT^91*&45 zCfH6dpF4qoqzsJr*yA@y5{cIwFf5cjalqw8wcoEz&P}+_c{5D#q}MDi$$|6{wlWWO zV7LX|U*nF#>k0_x?|)b1^$ckusQhNApDaPrdr{-Z7*>Ynr*OB!v-}dqV!qO{xDb4roAiDUMoXiI+$HRpC!9-5RWku}$ z6Fq*2xa3-cGV7v^UePtuzg^_|D9hqP7oGBV&fDf=slw$Trz^ugYdJuHqbn~Vt@UxaXKjM zkkFYLAFTc@tc}*x&V@juEN57vxtj+f7#z$LKD|L#NI6K#7;)81XVE3R6}YoYkZ=lI zKDS2>UZCo3pGI_yn8_WJbu65yx`_I6gxI}yw&N-7 zvn-fY(CklM0yxVXpIR2omuQ6sLj)~Tu%z3m+zm9`A_tp!r^ zBk`6Wkooiy%|ck5{oUhH)NAEuUCXp>(YQ${k=m>|Y)sLF@#6G?hgSXGkUCYHgi1ks zgGiOlB0YaW*&Iw9jgA8p+&)nlE4*pg7o7{PqVz4oojTBxebMk<42av*(Kc^Jikao7 z%e}DSgJ0tYN)WX#e)Qa$iERtMOy~w@m;ED>v+Z{(K-B$CSSRQOqFaha<1gojPM_^M zo=tK*2Ca$gpMf?ZXbea-+2eY3A5VQ#B(DHe7I<3|d4=dKi`&$6AJ2>veM^Tyotrgu z{pr3RxhgXWSINrsBkSbYN1C$#CPk!|`wNOU{_+H%Y7~>68cOWaPej?)Zr3$5bpO0P zT?cS@$@#32fmVwAR`C)VU{`k`)D{;Lbmf?4{F zn5x8nxQ(rlOv&(5THa+FT8e`WUR#EnNpVPPMHGaix9jA)T2k-@2E~lfNt@hd=ldn$EXaIADW>yQ{n@L&}u6=t`O`>^m%xU>HxIr9AzuY8Ht~6qY zEb~)ai*qq)CE-turSpvs%5-L*4nLbHbls;s>9R2GD20t?(^x-0 z5ITTfh{1`Avzfu#G2sE=Z8EO3zOn*b;%NMV=Ou_Pvkg!Z+kWFe8u#pB=$(sRN7}ge z=^*y1<-PS@L^W(Kc@VIVzm(x7S8O?bSUW6n>cTAFPRG|AeChQ5o$&BgE$pvP3VIK= zTFBfB%0Qy$MMI{AcWs4F(gpRbf0$lZiu16;jyJ`gG7j~^3SHWBol|$NIwe-JidIAL ztX4xbht|x%(p=y(Hxr`Dx5{I4Bbq&BE}8}2w(>4gQ&Pl*X zr+sQcHO4G%W}9J6LjtZn6?S|?4g9zKV0a?RZW4nAvh}=3giCl~_4TCfhK$}edn-gG z^;PUbEHFw>nB13GCiY}RB=hp{)pu=c-&JeJAQ4K{mmv0uZ*6U)ioN0i^vqY`SHF#r zn>YojBB^p*P4eZq>JMICKfA6iJ^D2;Hos|{uT9&uf9gfbBoVWh`t)~ zWPAB0@Tjd4TUe+~a$86B2QBqS;qZVMP~!Z+JmkR|BU*{*1WRzQTEo{VU&GgBmB-ug zZ-mLH;CLJ%uB+_YWOj9;(GWJsziQIgXNKo~5e^ghCJlpvEK+))aqP7#nRuD=y@bUJ zpCBgs1c$iUW+x#*aE$xOlC#gD-D^U8n1y#Mj_~d*PHONq6E`t0ye|)5doiIUL+r&x z<#Z3%?4gV%$xLM&X_2(NZ;)E&m4C=_urZ106wmG^X*zGOS_Uvdu}Etch4OE19WY69 zcBa*tDYu-G^sbbD)Pi-JH9xA|D}kYMyp)MBu#WtQivqtym>eG$&rVHI9C!s+L5@TA zJsNHL1MUxELht!H+$8GK@*BO#9Q0N64T+-6S13#f2naZca14Ewu$G7AGe!uA!%q+M z8SCoc$n0tV<&Y6)R@2r~osC;ug!J<;W@R`1FJw0{W$hAx8U1a7YxK~2S{aR|Rbz}b zBFdGT2biL~=1#{PX~49(%=BUAf*__CZbWr%Uyr~aGs@T&f_KwA;5nwpTILxclC3{! zlRb9-?NNPWQt+p6yzzI?PAivJlb`)$GGm_A6OX`q34W8$9UUs+uy05hv*VO1?%=JU z6^hoomPYVCF93ZmUdV4<#yQWOOg8;zz7G|007@y`u^5=w`qYI8s;$BQS(5U%xKV+G zRD7$3qxS(Dj(R(|o&AV#fX-f7&AH$12XAg#R)ZgT^W~fW8EfgDJ5G6>Q6rE?7ldc}Uw5%LbUA7y~{;#HG~ECAsL_ z%I+0T#9R~cu=u})--nV9XoHv1+aY166>4)e{VtnX*rUF6`=-Y>2ZO~IH4JVfb)17xdjGXslTU8F7&(pbC{4?pkL%Uk978$05r%$oN`>&`Y4Q!0;PI}uk+IJ!Seb#W8P2V}v>0VH3c zUmxf!n5Hybad+U7k>Venz~H;z;5xe~=L%{sJ9dyjc{+2)$XnmYWcQ_&$d@qMjxP|6#N zT)E(xUwKr2G{To%r07KCAt`dGcve&|M%l9bTY>ZG^-$)Z&*H+Ox}k1)&h=+qaCemJ zb%s?mq@nq}l{o#|N+?j_Cm9TaE!`7y=8A5S4mazMe>$XT@-x$O{|uR{=Dv(zUwk=r zvO`H6u5WFXoIy{U0my0IVDm21x~(S?9aURqZ(cnR8X2IvE@MI=sFT?$^%qn#5z5$b zT}D_3?boNCQ^$31sd>Y8-|G)K zP3G)$6(EPh!B$pAhd(tb#JQXvVcjVILoH&vPV?|9euSRF*}%X{>GDU&0ay9Wop5F6 zDiUT~Ym&$Z>Bg);lcMfpdnxzZ%P#{NV)Q`NfL4K-#Ai%2;`^<0M`RVj=3wq^YA;GA zF0;gcY%mySEBQKc2(h@YNV6*Z-$Ua5$PNr2lJGm5OEE?cZAw(LhGkk)oa7(G^bIr^^)?YxE*F``Rgw zo-`%UWWqZfN3426XQ|LyGz6BaBHO?8x-V>4i)|S@8~FX>utExYd{N)DO^pK2`IyX{ zUw>~<6n7)q)NxsLcpT!Pim)1mAn@Ex7E=9qHEb{_i z#G4J&zqJiyqX;zLsZ1fUjtoI1sxqe>qE2F(-`V&F=}L#e3DUSlvk{yTG~^akYi}t_ zkH;rml`2QVsuJW%)Q?quGUs5|;tF$XNi(*MQxH_(>Rc~_O&PpHJsmm8Mm+0&tvBA| zed^K7>iUL;EfFJ0lSO|=jbc`Z{=0bII2g#xiv!oOK1)XspCo*a%AXoXrq0cH!AtR& z?|~Zup5zFv=87i2w`nix)GV%}3kzGUg9_}2q;50m^f}QGj$LZ@$otyq@5(f&U_&f` zs}fdM-xTFG^a?kP0`iFs`I2gBUUliMw3a|j9^l}>eFF=UY3$|SmtD!s5%sOo$g-F( zS*V(*ne~7F8QWt_ZlhDm$?66eb~Wr=%c$C-aVtjDF99GYaA26Sud4;f9t$<^n$x0A zFEGw|4HsdExKgS5fv*1!EjbU6h=rC$bzP8r+&cTKv>u1XXa&;0qBi5|n8BbM=m(fs zdrCVqGN5}p<`9>1)cwh$*BQHk35&Xm(m<^*@`51n>Zr&Tc6nPFK&q)(Xxn)<#E;>qg)l`GmC2iM{biuhCT{2XT}=A%?vR0Ej2rQFw5Dy}E{;8Jz^aWIX{kjXb83119vLZdn) z>$ytfMp{zHr@Tf!C~(B+`5tOn+>sB??e1%|a3#qe$q&<~atv}*Fx)t8h5(vah~Sd? z2Cio-5B^qP9SWI^wf7-<>ZdM%bz~0~S+=Y6(^(7rBD2gOF_i)1Mcc33X1Eq=Pi5bt zI^7Ry|K?L9sUSb>7e*VqW-t!O@`|kELI#yo<2dVhXK}LuB?C`7`z-}e^3by9XMbFH z<6C_(k4y;~WBNjrLzRc>!EaX3?E96Ok1#SN-iyyc7&{&Q*YiG-=LTbQ?(WXz87ke? zz`olGS{(<>m+07rSZ;g2rshddQl~Vk9LEsvVu13!vzX=^#v+|dW?kD) z#;_N(TglvdP?4*gD(zSMr(BSBpJa~8t`55~Zc8ScMnm*pnqr6g7&#heymc&g*XHq) z1-!H*Z(8(~fOKOX$>_?SM+EC=tM3z6#boxBna9qKR8<#Y$~}UMj-`SPV~=!5G`VR% z@2M}k!|9(k4WM@1XiFIop+7tT=)PRoxoxSsaZL3Cb{wzqC*HLmgr|)&~oeZ(O)YgB!eC|T7PK1G+C>7XmZz9>2Y|I zkfPo=%kak5WZK>ukLCW+a?1Wj*L1Qii^0a@GbQY`TFw39Qn_*ex#;rKpLgSz=CdEx z+&_@jpNOV!e+@GT$veepWSC#7jV0Wk-2I~4Vly{*STVX1d@_y1Bc1Bhub{;h17tfF z*B=x+;PSFx7)-7Yek#3(3vNhCPeWCvIQMXD1^lZG{8L_J(K+vmW@dz}E|uI8ZK)us z2e|wJgGZ-j)Cb856ylte+ZcNtPlkY>pPn%Xw7TGxTxT5VA(~53$=%_Th=Spq#jV20 z-W=s2ZDB92|Fr)azC3(K2!h$pv(a<`z@6dt@_JqvBq})`43it8!~+BgxiPyp8pNpc z=8!u$# zDIK<&FJPK1PC?|zGVzIBeHA1Jy^m6O*q(Ag-?Tbg>f!yBM5o+=a`3sN3)3FXwL&!S z9u=|}^6-hCcGhxLJ|OkMqAJthSvn1e;l^cq%h3@VQT9(CrJvJ%uPB21;Ol{a5lP!5 zQY>XG3nVa~4m~mC_A5|HJUWFy%l$M}!IEcst&rb*R>mO+2 zp17l+Y-RviwoZXnx~2^8MX`UaOg@6=V(cD?0a};rLCS+7EG7g@*Xp^=o(zN91ohC2 z#_uAip?cfD`Lu9hihtkg9ioL`6-YjAqJT`}8mTb_d`bCRTq@4A75})glWS63zOK@s z!SFbx^tmHJ{-sN(*|`=PBeCehv@(fbLg4goLaVb9HJP*~4FBbUo!+IFBH^a^ zjm#F#y}>~&k&&g&ON|u5cO(YFywqTZ==G?nH&ZnaIZDv;!A|=&C1~YaE3R@6T9Ze_ zr$&=t)_z{`*iu;=T8?47pCY+>taz0tvrdnzuOm`}6t}3WTabjO>c)uR5Unpp<#$;P ztFl}HfdRDU&Jv)(FCC!ar+-k-Bq(J>&)VwH=(70BEFHD&$m1idG+YZM(Lt6M%Ov)* zfB~szJ;xw&EE2rkKaj-5oWHnFZvQI&UVOmP(en(#&CC#c&Sxk`w0@?tc91u`7nsE~ zDAITeB4mwfC)`yn$&z$v(b76>y6XWyHnG8GNLcsD2z4Ea8)PIGWEqa#>h`> z#cs;TaL5!xXV5!ht&**>Z5L)%WCO1IwJvdOMT+5tkuk=?kEp%V)Y!k{^%8(I}801q(nH}!cufj0RQ?KE+K7cN!W5ekVx4&y+!T@)*1WBVn6k5;3S z94i+UGx{P$W3(<xpY@BJS%hYYpY{b(na?NZGJ#k>}zsErG1;!FpidL!6$0N>z?6-&F_= z@J#~*g27DF>eVB*e{!2(oVbMCOzn79@j_*Mz8DI!;fHkG>ebPYN2nIOLzW8vc(i#= zS?V6c*%>R}@1Q8LOeTA6TZNzf4HapBZ6npNaP0%JQen2qM0YeVAt^)Vl;->RaE8Wy zcNJ_sjSLJ#MPK7*Kn3oUwKIT}`F0nXPgkh8$zckn1q2Yx5$9Tp1sXWC9lx_}cVA*y zKMpCgX!|1@d5Qn@#!^@X;NE8UglcCZV$IWK-Szgh? z)vq_Blz&hD1^5P(#IZLC?tsM$J=Vr`hqbFv&&z;LVj3;T!x$Vm%Rve}W26H0RNR>mJy{qAR z;k6#}sA!?&B4sOlA}TYgDOo$tIKjJ~%4yyH=Sb!d9{vEwhw0umLZd zvsB=0|V;q=g)X8udgplWcCOs$Aiiog}7yBoH;Wdyikr_ly26%;`Lh zJW>vXQT~`Dn+jGGjr)3UbA;ADvK|jH!@s>slJ?2O%y=qoHn6<%*p>c)R_hI+w;A!= z6$=XkR+L{fB9Ry2`sem~(Wz#Z((*3_zwPZv+?^>6DVoUpM^)WDV8^IVk9zI&Q|2bY zbO|+v{rp$!zRvJ5tP_KcRQ$dBB32GE@iT-m6tO!b!Z?6>Zl zo|Il?X!NrCmwXHS#^+MiF*Tff1ePd)K17Di7>^8TH-84i(hCav`S86FUpDxBPcfkN z)}YMmRqwu|y5__2wGY|>(=CQ_Aaitd?E{5}gqiHCuz)JUSe!2s$P>aN@~$8&WaV<{ zy;AG?;&Pk2<^?EzQvs>YC10d7Z$RtWQ2W#=h?(^vLC}Soc6=Kj8X^ReDxs|va@c-U zgAr6Ng77!*v57hB;_i9;uG$oEWc)rG4urG0qDeA*r1HbS);S(|9Z{7E`hyimp7dZF zsz8nqgA!umP;6e4gNA%C(K$U9!;F;{YJ)uRX|ibo!3JK1hwx0lpV^ajfY-016dr_d zMdpW)ZY^c%VFxO%RThp(Snxs(ikjC-Hc;^#`NnEveR?YBzS1oHD9#sK?QYGs0lDW5 zYyerc-JjHl?IYCdg z)`(hZ@oWi}QJHyg-sKyNuRcDi>~TNkIun_pPp#Cj3ylctI3jg!P9Bq6u)|gWYOjy< zN-A$oGT-tKejdf-i7G>9l|tihby63S-_KL83^Sp@jWY&Xv!8qip;hFIhG-S1Blqe` z7*HZ8MTkYBa`c9!4Ee8?A7&#VTeAl{_NWj#bEE z&NT{at54;^fM!tTHGNKz_d_AS{6rI})YI>ppD)hsXxaSruquha=3S0vZCLlTzYiPd z4CN9Dn@Mf+aE5P5_Syb0$hfbEWj|BB@0s%uaJi@?ffsPW#<%6*bG5wc;xORk9fxT$ z4(ETDWZGr6(vjKw6pnSur7?eQWid=s-}G+1Slc}hI<0a^M04eR&BTvIjKw*=0BkFW zR%nF0GPadIT#wqH%4GidI~?r$z`$E1R|Zep?Tn4p%}1`mHLCHvN!@4Xs9gjX#;Q}b z*wNhBE$ogvzg~99RjuB+a|q;<-?loVIR`Y-KA!%k3=EnZYZ9qOts!f`kyViH4=*Q!qt|Gx1n^(rmnFF5<`6` z!}Hy0p=6X)o6QRcE!I zL)}vwB`4gY?KfonbLZnKDKcbCNqoplTH^ORjQNs7cTWzxA?!oq{Sci~NKkuIS%i#Y z$zx?8Mhl+%*SXa$ve=4V0*)(1-4m#A!q60B%Omjs-|#Kh19nz^M$({`oYi@}Ez6EU>mH-HCCLZZO_MHWHz9RE1j1Dqg#l?Y(X8Gt zUy{yokCcTlZ912BhCr7z{aYasV!5hAgr`GMc)CYMDG{a@T&8hpp~z*_&;eSLu^ljX z`Qz>-Rg11TMHK|*LtL9O5h#luFxa;!Hq0uYHUmINOc-{)CDACW;Nk}7Z3;IU)op1% zxdL4H!}gd66vngUK=0^OcAjBi^2(@Ou{$+hz1pnzHj~LmMo2h`Yg$?A#ddJe-V@bY zTcg)O3Ds2fq~O4NZV|2hmiw=fC8%z;95=OaG&@I48OoCwxD7z*Uzb_6@Bw-&Om0J3Q z!q^Y1qFu!X+~d1(<>pmPKC^cU%XT*CtYf*)eRQCqwr_CA@*IBB;XV!?FMqCR?fUu? zGUOLI6s!T5db@2()+c1)0Hjv-ccgZ1og*1S*%+=+HvIQ$4I5puSqN9;I#z5y~#9*XWT#w_kEhR zS;1N0w&NS0u0^(c$`-=zagwA>m?S!@H|^YMK?InZzp=Wef5F2Ol_g6p2R4fcczgwn zGVh43>k`Rn?U{+f^|t&8KSqT5pgjb$=vukS?xkO#jF9UOBW&i6lXJLZaK6)SwFe-B zqIyybG0eLY2j+gQx_N!6ysKwiQEC;;d@)2W4kWhKO?>Cryto<~l*e58hGTC*o8jn` z;EG<~t}oHMa!A;YvoxX50mY~R3%gwlv_|}<1CiNe_xUhuCA2GvIT%JA^M@LlROB5X zkBxCc2q&$mr3+8fAq1#*R9(0KmSaa6_W&r4uPbc~W`&$9pu&X{G_qXdJ$$0x?e@R8 zN~uy`bU}`Kg6dn(rF;qENS4*w-q7>%T8q@Z2)|R|KIkmYIhL$Ejy@pC}g1YZ)Ua$Rxsx9MO^I5G(_xQLi3*U&(iM zr!rLftq2=qLUk@CA#b7Mxaehv%%rnAE0dNDH>WBTJvJ8g!vcn26|Ho}<6eK$HR-or zg3?#4k%`>6n55iL#!+^r*__U-F=!>EN)q>QobvL5It@fRCc`zPS_!(erIQXuT?%kg z658hzrHEdK5I35RUril!*C|RPw)zjq^#k1*B4i+QD}vq;Zg?zZKig&QI2mFi5Nf%{ zYYoh(ZKl5-qr@w6j8&D!AjE?v0D^bCK#G_tvb6p6k$> zMAOpXsuSJ6HqzmDTMrSl#CiIGdQTzKcjKg^nHJUfk$egDxD8hJx{)>-mNhX`K1Uxutj`xYSB z_r(rx%b#o}sDFjuUQlxlJ*=gH)KosTMei0jMC>;&I zT7XXuvl!<&)Kfc5ya1+eXn?v|Gg1$5&jORWINit{c)W}=~rHxq=h_YpKH!dACOIxMNbuj3z&yM*6 zbwa_eWE9Kq^brm@>sQOg+482WwWcbSAM0BEQ;*&!L_LBZV!{uJtrG13ub6 zZ=p@0R;{&Lp{0lnyr_6Se7x)nw0d)Wk^lKC_^jVv@4l2ch$Z+Z^qYKS6#g~Cy*dw( zYbQzQbrbQ(;GhT|`6c@1B(u6X)P z<_AWA!+{2L!?W4XgEs&HQ6xF9GL&*V&#*I|*dBc|_UY6d4o{*b54W@2Naq<&vah}V zokF^%y;p}`v*!FxtJu~2E>U_}pQ*n*$srz_e$86+{8bGb03P%_=Epq>>#8#;2SJP( zfj~jpS3hJ4u}Hk%lFk;y4g*pMhUZ{F=%#)nK=D}F3W+Vd6) zG@NBdFYrR}Op12OoJ8FT7ia4d70%;buM{`I?jlmO$#RW60el0Igqqv?J?n7tGqw?( zp;cGoCvg3dNZKjbMk<(mAp2L@!+*l$NR1wOy#l=&EhNOYXX7*S07HF{itpglOdiR# zNps772{$1%-Ym`=IA0>Af~(-h8)b(u4-tG+j>=t6}N*=*tKsxQ#C{Qt413& z-UM{57I7+35kbCm6T=Sn>X0#P0$~8mE^S{_a`c{l5K+UxP-3*hB#no#GktWTBh+b& z$cNvPswRx1eTf?Xyo#DOPTd=O=&o1W!&K12IEwEXXV-r44VYbTN?$iJ z_)bAauWT-5tFH)9k1$XCZn)m*R9It4A1 zM>Aa<_BD@H+D?L(P2Xy!)yr8*m|86#%4tbVCZ-LCO-0>XNj)6fnpQeu89UX>tdV}K zIh3<052{S-M-Y66<{T>!%(+L`9s;6Ie;hd#sg5xhaPJn7OjM!{KYRb)A1%1ZY%Geb zi(vf;%ARBj7~}lI^l7*@Y!OLgWEH*281>}t@%QGrO)T8Fs_jI#Vw+R7bE6Py=8>)( zEJ#IfV?!jqcVNa^c1q$>MoIH-opj(w+GJGbhIk*&Mw0fV9VG(K^!p9u2eI$2(hu906={RV;& zqG8(GGgNBBPfBmSCmIBpvKivPDQLHu#Fl!$Ce5v%yA#?N*dn;cirj9bILk79s)DMM zk>ptMxbN%4LUz43=SnS% z`3U8egB5SXd9|g}Z->7I5(gY8?%Ro`ZynhGHYU?<57^OnLRI6trpxOmv%o;Hs7$bK zdmUa?+XO>o92G}L5xa}B=DGi@u~o%Bejq)i7ATUHc{pC?!Lbaf|HTQ!&gZj)g6+I( zVZ*&P&PTQ3c)6Z6{tki6cr%vakd4ro?N5XwCvpENn4>KE8$@I)W5qqbi$<%@MO6(Z z3k&yOQeC;FQC$207aesk8Q13>&d!|KvfvOxZm! zA{$5G4BXi&)~^akn}SnIbs=gNwT-HKRY9Wmq|$cqxh zf^4l*Q=4NjhRcc6F`xxgs(+c3@Q6Vvs#G!`y2j=rxfH%6qOSZ9ca&%ryhh?gNz&$g z^>MLFM2Op;zA?}DfF~%DUBaC1EZnOd9kPbenWt3tq~i))&r|oi$E$-giSaB#+JJ&m@Jw2H5YJBx zP-7A2gBE66G&1Nh*HpgxSifYWb6(JpONkQ^TuhJ8^=5Tjqsz|LW-Y~O`t`qK-irJ_ z&*D+q-M~uuyk(oCF_?#c92mU8Lz^l}u}wgVvPd5=_nTY7_Q6=OQCu9-Ure6ndMbyT zCD#w+Gv#n?6MONwf_#1?135M zQoEavR67$EV`7A)f`vTBr|XI3c3W6i!)m*XrKDG#^Vuqu;9y&!?-dP z#Iq$~n!cx!A3q^bLfJEK#1bq$c15E6d@SR1fX}8!?Ta%X&%>C^`6EIKH$&Z z&EOFS8uy4AbhGcYmn=7p6w!Rh%5Ui#g)@K>0#tWeME4RPW&x6KBk6GigPvy4Zf-KkVoH<{%@bI0B&XNcL+ON$%`ws$i6uH%{3HO6w&GN}bM1 z`adrjdOOIUU?@&(Hd>p%b}XPgdqxP6fl%OO2xWWPy#BdulCv=POt}y z*;2*5`wV4*U!jvvfMEvNIGu~Z{9<5uv9uN3nPlz%HsBv7Cn~flb=5&e1-E&5|K0?} zs3bOPPKCYK`zRB|Gdc7MIx-mUu*(!(s`>F-kn|g8<%d#O+v|9j@=pz8Vv3Tt7Tumb zV@M?Y>Euv4%sJT{ZG>DzoCIW%_5-P=UC4 z1LLfq?)TDg`MIc{9%;TwE$VLYYBjM#a##0|`HL}}92~TyNwBV%9Oq2_1nV;*n=!D* zvFUg+wqo8h@G`MUrBg`!db9lO`BV)^?;3Sw)+nV>6f{}{)txYV70qL+Xj=e)9 zTKdAmOxKN6>a(&2?xBf8EeN7VDon9Y8v(?F*@B*L$fiV-|7n+_ouJFRDmb|<$JXuc zI_@VVFezJL(C&R8?5G6Jo=GTW3~3-jL%RVZbalE6_RAN+;2V9Dm`~A*CM|ucK&;@&t!7vAE=9uLDS*X#D)d8#vZT97n^SqiJWXV8e^68Ucz9kBY&uK5&vgf8rxt3AMoM}_m4caxfoYmqLA+F{ zSe$BNTta?$N)bx-n>=ugUXh_*j-k4qiKUi_Q56V}AP*=(kA;$Zje_;OQkG+GVKAn?tl%UinMx?-t zNA!#0>T@gD(A7AX;|ernL7w~(3wD-?07I3w_h0$6AxXaM*PZvVm3`DhoGq^uYCODr z>$J~CQHTfH9IR@PbK3*%7G;D6QYo|SD=Hdi4k;ByGyTKkVJXHtWtth}{01k@?YkpoF*)=)ewYsp#!Utk*CD|?(k}=4nYSz2 zW!?|-kP0QW#%5RWqMB4NI}}r^N}N^gt7z-eeX$PbXA$2Eo9&M4(58$J<$xaDlTF7} zz9LcfraRAit^zGb19u-$?D|hdHmA9pUO$vb{7?k`KNK-Fw6Kg6H1`)k3%Gcu-d`3# zhqpAnMJq=W6tBs-X>4v~l}glB&$4{E*A6U%-eqe~Vc!G;VOo=wafJN(@>2hiTEx{` zG&`DpE}u-+uVSub|6PC-@~G1Yhp8fN-BcUrXts(|c?0ykXeoi#1-arFvnw zgit71^jQuBM7z3Jv%ji9UX*w?++@~~opYuzJ1(uJcN6g|&I|F>UE8ShV3g@u6k!+? zHNGzGgOjnla@&5kI2#MsPhU}x=UetNGCRre-G~vJoRj_GKwj7QYL&6oe2kd7iRae6 z4*Zk{z9MPolvcW{V(^sTWa2VvH3k|0$fo++lnmY<%Fa;lZwO~mtC=1J z09arG06y6NAMd_k6n`LlQ$3rHUHzY0m@4tor+oM#Bk{K_4X%G-4*zAV_53y>>qCSa z&3{9GZVOZG59IvcT6CtvezY4^x&> zf16sh`xo`!kb|{cX6_~kKne-@|7HJUO2qB|Afx~Kvi`35_&*8Xec?4g|5X9to+vkf1=vo+~2$X-&}XdAH#pRkG(G^1^!P73jpEc z^$z+`)AAD(KnDaA4E7R^>1@mlxL1U=VgRQJ1o_W0zDkQ8N%>a};-y JcQE+I`#)@^Ltwrx#p+qNgpBqz3QYhq_&+nG2MCllNL=Dt_;?pN=}sjl8@tw!yx zKHYnF#4cR=J{+Jd2M&P&0s;a9l8A1owzbwUlm!X`VhaHR0{zcwZsyG7{ zf)TnhU01K&5^WLyVk0FZ37QNQ39`p3qm_uBJwn$HgFyM!lN{zzph53+#k<{(ESD$j znNHALQb|jXl|)CsaOcX_HhXYiW8S>8bJ%7nZ7c_`2{2Mf=qhea*DOBl^eeTcp@R|| zjEQE^l?kycoZOVvsm=1gb3E8~foqC=NASTnhFnEO_^}N3K&~t#_;Wp32z-MFG^>dA zDT4*h*p}LF1l|GFvY`8<5o#;@bujT3xf@fX>&d+wHw#Z---vVYhw_!rz=Yx7>aKK> znt4|0Djfq8FX(tnSL~U1E%pzg zAsJg4r*33Ur#RO)ALTG~ANtC_i!5#$8V|kfTyt+yeYi)axQXaKRm_7RczJd1%C7BX z7IC$vtiIOBYzIjBLp;zOXQa~3R8S+?8pjCZuRx3}*~sO`e03-ZWbS+gAyrV*WsPv{ zcML(LoN7saSBjDL9zw)#x;b>LY(d3wm{{k(f{XWme9iLD5O4b@9-7~R|JQ>F|2+8S z{xElm4+26-@P9q%=H$#|VQ%S=ZlO#dyIKm1l>^}kgK)`a?F<_Xg--wkbs{1-2Es#l z;!|h`KzO#H7zgw-ia@3BC^~aJ`RldlwQRUozUKIMbiQ5~Z9>iT7=J^R;0^1YUJi=C z+Rp3OoLcZap_!fjE|W=P>#kM)XGJVyMGuWudI%=;^aj`o{k(QJxiDT?QI2V{Kh`6! zbb8ix0j7MoLNw%0NJ0Q+g5PuEc$WCM_V?0=3h#4Xw@0PkslGe;{jX=!kORg-6Tdvo zC1EDp1CiE`gkEosMjMWrNV4SGK=OyODxyDm6W5^2u>~;xGIz$%&j>0~Bct&i<@ZomOi2O)BPCbdWJiv*M?O|hG|O?mt*Mi#}un35S6IBxX~EF7x1U2f)T+)MT@3uxw)_Of`BdN zX#Q6mQd5+WjA2Dg6i--!fzEU@`s4*}O^!gcqP?X4PVbCtL1fI!gz?&FPx>}))taLa zRS1*vO)})ES}UN^0%#S6Sopmuz*j?LTwRx&!QYc|#mgEi?5!oOM1HS**(~c6^##Ir zRYQ8v_O5u-lqvkf_Ody<=PY@opnYxmd%mx60{^wi=zYBYScZB*QttLZa<@a0VM4)y zh@p`s=!;m*8R0rC@-TDImEZ0?YN&!+HxZlXE7>s7*E?`xR3udkl^>ceB=%xDglPo6 zkH29<=ro{(+ka{?~?rIQsriJJ_c~USJz7k-w{ybV~m%u%__2UZ>lhv<-K| zq_lXktrfWMgEg})d=utqC8IDl)HeHQR2EZYdRZIV8~SV2Utm?3+SNrub~-t3Y{>9M zTc^c6Ot0bC=W(6>vzD=K*dUd+h&QVo1eCW>xygz$lJq=VhZg$#SsIAk5)`)JYGOJ% z#P+6!(;xIKUK6*PtZnhX1J`kQteEX~vmw9BmezpU7OfN<;RxCji)Jizx2DgxG6nQy zh2EU5RTF5)u2;wpnEZ();q?y&sY}-g-3z)LW*P53Mjj-lpF~pW-O>&YNbzq zY>-0fLBV&vQCye%@-E$s(YPNZEJ*@p3{Wh7iJIK|$3`9;>_#?kjekqmvb;fLdkihH5Y|zSeQ9^Ob<%GWh8EI7S;JEigUr|w*}7%PYOM$ zn+x3e5O4iyf^|ck2bL@@)2kur_!0b^)?ER?QF!fqwW}#gEo`60@+^^Tp2-EdGK=(- zshpl?f(}=zeV}WG?lt_|Z9GR-$;1|}U4L!s7y1naldskpvh29VaAzTh2o@P7BR0Kh z*@2Zvuql$Ka#F<2Z0Q=CP510YDn0%iLqDMT zX;_2_iBHN&-<)dfmoq~82^rWBvNYS1#7`WLkz8C1E*RVvfkZu%Bw;59g?d-oaYsp5 zJB=@>t>`Y4O=rF1mu=w`dZJRl_%l{>8^3h3&M35)=Jw*1!b6c0gQ{<~a5^YX#C2G8 zYXSV1$7SBKO5Oa?c843UfU7TPI6AN;Y%SDEQ4Lo5K5-uRSs^55vhJvWZlKBe?Z{pE zidHHwU<>oMmBvpYc8xtx0*=O&dk za*FSV1is6HMUMAWU`$9^7nC5Z%MB$Gn-;!yPEcQQ`P}#5Jkcl2qi7#TYDB>553ReL z)}A@{@Xmfr9oRlE6COCxz-#SOVD!lR1Xjc2&I&phXVHkM&}h)3#?FFH!@Uf}eDv8L;PGOGFSr@FIt4o z%TjsaosQ=F)+?51=s6YWRUiu(oPP}Ba4EB|l#8RBo=9|9bxc#6b0J_Z>QR4a{Jfen zDDEpa<~sKlo`rrq{27=Gt>3fxr(IOU-ZKBEqw4qb@@;ImQU8nT0!&9W_WkwUxro^f zdH1688Tk3RUkPYs>rVVmOJd^b8YIzFVaTVggxz^KfB6@Fm6%(>Iree_ek;T*HfS)* zW1z@^8)l7qPkz7T{7q!uruo*8Sl-&CQ(*bR%c5`u3x>Bo4ru z!YOdkf*iMwpM;C|NQ2Uti)*L%w8s%(tCxr>ct_`%wGpd z$VaIsA}~t8pbPS0KXor-34@Pyq*HEu)iI+QJSXUZy3p=a3H*m$?bcq4imUO z%g_WDzjD4Z5_pWOwO6R9{!GtBVfN<#pU^VXnFQ)V|8Ur{zAJNN42$JV>WUDXqTpYg~TT7BG_1LM}fSF z;UJhn!MSWVxS;wjqmEv;beHaPO%^GdY=utSbSEuz7V)iTz5#qBCZ#0>bQi890E3A| z2cjdu)g7l`+9Y|ZgF<2fPchs=VXWcTh7X#UUw_Jds^SyA-J9Kh^U5HGF8BmWl_ggh zg+C0fnvSLxP#w?;o?DS;s(7miz1BBKefA|Fr_9;j5)_ccB)kt&{|MCKctkQs z{SLiQ9q^bp=_D}2uB3gE07t$yDTEbVt(`MXNJHzB4NQTBU{&%(EVqu9VY;ayZDGp1 zoPvv+t9?vnyr$2&WMI)hTJ@&Zd%dj-pY1iUeD3rHROB1oH8Anj1PmMr*p(K;k5w2Q zky(3VH1B(gf`GH-zx}4UBxIiMU3flbU7+(CobzK=e@~!j*+ZOMXzX&x*`t%(Hj1wk ztcl|-dLb~HQ4^+RsV?SET6;rwb+zAT9T3Y`Zb6gGNrBve9>_nqKYO#>d4Bl5Kv{i+ zS>2rj!w9&NLeo_P_FBuR>!B;G29?&N;`#BTLA&fXj`95zPQm$+9x>&EYGOHg*YOvW zue${nl6+70=t!4#XMQ>@yK?gs5oxhKOsAv8i*p0Wwxo-9I9vLjC)ANs5HN-r zkGo`e5?%34ag%a!{*+kB(i`~*8;Q2%LF$*liqi@XOA*QLFa<|3#EUB7AG;un8t@M= zt+Mi^=oxSS13_)Z`4>M|fp85P%3jC_=*4c$X;*X{bXW`&ZiWs;e)P$r{^8T=D3?2V zHUx=jWGY=UKcAS>^YplM(b8eiHlD+8lbRs*Me7$On1WHpovd4!yTb>QRh5ElIrrUJa{J>I5S))S z7K#5%-0<_*6;gb3s9-NH%a4ZPH1#*!V|S%FcN6{~`N8vcOoZEFbwx$RldoWV|F!~E zdny0NQTNo>Y>E?|$Wn-lswL7^z%i;SLSx0P7n! z#SJznfVpw1+8bC$0X72?)Qz@gSI$)AyF6!w&9wRh;d*6-hsiqli7yz*3yFB8MuepP zO=lc7m#e1juQe%(>B)QU&wQP;iv!O}#Y}3DT58&$%Wez%-K@>o)x>_F3Oaby#W5fH zr1F<$V?GW6?{9TtJ!5XW2_Od!y40X7_4s!!cac>;i#UwRHpRiX6Qw^d9XI+& zmU-^N37^XOW2~O(apz9~xc77t7cL*ZKApgHr{(y7@;HrY6!4PuCR+8Q+Ws4{0+$CW z>s@dYTGIIkyCquP$`7jE==}`Fw4Y6^M?s@d$Fh5Da+&ZTFCyAo0a-&x?%tX~rHX%`a2Y`=Wn6Z@ zf#0nr&Ok5p`eHKow)kdeq6n9yZtlpHZ|=B0PJdgBoJ-3HfV7j|`FHHBpjk#g z{qsW*qweB)!t{x3Q%9tnGvz}S`w89-gdzno%Ebx9_?1Ksg~vari@&4*YOlZZOBBDL z6|34`>i?v@^O%H*C_Y!n68BKZGQiT(?#~8c;@t}kOSR!HtSPm;l^>*d! z=SAHy_Dc4I`#b3^ebVt1AVFBrI6FHN@T}+ByFP3JU^6rZGE4SHY@7$mYQK$oZc{#$Oo2^hNyh?O(z8BOU5?N-#Zi97>aUH8kk#q^o#L#e7pM77Cm239;yV z%?5Y)rh=;gU!k5$>S(QC{Q84Z@RxB7M1gO5hc-~u5Tn$EcSUA({Tugf1fQs7JE!Vs zu$ofxx5D8&#p5$Y)}Wk(DYnq}Uhcb{tL=I^ctN`3>%Z)&G_0xDu7*p&$H*C`&r`fI z97++~Z*xpne~t3>k3yV>+`Q#Ns{c4%at^?7p8sXKiU}-Q04>{GG(gKi$DFh{+6&8y zKH3D7j5wrfHp{KJO)WhO8-AYIrB_7)mXFyjW#?i-v5Xa#Ogz(XTN;}N&>%l$xGW&A z9T^|nV7UjM^{uZX2IiYz#2YGa1_wr2S!9>1W%N(-zK`~Lq`~oMFK-#1q0-iIu92p> zw5Q(wD2?Mf(yG_6m3rx3%=3wp2 z4J|-sL1+C_Tc~k5!>G%@Nvtw$dgqw2YSkH5?_KR&D_Trs?3%`&94lIk7$s*{GPUBQ zS2A_rWK?d6I%R{C>>e?_ABa>`l!#-!DV2awMgN*S6PQxMgBl*y-^0nToxBCtR}KR_ z&0a9rNJQxht>2l=uW|B~$mMdzaAdrK(_ia|JaP&~=$(k0``EbzpFY(pEvfXDlxoMe zPaPa2pV8TA>$qg!jx2@2&3kWwfL)Qm#1a9AD`6kv-~SYmdXY}7xiyT837Kz8 z`J5P7_ZkNsreyNYj-_?4RG%=xwTKKFzuN{u$|90#X^YxVDxZqGE7NL}h!q1uM#vfV zzJy#*k1^K#)YphgJJ87ILCNR+kk3Q*wE%qwJ0Pxx&8enEbq*b= z%aR)?90+!<1%kJZM#2E4GF#wA*j?kNL*36DXhk}#pvdd=Tv$@L5GHo93j-tlx%0y> z{E7EAyiV-c_ng%k#xhw#73Ihv$>!5RpX7kF%8%&|v8Nuq}krvk^h=jL%Nu^Ymt z?fyy&is~MTbDHcCg3NpLu$4&cDRYv)isF6&N*(?hA(@to*@_;I8QK74sETpJ0ZDQ+ zXzPvFD#Dd3k{7R?@}#^aN?`@a9rQ1T-~;f6zOuUH{v_IcIg%GK`}*B8^Pkex=}5Gf zo~sVl&Sk$ZsKBL6PLi4U=iy$7pl&k;F4fj9eEo`{^(apgL7BY-rCOp!F`)1ysm8_8Fx z#hRdA3wF$o&PMui!FXm6#?%p#1I!jQH5Jm4p%-~BI4Gb7ANe)+IrUX^KL%>{3Eev9 zOb2mmDI-sRt@u3;m%POSmEvL1IY+|Wd1zNabcVl>9`@vc$RBu*+Uz(CD(T^2-D6p~ zi4Cb8r$)Mr7=+&DMpNW-2BTc?Av}r@`!$?>_`~rmpgUra^MxDDsCjq5hDX;=K}M?C z{NaY)wS%+^ZZQVcp6L(V2C8dE1_9j0g{vXrrP@1Ix-z;Ar@yCM`g_4Jl}yF=Sg$*< z3s=Q~dHDeq=xd;)tNqz3C^1A99nCU4#Qj&C!6Lp>4N9(1ZzEG{M`C)b?O9O0ggoZX z)MyW|A+(jxghV+WT$G%V7MWg7g)uufu}%u!f(1Kb#}=Q~(&i8qJzk;vy0TCfb5{_d zl{DTIQ%x(W2e;nPJ`p!QMB)guuO)$aPvzwXnz?$`%L1G;{~*qee!!mi3_bknpErZe z;py}Y$*6v{QIc;%&L*240sR;ap~NH43<=$&Q)T2Z;D+yIWETF+bT@3#pHhBu_{LQw z!0`OrDf;-fXy@+2JI$Su1HQ)H&&$kB)xv{%FT*S9I!8@efZodY8((=*g|=#nnxm4` zgn_d8`vcGoCS~I`)qy2UCuP$CR|MH4ZlIOTWS|x1U67P4;xD3Ib;$^=ZQ19Vcdy}N z(L7=70_V~0j)fs+0#b2!lO`r+*|%r3h+D&Em=mPe^MGxTs>-`JT(4&=S_Dd4`J>^N z*J)n9nFw*p&FlysjZ|@ zVggZ9_7~<>BQ%xEIXwY5weSUnRyBHM5*)G$w}R8NUEY*d7Bgis<6X;Wy2TPFgij{Q zBS)YLqcqm9e7BEKwNxh-)M+AX$NQ=yIqS}J^3h1oj}<|}hWwZI5DqSUkXP7eQM-0b zeVPEuMY*Q`bVkwwZnH!Ft+o`LwT1YqiZg?1cCY2R!b!?jo6^Z1wJ-VzXH0Zf!e6BK z#w(6@8Oa9%_A(qdLF;>DP4)swbxjLO?mhsA1^P~Llh}^cxR-B+Hu1oLdeUJCZq0jz zo)<5*AX0Ib8Ur&ae7lPJ(}M`OJ&b&$TG0DmZ6&fw;7P3(3L!-vVd*q`jbG}IME|!E z^2*av1q`OIcv~ztEnfa9*177VIpOANV&eF-MSiOs|qEUnuR|Iw+Ffj1t^q^HlQ=Y>`OnSj$rvV zuYxei1V#V3XHTFQ%5BMkl9Ni)Yr*tgx-VyXQAV_ZdccA{XT{j7j#t`Q8=9DLG zgjy;EVM#kwo@E9lNu}uPlV*!#=jHlAl^SWl6&RwX(#b{CSMU>84Gack>BYZSF)(v& zU^~wqftnNSz|8;np1VAxClwe{Bn78g$z|VV4rZ7IpEQurbvqJ^BkdIsG<{;=#md{H zpdJqT`0?mB>*|ZajudRE<#{V!N3&{kQY)fDDDgPOsv}_!Wiww*rvZ0fYG0yHCpKhs zA06Vh05jHOFAnc)E72HVFpBkprBI$;-tc`Vx%7*qb)I=|L1vIzff&e=sX}X1QR8N? zB3+T^^a!ZOXa zPpPU~ui0n)lgd{aWw|mm5LM!}180;b>Rw8KdShc<$zHJ;s!seAewhlFvF3~h%V0W- z_yDUl=Qraor}n&ZlTzTO2ubb^(S@Tl0=k z^A5(pyr01sW?&f_mDX}YX}&&>CamOvpzwyE@S32|No0X*m4X2dfp1$k)))Ek_G`)b za&4~gY0e}q)svuiNNpK13Bc~}#UJgBu572FX(Rm{DQ1H;UItFFP8dwPwO8eiS#IcF zcYeq7L6RAb6x&N8|2Chuv{1i8lc5)BA(=+1e6mvIN#IJdf^+6$cLZr~l{yK_HQhmTk=q*p`rlmQ zf3m?2BHmcf$!mn1{6lTtgTQqh(>MX?@j;U9M(=y<21qs6-M8A!UG`eUQ zg8d9IXFU~k1i{co0#*J{aL8TDe{Ihc-j;DIu2ENYa7)8ZFdXXS6)MtzO~7BXtPg^b zek+;3Ma(A!O->{t1SIk%O9@f^(;&ycXUWP!W>3b578)&@iwP=zd-HMaN5DI-vpM6h zeHU`{J%p6`or&do=+yK7jk>3DIkp`E1VoJIzZp<*lWBr!F)TcyVugr5hw`{btCM>nd9#37rEz{p#)vc=@&z`Jm zxsaIaSL$mHsj@QeP^onF5Qglu`I9kqdHOh`X;wp})tWAsb5U;0A8co3*4cp9n3KDB zyKd&~?%UL%zwf>EO$|7~*dm%_YvA0phm2)Tmwm`h%`qKb|`4@H+WU{WihkE#iDEGpOI2vvMv<_3FOfU&Lt|7+ru;@4=1~KhS zXt$Do3uYBEv~A*Pn9h|YPidLTI6yiK$X=?}Vu)R%-$M{XyaPCPW=VD!wlY^8^*al? z*>mxmJmc7ej}02x6ZIEaLp=oVkf39MhNu#qK#J z3i`v2sOg}IQA(v`RfBM{(q11aX}M)JI!vpsg4B5qFR-!cI&TC#G&`GWS@<*Gel!)w zlCwMR^JIqe!2=(tvL!ArDP`fPDt^sddPNJvtmzen0@s`9#Nd#)=htbT3UA(x`UXa6 zRjn@$3n9N+8BNFBo9wuMnr&Rry@D-iSJ3#%G@VgJu9G#IcCOEhlKw>3aLh6or1WSM zH?EM4DU&2H1gYg#CJUA;AL0lpEL&^nlF2mWi8rq5|N50BD-z>pPi0`Qn-L7b5eV7NZZ^8{Z<|GkgQa!b+b4?XH9iAhr9pvmqu< zGGnj`q^$AJ`mFJ;l+Z?GVmc1SmgK`a0?|TQks(pp#5!r~U)3foP`|Ff)@!m}zqgnE zf~ZVUJfC_|wAVVbmXvG7`Q($uxLF>mc0FQXfdfd`uxBc_bo$Y*Rz05X|!1(T7f*?PM3SAM8FIX7XK|_Lz_GBG{ii_ zOb6Iews)u)Bs(-Zp_en#)9SpbV)SC%NLhC%(+sRBA<#53k*jp88$Ez_P?RpLsl)YR z&efnSB_jSTr=BWa6C@<5$&kVy>7jjpCd;w_F+Wz;o$PBmzO7seU7+Sk9N>mN)iCA0 z8HN;Ny(Z`=vgKm=Nr>`}M=<`E!)nlay#x?L(3(FHVNnaF@nc_^w=Z@;mvA4d6;nsh zYnq*x;6dQ=vI32Rv@eR>3)&_iP4k;3A$wQt=yPHwDUkls zt1tM9+EZyNFn7J|*^oWDg-?obD!?U42D{r+WGqu1U@o6iAgcaD%2d6H1k%w}-U5(t zJLl;q)CV{^qOPnh{dp|&5MOLG73q932Z6jDGmnR9py_y;?~eQHhS9c0b=p|D-07=q ztPEDxUG>v(10M!jYg6EldYpjW`6i+B;>9@*myHb)1A%x=78eg#F^ZU)%UIe;T4zU( zMVbK9YJJr)+^^pc9W}nKPUPo(R(ODVEYDC)24l@Qg;TMfBEI^xn26NjB$LS2Sw~1D zse(i7YWAVSHSHKoX4*zoi1b2KVRg@C`8DVDEauSEdSYodmrhtizbl%MBsMo^dE~a} zU7EM_xx-{Bdij~LxUbp69MqSxn-;#I#9~A(K@A=g;afhm^>UCdMR^?ZX(LeEuMQQ( z9iU&Jv1i&7?WmqjmECq5R@k0ZbcGkEMf;4^v4uTwt#B7$$k_+#i)FwAQDbv_>z&mb zsH?;Nie)?j75GJnCzcB36y3vo(Fu3%itrBA(Q3j|yXmkns=?RNecub}KdkXPU<UTT5Jo#rqp3ann^*L92i{@Tf(YbZX~YYrT_=O& zF3(ItGY7?Cfjs>iS_jORSzAa-?!)|Xcipy=743Jdsj4Cnc1 zi$oU@dlFNl3~oV1c%vIw*@r3!h=^v?YlCe`wgqE+mdt-Bf=PEHF!j9u4lt%zQim0J6_a!JU;{BoJi z3-H4=0GtthHn2Myg>EjLot1EY-JsXb!K*<(^I(0_7wF(u@PC9-%$d6JZ;h<=CdNFa zq^>F>ja5UNXQ_l8&0|ilv1WMEAr_&3f@QDcr4Ik$lGOBD|5;%*ws#-YoH03f*iXE? zVLn$FY4Qfr@fyO#d>_vges1^|1m;&qbAlo>7O)1%AvL#it4as=)f3~$j?sOl^CzGlf|xE8XZo}@2x>jI}* z1@POc5zZ@8d^B*Gbu(z%r(OR`+CyTY_N?ZX&(1@)8uiZcAusFQ|BAdPhG#^n`H1>) zN;W1|=Q;O*g>3*KDcpfP|MAV1%vP}3hIU8}!Z9H|a6H0v`@0C^UVGhR-&IfX^x-l?ZGfN>1}mS;#n?qU(fs4j(HrK4a0K#N`nn65 zCi%BXKf@3s`C`xDWM2nh+s^o%Bb@P(>ik1miHqg>4x*#kaLrJs7UpT5V;`s4N!5W* z2<5|0J+#J^TwUBP5sF_on2k?#zfJ9!43b{pB(#}V{At*7)5e+O2SF++9&?JU2{1Y; zgf_d%sPwD@2IALfVAmxo^S;018qKt@HNg8Lmv1x6hu=dXccRH}ZD;ye!u{Am(pd%b zGfl)(PFyQa0`jr`;pl+HYXb$}o4QK_!cEh#{2jcu@lx79FGK+ z*!DUURaE>6Ra`89D&Ei6!E7R@F5D9_girolB?93@B{u6LK=OZObaqGA!rXJ9Ly00>qs<^4G3DeYi{D7FeM5oml`GKs zs(Dhl)tL&$bt3Xguh^f}uG~iqH`uI)rJpLyT;K1|Cs)++%>DXb_n!Z}{KQ|@|HYi# zMo$P_m_2S7T%1=E?LWOT1{1HR+D`@qE?rLOGT02)n%fgJptknGMS*T~f&B}0r=|7K zkUG4&CFhm7RCq%z+(vA;>iUQ(?&KuowuLo1h_BVg^ zF8Nq}_Z!sKemK<%>t$q5Ci$@Wn*KeQirlb@b2M7Qf`Q-~_zH9&eNOeYAe;#7%Nr+R z#Mm0MGs%#64sA2)LNOZuTKP0#)1Z^bz$?`~6!ETR)!s@!0L87sl*E^cQf&KU=W zD1QE?j+ZCSmANg5Nj=?P&)IWeGyI9fMYp8tUE*Ak8(t#8_mh^0>#t9{Cf$mf0NK6< zqgZl3Li~if+Dbm|jK#zl64rOGzwCpIJ2q`xO1Ho4S854qKob=3e_mE${1%{1=88^0 zaPoX*`;f~^x^Sc!l$dmGQMl!pJ1@iqq`|}qH>x>i$4UY@8go3yYE26b8B!)FoAedb ztfI$>`25Vhuo2w4Kp3H@!(|`*&yYyTK9u)$LD8gqYF+uv%$3q$rj07Y5Tr*kN zSQmakGKAs^-fRD)dXdE)WF%*t)(YH22t?`E63b^Yj+Jj_Tldz~ojyS>d)AEsK-r^D zjronB|6;j!N8;F$zCit{?H_3wTuMZ1z}TkYZ*F1ZSTr5GR!}SnIGfjP$l1$wAHp@b z&9fB8p&4w;;QYhw;=pUfsE8rS_D+`Rs;-+&(Tk%67P?s%qOMq{^er<(y>%g=!Jhh7Ha>{lq7&NS;0kQ2gMk=e=2Y zhvQC~yry><4Z|Pa9az=&E&+W@*@Zm%krgN_v?>rYJ&s|+7TZ4|?pLD90B@KCtJhy} zzGFd~6=1VG6HekxZw76Rf^O3@D~QT-FnAdWqS|jlY@0i#;Rr$1NKEZo;Z8RB9C24%t85NC3q`|`A(U!SuV1Qiz})8>v^(}`R-s46 zlzK#`96V!^KT3p^$45a-hQu=pQWBl$e-3k@d< zPUhgEdv2CbSgcT5q?5pzdSEkTShQ`fPi?>vCL4sVYS}Bq5vCg~PKFMLGW{Vb*J<_+ z#dr~Ui*KRV&4qR}YTnGl7OBGY%-|lUwZ9|X!h(88oao@pO7*Oe1fI3w&Z4Ds-ChP( z^{ApZ9(?$#=04aJXsSU7aD}J!^FG~KE(0UXsmDCuDXB4rF1NQRaJ<>a(0C8pfp-; z6er3MtTRB|c!Us0(wD$@#T}Niq{H`bP`oQS>39VDu4bk3%?rdi2{3 zRV|XojgdK9&1Q37)r*)s6SxngKyR-`%okd6As&d$=cFtVRaDa!x+iv9GBR=3A?e^zB1<1tm;! zhJ`@@5NWbkUXEHnx#q@&r%PzmCt`0BN34sT>s7-sFAw7RtT!bgzYsXW^6<(gq_4M) z+4y){foh*=ZRG|ME!5BJBg0=P$hXpYv-v_Fzkvd6Dxu*a+_2tli@o3Se;D&j_Tc5K z(U@6W+NGnUGQ83vC)G&?h<`j&+7lcIkbu!8AX*1nfRobWXkTk3K=&>2_afIWN3|6a z-}q6*MKH6eprsd874I=;@+f?LFpA{^!@Bw{Yqc4S0e1x+M6CtVmiPD;BhB_G7tis| zaX?#C%qsns$=;}Z$&gZpHe=85kP~ZzPS?Z`F`J7pdL7G+e%1c;x)F<=BuXnaerq_| zNTGEg&lDAVb_`ge3JDwB5bXLvfr4b<7!3+8?-J}2%q@k?u9hmtdc4-LdGdicQ3PMDL`^0 z=nb)#;;c8zHf90z4I#P2XY^yvMAD)TU)(Ar?hdO_ zm>Gj~;tLw;WfZHNpPJ#Yn#9e*8E5(XXSE&e zOx_n1lLSgv*B#|A<_s|MU<;TN$IhD2NibJHBpjWf`2oUrO{9;T149-*Fi$oCOv#v`Zuertu3oHxhj$LuX#E zKWpGtk*acT2_C#&^M`#hD|a)14Awu`0LjqxqCcPKsBbO!9*xjkAF1cm^_sD(<(}x> z^KKx~)COBI{A}PQTZ-65r%3DX7Z~9j=Id6z7<&gpz7(tUaTCqy}d?@9nes-x8UgzW0Wc8C>4Qo3VHNnf1fZoH}g3A=1gD35l#L*qXZViM%a(=u5mg8%9`E1@#r&zBT6G zBI(G10pj=IM0=z6WYM<8T`*DoW2QCHUkSxmP+p;;bVQBBTo@ybM#!uPBaa%^eD=^f z0*9Jt94Q6>BeN!jXiTQ(i4cd&pn=e{0my5ZuRoLb%R-pDN1yOH#<}6w@8DNGe`&P; zK=R6J-`gNm2{4Bp=0O*C%uWu1%}ox<&PAKZ91quX-9Ls)6s3nR{rfD6u?Ju0H?ZJk zn8Pqj+ZfZ*42hOA3=yIgob;;sx^dF-w_3d(Il=&|h3A0ddt*1eZUKRRoIE9FWaLWh zLG&|+XsMOSLH6^*U6aS#Yjs~%fvta%nSZqFf3zRWVYGc4SlSJqs=1816DLjBN-I_-t7tdrQOjC=_P~?t0R?~iD_qQ?eWYH0B?F!LUo|8> zc&T-y9**0Oa|4%QESU%ia~^MSPuFaw1LxmRy9ugeIm0-c^77dggCV^q27$sPEi-Mn zGZ(&_e|>d93KCSCbB19unW!&x)RQRr@~9WsBEV}2LRG69XeY=aTYa7_&R~#Uabl9~ z=a-PZ*Jzzwsm$GS1>xlGaq;PyL_O0Co5U26EBdCDq{`2~8&|NELX!_ZPp7Hrq%F!w zv=U}^(oTjTapGoX^ZM`z1EC$vP2t7X!+f#k?O*tq{h=pWk7>qQqo}Gt`^-_y5^)r*mB2f&7U! z62x60iwAGmS-GiwU8_lJ*1snLYu2BwT^b8n=S>+KmO<@$XU*=BprzIcT==wo zIwuD0!73rMelnv6eE1%OMMbmzqmXqAhUYEi&R9rdTH8LFXMj(|HzsIJ+yG3Lr|^p1 zot7psqz7ZkLb5+w<6;TUVD{9t*my=$3kQ!@3lFjiN`r+%KGSxNCc{&MwQ40cCCMf@ zQ$H0Eu@05f9HT3GQAmv3VpaQEQysH-XQ{H5jYIYEU*89dFf{WawWmwgn#`DKm$vfs z>Kj#+D%XW2z(^BcWj&vo7CwBMQ>7&zNokyHJ+|uoB(f;m2=yWRQL=AM&WneM%j+2H zEH>O-H@tsgJv$BzgywFqo%nNAzTf4KB-Qsj>^un@0Xs%w+H}1A)En3RvFSEK;Z!^M zBRpzLM}a@-*=VHNf<~hLPbn~isxRms3Xw1j`NAegKuW|w(kuF{TF{{BEBb4>IKpFp z{v03xsSHA?NEAT8MnZV>)yBXXjrM(;T$75#H?1X@0H*GRJozg zL!>{%tku@(EPx$hRCsyZD2_f#9&?>~N`y@)hH?|s(UjUV+82CU8mR!7n{2fVXR$RI36b?hEruON<)2iW~>qN);(~BSv96nYhoKAdk zNm=g|?bdn7R@vzleJ5pU$$4P!VNH!4-E)(Te1MdmWF2IF8-e*qf(EeM^=y876-P1t za8d#ZXQUT3Y9zp9uoynFtYe;;npPoJVVUpMxgV_h1BaMuYtb)VkuHG)5krg$2HG1= zv)(+%Ttu=%6gl?4BTWB!50Fc>kC9FY0-`AM-^}`VH~s)W#}%aXrE5D~4?9Apj&oe% z@$I(iIdy%68GW5E>!I0fD-nkp+U(a#6Z%T5S0wOk-y(AQ`%K1SN5i8az5s_xjyW8= zR_Ok0C+TXJ-p&gLi-1fn&qIv|?l;6u=pR zS2Cmc?1_zG-e9C9lvE|~Frbs@7N`yB;-rV`12G>VdsEzUR5DH;fD$hkMIckMx6|w+?$z}b+td-H9 zRtaU?A(Y16uV3>>tX=BSj6vgwF$3<8updhgikPSB>So(UDBrs1{80N5Hn{XzTy%>A z{s}xA&8mvzsID&iuiuf|II<->d*IN{iWnw7jmkqtnYm^`4QNE)sM|4uC`O_7>t~Wi zacpo|$P5&@$J8-<=@evtLD%jC!s_!e3MFLUWD(jwg*_>S1z+X93`+}l{pEYeq6Qbv zaov7L6><%H(qd;z`!VVesc3#%C@j|j#E8hE&cXK|yCO}$-RVv@j?gj{GnLPAo-Wp% zO;Qro0j4PY)w=pA0Glm5%MVP+5mppnzBA59qakQ+7Z z*1gEjg;4S6T_#C!?{u}09(f$e;$1_AoFUiClN2XsUpGN(7UgX&Nuj#MKp|Col7b&C zXIeLrGSYlM79(ETrFm2ti5u0y&H*RoF(z&q2lSGdc+|}!cq7U~Lj+tt3RID3%(I|J zYdfa;WOw?+Mn$ANcs>mvV^;x41l(@LJ+`A$Mo{sD6treo8*(cUUsZ28g!OEVy+>A0 z7IsZ6*-73XsrTo@qx(mnl=}zwN1tZ<2VA66g>BM3>EL6x94HHhkua~Q3WiZI^C%1I zfJD@#urQ^=$e10{zpEhj7~YUxs%98tec=tGSQdGvJaW8T!ra&OfiL3=;g?-LYmkqM zUSFK#P1fGGW#4R0n|rBM{&GJ57|5d$cD)K7`D-^8{F!jl^V%Ib-ka8LeH)DGhrGMr zxpLf=+5aajR|{j{U=48g0vt9``E3-3_M={|f8M2x=#l8MdOi8}tWLR$JEdD<#8~zj&$dIbxT>e2ES$W$XTcqcj%S&7DZp3 z?)h}Ki{WlB52CvMsEp>+FFrF#W|llNiD4c(-ILLenI8nIcjDDWlV*A%f5g(&{^-|d zkb3#Sxjee-ZyR-H{sNQHp`4jF@<+b(sX}g>kwZA?=$QQ-QU6T^_oF;o*VUy57dMnyDmcCh!%2fJPNmT+#SC)wX92;TN&MD z>VRM)@7GM=_PF+6qbMKq7om)259`0Q^Q!VUG#NdH7Nyd6mKlFh^#$^yVcl*LnEw_= zhr8Wq>I)P`_nzztyWJReK6Nqw)y4fNivHjlaGgZM7olbqzl&y!b?qHl`u6k{WnHh; z^R;K54NNMLipa-nh|a$$18bD?t~b72j@44@Q@ zeScbPpX0LJG1gr_)iwIXT6z&j@ud4CH90i+Wp&K&Y#CqbPxTBx_#Fv>J(9VQIz5=S z=CMA~S^PzMB6@;&!gxYRuibA3&j2BPK^%brItS=|K^=ib2oQ^j(X`L*G|`_@DIC9% zT}?f4PIWe8NUu7u#a_2LvPq~huZ?TEwt@v10#w~g1JZ9xw|)c=C4H4w*rkUtdHcDiVc2|9ce%OLOV2hUkTQiGkhRdc`|&W zn;e=1CpY-hpY?Zuf;)aYkUQc#6g#Fnm^-RF96K&Mh&!@73`U)S^Dt%v{?C$eDVF%o zskY*AdHvkW2~7v0Kc@A-EfH67*ff!9LpoHZQX7~oYa#TgT-38`EOh(zm@H}`PXl4{ z(XAjd199@PtsvI|QSvc=Lv#jafEbOqhw}Ov^2VDc_HT}XP5PiF#t;*9muTLukc*-T zra`!W$;ybT9zhGa8hi=jEZ`mlV?fi&xtlocOC4RJJ}T&@hMw11p_xwqascCo$O@PQ;TSR0 zBd?&GgSkU=1#F6-*2AtKolU<`}z&W6$?Isa`Xt2}4&A?YhP!bRiz@J6HmEf?z2SGpr%rd86)Cc5=-xd8dS?AE1v6pgf{F(g3gQ>ze64*c;y`x~EooR(eJ^ba%Ea zE;%i?jbE98E?0p*c^#;LSF-i^^jEwMnhC$L)#(-gsRzXQmq0_`(R0o0j44a*eik2# zLLrW)4GSmkEISmJj-A`|@nc)?b+Mx;5H^Qq->OQh`9w-^l~HYCle%qHMisc`xE`_P z*(x{dGF^+4`U!PkY7$aB}b5w$&v)E1Cl^?XZ`Fih9|}jHI95d3{En zADz#94IrNTs)dWLFX4th|5Xn+*wy%CPe31OXj6bl(r`mkMRKR5|5o*jxmBfg>2Fpn zx!HTHO-faQU&zLxbcxPA43YHG-!jt^k;um>con7h=8!ZJ5r2~kBqF99_*#cK0x2SJ zWo78*AeP`N;I-1$F4tRMQ!kiz|1KT=wk-MPlEF!#`X|7R7dg#IY)Bxap1H)h^{?Em z+%_2X&y~r?+`4Hb74KOvm6qnFn$c!ggqIrx-7>;v%F?9WHnC<1(WvdHc^#;<=bAff z%%C6s(d8N(HPOGt<~!4;IBJaBVS&2+72|l6bJa+(>|D=tSGI+D7FIu<{4;B%v&?z= zaSVc;x#F3pXU&_Kw`X@l%SWmh++Cro85*+4s^p6Zm`Wt?bXzj3KlefR##PcqHYh%r z{;ndxD)l6{y2bJ_ahTchhuHT4|EjM^XQwme^If&fK4ktjY2>G^S>WG0C4uXvFfF?y zJMVR{#tdRV&xu7=g3zuU1|2;E$AkL`H;~%h+X3Du!2{DZNIC5H5{h;Di#ht{pLJ`f z;yXOu(sy%RwY?+OFHrbupx;o$V5DopLxDr`AnTUx(E;n$xlur^e-U$wJht34tS|~* zt!x$+N;{?6-I~^-aSMH5Pf>rc#}7@-^WO+s znkGEr8a1LNFqN^>fyP%VNw=|MJhX<>BwaMH^*XDc6ApL#8qA_&)6z~n_PDZLaHYB; z%Pz6hh`O5BNKf={VLFcrxw%1YkB{01@6TkuZvF*xz+&n@!Y*$Wm2X_5rQPyaGjBoP zySFH<=Dy7K1ZGL+ufROu4RR}CV6BTlE89Yb!wqs<8R(UbcPvrM^O)D%aN)LEq$gXK z`to4E=20yNo{eeX57&B}a3ve8=(=jQj72JN6Q87_zNdBT;SDwlOh50k zxLDeGilDki(1qW6Dp&bHrdcN-mE4WQj1}Q*|KXQ8P=>s8nL}&CV6;(ggIu{s^|2C z>gPUON0b*y;}!Xf;+Xys^~qj!7f{ou8(>Ktge?7j{y=F z+$GDE0S3tAql_Ss!zP2Jh@^@T(t^_mR->(nz$?Lf!Fe)J~W{k zq(1CdPgjE%g~3q)BZ`Nq*1;0AnL((Rr?wMoCo=AmZbD}k2h0~!13{VJ3#Uf%#|1E@ z`twuZj8p*0w>gVdp!_FHK6~7HAkybPyCo*h&4~WlX(FWw z>co%=KR$M@tL>XjX{?>I4`URd(tLgfaKB!#w=!&(`c~aCoxf|e5rIxkKGz@pEYngv zLYy16x8tfNsJKIp3;EvOpPgE)ws1@QL0{rSx(P(k}g2xJ5X6p-s#f(izY~u)k zbyk#oaSTq$;!8vOGCUlB=F1nm)Xf2SI3;_K55&JY(Kil=`Y$J%IQjqOWL6!ve5k6* z%~id+stNcxjtGj}gA36SgZqSA(r?%JrrqY8zc1f!Y(SN*5CasH#MlmAs#1(|@OU9^d>_f3$^(8j2&u#-VxZeOxv>p4YAZd-ANq~VqL z8=DepP?c0!jksXzYk)9^J2TBtN^Bc;Xss|xW&-$Rwun1=I}BXh-}EfZq3Af$(-+TX zR#+kcoTC5eE{c4Db9bkJRN7>xuaqWUF?n6>$}Dz$?Z9jbbj3zhm7{A(byag#yB$;o zz122%&;#{<8|#Mcvk&Xr@~^r>J-4D4OWJcoKLh6{oHw|G{(l>*AFY_#Yh6UWDR_a- zfe;Qcs9AQ48!Z*a8n&26hsweQkjw0fMryR{#91XjF8KrONOO&mW zdyE5N5c}7>^{{tc@N6)$e>D|aGQ&?A&@Av@13NYX{q1QKu|D{j43U1u|2CEV9u!<9 z#sfT>4NZ!JYLEIe&cli_Z@}VUYm!~~4(6BgA6fE2i2cslV4}#CWog%O6bJODf@a%a z|KufiEl~Ye3BiLNMlb^^ElgDp;YGB*lxyXJg_Hy#nBAiTHQ;R!3SJ;yt!9*c1sBA_ z>%VCj=IeM?rY_>rvgTHsz7d9_nTNf+4UrwF2~&PnUE*FmnebL)``=R%cG!QXBqvvM zGWy3;dLn(gGx`gQky8|9(+D8PEY@B(Qbl2Cd0_t*6u0U_Tc?;_AljnOH#L#xR)3($ zxo^ayfJCVCbVJ%I8U3%=R&buRAheXR3#nEt?T&Z2GjXj}QK^GvXE;rp8RO>`qCRQ@ zS0ux6;THD3WPFA5^Ok;N%kci6{qC6jM5P<`9^u6QX!3={KqZ{2sMfni0Xt(|Ew`WTP#lSm|1uu8?AQ(}Pj7sm97;naP3b#UgAFTOqG z3ilMBExr)LRJRCJa>uZBc@{x ze>YLvYPOW1;~49`iZh{c!zW{JEd}AyEtV`+6ufiNhWOSI$)3vOvIu$ zEWRB)@7J)7kujkQ&${VgidW7+U@%oH=sU$zuc+si5r|Y7+jp<)!f)D^R1~@>mM)LN6bprxhECrPp?fHTrA<) z-PyG9uQ63`?k-+N&Dz(s4$oeiU69nd8^%2Nw0xQZGgu-KC>q2Sjak~~&Lu2DcBEn( z!wb>%i(%iTH{pb=rI*xpcgg9Vo}Kw~RU6eHbc7kG~YJ zi2;}oVIp^vFzrnhebqBC)Yxdk<#ig1^&w>;vg7`K*S*#U!1ihxjB5>Op)#=3&K~Pt zLy*!PTLa3}wel%&8Q9en)tK1jXs)A`N!GQF&Mq~zu2$K_s%B}fUs~yEt?zyw%dS|j z$~m#(*XAFu%E2t_Ft`wq;?HXNq#f#{gO;{^0g!RBkt}K4UqH}AD|2t31Gx368+$*S zkd$dVGC5^JF5{PZIX1CDZ3F>wwOpf4IJL^ifH3CPo*A$*pX)4Hsn69{XCNb_T|U%r zZdVL+wz*89h{nRm3{in1q{7gf_NTezUPc3SdEb=JLwAvp!!*IE5=DE_`9DjJzG51_ zjW`@ijMk}Ym5K7{2XwI?je{cuqI`k8JN)d)unB>@E&*cs{cu{(%42VeID|)HI^46}a~|%J z9s81m&!&x3*Vg}%CVLp*rB-=AWc1c`1BY&c-Q-_$t_&`wd!!C}1$Id7I*-QZea$oL z3|u6w=6##Ewy7uEWbcR8LtnD~!xk_ulw5W|t3PHoaP>$nFHHLI#z)7z+A2qwOh zP_rV91}}sDeCt;(AxtqajVSy+RbH1HFHUz0Vf@VFt=&<-TY0#^Rf^tl>Z=ZZ93FNg`JCF>uSG$xPv{&1cEU{NRlH{^i+m%eQS38tk zv{yTpoQHHyd%-G@Hc)BWMr$EC>XdiZ^?)@#HCK!y*s#jrBx3R?TC{H-ie2iQ<>S%0 zk|f-)Dk8LVE}FD&UW{$+n8o2)yOiYLw8|sA@F;?`cb$nv>6kU<;oFmx0&f0JCnWYL z!nAjtizRU>q6u<7ezA2sK{bv7((W1`Y^TQ}-}NfvyHGQ!|3@ZzT zjXxj_zc*4Q(IHFW&rLYG5Siz1r|rKo*30CE5@d(6PiwQXe4au_OrnwRAvXT~ecFmS zql)Zx04lwR2QbUV!*?l}0p#JE{c)D_n>bDILeimY_PhO`O2Y0vNtUizS$o&9SOeE0 z+>PJQgh)3F@h^H?LE*-kCA(G+{pGX$e%v%y=;_%~j{bjbI8NiF`YdyvB@0eYEt4eM zGxAb)vMS!WcDiVZ(0lGS_-!Suj%##*ZwDN}Z5QNc-`hG&uHKOuMqnNe((ChUTIkDF ze?`0B8N2{pNxTG&Wrzdd7o24}DSw6|--l_JnO`l*tkY|Ob@=q31{_#Va(RD6q?w8j z2?f*(A|I<`fpGUYm+Qw(-bcVP@6j3EOLW^ z{bRk^)5Og5B1hYiP|AOZ?aMcma42cfLOJQw4GhX?K3WVghtXCMB1#E82$1-ajWHUY zO1($`jEM5E&2pNr%+_RWldg1SqS|d@<)rD9jJm;XDnR`;TP>Gcu|lTOnI>yMniR~^ zdqo_Gl!;f7oj6Wa)q8pJadl=|S|-S$1uvy(ab)Rd`hXHW$UX!A0q~v?Jaq?OroD~{ zQs!|~1fPDYh%0&9l&f{^fwYJ-a8`Uj83vMTeJxOZYiQZ#ru}J|)MH;YviQfMqnR$P z>QR(^R~A-$SA-7>c?i^huG8~nI>r{OilPIE4lMl=&zLsmAsKYT>%eEg+|6WJmGWby zR8NjZR`;-4pfUOL-#mM$v6=}WTbo#WU2i(ZOmaVn?BS^#ErFq2pv+ON-s^Xu_SAs|26$bbC{|mw)>h-s0B`Vnh*RhV#By9E zmV5(|?tm8{+`ZrKcz>dPbHWNaT3EmW4MN;QBNBeSqsl36O&m)5N;j`JA_H@IGy34y zWaaXeh&}2tIJLFNJ+d*Xa#u9-7}|cN6Us4wGPBrM$}uQqW|5L;+9U~HRP0C~t*Qhs zY>IuM9H#jo;t{D7uTbagaUvFVTlh3)_~q?^aLLIQ7Gkwq4EP?OkX0~euq(;64&;|Q5H*E~|3 z@rFoNU>bv^eju9BDV@r|B$9&BDVeHMt1yeoTWb$bmdG*^lhG-W3aHR9V4Vu+$6#q1 zVCWhYy5S0E`uzx9e;w>j`}4B?^AzKBoyab-8o5G9UOtAVa%ASUho;e>K{y@~v}tVx zSjCgadI4Y@!;f)x8UvD%Z3N?3YfnHHsbSzdqf;PNn6^m>m87;w4AqeKo?B|VQKWQg zx=G|`r6Q@An+C8`fu6xsJ);;7jROu11Gx^vj64Mg$Zz(9WJ%bn=j7}+37TP9p zRAY=zu~fB91D_eDF}5utJ+w>$sqE_q$YmKD2INzpP-GdK1`uSc8wZ$DJ=3V{n+ALs zZ-iuhK^UZs16TC}Qj9lUU4sTUJ}B$wCai&>PA3shwLA5|;p6U65pv?F()~Lg$Eo=) z)-%EGYX2L*U&e^f@5PyQmif28m=F8)$4q0{zxY!EIujDzU;7ZX{C)AqNSh87S8mG~ zI}G2DB%>FL{GRyKgqCT$_b^4ZC;t5UqpIFXTH32rg4!G@jO{H^V2IZYfvA_STWvCI zZoPH_Rk8jIL@)?|eA-6RN{e>pRR!}5_oz{WSzKp_dpd>yMY~7-qSRtCALCWYOR2mv zKcTIxgKDL(Z=@f=HTKIgi}nd4nvo=CW%k+u@BgB^wh|!gMTD>kp_UJU`YJA*kWd+1JV9d0%wd0wC1^rNomsr- zIu*)14>E%>Ml(Jnt|paRRJ4Zy7p$`_peE3!=8HIOn?8PWAri|oc|-9qhZXN2y;&FIsz*#9V<#`6E&n3% z;|tDDpi7{u0G1$CsL5zD&*>xe+p%){9lzT{Kcv-pcsLTD2~e& z&;Fj4Sd}Pu0dOAjN#tLs9sfq%k0tKZJ$KY|@|4+E3Mo=s3|DJ~wOeN+QVGRFp&{VY zvvMO1){Ennf;5f0=N6hsZh2WQSK5TIltd&knEp2SN^Nc{S`wf z!=H3zoIEA>>(`BAt29LZA&klKFT!Pnd@)xIaC^>x8i23*e*Lhqyc|7Bh3)V9@WX`9 zR;MRk2yx*uSfHf7XHp=n2jsUzm@EnAE_ybL1LG?ViW>{0_{S;KBMuvG8mSwkcy7Ja zYQBeYlK2oWCEGBotj?IS{7-Tpb<42X!Ckq|YWBS6dGLGr?KgArct6H?HL}#Drdq8d-bXka=LwEQa;JiaLRBO z47CHdpSnron%syPuf;o@uTR>o=S5~+3l~sLp-de*^y&^t7@`k>F3F72vZ6x0Wo8m(-Y`RByrZdNDPrmm5T- ziYQZOWFV|*h^g=I??3NRVTfBa)hOR$TMwO(C+)Emv5K4 z2}sXsLp-q)I`fJnyypU^e)TGz4VRcIJkpYUz3rZqL-)|KV@A+=a~O;5dRHpJ}=Jw zjX!nRq<)Q7&g=sOT@gT!rJydbd>(vLGVG&2oRH*88c(^&-7&|wGz9cLm!{9U_7{ZO zGHvwUhGf|@6X~cf^}Q2H0UVMpXKrh8F1Nk6q^Y(dV z5oK6~m*8CD675=KA%(Z{e`bkh$gZkzw7M$5x$N!e>i}jUgmXiD#)qRkmNw4;vfD-- zpGtq?Tz!x8{7X$k(j!_s*kAQxvCqYeeLZQzwAJI-v7L%`)||eJ_>^ zSJBPJD~}?#Zq>8WWgM31#v&`WjIYCY1l!?fhi7HexM`f{mc@RB0t@NvjXMqx>3nCw zd>rbQG!Xw_#Jm#!{&WvB&ap{8Of?5u=n(Fh!yjdMSB)lcy1frysAA8t(gk!(wEH$R z%?rpr#kvlm$gUf8tmFWx#yJL36RNsFJOwf6xm>EuewjR1V zh3}>TOrT??;}ZFnP*1cN=2Z5z{P!Cgo%xEQfDaToOYbUDALhjG!+bA_>3rTp%7P)+eX=KXAKvN zUi3-svfv_&WurJs!Jk)I@jm*hgTn6Z7f6Q7Ha} zqW>=x{eMuTTGTJL%NF*Wn61%!t@q#XtkdlORfxy`6q5Ftq4&cl=GB&U%BR`!O(_0* z)mpEjPxNqye)N+(;W5p(u0I4NI$B#&y|1lb4`f4P{L|xcm#M)}azTa*A2?PQ`z7`7p3ox3UAtrG;|{Q(C++yT8gSZ^diPhF?!rY$dni~nk^BE7+GRZ) zN__dTg52C27}LWa+7m6A|1+!r1%uX_cQ=|zQk9x9%DPe8zHw<=ea7sGn2eddZPe^( zlY*H&e$))yzL70yG-$(YpE_yMDM>zEj(b|3+nOEU-#R7QcFe5t`-a&(brO&XkD6a% zq|;-n*}@R2@6F1>5Slz}_B8D-Wc$y!*8;1WUjo(J^pP{kJ~c-d#r}qwh2d14OHzg( zucG6>T<3q>VU{JAAD__hfSTjgInHqTnEVs=Ovqb29QqEjYQJZ8xRi3ek~;>IPM%kR zvchK1p(b(kkivnJ=AG8*6+HuR5_@z*MI<#LFR8}mFQCUTiUzZ>x1&z;^lG6_xK$3T zW(Pt^4!$k!qr5G44jUNo;c_3@9j24e_`VZj4Qe6ee0gP0@q{D*O) zWwU8%zTYyd&U*p(&>8-#k1OIk9%Ub zf4W7q9b*WqM?=LS9MuM+KEfQ+p9~Am1CPKM3U_I-IpgljHTjm_zY@X{-;A~#5U7or zCt&4&<)=Dj!QL6GvX-06g?MdnA{Avld|nNiir2&_jL#&W!yja#dTFO4&q4W4H%lXr zeiCz>is5II{Y}Dd;iW8KRxt}Bbvn~TI&pj@cJ;~-cR)yQ=Xmamw!%Pz!U-*wT->apt{p50Z;aI{5-1$w3aDeR_f*>HnI2P2odTOuQ;2+y2fq zX@9;y8i^~{_y?6_Y@-?Gt2S{zvDG*o*&=skMQirq1x#kf#*ANa*s z^Vg9PsE7(xi+cZc=+|FAEHMq6%d7Q|mv9HVxVUV?YoH)wV+bVJ5*0ZeMA6LRunVpvJsrVgHm?g~`PwBWmF?Wz);m*Y6m^o<>Qk@%3P zL;$6<7He0ux#y%)e1z;Ne2ZMMWi4(KaFAwXC$oYJ#B4|r-QhaY6X7$j&E>-M* z;>Uozy*$7-G0D8tboHNkNM6K@4prKSSI4K7Dz}|h25Y|yqaGI$+Cq;?VgZ$&(@1v( zu}3AyD)e6Z99t-vY23aQdxBgs4!D!L@hRB^LyusSvMxz7TXFafsGq)4`Yq`e^|YVi zR$Jb0cHTxqXZgsr>hZtiks;6XiEH)a`+<|l94n%_dR-*ZS(y+;f}e52lR2eiY-@*W z_w5^RGiZ2rE_RudyPQ@_X1|I@>Iw|MWgsLQg{S@oAnVxcz$V<8^B!UhKJyu)0@O1R z3phJDEr+aUUW`SB>DuK~yjQnV#ognvq-zIN~;76V458+78wV0cd*gIs8B%KK*?^UX5z_^Mr^_3Xw`B zL<5=eiGXw%P{CZoO#am>bQt2D92&B955J%8VQX*oVm4;nIjdy6@_PoYJka!eE1iAv z$seo7DV04GSLPok13u*gdU&V_Ce337gE}*vwq%PDR1y^}yJj(j`5gm4;8a39+jedT zAcsS%@Y=2~`5csR_4jC{pVR9q;oQgJoEk9c#G6=f>~UX9IXgiSmuXOZ&%;k3!~Kp8MMdq-meMfH(arJoC3rUDeUcEM3o01t9A$dq3(3QyNdBHfB^J za+ffpzyV|x>htz?OrnJL8w5Hrm&#MH=Of5$eiAre*Hh=-0xxU@=^Z!iJO>n#+W_Sm z>#1<80&OgnkRz&Z=sL4fQ8SfI84+FR1=xj3ImrUFz1*nCR9I!`fXR1@CivJ}pBdvq zg^h|O9P8GgVc=v%+}{uFsZ3yw`?zeCwGP>mY~bm)z6Fj}G8WM>LmtW*tw9Ka(@mq- z4&(<+g9#6%wt;373x$bG!>n|T!7UaVtCDenzPdcjWZ3Vm7@Z zN88pE=XZwR$Gtd*2M0-FX}PZcCRecJu0~j%sl5V)HRoD*mqEiF*RYA;ey~3(*)Fnx z6y-N&fk$Hpr6j-2oq{G2-$k07pAGajg(HIYrlja&9>W_TyQmX$ZKOpqpb9d56y-N~ z{-bV~%tb+^FWBZ#pT;@SR%10I&W9K%trig0Pb-zT;|xjMc(TVT<#>wr9P~2|Hc=k? z`_m7tATK_TJQlUkOr5cFx`c8-CfkDXLu)d3y3U41Pw6*%%uapnwxuEV-r<(0-G1h> z?Ff65<>GP$P=t7I{^B7~03vaik@Wg>3klgfFNGD$5DzE^{kV@4N9WwycJF<5BY?+X ze66C0nXT&VtUNzmIf=P0=jbb%f{Q2g>nQ1TrS>eAyN=kZt97IHl&G$$;whl+NRJJ{ zf5d>s89#-Cr&kmqlNkby5AJ{*(a4?}C<57aA=L~iZ!0a@7)6vSlC^-5&aWg?Oy5u@^G zN3wF${O&fQBCOTD9q>dw)t$72dbLq}R~)pBY~fR6ep zsLDY}QVsoL(AYcTxhw>ScfIofgu{2-N+>l7+0ZHll}rcD79dC{Mt8xX_;MfmfiUuI zIrv@D;9Zj3^3iFZLlB3S$zqJDetl4g!sk9@ltf^WrpJ8D>ybeFXvMuH{RGv;wrTA+ z(^-%kmeC?N3#BUkL1$t)y22q2StA<%`DjJSTuy-mZ{l>i;c%njkO=V%hhzGpL0Mu2 zqASR^ZXk#K7Fc?xt${__wC5G?D0W43wu#g9Xref7qJhH;GR`cWqvcJr$XzpSo7}R_ zJB7OKfb#VYB3OV@t_c1@-;E|=P93!J01%(rz^^@;s^?Ba{*9s0qi;X_!7+%fyL94x zVp}{kSAZXkzia?_&;hvXj|fSPhSB50!XigpqqIP&0Wodh%gGlib(ey5R_M&l)rV*x zysV@vsUf_kY&x3z1iSI;6kt=4EbR9GoP{peb+bkb+A`Bf^&wg0@(<@`5B_|qW-+;% z95XpRvv!cXyk}#`Q{CS9UWY4~J+tn^&VO+s*zMV`?eF6~u*Dp2Xejh|p0!Dr(PH*F ze26UT19+k6)uOP#rRX)eaZuARzs0BXr+4_Iu6|0`o4wkrvB(Xgz{z>{>J*@HHgVKD z?o=J{J!itxn`Hd>pgdD1M7?ynduyD{p+`=2#W`)@4*;+!O#I0U_3?Z67B@^Y{ANbqxA_GN;<-r;5w z{`!;RCN;rEHINyxkj|feXS9hFVSkN%k!3?SG{z&oEvR1=L|t+|(%bhtg}|rgsXj3m zp`-%ls|T1Cfgkw_Oqu-Q)lXp=%;-51$_&ofmo-+9@uxQrKLVuaHJvK^x{RCoPMP6w_g@09Z+!{V4yqr zt#rR2?7bng_?swMWw}!Dmog*Q$fvQ#VW3iXY-4n`!o870(K$JdvY^%>HZ%&9T8|ov z5B?`%-&!K+JCY)mA_&#Gxvo^eO_e}DOzt1$2lPazZ6sW0j9@atoNQA;fb39$FSxYm zNd)?`h53Elht}vH<-+9A@^;>%xR7#aQ_%^OGXLc-gk(8Z1wp5rvWcQCmNFjrK$Q#W znT>_ZX2($}fPuNg@>g}vUvMh=qstT%#JP&4O$HOYHD&& zXf#JCKXAfXN0?$VH%zhJ2Y%s$O!l7r?lv%rG2>dh=TCwnVdU6v$(fZfTM?P-M&k19Gl7DU-oE7*uU3aKEF!f@h{9 zg^jLic;EgjQP{bp%1;!3t04G{97$l+X~NrgxrcbQU?U`ViD^?;NBt6^1SE{*+7%Lz zYLMYLk=iJe^?1O|8#~lh7x+M&VrMTLic`!sdHWvEw3N2y;y9IBs_#6~X0)P?hyt8M zI?9H2LX-P4jmDkUzqucrb%jn^31HR*t=2pZ@Z~z3LqUH2KEO##WDC zq{h#?x0Ja)zGeA^xkxbQKv$?pJVGUTq+c7p=I41_Gv(ZnpTy(}0e!*_>UhU@iKqX> zIhAq1Q*-?%?Q3cwHdE@7>sCq~rS5#c2Y=C;G)2`F+d%ps2N>E{^ z)$X$C2e0$+4_SI>XPx}-CuAaR@C!BU1FAZ-Hdi6^PTHJHb~;vyJ#O-wM6CZJ+sJ6{ z&mymTSMqtP2<0wAd!yK%^((*p_AyKz4VxmsinqOmwf$Ye4itd@e1({Woqn+kH6ctr z>0}&xsXQo<^Im8p;l9;6Vfkyc?WUK(f;d=G{~v(GVYP(bO||H$wn9m=QNEZtGfB$! zlT4Y1dnGI^YQW{sD6{Fpwb>3mmw21g&aYxY3APNXHMusc`T|xA11>kK<9mm1PJG=H zVMqEN!{RR{2S8goOI;`>Sn;D?``(@LyNKutq0%F^mIylDw*ysV_+_@1$O=1gVrL@i z9UYrwQ+{w7sSpT-xFaY%aT+GJWn}yLoz^F0&hdA?U2E|9P&rcey9Di!33f9LvL=)a z`4L;cl%f)jyiA%Cftm;8GIVA~f?bGz1D(cd0-)XwS0A(ig`HNH6WHO4Lk3;O>T__E+vx$;ra3Wo&Th{NIi zFNie16NgKeRDDMwOTH==dnOj6?G=YR^nfdPa{_)X*TO~yfB*X>S-A87ozdux4O;F1 zEzuR~AJ-X5t{7Sx072~xC(WaGzhM&oZ9hzvRLmZ&*+lbmA&Fh!`)@#C0@c9r@EJ4| zjrKGAu}fp-YY4voih#GR34*#&k%%a{>xPcGVlwh|z$e90_P@fSzl}^bl~4m7VAAzR zl#kG;_@gWTP%J+0o5d89WzC!-zz9NgrEMEj%NJJ)L=lDNgIY{rogph13D1Wh4nlCo zuy&S>7F8hEOGtvlJ)rD^<{f2x1m?-cVLSgP_D?f2ucidF0R;UuhEiZ!0K!iwwH>N_ z2o`W`Pxx|>tO)d40MOhQzUy2V{g6S1)=*jOISQkaEUb>U!1pWs|3q5bW#;(pR72dB<#3I=-b1~)! z=9&rV_H+ba@Z@cdkXxTHC1f@TO)%_sh;k6N2z2cic_50OXgdfy1o7YCdwDUH%np1X zbnX|uam=8Az&GmO{fRFFYQHIsxgqEmzNNvo<)rjJzSm8cJI0nYU z{R2eX(Q2t=cRt1ODVa~ewSWPLM3Q8~27EFsE%K~DjyWe88kiJ-ko_qO%KRYUH*$HD z&N|0}G|K1yhp)GQs-xKwg>iRxcXvW?cXx*XK?4N$gPb72-Q5We!QCxDkl+^F-2-{e zckkRcGw)yjTD!MYRo6MyTe`Zdt4fmXCLzfKY;-`&c!|(Dt*CK?>C%;2$-O&~B=*=~ z!10QNKmdY;i4rY^-JY`T@rI-Y|w(g8c`Y0Cfnd}btyXZFE{0H0V{;UZ^I*U1c2fowpU1%%slh`+L3oxj2Sl0 zBpyBR$C}&Ky4Jp2ow6l4y=8@5`Jy=-00kX9MR5WnaOBul|d`LOpd7MV&L7{mV7d^rV3nfq*9clSVtT zcu1_4aU>Y2*42Qp3y^5*$1TaS5n9JO#nlg~ErV>IBaNW8`aKaN1Sq%LVF*mIBU!Rt zu^BdEdmaK{hVH33y2yhrUl5d7GB=8g#k+_RciZFD05Y$Zb1ozC=tz?Ukij+^gahB7 zTsKr4UHCzlpiYGU(uW`n!3Jf|g960%KU`697Z4-_fy+pQCoi;5ryqT33^Yg^eDyD4 z7rrG+^5*aj|J5G(e`)BS=J1AR|Av2@gc|b1v#t2IV&()A{r;nvV?g7y;46@^1kl8S z4yg|@hHFfsqpgTZPTm|{yS)$UP*LQxJp)(|q4;(F{mAn+l}=vye*MV1#3l?I7ZQ)5 z_)Nc~C6PAHMrQol6<_hV0vI5+@6|ZsC8fM!(ncr?grI;BrcOr58cp&WPjG;hh%ZGR zVV^F-yh{{e_c=uEjlhGT@`*k?pg}JA0~RR=lctCLKaEsSYJJq1V0Bv%+&BjWOusOU zu~-a=M}N(-5$Zk%@&AS)fA-%aL@-m%w)-*|Z#Skpcd>!5+$oc4kn{bF5#}*0R^kGF zZMMNJ%#3vOvJ$P<_f`=ZNrISJ)&HQ)?)C#F>q(IR2aP&u!yNo??}Va<#4Uq<^HV7L zcslrhxil=&=&(q~3Xxz@1hQXIon%Ifdx-rg);oETdrWn}v+WLOoPlun9~X!64__j3 zfia=_gw`R|(xV7QmXyb$-GSlw;7FtU(b3qb4{ih;N#)(4B0v|o>j z`%bOni0&99T;+4=eQrvKUTRD>GJ?b+i8i0RLf^JJ;MM`fZ7rU-_O z>tRoj0@c{PU%uZIxhX>ggD6Z)O(M+LMe%{N(yDH#S{E1iDj85A%A1jl0a5>-B%~o| zHF2CcI(T)l778Q;d@0DSKkhj!5!6Kv+x!gOwi1s>m}9zyYU;UBDg5=WFhHN6jtY+w zdmL{t(nem$SK5YdLr}IRoS{203M7K59zgF+7rG%2zN%tQI>(lH%%RaD>7~wX`67bJ z8XSxmI&vx3MxEo_l>)x{PLotaoA1YpIFCV%KuhJ1p#Vmfh;SBXz^pE=qR7W!lVboQ zV0B&L=dfeqjoii@)!oa@1KA&4jgFvT0rcvL<3mm=Olm5GsZ6{55?{i6veRg7wF z>;LGYNQi1jg1?n_bqBBQ-A&!re_3<#bnTr4j* zjzSsjk4j6=IoQ7Z-G$&55tD5MR!g>X&C;L;j_RpIJF!*vNtL|9M*?u#yC0wr%=1)MBK z`#TO*0Q7%*17GrKMloI99HAxRAAdLi+(j9Ty!zirecI#>J-Q?0wrcU!G#m0=W}Iyq{e_8|I6D;X4V7BZI8Tc9P7}qiU?d;RvON4CfG~hOviD^n zv0J5h+9wl1g@F;k%_;u}u*?_0OekDM3Up;B>`JmB;P-uLQhnjrF{p>xl4zkYLcj+j zZ$XKI4T4`O&WJU|K@hl6OnFerBRvao)CcH!!LJY%TS&cSfTPRcZb|S*B%=R6ICe;$ zZwo3U1PKL=X@plC_7e1uCf4(yd_#~rjFF!-{#G!9v!?_pVU~pi)m6%^0G=OU+(duCGr0SpjR}eMX45n-i~gMi}fe zg%Rf)8o0_0vy($fagPGoYK<^*hZlF6U34$@>w)Fs$7G_ZSjztcclB&H3v3q)nEM$Y z^SkjvUqIqg%W=nYro59GYG6oRdRCp+>! z&GIC(Pt)ViJ>#-jogK)X9ULUoZ4^wUCSx%xYg3~>>+TyeN2 z=x>WD`u@uQjN^TV~-YcGRvivv*%CfZspVBE=&)vKF>Y_HI?rf+7%_1jPJtwpKpo1U)zqb zLsk$Qx{dEsap%*qCIw{MaiQ(u_)r|^e^^1pC?gZT!AoLgr7$!QYibO1ICQVi)#-b$7L3EEAjiN=nGdQ2gX^)d#@-}xHJ#wVN*G9e zM5s0eGf(`uHDkAf6iZLl8DEO_=l5>+?s1CI?-m-7NV_;rF242rDp#zl!X9fNyETdQ zsR2nNH>=c0cPsFBM5g=$tBx%<290itKCA`+c>OQn`*(`lEXr@UBXW?b$ME&#&kauD zvhj73`)A^zJRxg_&0oEIXiXlq$sw$y4qIlf@W-T;uC+@^x4Dzurz+i~lbSK;w128o z)+#E5jNQLitJn?cj;*6D%pOk-OMm=`vcY2XV;jk@)0ixEZC8q;#4}AfU=?3P=zeQG zOq&?l$j>iu!{k18R(l6DLTwCnUmC^4Ppob2Hw8aB3PVGW9hIfT;lkBBGOCg4{x1fG znqE;tkU$nzT~gHB1ZvB>3FlkSp>=Q_QdR&R!T)BH1Lzi`4Ksa`krZRGQc%jmS}?Wp z9br1(yI+urTa{cMGI_=3A+1xF1%vJpDmI0K-{b`<(hk|_Pw|GO3sl)!F@XI4gU$4( zPtd(G2qRR7fIdN}f^GTyt;-6CNYKiYlamItSihl@l z%T&~PTMxi!QJ-tAo#3Ft9r|VrmGT-)x|udA&mt( z&k9OAs1MvzC+*{Nu42q=)p4_hx0XV%4!(yA%ZZ&C^|ZJ`ZcoBS3F347myn!kUCY&R z(&Z{k~?;P9Vz3p{$gOj5WU$c zY~f|gqYdQONlv!U*sOYU=F@fx%7IQFiz~e>L$yK{+rCcqMyvQf(PV!1!|Jcv_S;FuokB4+A;+)p&d4|Ra+&5q6=Bm`R4_`iS zwJO8WGmAH*-QR9|E_nv(D|#_&cXZ|?Mjh6B3}_tOZhz*4b&tH-c7(UZh_h9Zr1fy> z?R;qI z^^>RjL=jqHqm8R!Hx6qYZ+gCP|Ht~iV+12kA)Ya`6tf=TTkv!0z3tFNOLq53bx1o# ztySKHHFxdDJS9o4T1&Iuo~)n%gI5w*W@diE^h2usmr~%b~p~>;ycK!Q)M{#&<`XaXfB_7JVaCPhW)VZ4; zRX3$#d@aV5t(m+=QpB^GTApw3C6KeYNri45U7)|RaN+y=K*ZW|nbrpGRiuIJSA;nm0`thIh9 zXal|7X?WH#{E@u$nS%-^r03ps=~?yWrXsbVFbUy0tthl~iGK_GS(0e0zEFVnntNZyGE{oU zmQ{Plh}3(>3}(RQKbOqr%S6rJj$ImqzI9X8ey_`zi=>sbiEF&@~WENTaEsTIC3cTk*XYx0-w}PK>Pmlx?Y_uRT;r z5T1`BQ0(9uPsXLS2O7n;G>o8$&;KnOa*wfUJE6G)dac2J4V@W|o4zZ*8S%l$fc^b3O=5AMDd9 zji+*~#efBn`t4eJv-Ur*uA0S&3l3jo|5EJB@-QP@HB%9+NCH{(HMs}8FLRm*z6)D; z#uyCiIIMHJd8)U5|M@(ugHIjxFLhx9!yiF5C$m8wvoTDSkD;Zyr@%5KS(0e*8ozKt1gzy}@IDSi$c-qlvkO{-3Y#J%?s?S9pv-@!FR9q26_hJ0t^ zL*zxjB3i<@eboxe_neCC5N5wJsA=0gh3$*1K0ELC(~@etJpbq= zB!nLl$40+1jOeE$J88y(R}ortqD9O0s@+h?g1$2AzI+EV-RXU${_Hp!^0K!nlm!1= zArWxg+eH|+>jMiPyK&=$p8dZM?MP#{-GZ(T zV*Fd&2!^fRE-FlaU`P<3q~NoelomDJbM-s0qLa#iwu^HATRW2fDCUZc^f%^3w){A`968#Q6BxT2AN%Vn~7gB3H7 zC(ZR&da%H`P9w&pq0MXtZTitq%9S1Bz2f5`3Vu~^>uS+-NOy)N(OO7%-wmRJ0bW14 z_6^fgBk3q+#5TvWgK9R$A0z8LSDo5dUabX43NE>1A!Bgoq0wUZ9ZYM-Q=d5X)Sj*^ zYCXKoIjD_r8{de%h+eKe--$ z*%12KH==a$wrT9G^?yFLrx`f%5npg^J3BOJpCVhT_E}G<=GV&E-L_9a@65uzyv(xnY5s>?g&q~>`?!{#)B(sFzNt6LSn`ThrJ zJVUYf7iiZ0#AQRt)Z?jsA=TBtbvjkizjY?{W;MF2=+_4nt%X&CdbkAp!9?D;qN^bs#5VN%i)#CWY0bhH*M&z@IVK0IHvl|4T#8?4XG2Sl-8~-BJwa)O-_|PUZxc zXOU-ZHVF|6*FX!+4EGDgdEDAEgH;r!3seY+hvP2`U4n*(gH{CaY(ymvsE93;ko;PILt$3q0*N&?LvdQnL3=sMSD)m&K7EcChrC|{9qMQd7hzrp zv9O@pX=7jQx9xevO&tj7q+LYj3ElIJ(9+n{7I)PUT)1=%6;UQ-hM!^$Q9M&PX+E6fxb5cdqW66uNkZ%@qwBF}djR}d#Xjf#`w|>r; zggx>nmPU629#@W(zvF0O9!hmg-PyHYfQO0+&9i0T5o3>sv~Zc2Rd3&{GeqE2jDO6K zenIo4w`F>x-S(?jvRbMUG873&YiIktQtxML?TzgKa@q^E+Y`i7W4E&cWPH}p_MGaC zfU&w6mo_UkrS+*N4{sD~_cQSPaeXpcJ5mR^ie&bopkpE$RvL9J@`AR|*H0wVtV^ob zS;x=!=0u`|?hccw=AodcfQ0X1mt}jKgzwz5V;fE!!(J{a_s`gN9opHrslPEOP&-YB z%W&+|gF}{x_g=Kn!+!HmydZ9EZ3FwAOT2^VHb)J_KDB|Ls<07SNAL_aX%@FDi31p9*}U!82z6e1@V^3*ixh_3^6ViRaqzNc1RO4ji)72l#NVM|BYcW4>>o3R!ZU{ zY7u_u{lw+9{IZRD=soH*OE0sdC01klvpYIZv(fcuLf)A($!k={x!0=!+P4&+{mF*S zQ@DXb7QGw8o`Q{F+!qjD<%3@DS9ZM0gATKKp|ZvmUuRAg+V3Gh=(bUqp3(fZWjpB0 z8m1=_Eq8;Yzv1fDXh)5Uam@;l2e6b#Cg6rGuv(>;nH-nvd(ZyTH>$vo`!G|*JFYp! zoo>ITyM9!=+OahB3p;f^JN-Gpg*VPRu5{K-!i9oebn<@q^x_GW(^co+?YDX%U+5C& zdQfqndvAIANy>rh11Y8*&ksdS71QdH9$bS-EX&3}H&gE-CN@}}?p%eOh4=(85n1CU zdb82L#b@f^Gi~kN#_zO^VhEazvBPsE)rmS*59!_#wt13JM-nlF-#G_f za~=lKVrk{!n?62+Y!PpACO0e<^YC*X1)UIYBt$@hY(XZGH^WlEVJEs6V`o1dZLh1~W8vLQe7QwM_Svh@;bR8sy_zR+E16{N1@+(!8jS{yM52ytOe^Ls(7dvl zLUqDlHosyW@J4i#r-@C;{Za0N@nQXaPC_?ruYP+vfHSDOVX7*7`%<{jU-hKZzi>S) zNN;A3IRM@20U1exYa@bk5Fl5(sZGVbwZH9;@p`Ne>K+{vEyZ-?jdmuR*ftNHx6ZCU zkBtQuBe>cfhjp^9Q1{Z7F<-;_`|YUtO56Qg5wId$8CALWVL_HA4G>0z&gB@;{~+!f z=}lLy@GXGeN#e!1+Cm!7vnPT}rI;CsY zDlMC>f5+|Kd?${-V(~CV`$#syRrXteJXm2_2Q-DMky0jOX&YBR$4kY;-qi*26&9{l zRPQd$QYQAuxnd?)n139W03y=p<>+I10!sZ9(nt;i#dBrg$r@COmq}1S>ei#UL@T|D zXz6Ait!`@|ai>PT+u<@AQF-*3 zg=%hmmiMRZfDjdvE-~HJ@S2loqK1M)E2#KErwU}w?;F111fL~yv6+m{?(enLPn)ae zjChK47B#YFd9dbdm*`0@`~5qAMdgb+ZNE^3Ws4h%(8bFzbJr@Cp73ZVW_NEP8*wy+`^#Tp-)MG z-KE|Ye(UPN`1dZO!|~!Sql59*u9I6ULX}+&`R{@+G7|XqCy97pt3ljqp#*;#e;oR( z`0rB<1`~38PhrpwK-l+ti*&hZ~*}R2Hecz*6SQ5)*vMJKCU4SUpMRT`YdCKtbc z4Olr+h(jw?(Bn*Qz|wUuyD!vq^7MEP`g1)!1)fz}x$=V7i@J|mfKnIRwhLUS_u9&E z>S#1B68@OUotz2Z$BfvgVG;VH_hNjozTVHJSm4?w5|P^>cP(kj~=tCVAKslESwMo}xC>DfFt? z8SmZtmUJJxh&GK17>!mIa~(GMZCa^uT5avVcB2`Hnhl};1IY!R9$gF7wh~CP^}K3E z$lehVx(lykDkr@ZTa}C-4yCFRCaN!uwBh=gO}W$+|NeL@jp~#}-@UECEIZ^Djn9+g z97L#?GeRLzE|9{qkepp3pX#7eDtE3eaxd0wxgR7HeGPIf+Z2k1Hc{PHK>s7=CZaS5 zZ+XCKsv0)O9kvoftClZoJZTzZD(YBsRXqChT3ibeE{AbJTZ+ik;Ql?mRqhjQJA9|# zpS;vq@|&y65EGGr>G5H7pT`Grc5=~!UC{Rb&OL5M_ZhtqXD1Xn*gb~tw0y`*MIpaQ z*a|V>@|#u+{ZA%s|9{JrG~v=(pveswz7Rhr08YGz?=<<7w-8Bw;{*IAd%*PZAi7WI zgLn^r zOrs`Woi?+x96P<>C?%b5SAlh1R?qHcNt;D$vHe^K0$BkjVq)m^_iOBp4lexF;Y4N!S-2@ zHoB@eFIT}k3OSz!F{2x`#af?Z%)tt1%HR7^STkKyXcB&&)CyckSD3`-5~#Xr_>xt# zOqN{(S|>{wDym=Wsz=yUvvCFW7wVUQs-l{n4^c>38Sy0p@XY%BikssZN%@G!`h&$FL%)$n zvr{!?B-U{0r204b66Ns8DpCMHy6u(f;Hlj4>P)tU+{xVihY@Qc@$t(8o|C?_YYxf0PQxG-8>S%P2a@ z@yI@t?H760UTLmgijiHwT1q31FyY1}vzu|ww*Q%FUNz1!5%aHigBcHDsud~&dKQO? z#ngI!l~9rVIxcwg+O&}=6$xwy-{o>aW#r;D(oa$^2tKSc^hexfQ-s#F*GDHE?>PzU z^Gb`?XR-Dq@opN6(B)%UUC4KA5fiD9fuj54veUkCBGkgct5!5*zV6k(ZWn%Do}b;? zO`3_Y&WN&eflR;_K19;Mq*JvRoM0cM3KN<#1(!6uY1Z->9pepJeBygl6G!VMeW;9x3PPkzn^elwL~0|CGdi!IVJdJ*W`h@u4_JKP8$pA@vkzlv(%d zPBOE!ORd%nAMYHEArY6o&sPUqo1Zgr`iokYiju~Pd7$dsi8pwhNYS3aC>cqy_h9|z zR-((d@~d8IqpiWow)j7wYp}F&X)cx}PG~Anj<yHBM8Q-g?~3Cv9h2=i!;{T5Q-(v%HTwQ%Z$k9#}$_DGQ!!rxB^g zCkO5!E&G)IU9Lg3y&Zt`F{WTLjRe(h0bm0 zU&!u_fR)cyTz^Hna}qi#5UIbICYO8s#u8|1Ge{QF676#cUn`iSqv+t#wcq~iY z?MBOsDV2`rj5}KB6i<{_Z(Ts){b8OHL`KK*9Ub{n4$d7N>aK6ck7|(>zOx;7aE85p zuhKTo(v>E6w5H{(YHP!YHkQgq^3s;Dw&Txv9E?wO=rYAQx)Q*%jx zmkso0+FW#*^Gz@YO&RqLjOI%k8}-ExR^yhDDRp%|1c|Ju>DStTVIo+!5ph<`Pk&Mb zt)%QVvqm&kH8~g>EH>RVf7+94Ncq|HgU069$4thV%Bq)-R08&~Y{zsfGjkI z$2#ASQ;wYso;DggoM(^9GE*Xde}l7%O9p*OE(vGtUTvJKw=K7t_1SAG#`B}490&RR zeei!hzO%Q8JLM52jklY(4F=XfgIOB$4XH$}fBT3*`jnbWcjWg1qLp4=Jr|$2N_p`Y z9Xta=8;krZO#6=*Rdf^v%3@<58?`!rxPKeYUPMq7bAU+^JL5(mR-mB(M>}(cz6TLn zHzq>ZdShYlNRCz-4=aq8Qz$oT-B2Gq-812DkLzz{~2p>C^+Mu!$<{I5A zu%Uej%H=tHV2%pwNHGY>F&NSQjTu!8Hot$xp`XE`+bz=$PS?2%r;f8t{%k7-D$yP) zhD_JdPsN*P>}g2;)Y(!KmR{zz zWT4WwsBEATT0zY}b0HqTWVDkJY6|1U-k%{AHDCp~VapD36=lAwNTysnQvZqaN!gdL zhUMY=lz$tg(#XgOXj$FBoe)f&)<&?{R0=X{bPUPFQljiDJu{Ff(Knad&ht2asl`dp@Lt#lK`w+|E@g|Z>8e9e9%D`ywtrgg^ug;2~`1KyV&vxlx+j@02BXDmAzLI*~O`A zA2`akPH)~2j7ie?4&h^NQJF z*UTP%`^4DiYAE-{Zl$6LrQZ? z*77O6X`#YI-%+ICcw882z%42_-+iltqL@LXAe9Lupy!uCP&kxJz+~H5>hbc*ldZ`* zM5HG}f&>woiVz|re0=JSm@Qa^TVsjXLLq!n#IYVZgxz7A&5jN=6cQ(4wXR@95m8-wDGLY<0o2WFeE`zJvJth#%D;tk%_oGLmg{{zZU z&Tu~u#@AUF^2fE7L0L}?{s}`rlynNF?UY~XxTYWuVGQ3F#joD;>c}v&WbZwc@nSN} zrtlCf`&w7rCu}Gch&STjz3eUOQdPL-au=lq4Wpb;2?>jFaBHPaCUF#=8J=pj!g@hb z7UxDG2(;T5W?GCBmujz}+%s7ZK)ad37LekFqa_s{pxD|A_Qh_6Q=Te8*-9c6Rnf&c z=81upbzuuQ(0OqNV~a=V)xwQ%JFme%!HOJ-NAw{C6Gcy>G^;$at~@4C1r#vh!59=s5`kGq z(YRi2I3s$!Q882%brM97C?6~1h9E-HDJ+kP+XH*$wk_z(GUI(AC5tXs(FwTBH*929 z50TEwKJcK8fc*ys-RnQd}n z30)YEh&>jh9p{Q+n6~fFJ_`y6v!DOC@NZK^1VY5wL1pTX>`MKw>ca&5!YDE_2}fV! zhxp=kca`M==)Ry4$fshFQ@n&TvPxB>mPMTw>b1qqIV#Z<>a3kyas>5eEk}WpNenYE zHv}3utL*jt!*t?@9F`0r4!Ve*mm5aXiIa+ zp|S2&FPkcODbzY{Z8K42;%*O`m%a{EMIihjgk#BZR1h4$0Sv?^*4ld~xYEH>A`4yvyJ39cbo4mQ=<5ee1$A~wMxt5uuLboMP7%F>v9gCC`SO;ZK_g;; zBj&?f8IkJuv(+p~r*%(QR}?Hk;6z}kO3yvyUOrwpdhHwaZh z-5MchG*N-9$Do7A$62DP`O9sICBp66cubEG9-H{p&K#C& zRvfz>OXdzYYRI*><7woJl-ckXrMg6X6nwa!Pbtq)RLW(f_ZQ{W-0KuV7wK*aQHSQc zlO2A23M8sy3HO?Id%&h4lS^JWsX6j8M6tg!mZNlw0~~26p^C3)bBf_*RJv0rwr$W|1GR93g4%`wszl4y%hUauf4WywrQDzIWajq2h-||WY~|uflw5R7Td(4$k6%mVs?#M@0Xc-3yz2< zh0frnN#4S|NP2uisASxlMYzqF{}+yd+w)Jo&o)ABel4>WCD`~fCa z5MXX+EmkTVnUQm4oTeX?93mYBMMZE|3UYVwqPA~8U*ea(tKvnlsM7F!Kz)Txi4b%HeH?Gwt z*7^JH81-k$w`p3PDWu9=+(jW~3Pr0bDBIR5eF?m}3|dRdO8pP7N^1Ib_PAm*&qd%$ zb3Vn&+!UZ-5aD(rmMU)O<8dOE>!0N!Hp+dmN;1%QLlM?#QD%-|b~3e~+=b-GYSyp! z9!8DVhBsQ-i*DOTT^+v{8cA+!b2EjRHyPrTt}A;qO-*5*HJcknzau%R*s6_qDC=CM zg-hT4GNX+})E~8wXkty?p~8%GXz5iz!>LzRrlR0oEXj!nwpVMCA8YQT*66||i@&P6 z2u}h{Ey))7aR^iGHf&)s=Ay>RJvw+vjj@$(Z*jGl)UM3!S#8>1*k(4Ui@^=Sp%e2l zd;Nl1g8y_;kG{NVMc&vuE@Be`DuIY_MI{rkZh)Bi<`Hz72qkyE3`_H?2}!Q<6KWs5LX=tI#>oY3I=n z!<3cn`Bo_vKyvBjq(vylT~C`-<%+1LSl2|VD5aOJMKt2~W0pif315YDjh|bTn68Z6 zPYVUhM_lKBu6Y4w$78q3>r<5sX{Z|Jh5B90RjbWlkk68Hwq1 zkJ`%9;Bo2)jEyGDvxmPgnlPZQ&kaDCNvJZ24;rvMj!$d<61&RRq8wt#-=ZC2$k!qr zlF8qaqG0${Tmdg(UiC)6ay$H^8!^E~dvkf^Uwl+KXo2mmX5h3)xz?i4F;-sVcW;!d2d&OIw``VuQ< zhLV-A(v4-=ySk8>J*)DP-f<1pkW|)|wAAFXv;db6N zRLxHO$I^bihO`=FtorimC3r#>_Hy{@RLd5bLrFa(zOUo7?CW93>Tr=!>kJr zx00%)Dv(_Arr9L+1}Ni6Z4!ZLasDpe2;G;D}W44a&KdVEzfCuzVi`W2Vvt! zuu`&N&Hkq1WCcj1-cGt|@su)n&Tl!g|N5+b;d<-OlgIn4($oo7C@PRufBu|1lbhfl z#+2d?^oZxX=Hu6v8^yv-@xqx>KM7zMMl2}vSA)b~DBQ1mD1q2rV8JedsIu*LSTI zBqfun#@iw=HbauGwE${We1M5EG$<*K-AV@);c-;@Fey%FTehitO%5!k{+5aR~rG=3_ zK{bwBA_*NyDekTY(r4}5$!fM7f&bm)nUBJiwqdw9d@59W2jNElN2-1232v?BASEOuFWkZ) zZ>uiNo8->=FI+meUdz4t6iJ0I+&rt_;*_e-3n|PhWz6G*enqr%caOv#k4)4RWz?ZM zvuW*3d{5k!EBa$HjOaba*px8%$~E?;0htr`t2&6&+U^KM$3`k5GAHp=09M?J)Ct0y zDMgZG!l3p?;V5$Za1-DM%%4fTk)@Zx&BreMIvt@deK1f)l~P^EYNUluLH*wM_jtb$ zz1Q8WVD8}6tG;N)^Gg}Xg*fFQ1Gbi7iS6P9(=~f7D&Y&9AJflX6(I5-TsRN_HA z2PvxYI1`?rn9_Ms)UUhHEW7%<4fL?gxjKw`j{}OmSy~o?sPEm`Col)J|8Rx>c|g%2 zpea}?P&1E1u+Vkp{y_B+AsH)QCrJ3(R6)Xi~#Lk~efzO^`vPgt_4|++c;=@DBL8 zN%|X}ZmPGVAjJay2mM%tJ_cjVe7Y44G#!};CL@F^Qf@d-pa57^3aKZnm!1lig=&_a zdX~K~zftR!+$dPQ=U*M6vJDA5j5d$(*$%gx~P&&;f)V4hHB1_q`sPg6zo$T)#IUuNjXse$_Z`$azZA@=Z0VCdpUU~FJBQl5gP zqH4e$quU(b)(mETh*#@+Jr7trAX38A2)8&-3KBY% z&W&2MI=~cK6f!0f2sb;&e5%AybPig_;$7a?3er7NR0C5HMjhpCp?#9vyf@R%-ChCo zACU$TvOUNY(*lAii=Yt2VNVlGQ6tL59&V!7-v5g5ii z!6B$5@aj{ccen#}{&sT{GZ)}1_>J`Z+d7nK-L~wvQYaI$W9ZB?xkU0EL(K$Yy6P8W zru`*MT(|NpD;eNgOj=U|K4LwI3B*BHx7^>Ic2D;yd;l*48_Y;NX44ecZrh}o=+~(oDLmbi7n#o^ zF0G~3oSSZtKO-loGYRk`{LO&5nH-s@0TE>S`5kY|%^Gze1JiW&A-gtTqK}`?0@_Ui zNF29Yt?#?uX59(d@b`s>QHN?-aPNSKUPVZ!x}!CC7qMWpGuP4KU7u_%hg@@%jcta< z;H&nvxp-HhC_PfIw><<%13;U3>#wiZ9oxAI@dIBeT~_DvQKgDCZYUA>hl01fJYO4p z^|cJSv`)4^d;1EXgGlaUo!*Ig1f(DLr)ZnAm7%KPu5K+ZGmiQb zp2ikG+(Ao3l00vBUc&qlNa{)V@`$LI`*i=SY}>|%M1GMh%atI3(T&3$h;nf1F3uY(Z|~W%DFz!rnD9KCUX@_q${_4{6R`o_%xrhAUEf3kEicc z!8ahv`NwMYABz@X^*%OZ*D-_EsA_@Jayzh6HB)^x1tnA6 z^3U{jQ1mYum^*C{;7Qp`$(f*=`#n_@0KV~*91AQ`6fUTw~6+e-qHG!V*j`Y%-=*^8Eg~nt+9GC{r32UHLa~?Z-&?unG{jrb zzhm9M``=aErg%A}Tf@lyTf3ld56Ojx7ul1|Y9X0FvATrufq8!q#n0T9?t`LR)GE4H0ArrH%3Ht*;kDu_4n^RAi&)?lr&S3uiEBUWWEp_Gp zBfIAEyt#S%oE0^J0`WJq5JMNRfVr%>g_C*Jw*kWec00GF{oH1(0m0^U5Cun($0!Fz zqFYaJPUI|%_CJIAS6eWKv$!ju9FB-TH>e~aWI|dtGV80`_oXMa{lUAQ8gBe@mYZ6#ien#_zd@4*z!nNZ#y8vK_5Xa$iVVQ60K2pP4~TJ*K;KF1uXT|6f_C zB<8c|%TQUQm+}9*^hKa}%yFK9jzpLneIsK!o1U&dfV0`DWVn3%cTmv3y4Q(G`UtOr z;Id0a0?pokB1JD+PZ-R!sgm=}G#2D4o{H5cnv^7B?%K~rMx7QB^KxAubDHGDU0IS{ z}TD$_LX{R9FPPXM*!uH=21|ArWy5q)t!cho%7* zv{jzk17|L{kZXn>Ri3P*=k%fvo=+(;zlP7gbiPilPLZ@FzPvVfDlV4(_rY{DlV`eTO`Ej+t}hg!)9rz@!b+Q9(X?i!%dut4 ztD`;a<^Wa#`|O4%JWO$`x$ErtsWT8zysxV$V}aSnaSl^7lwRzHnn91W-4G+UIzFK3 ziL;%Q(Xpi)aYrRMb}_daU9>1^g7Fb?cPLQr@kFP5o=+<}S>PuPin)6TkQ8ZmB-iLk z{Fm2@->W#n`03jRl9el^YSymZ=cl8~C4^h9r9gGGpIyq&ua5AP&$s@i%Z$^&YNfgt zZGN_-+y2`c!+P1=vOoJyP;=k^=(dyBFjkTBDP2pL2XAg+6(rA7(!IS27Pr%)5(gC0 zddd|O<-a$=j<^GY1numDWd2Dvu8~N;5bu^GTv*(@2pavnVt>PF@Anm#IDJ;fhp*3f6?ER1`I%TAMp%vCA81(A zA(jg$^!^^H9hBq;Z73d)EVKu*8%6xLpGK(KRuHGg;CtKx_Tf2F0f$lUqg_+m93lKd zdOdYgE}K=QZ)q!~k$sSqW|#aqN#1*XW&AiYVt`wZD_BV(=Sd(n3@Fmi^^$yg$N6Fo z1zX`Ce;DNGy@9tp4E*-8r`3Bga;uYK+NAU;Aye3dM> zep{&vzxS)S!bst%>92TcGQiNie6!%>gux@%_5M@iud@V?okrq#67kmfX=2xRt>-`_ z62C9O(@ig#I;R2N7Jv_4ZhCksnwCNflh|djGE9|#-7I~_-QK0-2Snj<G;lF!T0rOl_rgU;&+#+?0K!We2!AL_xK*U~daNht*JnWu5bR z<}R0sy0AvOV}XyB&-J_CU#cbC6TxS_MF^22j=%RRTn zD)QgCAf^@5QA)}mGcg-<#LuXdla&oF#Z?6nk#t7I<=L(n$O;~^I4eID0fLl09s*z9 ziXV!kC_GELzz7FI%{M(%cN-A#I@jxHzBg0cN6Ns8*A~HD^GO8d(L0+G0)-iWL&8uC z4cPS~f0-CYW0j{Hk6oTsOZv8{Mf;QMjVsSI|6r($myI_{QKPDQd!Lm~*ySHfEj*1SM=5tl&bJ;mj7w(My0-};h1_GMq$F!jlGQu2O=Jjwq z+&HUiJqhd!>Y`)!2(`6VI5o_su^1)G0>-BGG{28~&5srZIvnO79q4srMj3(4n_UT- zhi2ZLhn8)al~W-mR+X-!1D8rphsqf$2VDEyfJ84*k(#^}vbUhGl}`Jnv^nN?cIoT} z6z}W50KT0aI+a1}JL?$X&Yw@It|UT?a=Td-%L6Xc>{9j@3EAVq^*EXe^szTNngl}T zKU?dlOMRW2m_58=%7PamSF&(^WZ$;VkkjS#&qMJz3UDEj!XqW-i+$j`A9v%=dub!A zFhZqxAqv(Dsn&YszM&2&OF@K}K?oM>i^jTjg2IW5zQ^r}8m=nYWnAZ?M5UM7k<2)Mw`x{uVEoX?)v)RqLL*u(b*p+)`KYStJm%Y$GnZ!ZU5vC1cehT7lbiCp zOhB_;B6Xl@ru2Zd$&6{I1G(aFzYShW2W9CR9}AtJ5m@3sjG!&G#&1|cwoyScN`Tr` z1w9fe_h&gvCAo}Qu>Nnog7U%BbYtD)jBYb=YDRd0ZHm8M_%w`M}qDvT`4NlL}I;b8XGgeFJuW zYHZhbJkvj#J)&J&8jOcd$bPk6jRQF~Y_yD%pX=z1(rK$F#~6MbPwLrsCh{p7;85Ss zbo558RM|~w4Eor50xGDNmD|GHHMCa75luQPB~Nf2n?cwOUdZa8pgn^G5VGy2 z_*#DV@x*DSLUa?}Wor0JdQ_^+dsQ1G-l@qn4C`HoZ;#nX7#UV=J2`M!d~z^#pL^!U zUt*Ew{StJduSuaJzoN6`NoQM=&p=aO*BrHK>Ov=DLed7rIiK-wGIZ)eh?R7p?=WRB@h5oX=U+s*;XC#f+rugVdg#DIM$^QtxE`80&zGecc zEPy=3yN7`v(tE@F>2|dzTjZ(FwL{qlWyp^I+0of&28= z(aM~6eh9u)EF)5JbnH{V-rq&(lwlTBt!hfDy|ew4^PvXRdv(-wp3}KM$Vh3`X9LUD z-Zx2O*t?WewX!$1+Hz}p=3Qc3B71~2_MyKMDS{o?kX7d0bi4CDXK0_Shtl2zHY%3- zK`{dE_nM#UVNMxFP}3^Z7QkJlgs1Zw!3hSHa0F-)&LSxX|;|F|%_q2jf(<{2a14bYo#u;QIG1xGkqxN6W<%nckgDqoC#1y!Yjn zEzkRQF~9uZR}mG<`Ku&t@2X>@8AmVwv0Jsg-W%Wa!x0r43mf*z&a!7eeF^#%8-Cg> zJ#Bd2TOQ$=#UJmPTgEcda**tG+V8mQO`P2*b;el_#>y|-HYSK2r4PH|C`^)6>dKCR zJ1(mlRzblf=lGV$J>0XL$ShI6A1aRE^XZP8WDsZ1Qpr#F+@yfuz?;Vk5zYy?e+T9Wk~v!xOKfk8Z8T`Rc`leVu}3KG+IoK^ z`P8zNBAiK8j$7B=)pyKQE%q7RQ^p)XJ#eK$L_M>cJN}0VDz?(wwfH1Jr_QQ!Wi#XL z9#2&kn;P^~L&e-dcY#J4V^1LPV&xiVtN13XT53r?Ay10PwoN6(t@#nBn zHd|s7=Uap<*Ukr;il5o08#d^BDae17{b!~*H^%a<13_{h-~PPrUQN#jd#MhP@%yGW zS=Zr~Y_So_`h>_DQfX(@8i}^JW(I(d^*lmn1Sf2jTIaCNu7{>lO&>#0lwDkLvuK>P za?P-7(ikU^0+<>-WmGi2E@HYU{B*6zU*nS;v@$>C9!ca3x4xlYCsws%(#5|0l;{3H zZiD&#MngX}1;%C-b+S^GMGhH|-OtG~7Bkb+Xrc9xb!4A4SrQd#?di)}o=xUWRC+6U+E5FgVEFaqw-S2= zfur(xx@v{7yfTHM8kL;7PR@n%|MMlL?Dy zGOmU<9BqZ&dNIl`bfm+cnvduN;VWm67NQRR_`U7M?N2gv#BQv!O;3lnS{22aRAx)D zMjlb;(`!nvBNY|8M(NxETOme&1z~D)<2=!3RffE_?qH5S`6w^rY*)K>O*}v=I2zghv)NXUHC^%8g`(k zmB*T}n%s`%c8<}%`|)!-3V7%nFGp3zIgV+)Vf%ec`{a8A9&Lgt0k#6H-?-eF{?&T9 zbaRtT*0?*m+P@S4Sxi&!&axb>{Tf3^i!ndY*Ihc)2&hQYvjC< zv-+osO<#Q|Z>aG&KVI6ofH;4W#moE5MCTZGv#RF24}t@7xn>4CK@-GelFF1PrfPLG zwL2$23<5ck!6c4wE~VhQ{H{g_o&#JK?HgEUH<2QH{<^`5cI#=@#v;PK=vRIi5N z@Vs`3j1<}9Ebl@jEw|S-O9s66CQgPIt9V^LisQG)U?{Z8T)JJ zN0f(c@Jns4Ni=J{mz}|F>!03!Tr&Gc;lKCp9=GExTM-#OJdYv{b*pfaim&m0BEhrE zdO&ligb!MBQ|uQr)+`|qcfBe8t_+70N3C==dE7rOP2M4 ztju|#u(%H;q!Q&3cb8p}vg zdj0e3^}j3RyEx~aJ6w1XnI%Z6Cz{mT0W;Yi7p*22Q(jMLZg}1=dpXjR^CCwb&4zW0 z#pXE6c0=u5kFHpC_*1LAH7pi_OLL>fzj$^1M&OwYmC#}zUdBt?RQQBT^=HXE@EH-C zd#o>y9J?P!UM)cfxT3j_;Hv4Xm5Yn_6{ielNv>!QiMru&ql){pXZltB`u(mj@VmF# zQ1lj;I341#datn}FARzz_tT6q3NOxv+*}T5s>~}-Za4Qdji@&bu1+cX)sjT@NH1g)faGt~11I1@$cQOJ6CqLz zZR@xmEt1*N)wS=$$SsU(ExW?HNhLNmaQPqrLaCe1inyMra$(HqZh6mEsXxNm>IJigRC-SKqjI;!J}?0fYkZn>eA z*YI62(CwsS*h*%3mcc1jm|CFvlg=y9*m=eyc*P?+DD1?PFr~(Pf`-APp2zo*;cch^ zRKYHv)&-}(OPf2A)oNHNC#6s+Cw`)=?XreOMg+cT-% zRrHxl^I(Mi`LnaGOBysTSdup^Ws>oon7 zs3!CBCjH7%B3+X~${YSgSX0mKhikD^;|d|%zQ^PtW*$84V6#uKaXhJf1TCvGdkVfh z^Ga}|d8*fw;~ZLD{#VyNow3a;I_Dxcq!NA+xj&kQ7LiHwTFb1_d$L6mAZa&Dl%_r= z;IV}8In&|&!=)$-cqaRnN|C@S-LsW1&pM1!j3cczsUe96Q$V2yqC7a-g`q0OlowAn z%OG~8>D6O#d9aaHymsTTBK*7;4yobCgG9V0|7owq_32g<5{v(=8s%!U-sG2qML{9Ts_@G_vWxz`p5~}y;6#LeL}z|&D@3WoKg@Di6UnZ8HM(e?@oCoXgd`ZRx4>fYvBXMVR2EDEh1cbsU) zEBKL(fflNS;=L8_RCV;bPA>-CB!IR{AFuNY+59Apoh6D<3Bh8l(5Lt*py)87GSQ3K zT)zOPNgOq)!HpFnBy@T6a4mJfs1agLrgD+wtpomX9mK{4LX;@!9nJ?9HYaez{nLwf zI8-R-H*Zq}CANFab<}?$WP*)q62cC8eLQ_$d=e}~-h{(>%X(i?rK3TQzJl8u@Wp;< zg@f#~Mt4gT0aNN<@WHqnV;WZ;bC_Wt0>iZR9-qUXzpLtsDxX=)Vl?gPL0@^Nu~D-w zFq!6pq@=i=@g!S>x+Z{1{>cbYQ{wgD-rF-}`Pnn&ygzuilpz$7)Xr^rUKI8>g(+dw zD<|kM39zVhhTyQZcbAlFwA1GuAyI)F$F^03igI`3Q93>KaY?=Z)UtRbQL~td9(B<8 zHr*acsYn3SlZP6=M)QnI^sMERXw#4=FSR@@ZJrbZ2iRdDF9KN@OgBQFC`tkXqq6yj zMQn`VufNFq73HYl7vvK8>l6EH?|v_eNx92JIK0IlVLopY-Cv2o2{$m1sw>HaeWZ^J z7phLTiBUEbKs?-?I=)CP$&tHk$)h$Y`S9UA0+Y^qlp#m+WbhdqK3mxvzp?DII?og1 zcxi8GuL2EkWE8-zK^~Kv@g2pVS-B+#)&Hxx(fcpo%9~0N5H}J2@$?NZu|!hdK4CBv zST@%djz|`>>{W4EEer9jnJutEckS+55qp)KA#c1AhsXG_-n_#&!b$i9-)FtUWjSFm z#-OzJoxUiu9-Kct7C%T2Q%7#e0_96@2rNEqYbC;%CmT>DRVKk|*ADX)mkKTF*3FgAd5Hk)&0;teWc(@|Cv1U9kzyww@r5d= zBH-rGermF(F#*wCk=t$vi3BWJhpYmF1Uki>C+|@F6nKUsC_t-eSSZGgLs|^I1)r*H zoZiXE8RtAQz}sGOZOMr6Si8kanZEp9F%x1p1AE8B1sgA=a{kKhWQUM+VCA9IgIc}X z_yTC&Ycl#A^kJS<%#=Dk&fO>}d)XU8A*mOqxsm(CH972S&CpOYlI zqFu*mp~^T&<&ZnLas4{u)1`+blaFOiZ=;DR>EW6A*+NWpmrgdw!i0Aw&0IE(Ip;#8 zWMyJb7ynDg9DTwePN|XwWhPVAXIp|Q^=1ICI^wg-vl2(;9fviQ*Nd%whc3%}Rue30 zjIV?tN4ZL|wO(^@j+Ac_m)e7a^s444O_Mz6W}rgkSN!Hcn^PNB4Yj@?!`>X1gA=vwqT%Min`p>a^}hK!SK(XhKXoO`tKgub-n4 zmjgB1=7S3}qwKei{Q~Kj{;TwT;*KF9EgHQ2OB~V+h>^lHJuvb8lSbsWicLt&#|duD zpGsIo6yJ6;$82zSuse_=HJBF~zmNiqE*~p&vPbt{*VDSi9(mwVz-f|p$ zV@{SCo7&%=556+)iHGG)Q4WDdmvmjEwTl2uGbZ?l_8w&gR7s$*sijsy9)Uiq?ERZA z9$*8}4*d&4aFPj?m}45;Omx9&@d-0shas`&_mcGtW)^VPCGQVhl%o!L5-p%q`O3d> znK}I0ng2kXOQa~)rjve5JnN#Qml}Tm+RCM`-|KVdv76nos$Ix&PM$}qXAx=UXjnt* z84-%bq1mjlwOaCyg(b>|;X+F}!YuugKUCVx$qqGlF+Y&#e$@#eGBXPA=A%>Vsff$J z5IJPG!fUJQfDL>F-g>^4rs4z7g7p_$m(Ff7;OS~XOzZ>Qf0>9PApQ-({0Yo*(1v5u z@%|-TH&$#Yg1yNvsdowt1lgt|of@o5>KD8GLk<^*FSC8IwcO$5)_!#8f;U6O;3X zg>kY*g;G|#@L}0}j{kC4{-zj>aIYA7YHVol^5-mJfbQC3o-9&~t*zpr}1$$;YH_#dGP*)_2*xzbNx(R358e)U;;rf8Lra zZ=M<|S9kf$z9$uUJpSvfJO2COd(rj>XmW%FS8_y5SdydPK9^P=?p9Xrpvk() z@j7h`^$=2QBw;#i@1#5OCdfDOdVx(3Wo?V)+Rih3>44PKhrKai_jb`oE9OPrdDMnTul`Q9!Zl?1S2MAxBeUlZ?^Z>b!HiE-MM$>p`^x- zEc%nFs87Rz@~XDLQk*{Bw|!TeLbeBq#0q>guU{^!5+AM9WtqNfyW{ zh(>c*jN}heCTkH{K0}})3cMpjG%Fcf(7m!2OMbzBT6fV0PUMv2rG`@swF1e>?W2fn zKKUn5m@tyL5TgkTdXm|=V5*bm4nHo9;VGv3Ec$vS1Z6*3xj(~v$#);KO-3oOwH?h3FWS-!evVPqUByP6XiBKP zlZAIhYhG6qFPGuy>(|Z93_eIiVVEhNIiJNHKr0Mj`^~bY5v7tT8?*I4QxTnIfBy;~FO1H5TV z9s>`HHLqZ$*wnDi&`2AEd~ZItf**>oY*FJUCbyKXrAg6BBWAmolETq!vZX$Bk6U79FUxF+l39`G9v<6$`u*+Sxg^X6P6RU95LS=T>GD zsN_+`sDZ`^AGqe^Z+Y^efeyNXoH;M^bMCTBMoOaHAwn6W-$TkVlOV3EkTr!u*kh>K<80F)NIX&mvJy}}K6{5RpB#P}(>D3OL4on&R`wOFQ7PF$c`Y|jv z71{Nyig|;q(rK~L0oO%s96GY93xjTQJhV(%Z6lUjdcwsR#$D5+DJyRH1`0`HVq;Z4 zcgMCRL`*i+O6+{Of2nGCUo}m@7SP2KjA>ihamk2u?r?)sbMpJ#&e&w5QTOvJ>~J&= zbF9o*AcwS>1CB;O<2GFL4|6v+8o!B&5%{>~0PJ?@`soDi+PTk0?~)va95@(;^Jqav zx$}QVihswSG{9ECh`MON_+c#7by?W5P3lW)?Nea&O7)=eljm&_O)`QI zO=`8Ba~>qlMZE%=e=r}w2ym=yD6)56tij-_Ss(@%lyzNbt)m9DJyStvX}P-z8x+P~ zi%#%YVUnud1>r=^gsIvEFL5cFkv7ae%Pf;%73_PGFpAxJR9_vD) zMcMMV?&q!L{YtRNTvKKyn=ZB>MYp~Ju_jlN8+}01AB0>)!5^FtkrFWIPjby)eJ(Wd zpcPn2u33e(vozE4K2FMz<1QwCWz<#bWE0A(OI<7+*i&wJr|Pe!E6dKrWJfre+Iw zL65#aiZJ|EeKhb$Mlw!9k35A-cq!$qc&Y?LC(-gRAp+U^15A^O-UWk|H9_B;ZDy&X zklC?E8gpGf{uhc*XX1sbaUX=_>O%Zc)!8}}RtsJpU@W3zb;%8Y!BM7DZ$z@hqQB1- zTcI|5Q>$_e+#9i|(&=(v7(&rg+ppbT-jFDc&O#<7O2#@V*{NL)elvTRzE+z zv6jb?a*m&MICm=hcc+A%pHWolT6g7@R$cX$5^MAOfq$a_gyB%hmvOopw{tq%+o-U` z>{cqU-sKndCyQp|sr2SGgn|r}WBS01W3{KUP~rg>rc&!S&ACPw*o(P=h#}0akEkBD zDzH%!Dr=aPX*+&>BSiHX_TxRwtIZrrfe4x{MB!Q`){+g`Hc0fWxneDLCm4p^nr6!DWizw<(Cm9DRS-!riZk5uUpsGNT zfwjB2f+vCfRKJDVbiY~LD8oBxXY2s@nat&ahe8^7Kb+Y%b)NVn+e|qMa_p^{fsb4Q z^uiRn4GI2y)=`Lh41G~X`Zxug`n0xnb}#x2-(;r&bavrkr>7ltAMsQDb*q#Dx$OSU zWNXY=t$z{A%sw~8`VUo;BcG{OX;W19Zc3yKO}c&xT#1`9^x*hWd*7yb0@jbNssvFJ z{^jw};tJzK7gMnWgc8=88F(g~Sq2Eja4WtkK9;L6pp^WMaEf#6jks@2P{xF{?7yua z7RYUYBF60i4!`oT-%Wot(WOD`wko_MO7WBTRtL75v#{dFt17wyx&b(OGzM08Malg% zeO1izUvT>qlH?VXsD z&S6mhf7O>)fchwqBRJe z(Hy0bXpf^#FQlXw-|)b*)erP%FxGI6DRhhoHvx*PQf`0|g`?cilp6Z?=s2b5o)oGn zfFESy{71&MWh*d`Z`cN=+lfo7e0os=A9f`Lep%L@KgiCz|Jw(wt@i{_VXd~I}Mw!lJ`XCx&6*f;vqr;@5vV5oH=BX}^vA>X&JvB>!kO zDJ!?Z#;tBW)shvd_Q}$N_)kfCQA}gF>B^8|jz5LgFnTCo^ugt+4UN8#oD?4`8=#f! zTZ!U$hPI+JN^;CvPvdNL#cf0{o+wGG>F*3P=xAvPDv_ZQk5@Ou(p`>&cY#!+-FsVnC6E;t&J z)o&RTfXQv)^@>W=C?sV#e)FO9oUm5DAz_uPUC5aOcZU|tMx`XL5CBx^MaYZR_J1l6 zd)thZpnQ@}+^57LOfjOkDfW+l-#ESdeKHJ2M?i`b{p;+H9k+A&a^pJ_sk zB*)$JlP7A|NhvrP^|#SC$nmGO?nIUnj$6GB%X7A%&=s{Fj7_Th$!|Qp1EciPnguP^ zu=nim8OY#rLbvJj=CpuVLk7AybvO$i-uO=z8mg63wS-aVG6Emj!OG9YCZ3Wt6LMSz zNg4*N)Q9XOLygDeX-Wu%H3G6Q@ny!U7a9J?UoNd5iosC`8gBRL-tdY~vmBybvo7o< zFYh}c=`Gfau_i@Od^spws2~h-&zeb8!Zepu>PQ!Aaovr4ZvZgDq^5Cv=LP8y`h9Vy z6SMvKv0N?0H$Q|tHE``?V>nm%H~FfJNY(=F(8RRGia!1!_Sau7PVyO0P!bcHJ15It zZk@!{sxWJ)0{p4XD5v4#>2Z1!iHSKpS7p9#r+ z8F1y3|16~0#`BSPvD#^Y`SSp(Mq>XOMN}`;f<}%B+-DZu;%1^LCstP|>syYwaoPvh2!oE=M%Vp`Pcm>s+{+K`K9kI+_BJv`nURA>t z$KVQ~LMcR1)f09KF#SjjwZ!?V{b_2Z_9!3N8K#^iA5!nsj(3T1wrz@>MWTs5fhF%c zqw0$}p`~Z*b`ByXu@QGTs#sDR(d(<|;834U_asQFjI}KL#-U&T-Hk-P{iiabquUn& zwF!SSBDOQ$1C76q5oXW{AyysiPNnC(u8SuXRFBo^dYqk`t^^k=(m#5~j;;h1bjwqK zBh%i>oGt$jRlnXfkCZbVI2}ENFRsq0BPZ%}jujc(C~|)*3oc^f=T%~5XVWeqo3#`r+uCsC+HSwnLw6=ZKlxOhV z--UJExZp@3;7@UySg@9NzIGE^zHZHVjvV?kEAN)WZ}%mSpIr)H{MoCG+Di|KmO^i} z7F1{O_V0X6yH}sX;`9vt>Mr8tl>HKIILyei;Q=T-UU zKdZcZkw@xo;Lt#eLpzdt^xe2LUy^G_dxD($xaC_V>2NlkvEC2l!`HBo{~5INB_f&T ztwKH|O8WBiamaK_?Bt$jB>aCCU72Qh4S!FTMFGik-oHBI{Im?OS!v~G(vj~8r_<}i znvKax-NJrvsT^qoJH4Yt=tP2Hb=*}Si*fn{R?M2!Lfteqsi&j-yp{)IU7PI6ah5_C z<|7*Nw39IU&E_UBNE}I8I;Ud^o8X18CjO|bYOZI*EHBKv-Q6M^l!x#NGqWr>SS z39oIZU1`4@7OM+7G+M^A7lN+g^Tg7Tfse-)?wqV^9uO&qg*ph;h{Bmp4c|M#7ueTp zGvNqc*aQR4uf<-EiygOzEWUhASKUva9;cYPpORz?U(Dd2)3r}JNmc&{ z9$SI?-=MX39Kunav&zfk#kgbc_mX7Nw}bMo{$8M$w%4Z73`Co}-VG_RsGKHfTLIeB zO2aM$AZoWvJ*%7!`H)3@OM(ufw{inZf_!HMFz0!bq%)yM59Ip=_bDUHyc4O7Sx zz1`;}3cHAaSpF3fKgqw^)L#&yYFhxh>`4oERbDO? zv&%an@4^Ef1_#GTpYyLb4Hkr84ndE94>0sa_JN;eUSSuukik~3kgS>DI-vZv7NnNmGl=;(gW5wu*Ej&Nc{g%y^`^4SHPh#}1^KQd9Dd;kS!l%xl{dZz z8p^z+FGwIw?}OzT--zb(sH7Tf{ksP;&&NDe9(-G05;-12AiVa`h;zLc?`IFgUkF1c z&VKtIn$K8;YKxu&3#}1OL*=0Q<>t{@!@FJwJ;{&H5|A!#B~BNemrbBmk;)a62$4`I zCf;EN=UaH}w<+?t#LBkrgB=@<{4Yhx@sFgzRzz8NX&Sw&km&Cz9%a+KdMnPXFYgE+ zm_8VfOvvG?*|YTtbnKi0JnP0F7>{U~JIA=QbK;HF;DJSwZx^zppCIB&^wv8`Ix_-s zBIklW<$2w7(B1Uh2THBpFO5I1muXx1)ws<>tlc~v^0&prbdTp5=uu|6k`dqVf@Gt5 z03dOaN7_tCms{PCB7fU3#KBK;Q1pJ^{dKe6k*~gHT>@Osv%bKoLkky(I6%{4l;frq{~wp)m^{K}Dx^9Jz92{A5nt98lVc;tX=Hl9Dz zIDg2);eMW&+_Z9h$7G~Fzn&|ZZ`X4|0(v3?UX%{Vnt3UfH!Xho82Y<)n86^d2`a$AA2i^*~C%>|uoflxC=luL<7b#~qPQ#U$DZgG^7nkAA(Rw`5I*faiGUJ8F zOdCS|+ytgTRQ6NQ;~pFkYlEh~+w)1$n{FT(Fy+2{hK~ZM{ezr2oybSgN-ISDxP5(WHe+{phb>PXxZ}N5000 zuJZ-YF4}=j7V?H}JeSEj`5$9d`+q&V9H=z6A_LaOW1#D0cfBWtOrX<#rSS!i6KKS+ z?0@hFsv6`XtX4&TX#6eWxVZ%@+BK;j9D-;KvMp|AUY0hP(I>)4uV*@hWXQ!J_#r-v zy$O=A=7BKa{Sx^v0!PMciH^+GAzv>Z4tEJD0t0)|S3Y{@J=W7=jlDR&tRyk;7q@{r z0Kwbil`3S$*Ns1rw~_IqMh9`IPCW>)BK+0qCI=#VN)jjhYYXDx{+jai5t7i^wFG&r z?9r^AeugBTj~l`Tg0J-t-yrV+pSCZe*XH41z(Qv)8*W8tKy(UJ>*Zg`)g@}~U$(Ir zF+lY9?b6`8MdlSdB)%M4n&mJ_0>ydSMRL5E-Bvv=4v>&s2_cOFtb;X3kd-vb#U1%jZqC`KKq<0!Gn2jb9tPp@FDsKkG$gmHo;4n=&Zw{ zRMzfDCd5N;m%8z=9CVx!3iqoEMC2R`qj!2&-ctk(q}h_y^H@oTz7o# z6)GJ>rx+_QbHyiLYX<=NaMF~%etHsf;|YHY`EY0%7Zdq#7V*yTGS{Oo?qm*^0kR7e zfVn(^*dhk-{lA7C@O|$Tr20MtIt~B3n8-1Cg~)}9;&EU5-hzezTa2fRJVO7T4dDG> z!wJsJzCG0!n8o*M?eU9A_(!|;_jML?rloD-lhNG_Aew@w;ig)gK94hXvsB|}E1hfP z$#+?Ac2d`FvpKp9xBO-3VWli!NecgR_&#t6>lS=^3R8XQ@VJ=+orWIt$Xbh5GhlzW zIkn8&O7&~9ZMm&Do(QQ0A z(dPy?c7P;qqebWL4zr6Az(SrDRlU9Vox!AxYlLCEv!0?X4W;t3Qs&yI#Qe1KS8#PI zPdE9Hh+u_s#~~fqO#_7qxbuhh-z4PQoSFgwC?Mvx174noS&fsM#9zA`XwdR&mm#^fSCcL?zUPj?1S0Om1PFYvC+-VWr2XfM-zkU@6V>2Hg*8> z)@P5u`dv=GQLWlyBgMb)462~V?)_(%jjx&(9*3#W-mEY_0epD{FvhQi?PbVKzC3lu z{Y+$+;~oVxeCP7K^-mtj-U<9C#`k#1F9Rnt&yPvovapN22b(G<{Z19Y2H<3WG44GI zui3jVn(T^TUkvVsuw97QEjd2L?*Mmj%YH|jaa}>Ls($?dLmjE!eKvT(+3gSbFSzNw zc@qTxcyB;m;M=aElb?^#VC1Xz>J8mDA8@ps-=Do#u)?a_v(~=*-lmAX*DAno*UTvGRhvAmF-T53ZFaz{lU^?_K*RG646G`S3u&vM##H009&MS5Pg6(nL-N zz*U6~{(F!g!2nxT3%;%p_jKyYw_7i7Mb?>o?wR{&8psIp)uj09Qfh3S54}ox>%W=u z$*jalh(L)x`r;~DE!1T2d87!5z@-B)&k*I)>QY(5afpZ5w6^<=#PvSER{u`>)a?22 z_JcLgejMrKfv73S9iU>gw)^FE3|i%#HflfmYc;vpmEH?+$CJ@vk}W(?N%%5lo-`)%k)B zUGW*?^r`tMkc^w8V-I>KaA_Sg9&V#_1nza_*fHh@X7^NDmRe&8b3n6c2xg#32?Znk z$_9xltcG3UqX_Wlb^I}SO$$6(O^a%YP8yHrqw~&HEAvjp&=QyP4qjI-xAUT|de=(x}6+74f|9W zEp(IE6(hvas_4?rp+|JC&fZAkuCvp4Mc;FQlQuS|uJM1e4;-2 zEGHU6!b{x1%dnp(P z#fdnrz~p_}5g@c^WQ;7zS9F0$*^5%8f2e zo_iZALFxRe6%Qn>3rJqSE5X6!us@*#Jl52Wf?R|D6wtiy($j%f`*#CY%^OettbE{o z0jKw1SVwT##Ld;s8R*Z?(cW#ek4)Q7+6W)9kcQ-m3p|$h*tl>TQMTa(gNEk}TWIbP|#&xrlRjO4WyM%YyH7t>4?O zV{dC|h338rDMxx!DY0n?9IR!nIxEIZ(5^$7_->uN>WilPN?mrjCkBRf(x;h`a`0h= z3DBSmOhh{Nz?Vis73lv!as!K1wyhKtxEa1@uFc}waoV_}+(dJK+)8$b%Su$0#c^Dx z$lpbMF9d;4Cds3?fgdwdNrd^-bcUXFyG70ez&wc0<_`2)V%DwcYO$e{(MoYvl_9#-8hI@pM0D7+iKqB)1AaG@~1fkAQvm!~faH`ZQvprGt z!0*CE9z|YUTNFWd#Gf6WquJ%2z_!nx&FA2Bv|ap~PXYL5wZEvt!TcSK|E#-o9IWJY zQ2EkrPA$RA9yIh@{st-HR_D}x3Sdvwn-R*jjHdNae1Z*PW zN>IFU+qWIo3|RKj8Vn5hQKdmtDoo?)Ubauv#h-hlGrF#IwQ7RjKDkF6b^&*pv(&AS zFp3DG#TNKskH!X*T!Y~oH5=p`u-fOxOpLc%-pNn}o|wdS3O+MDZ&tgbUbFMQ+altF zx^-C3!NGylVLS&W%q{#F^N+$81-#^Ui1Zd8<(oXhw1Y99?~~=(g5yb)m|< zMXnsuH!G~(EvU6gi_s9>TIDL za%LuYKT=Z=81UdTzvHCOY}VlANS@!bte<{Nk#zf7T6Igwk-P+7!qi8i59~6SGV5EA z*FPMb+7mm!GDo4$NtOnMNq3CpZ4w>!b5om79%-35}w%W@@|*$Lz>x z6lFlcP=SDepn&2~^pqW~TY7BhfPl>ZUJ!rZI@{UPyW0Yr)1?CDHLTs$m^j6R3I8@D zCNq*bcR_Otas z2ioG+1Ab-*-FocX;V%ON?SV_H$l#PPKR0MBIvBJ(3~%RhtMIGM-0o*2V%ANw)j)feYG=4K;uN8s6$-R+DUE&U;$$1< zM?Y99W<;Nk7MqCCyCQH87i`MI{lIz_Y7^t@a4(2*fU79WTNb-z2ssC}UdsHJ zW=$9uYPaInGXIYGwLW|6C=TDOiq76}e(pv$-J=1uII8 z&)LYAYI`^~R7AT1hM8*C3d3_v2XeQ23c7dAF%j+}@iBynv=Z`|vYJcIMl>syEuLNC z6G)HD2U&IR$9>x9iSQV$b0u5sOz5EkFS~{mmKN{IJo?@DN()mUTpC?ugZA5;U{DWK znA;sd!7t7P;zju;ZwNK`u+w36gP!Q!b+;qSDoh&qa`XvhE?a>X4iLCM9JW8XbdNuv zTd$!h&&^ZBCHm6J2>z){m(chR>&+L6e3c_H)&NBSkW6 zdUVMpYl2>d1Fl(i62yX5t6v~WA{qUDN9P&PGR{}-;tO*ts942DhDl_xW}6-7IU|}! zGmY>4TSxdTlWBt5yb1A$Q2h>n248j!baeAG+4tL5tEb%;IEH7i+rxmouDjgEC}Uoh z)!C=?QK6I-+dRQ*-GwL7Y{p&sOYuVMu1GN2E`K@_cIpt;t|pJ13}|wnt<<~M_RANL zKB~aOQ0C^$``cTVc*p$6IP@ZCR)z^!-Cwg`nZAZ4n4fcNbkpc(yPki5kl4y#e&6GHP|?UM%p4v$#OFS}x2%@oWvZns492@L3Yg+40#trr_y zp?9NN9o}&%1uGfeWeb>d&krc2392dX#pd0DAgv$!y$ov#G(JPX#k+cJ7GwOZLRqhV z#5qqx$G>0orF^5=Vel9+>FkjC!1mT8{6s-wU+^=fUal>b)?Lb5;=nAc=u##CL?-W9 z>?y=fs|(c7&re_g?mkn%_SgPC-^#&5)Vo0@2_htoK^OmvpF`>XS_1t5WhsWVcbrKt zFS6%->j@B&tFt`VneAFCGF8}zD$TDF5~kSX0J2+$!8XJ(5$|BVke5cM>BDGXa5f5_ zH^2r{{00bQYKGf8mt+pP)FTO?NxHdcUcBVtJ)h_WKJapUrD=aaUW&TZ+QV=Pv1E$S zm(6`HWISFaeG?h>u#d>1%qk{?>K5Ph>|YrG;nY#iPKEAmSx^cUqnMt)2C0GjjJ{7?RAxMqASquOQk7*_UR-JqY#>dFs|r?QHdqmccgE@K}T+4QsK^UJXPwVP4+r& z@u@t8WM{EJMI*@Lp_}m=!oC+W^9h0FY*|p`d#%)9$&&(3g`7zW6Z;HCrO?|nZ zdrw<*n7>RGuOBr9V%!isP05^JDv{Dm7)Xr#tdEyAZ{=#+D#_-B}anSp8C{|DIe3|J_8;K*2T0_Rh+g6<=*d)cR_RJ+Z^7A5$t*yqH+ zC56(4zq5e>Xw{GWO+QzdeY8y~Sy2VJCl9!Bv;4E75kDR!V;L02IOSGQEL3jvfn zl&Ey)>0YpSYNX*r0qc;3T>4gwc+nidt-C$erF*WLoJL5!@n=w8cO=8&mr9d3-PYsX zk7Fl-^$J7LlnI@eyMb*4H7Whzzl@fL?hb^7Wnm_FfPcap=C%9RGAT}S!MXzRFEKF7 zsUe5{*jo;AEGI>4&db4%slnm9ODn$L9KGC&3VD8I%%4x(wJ^8@j_2`6b z8y)l;EN?tEljq0h3o8L#YTtSVyJjv|!~?IL66TF-;O;_p`o$;=%soK8AY5C-UBRxz z7DqZw^fMfS&@(2gB~!sPun`Co;G>=!_@mU z0gxxLY&7%UnK#UNQ2E}&)SqcfWV7353+I4Fn~80 z5q>zI3f)PuCrSaaM_lIA=r^jT|L)530^Ga(yrHRnf#In~Z>Ujkl*W6m0B%$g>D7h( z(N%FjTGx)4S$wo7#Q?O)ALfw4Qnu}PY;lRlDpt5|{v*&@4)%U!0{^!%u)uzohn;4L z@6Vpqa9?hojXmc)*@Bfl9t%L^#&!p6m^LDoxdbkDGtFunqKTi)58bMKH`(WE8bC^_ z{mwk|3p13P_SkmC-#RWcCRK1ok13?~$|mYvNJ>?_To*D7D|TMo{fEwRWZ_W3`ky(Z zn-G;h4YmuMga^k`%13VG^GnnoHV}sbT|h+AZg8(v25v?7gjZEkF#4qQ>`2Bvtbq<{ zI$VN>i5k)OCboV_6IPqo4vd%C@B%m)U`GJ$vPQiEQsPe6OCn2iNaqgwu?%j*`SpbZfKLUoP zVFv=-?bt7drcZ(Q*(IkKmm*`L0teTS}+I{_OK}Y zF9vnU^$P0Of?GSN9vq>ynbn*vU)d#pRcvvzWQ7oR;9tWP9Dx)ZmRmJ6?}ZePtR=Kd zn`PtQ!~wf|vzC$K>#s7Dx8~~SSF=GV z!!Jn2*@|{}Xb37It-IIeJ2;OX)u4lKRZ^uo6N!25uwcZvv9QorqYIVO*uXHAmn9)# zIl=&U^Ep-@8U*x)e_y~9l z2}PFDbX$*x+Zmj}J|?DJvvU_mpcT%eUr<`i;%EMT;@vH{$1D2K{D!^UNfkv{7GkpJ z{&@p37T~pkTCb{X^P(!V>zRlzczL{=$#09LY*&#$gR3R;a34^AIXjg&a)c*LYxRZ} z_K=WQA>|PHoOYa|?F{(7F5Y}i`LMO~($Rg{=@8`K-s^!ez?*;44lf5)QAG|$2gE9^ zqM21&PAlKgArW5o>a%;T#y)chHSFix+Gsy!VVky3#<@FnAx`WHo{-E`c2dksOrEEo z2(J(6sG~g|Q%goV{d@yk>P*~HLK8dG<1$s=?^H1lmOa0t_K+% z6M9t~qGXhDf2P9N3kVY}I;sC(^IG0?IL@L`0=t4SDq%VoQ$X~bppo%u3O0_i;) ztjYeoYf5=%l?234SNx`dkV{b|1b+T8dQT=RZje#PyTwG0I3igh@C|kld5Fc=Cp-*^ zdpx4`Jy!y?=$lmw*5&;!8jdMV+f6L*$O{= zP$5sh*{B|!>RkX7BsnTS!Y6Voj_f>QMnrz;bAGJEScqFqpzrg|>5NoJWvj0;xZBrO z?KXCke%uKq@x$jSv@j2x_=7}Mv%2S5cWEtZ5dS3F2M^w(z!Jug72X`W0(Oh-09xAt zhD?(x9|=$+%=m=ZwEwA{C3d2|oPCN5X;s$>LZ*j@-HE7JNnkOjs-5dBzJV>3kMH~9 zktesGg$(+5WhWh^6fGEKOwD8T1iDQJIqu8fD*{0lvTAMC6z6_}9h$BZDWerYlT|yz z2xd*Tl((a9d{Qd6DW;4}M9nBs^ZTsZ7IV9nY79V9EbpZYfT6U;ngJEDwuN}m#t{#~ zW}$q#eP>b>^*s2{NQq58lB)uSRXmfsaf!0F2z@2mD7gS$Kq6HJrCCK5js$g6|6?$6 z7u3c$j;)K-Eyi2{@txnn(uxIy9vwUuJU`&F44)jgC+7#sQdZ_P!WokuL~+7q!-7Ez zN(*qfMTgf}I1Fc!)M4()_tNylbNt>pR0CP@sQ`tJtc`+e^3Yni%OMoMVTyR}$xC4Ndw$>csh284Juv@o8e-lQ1#C80&PpYbr z2owDlv(Vn^zoF1H+|D9N=PYAyl~IOCJ&YdE9I#m1vy9MU;scoiH>fK}#s^?us6h!d zdOLQvgo1Ahdy@Ktoi77SNh6soxRFzjVk7ayny?UELC@Hrjp;sZ6f?y zXtzHaa%7pc0k&fxCb^C9Mm}qj_I2dMCT?LWF}DAe`r-X)rZ0BYn4kvDGyE9#bzM@> zyE$HqHCzZA*`Z<#y;=v6;A+Uh5e2~VF3}WHr{kU)qY1q&iP78?Dm<3A%sSr0DF%4m zj0rFytGLU{WiG0#Ct_4-km(x2L=(_BjSO3d%DZ7p5k4ItSzt-}pN!r3F2|&$-&Xd( zX`+__$M}_VVzWAd;9d4Lzd^frO0%uZeFcQ55UytWP$nTk(tRB|#c`}APXHtu{7^1{ z3DLNlA_ruk0mP9~-WQXSnxghmZ)){_e z=hj)Hqc?`knIG*-2((87^Z}j}t4K{JkkuG&l_9ei78z9$U9Ys6)6VoQ@ITLbZV3kw zWs=YZF(#KL-pAk`lZ- z!Y1|04N>GSa89Hq_d|{|a=ZMFBk_MXD!Jk^G1uX~X}(Kr%E816%cbnBKCNBp%XNOQ zfdr`?iF1Y6BcYeYvNH@3lISM7b~*Blf*m-LD5bOx;DNd%qTAA0@js-0dN!hvR*d67=K zoF8WZhZVT&&Wr~|hZ+VQac)uc_PWoQ%`s^<5uOJ_$47r@_XJdbws>w{nd2nH?C`dj zwGRt|OgQDkbV)tYgzT4F6-}A6Z6vR2(wMTZ3dglqky{gzxUw6qKu)&{On9sD1k@R- zYxY7rt~0m)svB&o{GOK}HYA?sy(ne)3G>C7w6DRAN6UoN+OYQHtF-VD(V{+mc5imj zl9?kX%01@B;{^~XPe^pMHSRF5BB)fKz;0@t+G_joO|WMDYFDnGGCZq)EzZh&njVXh zs^^R|Sdvoe*lhPoqOQ0Tx>%>GWac$ztJ+@4Ty1;@Yo%Zy+`-q=n)62`X|uD7j;wWG zty5bdN$6$fNw&gQ^f$^*j>lYWKiBrp1gZ6(L_glnt{?$<6YJQ^26Qwbh@x{3@rkQ7 zFy%G;R;GnnFIyku*jy~J;OYt6TGM)VUOAS$F(TtoVw~0nEej637Un)2>|9MSYZ^$9 z_|AfurAo1ousqD(!JDG;oS=Flm=)jw23l6nonF0vc=zw@ySs=7n3F zIlF7532bLT%A7*h!K>m07^dtLmC5ni$qC-}ERGmaSlm>7vQ@O1K91T5i}mPk6XIqlxi$fdAbt?wx%dqIccZ@;E8k1b4RAk) z*YBF1Zxm4-DS&Xz+8ipDr3MAIXXR+w&4PK671?GkT16)bE-~TZ`)1Vl-WXesFF##O zB)a}+iWCs`O?9WuPhgzt8&L*%N`W+1*1o`NE>OFG;#afnbSBb2jK81~$mZ2(RgH!P zo}d9(*03jK=_ZcCRUDPAOW2ZZw9dk02Y-lSRst*;^9zunA)H+x^Iq&x9C{B#R#4hI zfCKP4WGUlo{FeqkH}c{Wbs_%vw(@@NC=jh07j&OBMSC z>$iyjTTgo^X-G+U~hS=r_7np0EG zU&_ZOk)dG4Vh#Sqya{g=vaZh~&ys^G4oTr`19aQJX7jKu9(0{b(Unt}bCCaBAF8n2 z0w-uJjyIu6hDZb-zQ4M`$JI9O$g^3~Otc-!pY_Ywu$X|2?is0WcZJnr(`)j3y7UMz zvAyf2OD-?p%}<|~?xU~&vBp4kC;$6rHFrvE4L;NY`IHR_GaYj?={$XXwTZ&jRGk^C zU^2nW5#dB7a6nV|vohy!FDQ~c_S!TJ{fyVjeJ1g+RezWdt1D`XqIJilHBr?5|@u5n4)Omcsv5N;oAzS?WYaExqAFy zfvqJ_W4%j-k{>kgkV=!Y%Ht|&F8D9O0#XhU1>(*h{q#Fhj48d`UA(S9kIQ$@w~GBa z{-Us#h0K05k{xrohN@y4Hp9>fJxsqpgdP>zzqJ8B)bdonw9eHs(k+LsB`_k|UQ+Pr z_?zPcp_6;Sw}Bg#s+r;5s`V6L#n;>Zz;D0n?Ba5(p1x_Mc!InbZNK;Xe$)}P?OU+$ z%Cr_YCW`~cb&tvLyc5E#EK#lb>U zE6n#&a!7}KrZD`yM|=8U+u3jtPI>jS=-2WyQ7kj4d(zLtiiQI8k*{;WPmw)jwPE}b z3X?V^%45>zV`0EeMW8zyg>DdJJ-$G%FxJZm#Vd&pMQm)gEE``;xs;1^MszF0sn5s{ z?eQytf>`jjQnzQmnaTBJwn6Df%qypTuvLgcu-DE+E%9j^?c2YDbMKmI?i867t;> zen>j}eZ&b?jSp-+&kHHfKevqR&!t1{RPvIq_M=Z`b(H@V+|CrV?)sZ@SuiM_`_a zyUWX+^sX!r3bZ%?VDCk@(OyQl;WiKJ{KL1@XJ?uxZSRRIKNk8g_=U>A>u90@bIZ^|F&j*LBz ze5e)Zs7wo#C{T1?rqiRmcdXklcgzgDR$6`&MLEKDYk9jrBvGsMipQ>O^t z%Ij^n_9cljb63cf>c07O9uoE8rX=k%etOX!RL}p4xwxLCxR)^m1$q#Ypjut5Xw$L( zu&HRxzCYCs_;lJLU?$RFHdNa<;^9X3cG8aLq&Ob=eGti&u<9?ClAWdJ>PeX>BRFiF zsB4xz#!xUP0N+;$Fvg7jM3lb2hwK2vKa2Enbl31S3>$vxGyk1HB&~nCYz2 za;c!zq_g!%kv^K?nVWkMZYcK)zy2AIdL|~2Cfkq(5V6?P7M4`Xy>+WNi0br?CAp$_1Kt@wZaJe%5fEfkaPd1exnaTzjVYuJWVR~Y?YT+1XqAi3 zhzQzH`fuPBInz9$98ib-<7UI+w560ud3P1^t31*9t0XL8G?~D0+0+_1Fu~1;3?8v1 z>4|NxWM7SS>Scn8qnHWG<Zl9ZAUH;^oxj&A9VCFUI4q(ya?uG1&c$e+W=kDC`Ty<;# z^%O{Cl$NT*V0@oq>ZF*ay`KGF;#13tzl! zI2WSXpCvs8p@RXWh&hJewRSswsY8$fNBH?o!qoR#6dBaG-XX`z3w|LO>_1Re(EG^Q zm-kETrzO93-o?!N0hLrs>(k}qEi+gWy{`Duhk5*7(g~jQ1YH3kEnI2Ap!~>vq&|xy z3PdmJj=;dsb7X?s281ZlVe0M_O?k^K0vhL z1zTfa2iJrIy`NKI5s44$FH)qkN{2Jr)3htXd z{zBs^G~oc@A$6hp^09f$DU0(1XjJGSjj0{@s?>=WghKH21*t*6&CE-uj93lRO z{Gqw09r#Q7mF0Jsj)03V%kiuQhNM4zUJ!bFvNr7>3D5hi?FYPh=B%HefZAJ8rNn%k zfEx=xqJej+UFBe2Jbd+bN}!`AvAnFlohU>!89qpl=d6^<_*S1&Sz06TZt-YYu;ZLm z*#y)4REHdkySh&uS;RAEnKKhnFAbeQB4Xk`dW_@#GBX(si@x%Mu2_S@SPXFEAIpB! zf^rx7Ouuk-JhSy&FdEIS06JmbMIFQZs9oMuWc};|n00+p@~4f!T(`Z+C<|=y4Xhgl z)#bh3p+Kmnx7(YQBsvj9(59*RvAxbD&Cqp0N9!J+R9wl55>I3$o;H&Su{4Qj!V}P@DWL+i-d7f1$D%5iS{30kBj>XfG@Jqs;jT zh$p@gd$^;IDx-W0KTK0j=~cYgd}&novg29ukWf{<1w%HKj{hqE$cX5>mTD8GdkQtE z)Xw4%{z0GO{E|6gB#Y9_t#V1EHqZ-YscTP_VaKa1+j~H1rgZZdYOlRALY;}GL5R(6>w)`9p_S!@e=|(-CEuQjZEFFHH@eOrocl@P3yW79|@I~LDWZX zDck*JJ1U?}`$Lm-^5tRH`m92JO9anqa~TKqIT_2P6eajdO!{hHr!o8rUgJ>G&cPOC zZd3IOxr*kTB##Fng83R$aJH;34`=gCl}669&R?jO`Pu4m65t#tBE&O6bizJ|zP9aA zIJ9IwtH^1W3r4NUmWQj08aNWO3$4P0M_n{GGiTs@qkEJBnGWOjrG{C8$H5;3#TUcdBO=5NW?X`6|WZo1mwFg`wd8ihSJSKU(l;5lzj&dMl0k4 z`^!c>TVgGp6!5eyIIRc{6(D+=fv)N14#xUYnaQaDBJLU;DJlL^2kcV-EYz=}h@mG^9S zDH|V_y7+WL$)atG7BL9v*qK$;-=M|~q?SaS4R|941#Ati6qzu^>V=>|Y{6GRle}j~ zaw=ZB-Y+Fl&f`o>MH`o*pDO@>G;oRMi&7XU<-R@SRk}Kuo$R@P=W`5BL|T!QyB~TA z4?-t!LXhCRDtwkqbc_UkY&>=HhitqQ=}Xy+DY>gF9WFZ1y#5j3M}edXQo`c%d*-To zQhW7f2B3V(0-M)gesr}^QT>!#@!V-h=PSr2hX%vA!CdN*p$(-n;w`Ys7PGooh*rAu zHeQGMApMyy{8_fGB)E;8+BJwG*&km#Ww^KzF%OELmmkYLt-o4H{@mq9iODOQtY_R& zY_8lgiW~&>t*+vS3|*w9lWrED5!)O&L`X}%4nR>S2p*SHQ()ZyWo^hvaEeE9_m}xu zx&-OR6U_Mf6LNB)n=VKT$lc)1KMx2c(dhB_(sD9eeNz4!F|eT2STGL+)#~7#oe(^F z3Ow5R>p|ecRHNX@MZFS2AG)}!eE)#cdJO)1mf{8+^FYWSX>X7u34GB;*W@v8>Ey+( z699j~(QS^CwH6%(7o1zFw2E!Gey5SdkQ+nnLYb>L_s*d%oF9rqDFNaJ$~H>7TF;y_ zaDh=5B@6hugdiWj87X$a)RxzjiG1S8P)eOf;-G$rtyfCNqOB8@7x?<2JlpU_2Eyl= zs3T=`L(hefVG$T3p9-2io5f3<1+2tX5?~ike`dj{V10&N)xqF;@?c4@A5G=hhX=JL zhtO0Q49}s)^vNK)`AruBve-`y&QYswucMH|@0nJOMto_1Zxw4OP~?*J?bAD=vZ@n0 z=6Ynt>X?M*JFBm@<{9he6Db(*m=Ar0r4O0ilTA9m5Rz}dkhQpGs2PR?#UC9q2C%!L z9REX%e)WOKp{MXY#G{=z($;`b%++Yk{J}uZ7sn`M=!!oC1swdQnPoFKJ{Hs~|D_6W zO`Wi&vs8yHWol7}leB#>@Mf!%O0P?gmK2PbFuh5z`g~y`PGlYr%iUOB-P%>>evjXv zC8(URCkmDZG4%_nrMsp{2qH|h0o?JN1Z{5Xy`cVnYUBVqjxiD>K7deaOEDl5cjxKt zoV;jdeQ<;8HVsVAM(%OfmgyLVIOE$36B-Fd1>&o@TFD=Eg~gs#pV+gKu|6G#N14)) zZEit3()#$0U-vEbmfp+9sR{k|%&*x|K6`nmkb|1Otn04CZd~ld+bJlQ2HfL5NNcZT zvX5N7G-!aHV)fq@!L>Y0c7it(5c?P-2rc*6(EAL+F?Ee;yxB+kzc&-6E(&3L-ULs&lykWn${GVEE0o6sFt1h1>q20eZ z3d^~>HpY?7j56(NgBn-1 z9Sj(9S3FDH&GvZ_7IIyxo6k$&cYnuI3_1x&E2^*-M@1FcX18e`>Y^qf(gf_AYSYDz zhv+mUNQeiJzhR$I00_T&a;K*Jnk^!ahdCp@71ngeM>4GnlErlAJYB*_StjQ(4La5p zb}J{1WRIO2;(~KJ%Si?h>&s*wh_{_tQ3K-<4rGY%MFP9X&3y&BVD6!z{+=3DG9Nqs ze)Q7X^p^=?;3e(Abm&t!i1Xi17{4+Kp^r1)a=t2*@w3Ck2Ds1-At-T@6-~b43RF~- zFhxNWKq#y$mp>wm??Ea*iU%emUhlXx+eBxfUrT`z1pqXYZ`)z=9QF#x9E<$ddBEB9 zzqS0$;{Hs>s*-)iT)~Fa7XjOT?j&Zs+{%PCR~%BS76fH?lI)r?%4H5=+OTFF0T}W zI%5h&PtLgDPuLy2r(w09+U5AQ+W$SqtufCOY$NX$3h>bR2I2d7>dL;$gCsQ*RhMMP zJz~Zppc)Mpo%_t;1$wl6HtKl>)Vf45*fP&XJbAcT} zw&>XF0`SfLOzW<}fTuJqb-wCDGj;#0Vjy$>t^HX(N7^e+q5Re;E?4h96A(JpQKXKe z@!khS(xOcy%J&KB*iu-NTli&sNFSmz$eC+pvtu>JZ~%>q6IJX03KWorK~_!B#8*t$ zaQJDau@7lM&fX<{2(%t&>9~TxTqh*WD6E;B0l4mw|0B_+qbpctlEUY~pZykSe!+#| zf9R7l_UE06PGTZpcvT6+!!En4+(7KvcvM4&&Q^CRtz_*pQwamQRLku8b`e695+e1D z2wlLi`_SmOckM%r(4EGr`$EK4JcPGmC>8oqu2mt!kuj09WIr5#fcQ?x5Vb7t;6qoh zFrb3r`F%1%sC@B|?d44GDFvriJXJ!uI2kl|q(_XaFPfa!@6C1J%>&T066er516HVO zxQT`Fr;xR!A3{x%+&rgQtBok-1(dX4_lL;}HPF#w+V$~K4K~&NM*94dg)|rD#3|b? zL1Z4P344@f<(2QZj?df;;*o~1s} zDg-DZOhmj7t|ecAFHwoHJMZ9>K&%XZw;pA8T^L;#do1rUO~8qg z7zg5|sSU-EC8Dr%1uRx)68@idzm=ZbYonOyZGCOqKO<*BTHd*XW!UgHdh?3D5oiIs z6ic|-ZNT-v6DokU(U6f^S5PiT`Kx8lN;N8tYg*`MiYLzJ%ibWW?JxDOq*bw!Wm<%< z;hrgf#=sZ7zd7(2d66#yf`D}yvH>34cY>~HE7+humpcY@|YiCi9o z0hPFkV3aBYr9&RS4+%SniJ%@tE3GAY$fX$X6`62Q@f!*9GUvrgO*KhdBv zyllSny=|h@!J(q7i&FE{q0OJ14q==ktKi-JMb|nUSa#G^QSMCae9T4$S(3DCV-xOI)r- zhVj&@Cv5&d*_+6l>$bX~$>AwAM zQ-9QephN!?4QE-Wl_8a(1_aG!n&w}=oXidFP5;wbHu(W+>fd1UQvd0Ul>gr_XT$#l zwO>C)=l%`-sQtg7f91WKTK_i#z&~jo=X)2fA_D<=#{BQ#{*`8t@xO`lMkdDp*Y!Vn zD~$m7#s9jtk@-*81+M=_{eRFupKlhA!ThS!|7=*DPv`HY delta 81472 zcmZ6yV{o8N&^8)vHcmFSZQI${wylkgJGQy8ZQHhOb7S*+-t$$Rx6b+1)7^7*PgPgV zRL#|$dH@r)2LmWbgF~Q#fPg@O=pgASgRB$mrh|fjSV4e*{QS>qVr)0-E?E9E5n*Sw0>mV+gws3XbgCcWS?y(K1p0y6uGLsqS!1 z*=<@#x;(w!sQR?Ln3qnB<>YsafV3DaLiV>sF&KNJ0kEVqmo#*`Fe%4Ja24om+`$Aj zn>LwTXC_;mQnac6sCxeXz9TKXb>+7;iwj-{sR8N=5$M+>_zlw-D!%2ODbr_`0V2ZE zh(jJB@|Qc@fOi4i5OTeu8hf{at&KQ`9)o{3Fy*%k528UW3YHdVB+F z9vjcG9QV2ou#5-SJWgS60e(37NH-`TNK;$@6jR*f6GW0xyvU2O5*B)Al zj^pYcGBV7bF)bnx9C>d%GCRIczXeGVpSa|;0O#7!(}z(EeY=&xg|O{`tq3SWVyFlwMeR%vg4E>K86;37jSW$loMi9+ zqfo?XtOy(r*#aT?dmy{`|y`Skl_ra(;Ig1C>NLs2U2 z^T4vO;BHRZkebrN!KPkH#YrZN=;3^b+^x79ldoOc4?`Gs1_nMMC*PW@*l8VEUdVH) zx|FaO(+KR}l50!+D?$K)B7arjs7Rf~Z)Ln~#xvP}MKA2ip`XYhol7q>uV3mJUENa0 z)=os}ttCxmd__$B^DTMxX>J@?ZWZdt8PX;1GG>Q3@ut-!I_Mu$TvJOWwn2GdBQ=`` zo13nV#M;M2k`^L2p=!Q#3!7Ziy_M*<>TZrcxQ}{qOs`w5&yzsfl$9u(TAHf0IZ?)G z3Od96h!ht37)iG>Grg{hp-ih9w4{u2af-bbm8jbv`lRZnOzOM^9)U4DCd@B=fj}^@ ztKzZGKv}(iWh0nmI?jIq`(+X*k4Nz@dJIuO5)&8aTg~_#MYkf9`IGh`r+|wDzU!$7A zq^%bGOPz_IXq4d{r8Q|F7pm|r& zj^O2rP&atuX9vN2-AF$O_sTfix?xe|B-|5nu~!k5wl8phVHT^k{52oN*rV~2kk=4% zYi&#hQeXD>^?6oJ%%X@)>n@ZX4HKFm=9O;Zso@HNQ$)NrlX=bU@YK4ZFDvg1kF#r4 zeX!kFYZ~*1MgY{Gqg8V0LH6}2Uf|%^_m$$>art%muAWYYDl)TOioY(NO zsDB$%;_m==$ojUa+dnbqKQv_LUgoQw6!>*pQhKj?Uj2+*U9wO?A*PfIxRCnU0$Fer z?)7I7)x65o^M4&bFUK1PIT^ZWG(=uu`&OqDK0_c}r*T*GCaYUQ62XzHBSsxPl>Pa@ z2p+0d1z6v(SpEJ^d$pPoP;)(}_G!ke@~#VJKK}z89&6S%C0vjftQ<8V#nxDE2Gf!o z^4e5yngAZY4$5@;f1S*?`Ve)+7rt~IJIKo{ zF?6-bre^CMMU$blTs`x$oy&PHNXtfiz1?j7{ucq3cyGRxWzNx@d(gat-O``o#;+Xe z#)mX)U`F=055~)}>=99z6m%wgFV?PS$7Bc4PZ=&vD(EcLJLah9o=A{^+QxqB&xg0| z*`P3ml0k(>FCl25lG!sYS^T=4ilS4V0wo5I)4@bA?^3hv)xz4#fi zMPVnBn6a;T$FLjI74{LLI)sFj*1iEb0@g=I!WF-Y=$_Jndng4 zQS+MB>90SCzl&hGWG?N3bDE}km{!P+TMYT*oV`Fogv3{Zubq!Dd)xx_TyxXCIfCML zB9|g)Wx0Z{^lu$ln)T9E#xvAO1cisdz!U^Int- zVB^_qTq>)@F&UJ{rtrQfm^;#*(FC%7a`$ikO9lJ4S6_m{RIwnyAWH`U#*Kvw4bDT_`)ST0CMJMjsB-RHp0) zHOn$Un(kec$;hIwytWQF8k8wfk+s|3t`3JWr}JchG&p<2C2$knL?(KrCo{p2o4b{v z--Wvq%My~0ze+En_y;0puJLW{ZyCB<*=Q;@H~!F&$A`{sUK~tv4F~rh&sm09CG!^@ zbg|4yp~ByoO(_i*#-px7)^Dll3&H_`6t%I+=VTP1%A&pC>V5*)WR(#Se)57+N-AO2 z^c_Ey`r)!x_K^EZ6nZ&KVie~S{)`@+#~%+mC+)!(Yo@mMnjHlHvC&uCVl3+Mnc_IR zEl&%bz1lfP2xfqKMyoLLxP5^Pf+I2F*Pq(%2dRWcXnJf9<#7yST^#Hevzr5Tm~rq@QMUhrNcUSY43S{vp&^CQ%!mihgyc(2j$IjoW(wpN( z8)>n426jZHQr)Cy7D61vlWH_ZLg)6-r-*+DU(euo|2^S2cQ@znU+XM?F&M-db1@K6 z-M;XUE3{E0Blw=JBKp?F&^1E@JR1kuTdcuD=Jw4r(IsBAF{i!5P)!3xS5weP^@5fTXl!+TotAZ&P>ncMD@LHAiwMpO z_#p0oYqDsad4u;P*ZSJRcn~}~fy`}@$jR8?*+mF|{OOv96jYtr?|E;C8bbvLG1AVU zEJ;zdpj7!1?@fH9-mWb{aVSfPZ-P{)h5f`V^yXP#me_srqfDk@Mb$#caAsle+d7|q z>#)ZI;e10Os%5E5{0I}+G$?eGjyuEPFG@NR1jCgqyG=P8c^CHDKMNZ0;3Isin?5TH z(_i}Iya*fk(Zp{3^gZWQG<$bjYgFy&gCz>ki@bVX3-IcdKUxg(pw1x?2zCreN z+9}LgHVB@aqLR9I-FO}1n6D(*iA4J9izBrGr7*N|NtV7aD^6@z<~ExlaZBLz$d-nSBwpXlwDYV1(x%v5@=;MM2= z!KxR|Ci(a$H33<-i>{l`cFWvJEoq=J7V z)R>AfOyw2wHCX@>wAJH?RhiS9LjGV_h!RxoFM@s2R`}5=tYUrVF{P3eh;M~LK_sj2^LDyY% zk?j4qF1t}{{W&;pfoIzjvdb%$4Fzk$!jB@S*2-j)9Jfxc(hjZX{ga(cUZk8Jj3_UY z8(qj)>ipqe_I9V!G?@Z%Q4nuH;j}%>YqleK0r&@Mo=Gp@XHkM>8;NpC3dXq<<`wHu z)LSXv+3KpT+;03J@HaN*of*+yUa)A9r3b6r^C|#pv#fQ_$_!7wlme~CNoUz!^DF~o zZVomhy9>^Bm1h#>Li79r2{GwPFh>X0Ez0l%!>j?}iQMcy(W{Umr4bEa+SHTwSNTY8 zJmgu>omm)lo7FY3bl^Evt#6!5+k>~fP!n-z*m{Xv7>-n~Z)8u+@JVlu@DMxIJMbb% zUe9{?vFs1Se=X6O<)}4Df0!%hyi_+<-Zs|UCfV+0M&68!;)>KT$Y`|OJSh_?vUsBU z9Qs41bsG)FM2eV1{(}?1_I7O&)k~>cQajGauKZ?Pek{STkUrNn{w858eWTuMc=hS? z+MMu;jK;Ged@`o%Wi*E*qfOSbFoqQc(J9Fsy z5cXY2vX$T9zg}jYiga`#luRRZ3WP8ghu5v-d^1LUORgXP8l5x^$~<>;LLF!3by8WO z`+GRI4T8Y&4;VVT$UEulO_s*F3s6uS?%fEv`Cf>C)d(Pj!i&ZLVv4so|B^BQk} zc-8!DQhFKI2!tjgEez9X5QjXJ{%N)zx@=J!r=J**%I_PMSWXGyDyRU>}JAw_g zShliNBI(BG49u8C_sm#qE-KK-yn?9OM6N#K*4II7HlE3|#XN6OBEMHjOjk^_+Misv zoE-O1+}5Pc@|0S2u@=Y>0i^I84mMq2>sqB-pt8%X8aPWL2}>e(KOjPfsy*ukmCt+8+TzmD~N!%+YZ@ZWd%KLIdCKmD=H} z5?}8cEy&3)oGXc@$6O3zOAXG0B*+k^cQJ*-32xfVSE8@BIAW$+9MuS|X=be)UR6UW z*By;p7Au=iA;0L}4m_N?9r}wfv3ywPg{O!;d}x4pCarOT_B)|mu9G2q)A*Ogak)cw zX62dCfwLSgq~*KI>$|-df&gzo^B? zbxe+=vs9)Fd#wI_9HbqW+h#D{zm&=Pjos$qa$CaD)O~T*(X@3*$KI@U6d~NdjV@ZB zKieQ;x{%FNN{B-uv0by`!9PZHeBYYH2&I=f^`J#R6n=8=+S`QnB@!p25(iQGW&&`* z;A`wZjWoL-X&=ps+)J}HX}I?A<+?PVU6a(4x*r)Vo*=IAzIA!%XE!TsEWI=79>|00 zPzxP>_1VJ_^2*B8GN(09xYlescnf$kWx&0xwGO_0N~elPvPopA^a!|L%$`tLD#vKv z(O=z%gwupXaDjyLKs~xdeBknlU;(IOX;2M(?_i($4e_mGLNs4;atS#31B`2s7)^{C zPK>75DMS*Bxt~>|2Qqav5_Ln}I|-;tLLN`0g`u;fn|Q6mwu;ajnClrM4JuQIp@>O; zUvy@LdH;P^RiM1fVH|3Den`}?>rqhZ_xnr>W;6E^II|HLa27Z-=~*v_fse=MarvH(3evnVe6o1W^R{y)fTTzHySqeXQ}Q|y;L~yVdMgp zPTah%gfw`!(=Qd$t%upA+yk_Gy<+rL2TEvbVnznRCQf9J2l>M_zm@O4N^tC`xjZ2n zx4gECmv?IM)m1$`;@~ucVR!nZ@5<+mSr_-g`11rRp2+_?mr)mT=$w!qalJB!n_NRz z92^6=j4gc`S|i&H6KT@pqUVCsZ+g`bJBxdDYg?Di2{L|2-d|~XS zx;M(ijAuy153-u!cunH1_+#aySiIuZmho$mW)J401G)-=h0!eN*h2Sic|zORT``Is(YE$uUzExT8A=;GJYOZ?OwU4o_xsoaT%ac)e#0=^Jt z*kD&(jR7|YD?QJZv^w^1!u^KIm#Q)>P&V%fM1_mon=M%yHQ|N9wKT znpKkWBZPg`)Qh^8pKRh~F^3|O5i3`7lAv2&^A`B9V6l{h4^4t_&}TR^3<5pvG-CoS zvn6?w*U1u+pC7oBPij0%A%tM$oD+uH@7U)l0I?C`&xwtNx}Jt83rMk71n=}>@WN-c zqQdt3K!-_{BRqnAxRr=t{bW=oTzwh{e-4}gv?z84D0s{r6;+|E9ECY8v;t}zOw;L1<@fJCjzHax7hPoV?oI`8tZ{0e8^I7px9+A z;L*!Qee-^yB%Wj4o{Pd#yJGWQhb9iLrvYywPWjQdCx|#B--8vSu(!<2gRJ{N9lMsR zps?G{_fVXU{1Rw88WVycYWMWF3J)e>t2wk8N~tb{7q557pR_F;{SOa+8Y3HWwcs&4aBZg^MUIw^OA56m)e_gQW=tAF)%*EF$tO5erp`G@c>eA&*|%W@ zf%Iv`S0oM(wbYL@0+$dXk&63P;|Y~?bEjlUla;L)z!H}>Cd0!XSM2WT8{pDB@C$9B z9_{i;mky0OHPKR%c<%UvAUue1|UV1z@>TxH!b&}UC zQs}YWya?sUvPCO!8Kf){=+}2ddRM?DKq0_idTru|VRDX4ys5&dIP(+Rnh1wst{lg7 zxYvoS6jRvCJMV6;9oyEd42<%;CI?tL==y_2d3a#^bMg#~C8>!M)99cmu^5=xLLyK2pJQ_LKd^+TD*Kic2){n0GY5{#pRMV;wD6n$M6 zzwV|hpVGubq-=oTM@0L!)shlrnI&j8f~x@4;tcpHDPJzNPBiw(g4Bs3S=1cAf{gU> z71(MJrKNvkvx>`4&@l+6avDW8Z>=47NRs@Y>UfZk3&94pCGFu%fGD{AFG)-a7bFbXYSOo_MkxQzHSjIt;R} zJ3~Z7x^w!CH+#B>YMxY}G9eL?E++ClvpAORYXaz%Oi?b#Cmhh@ZV!S8YCfYcZcjWI zCJ_3D<=efPa0t#eX-R!o|A=c(ohJJ7ssoyHrKfa`OQnMCBDCUux{d4JO8X%j z>RdMJd1?Dm>T~b$O3K!+#7%)@%Gt?y?N_+da{v0*40}1x8+yB_!ff(aM)d6O8%ZK% zkj;;4g6AFpz!hziCL!UO2Ixz4*i_|YAzHgSsO}OO175sY<25xrMcfxdUoCrUInQMH zS`VbKg?yLUwG1&nDj(4s+Hp9R4(Hu`u??_ElTFhp#x!Tzqn0l-L1k{u<QRr@P!;Q3K(PbdzUkD4+S%bR7es?WnO$@SrDGk}DDSZRF3Q}-ok>AIu(6@Ig!Y$AXrnYYe(uMrG2H-Xm zj?tqjKz=Nzr8-ihJDo$GL`vaDT{R}70fW~@_7gMQ>h?RgK`*0?7iI|jhF%L`2n@Pj zk&)d>H>0g-Mhpqk-er1CzkW`)o%oK5uEiH67)mznTS>AYAciID%H>(d7~WxX5n?|h zg6jrQTrnWdb^28DWF?fx=Sv3Gz$enoJ3%s@Hgr1cOO` zwa^n`TBY!vQRytTt4!q8I~*sN6Rew-Y|FZv8Z@`#n$=gVlTBy)e1ja$u`zhkY+9jw2u{;3S5H79TCy#1|JW23Ha?VFupAtkd1 zjBBKX7g>q%Sa>&SRC27mh1|Lk?$Y{lr>sfz7F8*UQnRa1TpW$R8SBq>AT|2sM49r@ zTeLicJPN_XwlTqvgz5s_2l9kTS)6~?qGLE2HSOU8rVs8knn{ELnSjnkGee_{DMyl| zriZ_WF^9bz6`C~~SPklfSRvR?3w{DXupdh+SKU^ttB_a8U%UplY!mNQ275f&B4u|k zqlK>z!zeR1&n?62obgIVxHhL=q<=Dt&RUoYjWr;)P1Y22`=e?ZoN!?Z?&PQ%cqxj) zy&2|>HZ8PE&b=uI+zz(V@|K;G>*l>e_}AHUn-&QB8F;q1XAU?cXS|D=r?HBF@L&;3 zI=<-d$6GTX92wS_UXjG(#!cP^OFdmFVX-9bm;4+mA5d})^g^QKbc ziLN(3A%z3o5Iha zX5i%_Ajv~HJ;(~pl{Cdx^EPg^F~!VOn`whgTnQb;kRfNAi85`E!hZ%5YwHutJR0%r zDYaoTsH`dDhju(A*O$|aAmdEIHMuskNRJmr@5Tz*=laf01e5&qW%eHc*8B%OjPnYz zwU`N26f#HS>dSariSNJ~O91rY$iWVov}6vWiY;{rVcAdY*R}lq2kU>06Njacf$M_d zAAjH9p#t-we`$OY*$Vym_>>gPOzRVbwX$R=Y`#P)73X$Xz~FJfYpOW69W%+jpj7PT zK~X;Aq3~A(iPvk>Kw?Y-NQFjC-@cgBA|FrUn^$uB4z8w13WH}X@uMz>`WdULoeaF% zO1>Ox26Low9#eZXfTue8v6OST4>bC1efbvE^Vkg0FkSK`ytTSEebU(~c-d_h+P`Zs zJO)W{6;FlJeR?NWb$ZFUU^hQ4+6gPesJvsp1HSV+6_d)fm*B?$;&=2qo`lSVMFx*A zX?!58`2H0vbL+*A*qRk=Ng7^dM_fH9>91tb4G-uRv!ab8#+&CRZ~E2($b7m(W6?Fv zW-LR2CZu2hLQ%5|Z)i1D`48H%pAbEuBSlQy+ZS9x;r>lAQkDOdet0_~3x}LN&=8GUkWK|F;B}sneZq$gs|&N> zh!>k_n4k?i668&6ly3z*bS~LnEx>3h_;3OQPYM5GFs+Ss=3Q6|D89h%eKr#`y++e z;rx6k2SFHZIGrc5kQGUtnfriWk}Bt~1yN@2#eY_}fK$cp9P;X3ydJAXm#BRMlyN#5_+T{ivUVGUdWH@n{1S^dJ-7(pLxg5MFfvGfhSAL-(BIraK4qV=vl`B>^tcCaH*W&Z zy8h_w6t5XpqI#d?Yqy`&J0w7>_J#=5+*1RJ7JZ6-K*? zp)`wv@0~n%_Xqt;DByVe*`J>w+LhUCeulVqln)G0{frUZrrN#qH>H53ozJ=}X-EqP z%oE>F;M%7Xh~bSxMK=HGzECdl*e4vBaz0Mj_6HWR!<+o->H@#!$^P5z`pp}PjstaJ z&m4o%9eHIl+Wh>au!MFHClEj}phQWvn-U@3+J(XLvWg?~%^xZbE5v0t*SX7KNqr_T zUX7^6$hvF8>3Q>H>l_#pHh2f`JcDrtKyf0@eL~3_R>3VrAfYb>cp5ef-}YIvb3VL* zCe11Eq`)fNMn|c7a3UYZx&8v2SjY3UBFdu!&RSeirs`OoO=0jyiy4UQ_5>jnu5&ZG zGZ8{SKNS#Z-3mbGX^8bt`Exv|??-r&iWU@T?&-U_e>pEtbwojKZ;P!i!KWetP&$vb ze$(4Ro8Xy(a9%iskmHGUXzaKA`~5*f$RPJj5{k8_SNR$IEFv?+IAMIg=^*iTlb~Iud3I zAxF{Y;KFRgAzjq}N}s&+XNGSx^Nchf zb~N!ms@c1PV|Gr!>bdEOrs8H%|F|A#vwrAbgyfHD`?j}O21}XoC4x2q&bq2Q9G?D= z9vqB8*_1M5YjR{;ijiiEuc&mCv0STQ!`#KzmQ^Wg9yU7=)#v3d7!Jl5A$SW9*U*OV zJNo}R9ANHj3DU3=b%SqoP!=>W@RZb;F1B2`%RjSIviKdY*ui|IuReztk`M4*?3m4N zA<*nPnwl?E8P)8}p&!!&G}V(z8+f7DY2>wgrPzCP2T1zJ%$k2=5H(W6)UC0!YAPDU z;36v3nMI>7c|NlDIK?nNznTCSq2g5=1=)<&^H=x+u=yfn_aP$G(A(i=uJ|) z|9R~a)G;6*{3e*MK@CXx?5y=YjsP)_^eq6dN`^FVxJoP#_$1o}Ai7vTu^zfmP=hPu z&~BXu5%FRLGm0p!<{K_S5}tbnU?i3&tdIVE9fZF8^1Lq8L8Qbm!07Y*3fpuSjqlIN z{h8N~jYg|L&yS%5{&fca{ohR)lU%n6;emNfj-(4QOxP|+CbsCEjCn`~#xXhpJ;Zl| zs}SjqU;5)@o6<=WxH4Zj?bgrR*KA$db^d_RXZ3YlbTT}SfGRiY!a-{Eb3uQiR0gcRS9Jz6B8 zwTl>m4!Hhd4K@dXfJ(nAc6U(rT%@Vr9x^SuP|n0 z#D>PL`V_O3&&!|W9v^KYF5G`2k*CeiqtcI9u4vsz7(!2GW&TO(mjCJ~;d%o8fBl^L z(~a+ViF-77fTg-y&(_lP^f?oIbG-iQv0)?4T8c-Ft9nXpAzDX59gz`%7?MthSEpA@d33Nwe%kyi&WRN53R;?Htn+6LIIo*Rz`~CFI8?p=7VSSay8h zeNL5UbCy8=b@jYww6VAyXT18{ZU2q={dHmKGS_De3yj3N8oH0v$EZpYSbNoUZ@olzoD~J`D674D({Q5`8F+<)?F6J-$Y#A8q3$OlZCcxJvMV zBmLFiuB7#DW*tlsHKj<%PAru9rZYpEe&Qi~BN z(F5WqKnz|gp^8<=R$F4|Nz7ykQ91I_TN1Q+t}NL|`9O4?RU5M&?;`ZSal@P~xIvp% zy|QGQN4P=NdMg_n=FC8ZWXrc>mY=!I%TKjIBMmuR_HpL(?YuuEmn@aM6kv!_+4Z(K z)W(IiigeLQxK1D5>OW~lOXUQTz}J{{P?Y0Dfpi{LSgN2_ts^!UZ&_3gqasVed zfMbccs;LsnV~7%>y*cGNVh{U6+oCN5M?FeyWMXo@R@Ei#bahlhn((K&JI@bg`9-N@ zI2#t8={J~W4!59S$J&8q`<(~0WZ@=OUEG0qVtRG-b8oJaY1Hc;+~q#CEUOGHc*k0ewthdpjtPfeR$2<PCsS6i&sX@a2>*Ew6tOYurAQS@+hXkQ@27>|2u*S( z@KF_4YL#OtjCo20rq{RUL6S#{0OArwdE#O{O8Gce6~+XniCe^`fRE9{JBxRi2VNbHQZH=A1c2>xrW~#V6`$T$2Jx$b!z!3ziijB9K zrwJ+OwTZQ(aDS#$-BPLi%CHpY-wM@wmCB{oTH{1$&Pt=76;1Pb5V(qU;c0;+BG7+1 zma`#rj4QkF?5nIQR)!PpRa}yW%`H-;Ift_rmNe%?Q# z(z4=Ju6O8`_{ASovxH4Q0@W-*)9{yYsI7rG83?ZS{Vt_}iPa)_nT}a`?cf|`oIEI0 zt!w+MZZLlg&7TR~ysa1dV(gr9QaOTn{+Dkyv`^?fD$}I0V348_V7i3 zzHpn7YrPq*7?8qLo+@F*uI*(V@KNr z2TSw5?co&zd^a@0rn2ei?%>`UrEC%e1Cw^P$$qg=OL`)NumqxWtWnB;R;TuRM}i{Y z52E?g0`<|#8#Dx10nKvNCoHu8wi+*#&1)2)T(J%HCu5fDcSHKhmR6rHIvd*{v&b2f zZ#upXkA?-w8lVOecIdZPC_vgh0v8tmqNZ!!+vdmCb z48~WaG$w8AGjVBxqCo4n(8%8Qd(WFEGt4a*?dQJ&JFz2d;1!gJ0QKP=o=AY|@Za4p zqHn>`$GZw)J<^3c6k&eq!ynwppDc0+#LW35KEI5^x+OYLqG{i0VB*1O`G1$O zj}sUO-0cMM()JLVXlBco#f+Ky8XFoKIZ762sD1=Z2@ zho^RnhTA1^0UmiD;c?$|CSqSQqwseb!oFgows&Mifc|Jlu(#0Yh!%<+%WJ-mD+H5U zJ(C+H6LtjCUlN^q`!N&7G&u6azRXhTn06E9zcP@CV+L{=(tn)(Uyp0*=2gO&0W+2i zc_0^4D(?S!TBTz;{x1gj4-_hW_5Xy6Iz=$g@Bm(e1qKJ3(0X20&fmPf1nhFcasE)y z`oyzuas=VV=ODNKt4=6zRN$vMJP>}GNDZzWf$aCrR`zHA-rG%VYTrl(^&oCo;p|81J1MV?QEe1cJI< z7=oO3-Wqr#ivEQb4fVWaxxOL_)5E+b#UVm#Erl`ycgUBx`+p%Bk{wx4A(jnx0F+Uyk?%VO-uyNl(q~{PR$F)6dn22G0e(}}( zuKR8Bg_8CUu-O&~!pztqW1T*J9=$ZU=P?0u8xDdfi2eF>Qar1DwG~d3dEri@68jFB zWX+g~UmFV&FVzg0>LFFBe`QY7Jnoh#HhS=2cCztJfm|C3;t&Y3;3$|u$_t(1fcj_J zFF&?uvn@ZhT>HwMXPq{2Y#H?xH0fgVg_w4cb_2o&Z1kQYLi1%!eUmoCLVwF0i?M&l zPs-Z(W~bYlUG*Tf2Txrmv?WggWcP5LMlG;9@r?`R;tpNyr24;G@jRO&eKDo?;e|F4jCQ z8D*USK1kC#C#4aUSsEq*sZ!13kulbZ$^V=qqlcz?-T~=pre835os*HVabpvm54dSo zMM|@l!9}OTN{t-Sw;uXbdS3qoN>LSAbKG~e!KD3#{7o%5ww|~rDg+Y!rVV6foB7rm zLWtS>Fub9!-V|B-?g@Y?@?7qbZ85MEmcKx*kC(P|z)x)y+OJBk!1wO${}AI4O!@%= z4`l8G(lFDIh|NHx20zwcLcbit5Ib=xDv4}H%$a{H(3py7#x264t4 zkc$7u<#ag~Osf(S^WZ`tEFJ;MfEFr%R|!%mid49k&N7%V_O}QU$s>}DG&6Bnd^S`w znc=U)_)4g|lmQ7R2qt8LzAuSj2oF;60N!~rA$(}-!1!HuOsY$eVI`hXfMg19P{R8s zlhU40)S@dAi7x)2MDEX#dL8YAOQEPnuUfkB7sA*@ZzK{;0vqW!{;>F2M5Dr&z|Z&x z#Jf{G!mzms!CJa5GzgCePo%+9KBCnWJeT z=V(GP2K>I}2T0_>Q&rM1V2($!R=|@B+UOzWKZ^_5DuLvmz<5*+OiMe05V<%J|M<%&mNWT9{jq(4mq(iKKMbLSY{bRBkZbJ~9A?d+kAZW_&EJHS%99oZVVSCQK^q!1$nBLq!FlX_U?Bh`7`Ygv2v>C5M+U z>5peh8xItbfSi4H-)5uE10Ht*a(6dUkEwZ`F}NAT&~j!oMryGg8?Gga`C|n|+fa<^ z3zT^qEHwSU0**($w4j|BaEzlMc1GZbSi1{DAiyWwjVqgAA8TGamH`8p=6!ED!qq_G zUX?LrhfEEA?Z(47s8=frzo`v{D`^y?X&BW|-2Ag=4sD-_z)2L?9a1Pr@fT+bZ zmDAe!Q}?K=^NVYV0Aam|qwq+T$eXB}MrcqZq;o!1frfKGw{w-%$o%Qog5<%CXp!=A z9)KfSQY8|g>XyHd!W^J#K5HqD#Z*>Q6o0;;xxqHZQe_k5Ts6H01xL6* zntfG=a3;8AZFy;NG5cr9deUA)?YxOySW6|xjEAtUd}R%aN~ddEOalD^o?WbSg7 zCBjj=ZD{Fybira9#OlvK!A*+&u(nK8ls8j{Fox2#;MzOg#x6`O`m7y_8aJ(tElH|d z`)gj+vsX+);YFfXx3lD_gS4@Ezu0N|LLz&=+>+R7|B~{5 zJ5AYz)my9ApmSzY1gFe_x9Y4g5i@CY%mFvYh6#_S_F2XVd9Oa=vN-{J8iGljA`^H@A0fuy5kv z+=v?CT0H4v$A5yV=)q;L(4RLRhPetO1@AuDl{4#Y%V&DsbiX)IC~ak2Okqr@}2L8Mb~%G0op}zpm7&n1P8zm>OSrSTmgCYjDis zr=V@OR;yk)2@$Q+!*K>QP$yj4r`>q4Qsh5|Du?S1DCEcZeTXaJ(Jc$`p(3xclQSNs zXOZ^}I4-ITqa8e&Plf-3^q?`sAF7+^cy76&C=9Y@h9*cd{oeBl zYSQh&Qn+JNWhjYalWBK{P~>`r;dej(6GPa88`PP84XZ_n)q=fB*UJkuNVSVz50fwu zna6S6MnAxS>LEQJgCAgOcf3BYFc3PG_m6p|v46-7h!|$oLq_UiFwWO*IHzxkx1eH; z(-@Yo{}aC$=G22n>cB)lr*AmX4K!vRxz~bUNj`$*sMEPjq~27fB@Z&RCi;|@Io?{q zQ?%zeUhUiMpa|wT-tF5bp>|5_&KyioD3XP4O1psp@shxd8zvuX8lReAhNYIKerH7F zPW_$|v~A-(2OlpQnPZxyJIHl?||t`^@>seh$Z$=ZS|gwQip8 z^ls_K{j1*>Z=b|Rf}g8puV)*7{-)NsQV?$-G3~(BpqhB~=UrPM!i`e)9}|!v#c;`G z_Lu-%M54oz^tc`EtUa~8KF$VV-)O!HF!t-><4rK#*@=oZqny}{oy;MSK8T9VSjGy? z1ww&|c;7$&mn#3C`QRf{%74jtARsc5|4WrSIU6>4xn<%mCI3-Bz1mO=Hkhk}Q-Z}| zS{=^D63u5ah#Ck$2XGk7at!JS25Q;u`RM zUaV4@iYf+9V>twTPBOi^uS42TTwRtJH(xWfuRG6Mdfqj=(Ia2HyZcT`N{UN#<5N6` zN*8}yy{W!%m~GDXUS9(ycU=qg(0($X4xZy7n@w78qTl>S!1iF(0={Hnw0xnShpN__ zYQYHE*JDeMw8WgMzxegn`=|1CS6`>w-6B=X<)`z?{A`de1xMxV6>ZwBRjECf*HvoER=UKy!yDy;6b>MIzDhGM7CiWxVpn<_scqM;q2p&UYUk^_Pzc?Vd2=t> zlje9Wl1N?xe2JeANWsdWYrTH6m{&yQO0~cM8d%5f!EoSr%L zd99Bh(Ip8X8lNPZr2?)*xkQe887DE?0HoR~#)s6rgP374M8z|Z^G_M(spEXseJk@B zr(>~;B-)vRja+%$kIi@$ChUr>MCYcbDZ?Ol@-xo*Nd|J&sNnsjLKw~7mj99%H(itI zR{7Lm5}9F+4ab{KCr0>>{~x~I0Xnm$X&a4g+qRv|#I|kQ&K*pWiLHrk+qP{_Y$p@_ zndkZ5?>+xI>zuXjwXg2lyYH^*s_NdmyLWB6<|eLHr2OAU4J3e468MP9r0@U?>ur`f zR3o{%!pQjMCX>Kf)NaOPsop_QnBQ4s1CJ*8k-cpEqhQ9wT(L4t2!W)dVEeT*38NTR zn2f|*G8|(`sNJ-3(!JnSJH4SgJahu_88@%E_HWV88X-YfX@62AyE}HH(f?wQ{pH`ufnEHZ}Yy%dm5npOsCAm_8ZG4$he*HO*Qt%sXWglmD&rM}hW+lGVOpK$(@i^9a$eEGIQOFkQU1mxNpFV7rx)f}k zWa0!bKz1tU$Ngz=x0)EZ8&L0DiVcw|+D?}gr)X9;Lt_!`WXX@CzDkNCUA`ZS6fLS% zH^XDG?PS@HJGo41b=nIys+xf7o6WqvPBR7&Am6<&9YK5>rW^o?e&wGo3thaI9x%el zI3Os#)XOI^D&!{+a1H;o1){qNjFY8kSJipq@u9oiEl0Bo#iqv>HAiY-%-c*;cT%zdM6& z_S((jpCY)6%ZVTkVGNB!zL)8ztXC37;&D5@jSxmBegix=XTyEuUxkzkHKUlPNvmfT zbQ?ZbCbS6?quHKU12RixpZkBTS9M%8aa_o|pCnufV*sAtm#f=MKYzTtH^~XOZjW}G z^8K+jXT52wI@tr!eT!gVU>ii5lNBVBxxu##iJuvCmvxMj(WhhWFTiG)hgOb^J&`s! z^q%w4FJfdLlt}B6F;gmN)hPnxL=c|tiMO=~VSZa0ly`|Mi(u0&I5SFQ5IZx9WEeU% z%3v5e+XG1H>J&zl%XS2RZlNuY?N%j_zN`E?J8<~MItb6=n?JEZHaTtj$4vQ6sK`Dp zO<(GcHtnO4!7o1ousB%R)*_3E>T+Y>)}o8qy*PN~;uy-{R~-RZ9?Wce4q~{R8w4Eh z0gjFGs6UGFY~t~bV&-I$5ADtvls8DjyiJ|M*#Lm}`nOfIr_uX&mb>h^H_@WvUwhRo z@BR}q35WJ9@A_>X3Ydr|dxpAix|lJ?dxUKsDwr`Rdw{Rs*N?7cm}nJHkkF!<~pNPD(!&{_PWy{O^+&z zi2C5FRTKNfqR|ph4uJ&_P5?y?wgs?O07nk~F%VAxLk?~#^aO|*s58^BPL?r2j6n7U zNRtO+_c&5SjusppFacyTNCJ`s7NFkdP_yZJM0?e4pLP|&4$_&IyFKE=Npb4+al38kEvMp(mk7xo5tIwWq$vx#zA2wkM)Tx@WwH zIx?pDT>EWLHS2(#;M29q{+iBS=mx{t-uV%~>59c2XTy7HKgHsH=l8I?xblREHqjT4KGO;3 zCp;BzJ96W^a){%U%tv*bM!RD}yiRf$-kZdvKDNrzbTFanUv5$rUcYE#omxIP;rg9_ z1_;0gBKw_n2BZPR_B-zkunUOpcTOhMRPc$RXJ?NCXU}9$z7L-!SdZjj1v(=;X7iL9 z-;CBmEW^J|1zZ8923#J%-lJuJUqi40WCO+loE|{mqh^3xgYSI4!|ir^+`e*o$o>DY zrAMIZH==rZheM?G{*5~*F93n*C6;+iJ%8_p&Yq)E=YrJc|YmjS_Ym{pi z2N(}<4|ETB4}1?$4^-!lLFbOi{O4KmZwzj?0UkQ_gH$py_?J%X0d0mXS8Ry z)T?20JPjy15DZXh|KWhb9u@=c8W0WWirf9Wi74ka&P`kK+!Q1BwTV2aE@f z2ZRTP2Z9HI2e1dS2ebz^7kCFq2TTV<2SNvM2XqH`2Yd%;2W$q!7U&kF0FVHf0EhsD z0I&eK0H^?D7f=^i7XYLSqN|r+7krP!M+x+~0EE?_jtF-I*eQUIh>!{hCV(UdOT%~% z4fM?ap$Ec%v<7+w&IY&%WZnOw2f=`{26hGh9?09jvq!+-n*$IR6dy1i2m+|Me|``1 z4wnN67c`&cpF!oKiqrX`iaS=DZ1#f}FNBS|iO!x?%+;=e4Z!%09P<;5xxVQuj&pU8 zcTg)Q_bbMFbDB5gM*BqO;kmcXw)rKf10l;3viUc&S7_(#z}LvuY3^6>b;eacQ>||| z5!Gq$A*}N+6K2n*(=rR@5ZUW=Q)cy(h76cG1kNuR^ak1^I>;?Xhf-YHV@;8*P7df3 zs!mPew(QRAI{>S+@_ifrb%;ZpUiSa>*Z^zus-XHzoA%T*2+o97&5X=RHw0&AM~I^r z*^ay2Uft#3Tv%mHKV2{v7o6{5$h6T zP#ta>elTCtM(mSl_#uJRZCQEgCQ_s=#1%|mJMyR8$rZ_=B~g{*1x-B3j;JMde)UQ@ z0l!CxJLSe_Fis-bf!!EMQj2Q!;1II|pDC^TZo6&QZ<23px8c24pjk2`n3;qV)G!q9 zvdE33h9WH$vP~>yOJ<$m_WZzXf7!ZwNE4J|#Q9#9?AFAdmMkauP0#SmB`A5oh2>2R z@KcRQ;zrw1D`JPG+f~3;h-uCqdC{uK61q;7& zwFK9)`2qnOc1x8>L;JoMgk$?gtGdfZ0FJ8X2$9!nS8eu+eWQhwE2alk1;AI3%aYo97S~nMP8|ibifxdpf(&#$|z*CM~ z3hzQed8T5Q;EUJe3N^R?;#gC#Z9PMCi)=9tL5>>qfdf^H+@cj2<1RiWox0)y@hd~# zXTe4xizKG`=6n0vnW(Sp>HVcb%H=|eZS$sxuj`m_p%${)nM!00xM6@CI#fFH-e%bn zl!iwdjTo%G@)y^czkt>A_cwAZ)?!Dj_F376#+az)CFrhHZTm}`h;5TMMH=D9*gF>8 zTvjW_-CaDc8E#L*@pXaEq|VJo%GgU5zBk@*Go9;NDRn8nKpdQ{8bAF8QlnkRXqtpj zU(dm@Tf%v(HR3L_RcJgtA@A6SO7NFK2Yh9V-9y{pir4;=3Q3ZR~Vc!y50?T9~ zVUf{i{cj!z{?)M~s_bzAn}hU3^CBIJLhx`Jl`aWMDH)n%lmaPq)gqtPcoo1e6;rRO zwlx)XT|+5tW6plHOvwj5-Jtw(Q{DW0YmU;va%)!?*$QiC7kmm=FIU~@s!Z1}8!(|~ zvn(1tazv<2eY7RQC(Jjkt)bNOA(Q246q0NlCl)uVAwT=EVGUo4V2;%#1gw&fodN z9gkR@d0O~USL9Q&b$o+NHv{1MbY)eIc{Cxy45C&PMuN3suz}}qM>KA)AfN!E0^(6Z z$v2=3tpL3MtSR{0A0-E61jPcB{5yFpx)3fEFik)O)7p338F&-X8HhC!VA|;dor;2$ z0-qL`1RCOz9w8Y2{aJt2h&*w4E#Rin0$HdOQX5W7jy_W;^Ir?U5j6nLvJ2SQE@|d_ z7FZ1^yFRGJmPiDT*9I9U@+S9f7cZIXS^8^q?P2R#*-r0U>sk5ZX1ZPZ{jAP$>gwmm zFdFK6$JcKcn^91eD*&=-mEA3t4km^VJipeNVQt^IvOOC5Q!Y z2!%D~vQqsYbB(AnPq9kuu^ysQY*CaR8 z<|qJ>D)_~^*v!_zf3(Ff5aI+>9$`zl9!<2(5x6iiSwsZ*r5U|07W@CJE3Ci;a(mno zE4Nsl16xo-!C1G^b%?$>qKoe>s#_d06);K(J+`DjI|n#pJ8Z#f-yzURY@qT0M$c=4 zD_A0N@MF<8w!#WUU(wNO0JQ$7ME~k4&?)!{jO(9%yiG_@R8O}ypVmM(ET0zje>Jrx zn*k)K+9v=kbWw0?JJw9I-ABMn16k9y;#PNon2FaF4R(_(Mmu zGxWUu=SPAQAf@fg3;%UO%I`A-o*)W~Ha2B^z)-MY=;NsVH7W`L6#{)Ug0jRa`UZsX zJmJ-$UdKonqo=Scu=0y_e?Y*85?mtz`$%~c3fbFSUr`Q1|8id9_tQyu>y-Cj&inp5 z0!3HRi5%$Z2Ite7>K1Oo0W>#>t>A9#DSiocq!6n%AhA2Fr1zMOeW|=dp^M2y%qJh8>SrMCCM`UTKeE=yBCVum5pb zYRUh&Z2xVwkyZ!q0*)H*0-2}>kUH-wa*JY}-YryaYFhC~Hvx82ZmV5?E z@`svA5QO~={c{Hjr$HkN=*MxVQdfsy1gZlGPCxWWq>`9Q7Qnx}Pfab+OhkEU>1Rz6 zZefPS82K$HlBXh_D6BTP;#f>k!7U@H2~3y7i_w8}BBLo`V_BXGvaDybV#~6Y<>#e< z#G;asd9W&W;cdx=7w?(4_{V}XEP9NR?81ku1U@5$#Ukx?4#qNCp%`^svr^+vj05e} z{SdrD4)PNP6+rQ=t`rNa)aEIQIki>Ot#e{_`5WJ&sbJ#aID^KxkSM*9wRXiDN)kZF zRTHDIux#9kTr-!9Hc7wmwtO|TvQbeh-_0O1Z;7^BP?AH`GXJzw^M!uQUezx>{_^LX zThdyo*lOWgyK1|5<5LZy_G?}Pr`6}JRd+m|!bi@nJfLJ2QT!zK)K}yse~eK1qve)z z1+pTNN_8w9cLvS{2;0MP0vINMg-E!I4Ji0KwgUY&-gROsX*S^(4Rjiw`#Z4$gvpLr zDkvI=>30YLSnjV!H)6nafV>RC-|`Vg5cHsGpt$~(Jq!kfvB;qqRA6KNRyl$nRY=Dm zhXa6{Usy?;7ubqpk+)ZTMr`~PfTP~RsmRr3K4GthdKF&nM(35#$_}i9p>u{8DWx2; z;4#cnALiE@Rh;~Ijj>%bAG>j5m7=~eEY*@49x+v#iYUb>m@{LQ;=Um)_L3TIFoGiz9}r%k{VtyU78H^{4|;j)O^4yO$KIuD~%3P{tJx`TK*vOG`vID`}Xx) z0~3Wke9ZWG9k-8$QNu>L?yf~jom-)LJCB%k$68~w8@lzfXCtmr!)*EHZ;R!6w|ez< zcCq!2wToysSZjBW#&)BI_3{hH242nf;qpz){CB+zVDa{pM#yQ48&RbEhnA?LAqxPg zj$|YY#I71)zD!Fv)}()VxFUv*Y-HW;sZk>6vj0BVGFm=gOET6$f8$^YP!*|Iu!Al$ zO<+29zER66myCn6u?h?);SsbQv6csiK2E+>e6N;HW~+hx zR|Q&9xwIP*;cD@SmOBgNl;srkr*nX)T!z#B)-bGi`sA8VbrX}EsGe7$i7&zjuQ)UDOgfMu0B@AT}H*@aKFn_&jVz=1n&v{J?|#nN-G#y<3cQhY!an_Q-Y zYN}pW>aZn-j&#OhkL!>n!G;YSa5GUfB}S$Z5B!qlPeDx_y~6;M9Ndw)SqQ1W_h;X~ z?!MaKGetxq8;TI~DlCl5kfy1EEDZS=x;PFPBh*Dux5<>~WsLT2Msvt#OP*<{>`Ogo z2h_hkXmcyxo}n#S#>!#tQ~@Giocx7yKu3CfzD#)r*u-E8F9+$E2}I2=vF`eyPEG(< zlp3=NLZAOOlS*oRXDzP*wTf4&efLn@l5k^=iXXU{LaNaL_r`>*yBx_bMybh%PrQXw z3f>_!uYm&xv#l`h@XfG`p<&T$rN#VIpDz2&`F-41VEc|d=KZbB1u*V@2$}XZ3$$Fd z?3aWh=W%QPj%_35{rU-cpo&MMZL#5M$`9qNG%hS`gURo?_ z+vjhU{Xv7PPmh}m7>ZCQ2E&?*3~PmkF3+38>C^f zWdE!ar`EohHDJ>s6SvYmAKtEEHj1Nl){Il@LQH$Zq8!)OIsdD%=A$0lXMs6+j>TR# zj%#rFcg4gvj!SUyo%8qYT>7Hq+GdsQTt=c!5A>WCkYX!YacPudGaT7vLfG(3r4kMf zxyCwhLJ!IW-eJfS7~#di=g?ZYqhV$kUrzCJ<-6SZ0rFUqi{%v*AtpfoWe$WKWKIqfs0w;w1xCBHQ-kt~JfO6fN5RtQH{K z9h#Fr1I(-d@Xrh|;y{-M=OWEelR2wz}+SPO3_jO~S;`FrsP zDmQn185R za;>m|gdsSH4iH*tlIc|;|GDmPZDvcn3VG>oa@DDPXVtRX_+}I3gQJ4m-+ZlOrDML_ za({rh<_!QrSZuROty0=FRflI;AD>-WT}AAyI`HD^=9q^*`_T*$gDflXfDEof0_S#r zYdDXgo>biTv{at;#tiQqvxt;7gS{2ir+a;$+atAflZ)goTihB%O~4ZIXG4C4>YR4r zUb0#lAK2&*Mtrzn&=UnVEYKL1v(^p{-ZssWNHc)=yOkcUE_L!{48;E3mP?0k2; z2CcH+ZsJ8%mu9RvKaBM{d9HJ6PYDC>1;EQ6(Z%9EUNgWKyg`Ufw@EcC{O zN-h9GqO?($W<@H~BBq^nyIHAtj!|Z}gA?yGERT*kmR)l>j-34-+tc{B?v+mB6af9& zjJ22Bx>r6M?&LdO{f`-T8|?b2N2&NgrO*nXjR}PZ6$aC});=#}zB0azIkSCY|1d&+ zh!-8fbbLo(TlaE}vWbwqn?f|Ia>RyHeQCh2UzxIC9exms8+BHmTD7RDl&NUIR{lSMLdT;YUx3{8!KNi9#^(n-RhD6xxn^Dhd6se5k>*}G-3^E|o>>^C<{o*nM;f`FVHhdh z4UIIOX_ztH>>$b}-E2R~u;w0^bjAkbqqK53|M3%e&2^?6l??5pgY5IDE7b%b2hWk@ z4d@_Ml)J1?zPdlKnp%koEwc41ip~cXW;c51Bb zX3ag{T5gD_q?NB3?I5wDV!qvSwnI++pS zx%P0O#ras zy1a<>v$#m809_)+t?2=87^HC3O)$@pi)~Ax-3Mp!|5Wb{QJaynBG}>2c`$-jxwItO z$wmZXTtf#{HmEE~t}6iW;i_(p-$JYCA={)F8luP2_S`m&ge=o>=LYc@3O)9qihVC? zX@yQ%<3iKQOUVVn+&-u@B2K5Z@rR+S1A?6ltklVsk*ZTBPdadSkaQTVva@O-iYH{a z8#lm;x3D@SV0eR3SfY@q;qy!Z5y))D{f0 znsASgnRHX|K1Krj+_5b~ou_7c3xw#3-qXZ4~ zJq{A4MqDnOt{!*((NhOAmApQMQ-;Mx+H70}@%$F)LA$YI0}jWQrw+B72>flr3P&~4 zwZZU}iBf>a99!HFVH(u_T@U5ZUAN{r$Iaa|p3u#iTy1xWFHSko`iYamb{+Csh27Kf z<&F`im$(xRXxVlCvemSR^$%MKviJ;5uQ+n22$`-3-Z{ek?Eh37`%kr8iyMaR)w_w8 z*E*TP*gP3C_)1?qUyT04Ga$q6(jjjWq1ZL_=nU_Xrm4jcT;y-H4F6VJRNDUx96`ho z%G%bi{&fL$(?H^7tW*fXtHxK&kGQwBZ4On35x)jhj3Zbn=n$5)MVPG#7#BQUzQ=@= zOOOl4AS8>^ppF~gnab|@kB=P9)>L6v-Fb-A7Q*?)NreCiVrux5(liLt8EupxUBm=p zLXsv2d1BtLd2slYMrVw_jguyY9n`+eQOvr$}HCK3)eVzv1_!TPYGRd|q#-berQd0UdvXbnWeHz_k|Eja+1bcjeyaXM+FQTx7;)w z@#X-i3pv<-hT-q0agMV&XS$cae+pFQyG2xc(4>M?mCqBYkLSxk zAN9m@#*uLQwfClV3!k;rR{Rd+j{oBgKlR5~Yd8}v>MPc|ofUbK$sfg_gwLN#@}rcQ zm&_aC4V(0h{)`%p%;=8tjuHTw>_9J+i7OBQ>NwfEeCmlE(nH++x59bv1A@d$;4D+b z(QESwxP1eG@bqrsKjjc+AHSAjMttRJ_^!YiI5oz1Wz|FPo8hP4+usEZ(?eV(I4bbx z0=^T&dTW)`H0mY8tJBhnIdDPaPZ<-W6|6-=hDm5m!keKQsqtQ z&26ntCGCV+40oqaTam{{HWTB|b`0e2ya9RSh~=j4H!F>ErEo&^O66^ee$XZR718YQcpz@s{m=gwke| z7dlv6<>Fi(>FjRM2Mgl@f0dLD^;XuiC74<_;_^|}i+X~M_V~cyCFl~Y8tMm>|54j- zTtUB{v|``#zW+A-EBq+<4wKfP-yCEjWZLhMGW5lkw&z6B4V{QxxcnY- zyj`EeVF$b^CMcf-+KdxH^iTk6B=Ghg)Dh>m!yShZ;vMI&X2Iyk7V~H1>I2uRkm(1y zwykogY-PRw)VG`={Ia-lr!wx4?YEZZ{6bi7xb87zT7xckw5ZxH>0zy;l=R`-^q8dC zxiaFlC!B@LC*0%irSG2)5PuL;dAx?ki@sE}8l9yZ-yUK8+$r1&3-Fpmudi#!7ns@E z+$u8SzNpdg$NWa;2J)Wz-CnDQu-n^s?GL1y^(IGQ(oro@xo(pr)^$TI+k3SzKl|?v z*?@0PqWINfjR@Mq74UfoJ0;JqV!|513lWnVjA;>0h#A>)n)KBIiM%(|PL$lID--CC zr_!}lOc}1ws-tD-Vt^oaBkKV-g{Yd<=ta!Irys}+<5E6usZXVsIKBwWujeJ9`%j)7 zT_ydm4b82Y>u1P-)wLI*(iFM7Xk5_UN3i#cT7`Ubd(cBZy!ZGh~jUV zyQi;vy7TWbYV#73JdmVyf5@)2F8sX6!7;4wRMB79tp)&MJhNrjJmvZEx~Eb9mMONm z*VLY3v(>flTUumvCyS>pq{X|VhjCGNH zlVyXu6bN163}5@@me9-NMXeCf>e-APSQ*kqMmH@UZOVKuxyQ)-kR6p z3%e-+QaO6e@UC*n$?*YT70k`Ifs9<)KL?e%G}w}U2Jgpxr}_mW^3)F@+mmGrrR}{P zmjg0iA_sa(BoZa=Gb+N@gyP?LDhobyHY+4K-4Nv>!*rrKUr>!D5px+Q2J+LHnmT#T z^4D!az?@+Y+9t#*5g!CJ>FA3{4<-~n0P^hyiVS_+bBoQ~*S(kvv@~_OnF`}U zb-n~rjauaEL@(QsC+JZ`EGu3(gPOPk;jb>@iXAf^n_uWy8t5BwfweynpWJTtDg8E% zu2b_Mr=@Ls;Y7go@5hUnpJHxcw;aaOBgOlSC3_RqWt6ix6cA<-8G2($i-_g1iC&oj zCM-zg{c#3jZ!!dw##YqGQQ$$fqP>y%McAQmkt}99Hw@tf6vHz6{PWQlEKx6XyiMzD z2dw8S&yGUMv9G+7^QSBOp$0x$_}+bN`>ZD`2}L(1t^JsCR7={g0f#a`nRZY=1eS#j zin93O{-_`Yq}hQ}WI;js3uaT3FtJVp2q1h(!g_Y>rBVaAg_>-6)KLONjK0n6;;{S? zg8mbT5YYGe#~S7oDeni-aF=_U&tvU)VwR6seXMq6%-z@UX z13c#~8c+CkF$FRiQ$xzw>wbKm$Q(Ui2s5zEV%}14rX8R7#HGvJyAT{wpz>#mw~UI& zA1T_g%+7m8{zE?cOWxl5d!&>}SUjBQj8nTOi~TK*$`8AREuR& zMcW9B55!etYBGQH2dS~J*RperDVM* zH){$%Oz!cEl~hB0%JzO)3bV3>(XPHP^vq4zJfJ|WCz~Jb8vDv)mnRgqjt8pSd0FUlV~|3w?8f2j8FU(~Fr zh@#QQ3*(<+>w91FTXyxwPI0y$o>5+@J?9Q%_8Ii$=5NqSK#?-BK!HS8 za5|-0EkMqMgy~M*MUcDPW$!w_KjVF9kFF@uV90pn-$kkiugN`+uo=eK$kH@vSG_BQ zw*NX_Rzps%ZtROk8ovd!pkt^o+Bwqc+XsU$Mto1UZy4)q5exN>^SWBj*lctg!=Lkh z?zFELf!A`-4%@O1E9rf(qMe1LM`pK}v?c#D!x#7CwB&vIGfzKITtmPrjGQ|0AdEZN zbcBV=g#VLM-_H}D+8g;P;Kr8_G}mVXXH5UYV}~6JG*?41@-Y|SGbg5uo6}Ird_aUD z7f!ZLuXBThlHZ1oX&p8vX!7l$dE7R;JVmh{*XUq2U%cp@)nvT`1&8NA`m!VgC!OuF zI~&7_taGhiof%o3&>`LELi+=(;h0_DMFeq73ZF@<3;}Lu|1eiz#{wUp&Bqa8S0R}| z6QF0=BH@TH!EhqNB+d6#VPWknfNtDm;xLR=f@BsaVLpMi`+*QcX4QI4x*|K(luT7(%ZB2j_{~~@Gp};kN}+s9fFvl1bJtw75?q_U(OhW z@}YV);=OeSe(7kY(m8%C8n=;0biwh~#7?ozcp<$fNPNDlFbRYfx$ivt69)c8ANmM^Fd;L#C2AfGpV;xGGGNuO00zf~O^*I`}bH3D@a0&^iFV zooh-V7nB@{p#ukMa%|bEg8rx8&Ga}s$lO!RV%SQ?xZxXqsomQ)}b)uSF%9mmdC6^myOZ(S!vMQ4oe?%QadIo19zx7 z^sum1lH7hdvN`6kkXsr3s$i{5dW)3Jp8td6BJF0)BFOq{`cJtZXx$?8Y+>KQxgV}+ z7Gt?|c(16a$0Fuz0kH4r+-@on>DDU8&%pVO1x##RC)CWD3;q|oCetcfGt-I$HA|vF zO7bxmyq297Kh<-cj#F5IQDz-w2F+J=F&u`;G)J5UKMf`cP8}FxdqpRHt(p3ne!A*R; z*> zrxq_Ry$gg=c)Z@(aC<22dBn$Ng~HHsRY73|C@G=k#tIij3!o~mHF7AGcIwfmvE^z% z38w9`&2*CIX>`?mlT8aP&nMJ&#M0cd-LB}!?S!9jr~zUfp;8k8wJ~V%f$pM2peQAQSQTjp>4-V;*w*-AgZD@;$lD3GbNC< zHt3%BN{h@Sn^Zt&4Kd1VpQ*I%f6MnPQ|wOI1kC_WC0wKioB9%Rb#eafOc};XphbkMNco26QVBoOx(X8Hl{&B@ym|B=%is-Vmf1aGpEvlVVSMwbwbJ@+gI>oqHIDzX9d|B*S!(k1>OHG( zy6laq;g(0KT7RWm?w6@+Z)~t?Nt?KMPQY^MB@O@`m!tKDI3H;_>x8G{t^K7|hZuYA zmHtI;c$9KO)HJ`J5{U1VPPb91|9c{Za9oa61og2~+*;3cnfK%CpQmwZuK3k%Xxg{l zhwGLP$_e5-`JnxStH(7!O#&F@!3}oLoR)wz$;VMaG@jQg7Pq`_Ph33$n^D}wWp@C) zTpod~D3~8*yS{XMy}G5a;Yrt^Un#xKKj*Gjv#HCw&>yEu2h{`^Sq3#%wOr>duH3oy zSNz&dj6%!_ku3c#XDofd@tHe8cDe$`=`n9oZh`P9(>hu@?{Z;`ki6s_dCE7;hC^a7LBoYMB^6O-He!*vaxv9icASi~OOrc7O_D65v< z6I$t`jXYq8W;7@F5TgCbwid8A=mY60E<5?Y?eBm6Z200nF zEkJ1@`tX-1kp3_6{2!vt8q{0=_WwPgDvF1ncLx#qH52&(Z<+&ioyf%F!SucBfd%1pvVR%K08y#6k4|)wjz7q)b;d(?v#p-xm_HX4-ytWDE)_?a|q|9uom9pv>;jc0#L>_Y+ zUg@a}KQYyWTO5EC>ewL!_Mt6k+k*?hJP}Ln_vGd4v+=tEUNVX2 zXFeF|8Ga~3Yo^Ex;R$8x~r?|>|*Ua@~)!z4{>~C0?t29(w-+0*Aj6% z$esGSXA;*?`r799Ir}!lf`&~o`FTG*W^&jno!AR z5{^jcMp+@nuC-4-LNDO_cINHRkX_ul0fZffJX^&8!B0E;BJQ3o4c?wDFmL~Sgl1?5 zRAqw#QV|3Unt=hdpHNWDwa^s1)6?}pK~YT8wj%f`+Nj`!!5qxfy;%hhz6MLGEpD+sV~5{GtLC|( zGY>b48I$rQp0^Xm-EDo-&rVL-h|%iePUhC-;R+u)Kj-SMtS1K@`>WCOh32RGJ$Un% zlM`g`c0NDfmuLC@3A@@<(IY~fP@4}x^S6UV9>rz819s=2<{>%nGmF_zg5vHzzR%;) zn#0lPCFmbRqru(f`x=$3>7NW=?;uyXvh1ID(q$*)yV+rWt+gI;6^l_%vTDJ@f6B_u zH%iW74L43;hrAvF^WUAmj7(t|u;C%h0QPEDKkxrP6U=xGG@6G|Bysw~m z$E5mtt(JOp7i3~*{d|Ux!u;yVju_U65ZH)d!htaIl^zvjQvYbF7z(KBJ|)taaP5E& zROWU|dyL;Y>y`Yxw#*C;KJ=jw*4?^*xAG(r%+z9YN6@^1XU!zx3CmOaO|zjr3qf$O ze?bZyqf+&z66}p@(-S52`xu~@M%*EPbikG7e6%5C1x=dGoG0Q`7lx8%%}ii(Fk0n=hx$gS#d#~-5S3+00-SI@O4bA2t+_48!iD?K8|J8r{a zI-vv+pJiqk^K(fL#dZKo3ZDE#J~A_Xi2l?Vy;sab8>Q;z9*X`^0&Xlgfl%zXM8qk? zGb;qWXqikFR5p!v$pR=iO~`2--7MXWk8$W2+p3D7u@6KpXp3(aPvzxHmoe|;Yk4v> zwp@YxSkK@^9yq?xch=U%#h0s6NO;r6>m#aXvT}-1T|tI42kPLEHkamGxr=qW?g{3< zN=IN%O$gNEnO+3SUW2Z6CeRBh#AYa1e-IeSyK28__n#218z!nx>R58x_9VENv+PG2dIa-A6JZg zOX(qxY%JHvLw#UFbQ^cBmnRISG=ZM3Q<`DV@F|?9aNpP=`!@RRrbglHi#b=2IS#xa z)dE3Xn{-x5%lmrkuu3viebvT-gA!C}#!6V83<0_;oIemgX?H>uVJqFG=lgR&Yz2|mBn#i9~n5xn1z|zN?VdLf03#{CM0ec z{BvScJGFB_KAE&G`Hu7ZGBY#5*%Cv! zwom}t92J+2tHrzl8!z(onnbnuTC^-lo?(;bQ*; z@z^zh%toa~&qSrn9X2*xHQ{6&y;6}IwDi=sh4{>No~SwkW3}zBqa+lFBJ{*@VoWoP zYhN>Hp*};3y7znVgbtrn_f3-A^tlQ+B=~oCJ+V4-#fxK|s#bA!*G&>)L5ZfMQZ@O; za|VJ1^u5;SbJH8A53Wo-GvSA-_I=_`W~U}VVm&=bNf7a!ezShff#<-W3Ze2{s@6av zp5JY0$d9wswSk4ETm)_eR0n$YvD!@k1X3YjN^xh9s@#O+uUDv=EgiIdKqsBL<*4Wl z+G0!H&stDP2H4wdi7GK&|x4B7g?#YlAw{srk|)gV|vg;-0E z)el%Uh(aI=lOp@hA#ap&sKKo4wdUeiavIQB=mwWMAa<}br3@<1Q;x{ zfI5DH%za}fk1+t=kD)do35v}j{d#;BaQV?KpuJqo;=} zbd^FK{0oanq}Q3Xl~4!kFjnX5;c(xG_t#;tw$*x`n?NY8Q%Hp9GVSRNe5`@@wZ}CS zL|!_TOGYS^B9Rs2WB~piGx~JkTxJ=!5UOCAj<(E1wOzH zlaav1h7bdxVft!|IDZ(vFn|j8aaUikTKsRs))^_K6=bK}q=FvO-$JyKx#A-)YIReI ztjZt<(^kFDv_uAP=txW-85oh@ioxfR);aBRefBZNnnnMCzd1yzMEeb)mmzwU3OK-v zVFE;v|4j;a&+|B*!Jd;(5YRp@7}hpX&ocz@&@%8>s-OtaB?xtZ`$OR`oEc{RcDBYFp#S zZIo!qh&LH9=@=(;u1~_3fi=Mwx~>e5>`T5ZTmwY_c{5nwk7>4F7EE`?t{Az z?#^I?4K}#z?B(~~+kN}r?|kP}N;>JjovuoyZj$a0Dr{c#(u1IPt#=-Z*%I5|OVhJZx@Zta0Lr4@4X9|8&X(u4QUegC^ElEA6}{!$~jsA>|%%;_L`S;KN|wa7(JRH z*A<;+C$9g=17f6&oTZmA@cJAE*x+|cOT~I|VGpwtRe)q!CC^bx?AeMU1xS8$0^7U( zJXftB;^&p(eMMlQH7M{dl>eFqgUbE|0Z-RlHzcE zTd1W#&0Xt{J=KB4?1&FYK%xFX1^TauJs6nMRO{drSb0#XXBsxY}B2LSw3I>kG#8M!T9$V2Lu&2pg zC`JxTj->*0Ua0UDb25VwkQ!eo+QJeyi@vl@&MtbjMGnCO{)n{qBZa^V0B?pG^|Kl< z6=EhZjeJ1YPZ|J+0Q8nH1z_SJm^fe+BE1|?LCA) z)c<%A0Ulv;^39=831gNFH{a`J3%N-pO{qsH^tFUpMj86_CjT7LU?B>C>kiQ1y#gDA zGy+xt9_Fb2)^jQ;qLOVjG7cVsG#iF@8F_zrqB_R^?J4~id>zJ{G{N6g< zpw&&SYjae@4L@M~3p(ndXOgwqQ?3L}pL^(yJM0BH%pmF{N{|hJ4~`y{$%%5Xr5O_fV-ONF)Jar?)Igk`QPuZo znN;AmD@ny)8*SoJPVCuG_EQfH=s-Q}zJUX=DPW0K6DgJglAIK1R?&gB%qTh$%iX`v)xI|JFx^xK)biY8oGO8i|1k>|o0c!b^oyU>6D#M>2+V zh`|@Cwy?}iG4?=a*eQuk1qDbs8v;9d0|m%1XgU@FmB8IV+Xz$~q6$F&l?WsejK(jt zl8L}ASnRc2Cq_(Y4{8H(N}xS8p);}Y@BTx&d@opvz{tm`k;&;;l6)ojfC4Bc4zPFs zm#xo9`QMDF?>&)dj6rg-3Bh5y1UljohrxC9%Z!W`iZQXE?c&+O#z-jfj{dtl#H^4& zXatZ-|2rB01`2bj6uiKa=(|Ac`G@5Gt94}lA0msjnE7@vz-aPTsX_D;Y$V?(80ezP zS54kdIB@_zh*s!qi1!dH@N~zL1wlp-bS#-8jZvFePkJh%%wU*zWS``4w(j}~bQgs95;vy3{HFWr!S8u(zV@;l3cULypsXYV)s$L7KKL-c|^ zNPgq1ivmC}W9SONQpfsN6UdK|3xYmCs!ZVqD#+ZCq%e2I*dv3H{~sJbBFnWK1O<)B z!Bau90s+8$5(dURK@U)v&D<3QJ*6|E-{7&E+noLnS)G%WPbugK{mSY23-d;FC<3lq zOvn~T^)rC@^iL@N@=w69>NCnnq=P;ki=Xyt8wXP`fgXhPATgM`426H`abna369+~* z5E}KWxBVz0kYONnED!*de>d|26q~lNh(Jo2ESxO zzK)~e1UUtg!mL*Kn7}-CM zUHae~%OETiVaM+E!?N_CvhbW|Y*1~hSZXRI=TnL?TK|Tmx%b3qab7LB&dSg{#)P=c zqNIEa3)p0bILIRfPp7y#|4-+T!Pl_$UL%b;A(e17q@m{ZGKs}f*;V*pOQr`5eKmL{prJ`6+nfN8#GS&%l zsO29Y&V58Puak~-WKs6Va2oa@OQosWkb-}hc97fhpEACL62^ghwQtc?zARq9ZH&4D zw=EX2Y8%Rzb67BH8+yMgNb5Q8-FXm6ha;pY54o&wtC#k*Tozq7K%4m8C`_I@X^Kg-1v(y#lv7f~veVUeD3-52*2Em^&Q^m_4AbeP z)WEy6zI#F2=!BkIx&AJ-eD+^ZT0Z*;+Qt6>c^f_x@TyxWMzLu|u~`2T@EN=DKA{s} z1Le$jAJ5#i|NL}(nL)H<<5>Utj%cou$ByB2W`Yl`&%ZV?kcQOi)X))pkF>(OW*7CM ze6-_HzJqi`I}(oOuRZCYsg+mPsi#fNxleE77=Ctgf3#1=&K~B7RrlK&vUi&)e)7VF z3}=ylic0tbo;dH->2jzl4w8vWsNWG#|2ld?=W>w96!@wxm6{n_#ol@pY+(VGln5&& zMTLW#X<}hfIm!1gCMYqHvXC&QC#a$@pMg2BK_ELy^Vc2wfDWXRFnY}YWaWg>|BSRK z0$0YAN;}I#Es1Z!&}nlA8Rh--jxVq+`|ftwEe%lNy+qZR`38}COC$=E=c*Guw#koI z#-}i_kP|l*bTSrkR`~<5nx{O_E5$u21lVA4 ze?^1jLwgbbG7Old+MKVk#-g7MaGT-{$^(F&WVk{If-kTh!T3Oxn9rczA;^s8kRLC9 zVjV1ONQ$Ee8l;^dhGO>@Tn!~*^NAB{1>W}<+VXtX!#nn}9RHD&#n}v(m*P33k$V|G zdCj%^SM>->(TRnTTwL(vm3#MQnQxgb4&qYyr zH~I1J@iH2c?4p3W93#(p^=;IavjUVko4c08PHqgF+r#!H`+ru}@cG#xi_g&{TL8RAo zA^IYv$K8b?!}{=zHo(x?hZDbH?>2J$Eyf15kEh_)gYayig5}tvmqvIaWWn|GqyYc2 zo|Qi9pmp8`X-MzdFzdhT4?Mgvx{o2?wyB!}{$7$TuXYG>*o~Q@iHHnBm zC<}QjV5(^2k$$`H!Rtpd&QjgINi=%9YAUgSm7s3e*OLbzPJfILGKMnmL`Yss?@*=| zSO0N9TP*kV!G{o4|GKfO{N4ny)dbPRGH`!?baK-fA3X-UN9GcgX}mT6py96hcM=x4 zPnf8lm7eiim&(qxox^5ljQ6%F{z9tm(}lT=tN&(w_z=luJ0m}Z_um&LDEorc&@?PP zoi7fB+hVzZP^%UjtohmS`t;Fjca|;U(f9q0H}%RF<TDzi`3$}^rKap;+0Oeb8qoqk!+L|+m`F!v1H!IwABnuU;k)$9b5St*WbGX^p zt;`-adj4kVNjMc6zzA+BlpV+kZi$x^NC^%P^&8=C@#cs|N4H~qOo5u$xUUwmk!@^& z1U`5QfGD!wF1RjI5diRY$F}{CGt$WhnkN?Vc0(qXCg5JMW@%<cZL|jb?oE-P_?)A{BL9Pv6!6V?0%P zFQQY9!Oy9jxk0Hd`9Z0iML=2tJ5 zcl_fuMO87ntiQx@UDVbAr(^;a6;sL7V=HK)Wa2;Kpq6`f`po?5$B-GO8z%A02BHkH z6pqpx%+ew0eX?2pl;PG?zalkke2m%y2HLIB%*WR?%5XdeqD--CLYg3NZx*9uGPEQH z1%CKM%^gxHOs2CK#)t624cWF2s>*}ft~>`2FQzy@cNcojCU*-e-{{phY027tv!z}Wl)rjl82C!as0mw^TL=-o zL#MBTsnc3O*I-wrX|f(v)jtE?f-U>Y0}X>QbYFdsoSP}eoRX;fZZ{Np*023>cP3n( zr|RILI+&deDJGbT=+7!zxT3&XJtb0(SA(%U0pGPrUm6bp`e4BS(7AUk_?^tzVLbT# z^p`*i^lO!v@7aJqJITa7uuz1%An-IYBWS65`mZ)^e&ICK$Yk!FZ04GtU0*W4ulzez z;dLEPNcwqmGo8|-84U*eNe;exH8?WyNbL7t1rYtoh6lq#ewZ%3{TdlD5&W)4rgUr4 z2jGiP`n-D3SLBDUktiF%@{9oCJfWE(gvXfC9l1nKgYnv5YVwfSxisxxR4{R6)DEz+Cb^ zU}&HBC-$G4QYI*PIb;7n%Ph|P-Lp8y8dRPW7Bs$q9oCRh9mUv*7@HtI_ z9<#L+p)F-Mjcrpcv`wGRq--=B^cd$DH-o*uc))JFKML4C8QkImIH%L#1JAz%KuYe+ z2aE?phJxAzK!(EF1ZcvMFM!Cyv40}*1rW}+EIh1RQg_9)h*9(7 zf2;VqOY<#~iC?f6f8UvTNPR8iQTeev){KzF|gS>A6f7%zwOd@KI1UlkCRu~6{3;itYFJu zOYTB5ja`Hfqv1JST`5iHe0;GrMKrP9qU3l3Ir%6aR*o5u>Oy~_c32*%L&D>^r~bm4 zLcfJ^f{3kw_L&j}pwKsUOd@zYT8({UPsc|DBLv{TB}z3^6^tNu*QtUNbnaTl;;~Sg zD~Uu@g0A4hus)ki2chVL7rWQQsPx$50cjtKnZ*bm5~-9`4LB;0)kFJa`%nkjCb^oW z=JG-^x)c;Ghf+}LD(wc;P7Ku7mncKN(!E}W;AGk>DrQd@@dHoT|7PKui$dN6xE5^8 zR*Fbme z1XqHve4D|4&EAvHfXhjN{e1X$Maec?5|vVn572s38S zASd?4H@|X#aym}}Gw6}2=h02RLcP4%3NPbLl zZqN)-!A}q_On$+i*OxX_MY+?Vj#aZ2Kr;~}*$2t+!l*OvI1m+!S+iya7>JsI*P0HN z>*)!S-`7y%U$e8#5w+YYtUrx-gmA~o@=mG|X!z0scGeQ*j4NZscQh3L!%e1f@2b6& z0e$(aASb=@PrhjRdoVSH&JHW@Q*SEQ+Sdtn!nADevo$*Blda5-OKNR!Zzyj*MQRG@ zo11-Kz$Q}tn94i`ZkT>riS$H>(rwQ6T`BA0+EPA);P=)9r2_x? zcC{Qi@zxwLxm4ba%jj1;$%1bfHhz3DG)Ahd@qM1LdM=*gC{D{yHbm{G-YlY8T7{H_ z4IrU$iIa?uskayKO;E5wURAg}C!6b!z#%=c-14(IDbjJ7#oq(pDvDscCa^|IxG^qz z68*M-ANkq^JCVTWBecAZp-R0TOAn-AX?TIiIq%U0C)4t7*38_YgRSG?l=OM%oOnkM6m09I4pG?~|J~ zULSlm*KeGs<&3SYg|uF|k|91`om4Lo8PXD#wnGvh?XTz7YI4_%Z3jD5_soB+kO3dB z=K+f_MBO*LrO3>_?ZfDBOm&6>xu_l4cs`GLrw3c3M)v2ibYB4)<1F!XxJ-oLkiCDs zeq`$hX{lTomS_)!kl1FRlpvrZ3p@zlHM4ET$jnbhtmW9B*gBzx7@j}DDslQh5&vZ1 z2~Y1Iy7o)cGxK$LMm?WY_B&-28UQ4Wly=vV(Ezt^Zm=k*A+j`?7FnMi7g@iS1u1jJ zGvU3fR0DB^(os`b=jcy59mS$1Y+y-MuS!l0td)9i{t>wR1vc_)vjW}MC2aToqK!)P zkl2?+zxJXr3o{WND@4M*H2C;81A&4hFaOL3tjh#M^$m`j^SOrj?N0q#8aQ2Z4 ztP<_82@KvWx!Z)e8RF3z&MuW>#Z&qxPR8~coK2?o8k|ms_U4>T8X2eUYA~g0bS*kk zxY@+|Er`tn+@U5=f|WON>e{wEmDKcDz^PXEN+-gdX3~ilWxzD7TyHL71d=SAe0vrx zhTxdDDTbbxl^6nT9FmI`G>~{k{iX&?ZZ@{x{F|>$cNoq7T$=zf!ObUD_{68uIq(KS zl90gAn8>!)^gSvBY(pMC?>_!t{8DD<(|=59RQ@=VtJ;$}Y;4!UrZyhl3eqTt6(a7 z$;cXAGP`L`wpc!i3UWtU;a}Sv=mxN*2_y!FtGP1h*3t7DCo^^M=2G;eKW~C>rQ|~2 zaLoMOR2lHbgYM27NhNv$z4XmULLQsb@6AK1v_@(pj4l?7@672V==0w+I#f(9J`An^ zLr9@rO_jzY)_dfmmdt-4?SdBceJco$C%(J74ki-vpBoxQ_IY{|MJ5(L-UaOc&)oes zWS_AsQDj`9YM&I`m(e;zVp3Kr8kixe}el0iO5> zEok&sFd9vK=LP&tX8*bUAILu4PonYI!pGNppP31x(ZJ}x96;O)m>YUV_VM~liu~9V zTt;^H3QBbM5dhtD`AhVY*lX?;7AOWm_v`>gVW5Z#6hokUjCVyZ`GF!7(M@mQUg}{_ zurvp~dG5^(uDX$s`NX8P-H8c3aKIWEN=(g}*jh~7U$K-EEjKAax}K`$_Az9rBWKvK z76U@A8=7Ru6aqU2lTh5{>D9VMiOKyyXpfo{f_jrqayo!1)Q6x{h>b$j0mF)S1A@{(mQCBtkXN_lx(eHlVD`k&AqSU zSfu+3V~b}Y&mNs-g~d3{pqEvdM=gIaT5IQ_?LVj!=JwwBBPUtP5P<6Tp2F?<9&)pm zHrEk-6Opd+UQNHDg$4)!{NcvPYXfd&7l7L=#2Et~=~S$8 z=guEYM^cuYf&t*H0L@%_k?Dc!d36AIrZ+C*q1Ci4UWS-7?NBu*1~h%ty%| zN!DflZe6Rd=hD2KDn7&1-G8S#rib{T>CZ?Y>7%1QQ);zSLn=RpS82-Oe2{l<`RN^$Bm$X0-4)40K| zw}hlDs=HbnFd4@zmOX-T8G!4Sfj09b!Cl*K*Gb7h|M{hLOby-|T7al5cn&laIpWk= zi=Ipae%QSZ4U;L3)c&yTd?e3(Ec@P)G~jQ9Ix!c8l3#sddsIUQiJ+NKQ6MjH zs5%Xy1~`?el6TT3))W)*ougljymbKtJ~l%RoZ&7h-AE(*rYM#T%1Ba;qF#qKNxMcP z({g={)O%eivD>9o#;8M$f?uA}UR_+Vv6Qr_tb8mj42uylGgMKsm1C&|;#AMl15nG> zWtCa0#VVdbreC(+qF2h^)2Q2~C4X1T|C*-t@*nUiO?@Y1h8o6AxlT;BE%XBbUfbi9 z`!ue9e#EH@b`3r0$jVgWmX%HLQj4d$Dut`lL(p}zj^Z+iHpWT~Xc|V37yz8X#yyJzRKJtyw(;dh zNh3@j=lWCMDnru|r>#Yy~ zz1FHmJ6h9qwVlS&C(G(_tlE*0Q&WXj4vQIWTAskBhOzPS4Uo-*!MBt?_O2F@EQ$&!LYCYF+7e z5w%h)m#Tt6TQOm}n|tgh34JgFqS}%WnQJJ6e{Mn;Bptmu`ay}F-WSWlmQXINpLpM` zpyqACik2Zt?4;yZHgekNE{09scEiwMVRof>GQ;>XM+7pVgmI$*`~!Ox z-jfqY6iwjYb@S|!^Zy65kCS$5@#uxd2Jqve*eV3NX2y4NF}R60TS*5EuY=2dW5(gNylZ zFkUm4WPEn)v8W4*JuGgmIIK3V>A2W;VU&lBKO2F^SkQxNlRRqNTYNU%VOl3bVL2N5 z=XRilmI$qG4UJBE4K2Zmw_)7}=^%;5URy&9y@qDO04C5dX79bK2=>x=Im;eQJ%CGyK>VzP;>P~js8DJz_ zkOMKC`syfCI!&CAIFyw(XBO0m$EGDz0~Ig_(&qdmw!R`IJR(a^0W!`&Py?^mD&a{? zW093^L7Q5Nk|qdLsEv^YXKP`jLL6I~$Z9qzgEG=z{~7)4lW|SQZ7vs-D5U~r1A+1n z0RBZ#Y$R+CZH9G9t>;^FA=z8l&Jlp;HnWqc(=W~hDAcO)C;wdv_E?chO@*oNNfh~c z30G2#7U+g4{e!Kfnx`t2)bLDo9>HGGkE?8k4`y6ZG-7#afdt4gibpW!-hb`x5vke1 zKlA(}ta;)6g>txTo^8Ew1vd}?vA_mW=paJvh0JuR4=;+4^V)Xv%Z-F>ugp(>#dPB}@d8m2KNpm>TPFrsYQVH#8B-Z^5 zrO0N^$cUd=5DP0539VFt&5$r9wX!7kieNTh(IP^*S13@bbD-1a6WI;`B`yqEJsF9j zB=q3i{Q4Xy4j!#vNIKW&{o2>pivk-Yo3tSZYaK=q1KXFU+euN{I&rxn7Lv%3mVXi! zI|0q26LF*=$7R)?#JOJ74IgCQ-o%=fx0QMrb%SalHo^S;w3T(qT82AFq!SflA(kY; z0V1$@9lpd8Tc+B8gs~ft+dd8)ei9|2P6eWseBg~ZJfL&)4=+qJDVm}fjqe>R+1fR& zC<4C#BuLVE3Hm*2ahi$j)CyaMyGx{V9nvfn-;vrC@IY>Nc2Z};2V zJs5J&>u~rUGh#p0!YrjLIbsOY+!V|P9{rPnavJzKNKpo0jc8>dVc_v3(X}!|{t+rm z7TkcnKX~(&hvK1PH!b3J24+eCq9}| zZBNHar(xdF@ud3_mYWNFO?&WV&}Q`jSvF?=sz8&UVxx8AgQ}Q+*1-Z}_&vMga2X{4 zHv8;o{!<-J@8RNOw4J^C>Xwf-CR;i^ugeU`=2vZ^D2`)w z@WF|}lWnArq^-$vohjli^EU138h-S`f4N88ERX6jh2Ydr=l?u~bzpNJPbw zk(_NqS3g)pU5f|~vL_nar^yNFHFzk9jr&v`12jD2{DBC7`2cA2!i$_X+>US* z3;y7{t*TgcHY04s%I4XLbEOD%5>3`@U&H+Fxbey{Y*Ng7wvr-N!pbpv{&Pm5_O)vo zL0U|1J`_$A61Fwx_TLsu@1q08vIFMIaz8qc?t#52wS6cp8i}8&P3j1!buj|B8hqim z1S%JjGAOP^E8anX8Bh^t!8H}dyt^1whIYZSehA+aOUg_l5Sd!&E7w)AvZtrBrl(_U z0%$tLM(K&{6%J$i?2rT+%9!jF^J2re?*pA;y;n&OYgg~M+JQ+s<~m1 zeW`MiM(!G1tc`?BDdVUu$6shUZpFwPkL(1Zx&9`t5(k(KlW^*o)JAcK%z~#_u|MSP*VY z|LEv(n{C+;UUm3u0Nkkc{2|!m7Ah~>0>IPrA(8Hv1;ceKp!%38(WFcv_Ek_3g7bI! z*hpk+z6|@TMAikm&5Lr$bIeqty3!EcwYhFh{9_wr23Z!ZCIla%d6YNv30a z`q+7kc8{jDnE;vKG9cp!WL(REjK7ba{<6L0?(#7rUVWtfy#4-YwVD21a^uEIY&?3) zu}9A}YCcqKy=n8Y$LwHuj-H$T*QY40Xos)%+beiNK7i$-4ZR`#b$pKP<82^&_74PO z?<@pm`7(f^XTJVA+p)-$qpr~2^zRwiaKYmHajF}1-vp6u4Qo+ZlS;za#;HC=ro|r0 z5W=ZGl@Cit?@P1Fly@8Qkr+bMGAl^1w?#v$9N@Z- ziEzSgR8-r>Buc!gyNXEh43#eFo)IED1caWT($*u!%Xr&+NlbhlB3JX^C4^5OxDVPY z<6;Ik{v6zog~n}&07vAB zBL4upqM6UK(v?DT#&!$3fI7xVpH&csbt{@cH6&E3N04<(ztfu1RgJYNivv}^&bHFk z6cNiHC%TD=1GQJ#I7g^0<(G@rQqBG&*`KfBw6d=G43st(t+GiEd4?0E-c}+>9J5R^ zQNN$VDaIEurjuc1o0Qc#lx1Y10KaMBW#T&IR964uIqqf_9wR!n-qu;RpLLm0f^_iOGy=a#2X!LU=}|z>})C=Z7R|YX@GmH&*-Eb zE^jtwr97xTJ!GX%=VYYS7GyXO=lOpnULQr0%T6!Nf?}2zL^NvsWoD#RWcD&1>GvnA z`S~8*fAM#|0BYb?64ze|!Ps216+L?=<>Ow(zuA|7L=MfX^osCb&BX}r(-M@FnIhYB|n;Sjb zeRwtd`{IQd$wc-!b2v;!mWKL0Dq_!+e(&X+n(o>8@#5vfhwsAp#g|3abIaI^fU)Ey zW!BOPve)*lT$-=Dkj zD5tkEfsp~0!^Er3QjR^I)EVTxiO?R;9arC-Ps*kIg5^rJpF=dT)dRt}Ya7L0!7!sp zAAoPx;GA$CkYYw88hu4k3&eTJg;F<9!JJ*7wGuDqA-)tRe)AFflWP|spAUJ7s)C_oq^JE=zGTOQGa{?WGpQ10g?cWBce9GuwtOR^c z-91u+)#cV)nZEjN3t6XbEj{0ew@_q&_V)G-c5qI5m(jpJ;&q37L+n+^p&vkspgsWk zdI6XTqZjNcGP(>FCaTcO4}ag^=fimsU4IU^U^-$RttW0<9lb7+4i6;Q>t20*k36;% zB)LU{+7haZf1h{eJ`}xyb&-m=5etM}c;FNPz9>*@$A`HKHMDN1EZb`f&ni<8%WAF9 z)fLqBdlv0W{V4^_tBfevEO!OO*PUtexoki+kxJXRHy^aVi=c6s$-&LL(`zLo$QEq= zmvo(-0(|VPMpqOJe+76BjOff!Q^12`0&j5WH{ypZarar0u2AIHYuWmp-~j$%mV8|K z7Ss!Bow1ye2vwsHR?L{s!db8H6#y6fw5KfC2AXxCtBdG}bC4Pzgz}}E zZ`v*81Hqu6sV5T+;y6yzPQ;kvjsujh3%f@hLNb)r-26-qO4Y8$sOjD-HBqYgo(q-R ziEV|vN9T|0<=+iO?}j#Sr&=*58`4YH<1(3UV4&Hb!kV8cWYL)rNr55=pj8)8@WFaJxP>+Owsz1se$}P z5kjQ}PLStEU^GNz`QviFvsFCdFX#pnVa$2h3F;g~H^ ze_B2R6kQhOgoGb5d>oKRVd4vWqgOm+A&t$F2$6`?NQ{&r6C?v2Yf9F$%u)iT9If2| ziCebn7N+s*>M+-#?vGbut#LJjasN|C=_9q2fu#y*zb!%t`>o@>%E z%%B-k?8EDlWW$dVax(m+sQdJ!jyF6C+qiI__ri_E(hK1YkRGulBRn9436B&%y!)Pz z0mo;FBu!4oK;InZ1xpGz8Z6zTgeU}Ky+IQzpf+yv{)xh3TB-;6Je{pg2S$ZP%0$g} zU3Q8Ghn!tm7#{ZgMSdS~IuvjXlCW-P zuCh-(1i%4)MEL5O=Zq)@Vw}XD0E>gtsf2ZZ<^IgJEJ1Dx1zPGCyz9XRo*0ps<~f!6 zUIB-r(LAkWh_hTbKkpw719w&GgWYcv)n4#r+KFgdMq&mB^z}$g8Ag(F8AkSU8S3#J zK+r~J7A_}h#!Cavf3DH8=-B*%<z zn?1K6##bLB_kNyzhRni9ZP%Mk-*)KwS3!xE@EwMScEzi{FrL~I?twHqph?-27;wDtWJxO`HlMblxG2+QVc z4y4h%5rWtq(P1IDoDuFymu#UF9~UA@Z(F_n7m~akm=Vi9Md-N)p3|ELJv2aIyG{e%5>CR*N+u4(j4~593OCUz9WG`k`UK4 zS~$|2ChDdh`J$1Tu}Bh3!q}Sv~7Tmf1OOgDi)(3Kfj_D7?R-2xNSGwa`V}A3fF4Im1x4X87rAk z98Zw73_JfL{FSClEKGqeS^MT|bWM=K?&{FFN5`*-$7h!hHic%Tq1laHpANyeqxzCY z4rL1o`~bwP+w@}CU82dd)ZddaIE!>QmrTK%34WeD{s7@W#=ko)<$=TcIZ0r+%$D=g z89FD-M>Ti(-NKTPFgjr%$LISWA3ns5vdSCIFlp+Vv(g7368{T_KzP3^V@!6*xsY*Z z`u*_kmr@!3>+SgEa{KXm!!v#h9g5V+9+&sy?(p??xZmY@;HPPae)w@n6@8iO@X77` z5{!M$Nf_IR60*mCl3603FZwaAb^C;KxG53Nai~N%2dEO^9Jxw_b4V)@p}6yi=p&*F z2=9EJ5SVZJL{z~pss|pv@QFxVygR@r^lY~fg~JZSg${r&a!^@zv8^&0MNkB80- z=A^?TaaGs4p7w8FzaMtG>95n%V;3Fe55)M?Oemb5h}MjMo>rHN>Id!;j#;a#F7KqB z5Zm~(D@92flTfqJ42Lx{_ZJ5lzni1{Rf^~t3DE{8$~b66kN|xE7J;ay=+!B zdVojrME380bkmiW2P*5y2s}#P*}f&QA+LtcnB$rmy_Um%^T;iBg!nI7s@QX z7pgq?XQ=Xkn4!#0YoW|WOraX}DoeSBGRyoEg=513g|pTX6>9@$x+5yq1{`5T#oB-~ zjHp-}OI@$ukEf6G5Ye13>2<`Ftv;|Ha(~?RKj-Ryq1rLGv`^ACxLCWZSa$TVh>Ba% z8&bD%J1rYj+=R=x39Dyy3>7ya&YDM5tcIl%Qmj49Y%>p-*^VACvu!sAIEvgj71}rz+Bg;3I2GDB71}rz+H@)gP7yHX z6LrXupLR8nj%f0ev9S5gF z)}H8G9>?&x^$FqJ>$acT&w)yXC#a}pf;wIZ-F3}gE|5xf7Mn`__%`4 z?lcRm#P?75XN{tx7R~e9MZ~!duJv{Io3oocaN}E3&aPX|?@c-Li)~=ge&?RMXz!w~ zH-&$)h3WV4WN@RsM&1Eo$eA|Iz1&tFxb(n<2fBIo9;jzl8Psm9&%1B6;CZ>YhVteF zL*(r8Hiw3cVnqX{rOI3b4CQ`TcJOBKh-vrPeFXY1ye%c_*K%M0w@? z+RELg(bm2(;)1ZiU~>oS9n7%Dj;qV3 z#@&kOHGqOUYi)u@)<&}09Y%T`N^!4Ca>*JOUqoKR@h&Mb7~3=l~jA1YJy}>WE4XL%M9Wh5C3(4e7t4x zYO#|Vl7yF0RLqnT{oCPiJ=`jPK|FYdksdHw=2zap>^xAKK3UbT`|GZu7Gitn+YREUI<3K8bySea3kP{f26 zuhG*@x`>6PJEV+jSf;-WN^qMRd^f0b3?2+Nz4Y)}`p4VP2MCkP+#m~sEOkkD8>s8jMskf-Ro63lClhjj~!$<6Tft#!WsMpYkc$ zqaPj5rf-gaxE6Q@fl1+3H?yQ0vQWICmTY$F5)(2x{}F+uCtDa1=?)sY><)Y%-fT_C z;*2#3#iNDz;IbPFu9zD-YH92 za!d(yBTaUaO=sv^I^|@hCQoAt+*8w-r0Z*XD#jmco77V~>8Z8< zg-K0x!Q*JCM(G)i(rS3GNa5<3VB}B#JX2@ z#d%D?fvv)K9wjO^&ZDNtdDIj+kD4OqQBx9sWY(0p32m=soCSJ1yngwGm5#|eCPboQ zATn$HavA#V)}YAKf5WM!hhobrGBd6o69>Z;~seXF{fI7mfTGV2+On9E{+ zg1Yu=am=+ysxq~Z&ReqSWt~7>cQ_Fd-COECx*$(okU`~2m67$^sFd#aUpNkz%+zO5 zKj&@~t7Mcf1Qw0sc4)CCYn98Y?9@22#93X{92O2e4QC1JA|%sdDzYdw2D`FLRbS~c zR6V%;MWB*zRk3ub6rNT^)wSlu64kYT=EaKDwdQ4INnPs(Cwb6S}_4 z8zjf<$7K2Z<9hu#-O~a?RaCWGQ6-jl#blRI(BWRVVUzX#>}E~1oWm^iwdSc6=F|#v zYK6J@6~*iH)GBjomAQ20tTtUq9Hy-jlKSV|U&U(GwdSefJypD?iuY9Uo+{RVld&(v z*sE(&{DRDHL5f(Aq83!%f)u|X`4%Mqg6g-B`X_7p@_OdfV(i6wrSSSvu~Kw>so2UX zq)Ww;PoQ$8(Iz3Qk!ZN8#w>gvRabAW?_{gXE-kav(-)N2qH?*Cy1uH$Ls4U0sx*0Z zrB%!ZlU+F+>$r7{W6$aSJkV!<)!<4tLwO58w8>z!N9Li`E?(~wwgi9g>n3%AC`^4gnS^~RQ|AoRn_ zSK}&hyo@epneOp6DEcW>E_-#0dycrStX9_mdf?1AM1$Gpl4!k7dr8`Zoun#4#jC%xv`$&c z`3HTAECqXO#!nXRVF;9eyIDczbd)034H*NZ@*n$;YQH1j5B;lNOVlBBu3>WcMQB)S0JZswIwT#id;Gs*1mM zQ&xUTS~J<1DWUCuBvW5AFL5VLma6P%$${o!JoV{Q2pJ=P|YTd;E`YX0=iJ-zw2 zJLk$J`Dc2oNns5-DcyN>bf8o<+ONOk6S4mBXSZ2{C4j&Fl&im7;2+|KyzzYb@8JZj zcQW_i`G_kwIusrr)E#qGhc^v28=c5BuBJlhaHs{R=*b*8wQyzK1x}|~4JwYnJ0fc^ zryGfDU|WlSPWMjWA3e(&FLTgAk$QQ8PkYw0dmJ%stQ5p&9cjr$aewe&Z0leyRb6r7 zAxjl`#c8m%aT<}u`BO`gba(%^S+8P=%Hf0&p*5r6_$(u|Zj}xU6Jl4p?26UOTTK_Xlrw=kILGksCGT-6N_SY&8?e%TGn0of}QX}n7Za=oA^MjJ@)6H zo^@CFytAyf$u@d|J)XF^GVGp^!AXwJLenI!%5(IB)pCVd0gy!&@91gri&{di36>6Z zsf|N4d`7lja~wHyh$f%H%?`E3f<-a!;Av+Mk|x0>JEc`gIgpmkz?=%@l(c|U&Z+qs zG?q1gRef@Y^cDl%RYSY_Sg&8D19g7Mkwn}R%0XA|A=475F0wknHrB~5;PElZab=5- zNsf55uIp=ire(@2Ub+RbB(AO4IgDo(BW+#MK|g&&SzSu>G+n}Hed2Vr7T;MWZJoH& zsxD*KgxK{^vp~QA0CHcQ2S*@i}dPO{B{lWg@8;4G%f&`^mE1LW3a zVdX$BBg?5#{}qm>ViCXgeA9LdC7Ybpq{gTU#(i=3n`%$pZ^Wtlm60JnEjr4MK`Ly8 zX+?AFzW#B?a%Ppx#%^vHW9LKLGf_vd)Xk!oKy;GNBq}3r1<_DC`70_oq1X1#Kx?Oe zBi_Sv_U5QA=uuI_G}hG@x^1TA`%y3B=upmkkI-L#x|OWO_W)(8VLU)hbusma&$4Qx z^%Rp&xF*H4POeU4m|Ev&XbJ%9-2={j>JyEVtCNfPo1A6M>jzlyk~wvK>97Bb!Ddw( z0+a2O|6hET)yr}`kLrlQ+Yspi{%YBOnNJO3b;H}HfY=hS1RD$cbSPAnq_61!oY_a2 z>Md7F=d$FX*TOv+>1ZgDVrkD4xtwG5STO--r{vj***f(k@pK5bzMQHzoja{BYsFN@ zx8$lWMW2|Z1E0J<^6UWVA2=Xdm&S(0yERXax2A)c)$WbXO&?T~rP=DL=JWx7H$H-= za^*9S&(3J_j?~}g%w{F6PE9*P|F*}G(+SH;7j*q^bN*AwquYiKW_l+;tJJjHmW~0} zZbMztDNnO$N{MyW`rxYGtJ7yQ*%#|mpKOeL(wR3DMarsN$!qFfTDg>WIzd`p^X4X> z1?A09K07L=oZq06=6P6`f0^Tdg~&-nJuJ%Aq*YvfZYywR!N23JK+e?P^>bJD|H7?6 zQ_}xJyMF%On%jbZH@kjiH?8b!Rp(xvy)cVa>AbzQ`o&}6j@{GU$FlR6PV>l5-Y@iV z(a7Xa%5J2Gs`veH1H-%i^*eki*Z+mL^epJuT(r+OW%@_=`NpYkHX&?(W<1&AqV>;k z_wRp$&AvQi&c)eRbQDWXh%)Eg6Q#>6gy_-(R_p$3@WYtLznOv8x?CO1UD<a>->H?_9>Du@<;AO$lEkRwV9ki4On9o|U7TgV<2gMV=6PwTY2a=~n&b)Vek z^L7Zm9ko>OWX@)l{9j``P#2u~DrH)>0zbFK$K5m7hx_Zd{(>#OyymUjnzzON4Yv4b zlkM5Ax4WBHb^%Ak?6tY~adpiuAbi???DH9fw$7q09NK%BjBNye8he5Nv8!imPd#qL zsmGNu;&Z!tBQ$nP-te)nGx>}6_lyDmMSFMQ7S_z9<}q}1AoUnJd8B{eO+2l*XV1{X5SsPxG=jhLl=&~vG~T&bdD71pc7xV!gs6RtFxvu{{k%66)w zk-vOzuzHUTSh|`DjrRJ=rMFKwl~OI}k2{Q&1iHOw@91y5_s9EY{Nxsw&U=4VXZUM( z_o}O!BMH*ZpWY6su5{WbyL`M$_*d@w@gC#f)vlj;3Xao%|GVt^scWSkengO7Dn^gP z(anGPdts`Qv=OsAZSU-{)NF8eGgDt{gW^qYQZc_#>2I?aICQRQS^s5*5xZRf`ug~N zz&0-e?A|58>?N)2=NlF;-`{S(NhgD^F+w1!w-EcVXe|E*kiAO~gOxdr@03^Crbd|7VB z6tmfCHSh6hROBxi%x9kH^X|{T{_kJQU;q6Vvg)&c>`N^(R`s&X^ZXO<+f1U#G*Ld_ zx|yM2#*(Ejo7wDTzHkcu^)LVQU;m+7q3~`fe8^-ucMF$K@GjS)Ra6f@hrdIa_}yXd zA%(BxGb*a^)kYpPp9(+y(|`FNzy5Ld58dL=FPm*HZD`oKxCP4Shmb&auhP%4eGuv) zZI63@wlDHA-xWTsJAc88iq_p?4bf)!-KS6A;$yPwIZ`5qO)Z6TyMgL)!#riw zp}cfAm`*Nwv6UGn%*ab@2zhk_jP)9%THqVCkT9topRT6Mpa0uG{TC$oHKZG)8YEh$F0u8k65q=j%_LQO^McMcYGV)+x*jxMt78d& z;TOx6I{L+^4y^i&g)emBC~>}kI>bitb7*4G(tJ_(L2(G68wGC00%4@S{^zMDhyzG9 zT#Eh^yb}GRYE*cF#4!GH{cp4WrBEvo#7HU-mxP~d>4iy%6fChXkQuy1_(HL2K>&BsJ2Ug@te3SmZP6ljj~8X4=QLHWwD$Z1wRKPM2ky5 zhf94y|A15PXUTVBMT(b0}{#Sr$>p9Vw5O#YDhH7 zNqrX*{UO`gWZPNzwv*h3^sS_eIdmfW6e$)W5E)OZn|!m+4k+_Kzih{GA4XAsmxhb% zDaM~2QfEhz#uoHoabel5f?4&$y*P7#v=|ET7kZUp0osH4brJ=13seffQM?o+A)rKv}Ow{<(OO@6|7V*J3d8TYP=`y ze-Jq%k^Jt&hb$J$)JDVa`8Kx&elK(T;`dO`@pr$13FP11dV}!+jkbdLNDFqcm9Jw< z+u`>>iPfz-X*={C`CYYt9SQ*S8DBZ5YvBhFGKyqhnW6#-xH1gI!2PgS1PgcNPeZmt zL#)Pz(5@MS^f+jtNo3GURx@-~=p_wOJ*t&{Pgc{(*nvAXX-J{rY|Pp><_^ZZ8jYEJ z<0y(4l#&VoR$^5rL8X)7_j=aDU{`B6(xeD@{o%J{3TH6EQu{8sHD-yDNlU~4%xgHRHl zYFm>SE!!G?lda)@jv-7-fn64|D-L_#toN>vz_Lr?`tm!#9nAtIe*ytQH6;HjnwD1wn%Hk5$yZ-%Xe^s~q&vq8j* zdJ>I9ix-KlB$&+UH=`d%=NM!gtzw+kFBeG%GJdaz!nYXk^6xHXy_u~rphEMj?a<*i zveK~H$iVi0f&0RJ06VsfDAs zzh5ElAGJ!OOzIL-s8mJqvI3LzJ6MV=#OSC$ArkaJrrfc_$KrPml_VPqmE^8fk@a8x zhe$fyJi2h2oygit>Qm!DJ~sV)tbS@cWDL81FJh*iAgck>Qr1vwS?Ur0*^R+NkE%%T z($mjej}7wr%U2D$Szb062Tyd$s%nrp1)nl~Nk3%`QWhDL*BwJrF*-Fcrg;v6j%x0N z;5bd-b6!<}Y2`q?S6Vq3ehxM)tqHD^-@}YbR3pFV=}ZuWPzVhNBZ51uf+m?iTI>yED?VUal|K<-x4*7n8{@?hpECiTrLJr z2k#53TJ%HaTX^N%U7!%$yM&w9=yvkpkvAgpmcw8YvSGvhKHanl{HjdwWVm%aR-W&FqQI%a zOQVSM5xZC<8_|()LdpOyDf0lIgLxU?WtdF^yf!i!;KhCXA&=E3k2P&(kuFDLpP~KJ z&mo#j_9-?YUYJ(xA+LlSjs3z!DmN{Xys)Q~a&x?aa`7{lD~dq5$?DKAUSvp@5UBXd zG&)G@SRp9Vgp$V)PkT_YSQ&$V%D9$lJo|$R&*g2#K^mU4lqVZ}IkHV77>p|KgVaiv z{@tF(CPkxx2Z_6}Jl()DOy;#f6oQ|dJbGf~P8<*S6_Aa-f`~Z{94~GRlISJ5Ab|SGgv| z%wfWRfte1L>32yfAuTt`xPh*P(&YC_x@6wROs)>e_1dq@v|wPd$rk^Jk7)Y3uXqXz_lt+R->}fj`m2aQQ0nKBv1yNCKxIB z&yzVL71((tRo(JsJ8_0>gRtpkhNPNa5GU^F{*1vcWrP8~$|;Y@GVL0Fme_j?BYGGH zR3I_JHmzk&;Q|ztRv9FWfVYP4fIpuju9>Cu{f`m_jU2D$+2zse8eU{RyxbUG~eP=SAU@W8REIMcurz0579Q6|iF= za5kMC!Jn!vCG?UNc!^!`b0|hang#mL_*;Ux{9Cl0elIcQ&U;_z5c&5Et}*>yu8<`E zmWgrtJv88U`YoY=X+&v+THlcW-1yWM#gtsSi>{M%;*hxN+ldapUGIBh!fG@&*g# zROx9|4U>wyRS08UY`~b5>x`HbJFolQ#jxO(Q5#wbXbY;NK4M%Q&8ZoJDZ$n<%82I+ z=G2UMi>e{|5pR01qaE;`2nF44Ogoz54HJDGl)3FKFuYD@PB9qUcv<~pMK5Atrq3w< znszCp1A4cAP0!VWYL<{wLsKhjZCAL6U6v|QU3|X|09_Z=9X{_D^2U51fxrwI^qu-EH z!ZMVM9ye@*S!QHN%Y&ib4kAxMuYcQG--QdWFmiH#Qt3gtYZ8MgM)1w7+%qZc;KXK9 zh;m;;ODK272yF1|&&yxf5pXFoxWJlFVs;VC%f!k>uy$(-2z3w;>Nf<0)LKDUl|t&4 zX&K0CKxh~kIQGkw4Z#Wlh+bg=L>HVuV?DT}wvPuFG}mL^!~IYvXyXdz7|py^2wi%E zt@#Rnc7<&z5itj1By}3JuW}NQMcIP>sq4a^KV6r`C~9m(lm%N=7m7y2t|y>JQ0!~a z$C5HZpb$}I%wY(DFRdBkt5Qc*QM$8`iFS~QI(ODWeO4k98C8Nis|eslphSwNFb>_> zE;iQOS=6_cCBtkSSsK4HEpILp$_rfTaJd(yR9Xb_~t~W}(9{(3epgREBiJ4#C_&dobEL?!oQjCLnQt zq)l&0iZCi*aTZGq8PP#i{S_KStBAd)JW6NRcog_muF$k9_P=PT^bU=rN^i?ZDzyGF zi&T49?%7#6oOx2#;bBs5)aV52Wf?+vYDo|&L{l0VpuL3ws#iOmF%)JIo9@@mNaCQL zcC6GpHZ$3#*xXX@gsqQy7~Vtb19rE6NRhb$)$Y+zn7#BUY!^XPg(%xmI9<0Mg%#sM zE?4E>VQK&)a3@(WqqGp9j-uKg*!6*wd&3?hJY5i!f+uA%vzD1}#d0aQ0|+bhZ&A~k z3gQLqLb(hc!p=n6z38C{8rmbd4`+`wH${7Be!KQaIG8;mHZOX_ZVlc;UD^|W8kLl- zw(<&z*B_N}De{$Z6Hc)!Ao9C;Q!yii*6O%=3{S^Rd@qh`_ahuP^~^$Rb==4qD8@}# z)11;0-;{AfYum=t2wplKa}|>DG~}n_C9ND!V}r_13C29{;6e}mAScOXH80G0S6%7R zAC^6E&`NvgiA*8k(+5a8m8E8XQJEdpUv~~xVChxNsz(~EQxiPteZ^l4^wb9(T2?2| z7`(gb0YqNfZN&JQwbw?ZIA|43;nap6^ijpbYO2?w)bGQQ)eAdeX_#wJ zY6{4yC`%cg8KxXe;eV{AXD?KQ281%uL1%d~?A9(b(b%S1reIEgdY*t7wehtO6FvsQ zp^!w-YtVz61Qixu$}*BPLRpXLEHh~tDqf0Q1j8|d5lAqlZt?(E(K4#3FfI=fHB(!* zn<`J5j*$uv=&JU!#Unp4#!OJ3@&c>UVhBuVF`}o(J9n$oVljJg3i#E(F| zG#X}itG(I?qqzCQBEpDAqKnxapNNW&Bog^?kPatC~^FLXNkh9xzD-nu7liyjfMI~D>7@k1<> z^Qd8~Y+YFSgsm$w(`B?m(P6xb_NFJ9YVYP#R~I*Oy4S6BCR6Di?0D*-GI(M~A(NWe zc>^NGLzGK@J8Id|LHWd{bL{9$&k$6&EUC+_vCmFIQO2+vPTgykx$n%mv0x+17HnkB zjRhN7wogr%Ph+2&uxy{2P<8k4tw~#RYb@BvvIQG?YO`RYp5QExjgfyu8yQx>i+x)F zW!OO=JS5j>`Y$sNLUIkqs3g~bT1>9#PBzK>L6UBNal_t82?^=8U>^1*4(1IkkB{of z_}~0Xb?##=tj>MpI|Dr(oPtOF7)CMkV2AQZC2|yMR4iCE59nS4un7=T+XRilhCJC=;MBXMYN>H0 zhARlp8P$6!*>$ZjlX{;EJ~U~=F01T}xEJ&V@ohio0yL*&)}DGiD30kC>wV@>Kgz*> zS3~sYF*aa|az~v9Edx0kohcw8(`ca5K7!QmWpLaJ=dPYQ6~HHro}e3|C*!c~dVp6= zQGD00)p}K_Wt}h8VXB8Hd+WE>w$*sL6Ffw4Q!Apb4hv3F;+Comsz8aWi!|3aS`4<8 zycO9R9c36PL?snfHWfKvs-vh9;V0XFgbWlWLq(cC@LSrO_72PoG-)*gzDe|iuy%dJ z=tYDm@@|==j_^#FDUmw%CV^VKj3;D-8+)r;s=bq-Dtj}iYS9Himc3cUq>nHX_%Do< zL|Hfz^-ut1KchG3k1k2Pk6rk+5}>U$mQC%^u9ZzuVtS2g5{MyE?kS>fk!%WoV&+)t z&vBrcJKHtdGc-(Ng)&iN${gweRt4B;Zdh@lck(HfT)w#xgc;@54%`KjT!g^7J3?_g0dHZVXhMlx`5bsGtrLG5h1rq zlSTHxJ?fZM5-pX&<66#^{VI|yF@Gzf2bqZ}GL&`AAGbs`@`|~BNZ-zyh&x zzdW|G0SiYN>Gx(;%zvh}L1I@oIAL@IEstp!C??9rk~7q|#9EUq7)u5K=|bKBX>VmZ zDV9S35+?^%EU%tv@FAmKJgnns%9w>WF^I7b=n*rG+MIL?)nalFyCGAV#GqhlnOY)M zR18Nn=_zs9N5RTfcGDt%yhT?85bBb0KyOO*QE#dTf{@;D zgr{uF2r~?}QMo|3QrUwcd`yaiA{s)J4cnAP*;HqezSe7~W=?e@Kjg4`@pwnW2XReX zihPz~Lq1Enk2ImAwMpzS{FqcMiqXC+H*~P0Sc-0<9w1P*14PAt@=)c{GSzy}$fiQn zNO2vdxT>`XK@H2RvfH0RY(nl$dW`}CGB$3340b3*B8=RL0vVv1dX`ug9#!q$D{>C? zBO%QvWau)KO%t#TxeR4$7c0XR&~P<=_o$=g!B{`;VY#Ot_n_P#Q+aB+$1i!*tn{X! za?yqtstbGR4zT2ZzM9+42qe&m#Yid0Y$;1RWVTc#=@59Js`)?>XWVv*54pN2$v}4c zL+NP>RSmp=GkFmKsZm9?Jz&Z7zMCq^f(hiB#Di2_#2zjEbufb3k8{v751+ZkOhRD* zlWgEn39~&RL~B=OYFcr)Wk5hL%vu^V2{$NMN%aN=E8-1*N{vz!^<8|ATBj84U=@l% zDcbo%KMH~33R;JE1%)?YzjH*BV_J?uWV7w~wrZ@}l4XHYyQ-|uemt$V@FQEQI-wP@ zNcGq31f-WW7{;opbrUzv@<4{vtAeSv?4A8MiYQ zGmka09i29R6oBZ%^=qD5d4*FOuV@h~)L%hMgCCX-x1p&Av_d*{%BFO}j7_mTOIFgN zvMC+0z@{q6G5v`LxOA|YET*AlG1Vspk}wz>ylF+dm?0A2wZIX=9b~U0a&5m3u-S`I z`w(j?=%&iHfmbbl6_nn{#2QCL{!yeVxin^lg-l?7*Fw$qDNH(fzm7Bo-?Kc5!U zfD~eLe58T$q#bCO23a}K zKzpz%GQ@BR+QqF2wMU5)mTRddszrF|S7?+@D4W({8H{3OP5!qsG=_aq35#iEBtBYs zFl2y#TgzlIEsK_!Qm&InpSrE5FTFn0tG#qbkQt~NL7+3GDaNo*{1gC`f>lOYa}_&C z($ri<7E3x2JybO_OBv*Yb|%4FRZg{!_qpA{CfZNO(IoO*QaxZP7mOP}yoyp43a7K; z3Q%(=3j(V$I$!I+f&+#P$su5Dbx5&?vHuExKM0~o57fSNPeL@730Jz2gftH^^;_v7 zV(@0I8m+rCo{*wJ6jL8)fY-UphuJFBtUGG$AdO*Aa0>p8c*&>Kf}7;|B^Y zl_*vc3{y>Zdg9NEi?~rmBZftqQbKWTQU^MlpHq$EE6q3kWd?rDsawQBr_!AOMrzf6 zfe=c24&j`qFKG-!;5{^ z6pAtf4{SlRv22=+WokBtt*O|o+|~~;cvm_ACtH~&$?i%A<(+7sN#1rpI@BWu%iVI! z?x-BIi(|_a55PQ9K;J;3cy&8grG_$p-e8>VSKfFzTltkY?Y8M1#}CgSv{)xC+;Kfz zW5=V*FyT(k_`_jsq^V=+j_c0C+-bx)M1C+(Wt+KG0BuyvWi|jTLA_$8z-W}-f@TnH zyFmnV1rIY87)vsp!%I^+SUV#$*RQ*DXR*R%Bo6u!_U@qS6U2zVsXaG zwqP9^si6t7NDV!tC{hDXEuH+a^0j49(i0twVYbG4_~;GrA`sy65q_-!D7SGM8Q~KP zTsuhpP>(qURY&1#NaD$0X!->YH5?|e1d(uSqnkd0r*+IQ zaThTe$^-n!2k$p{|JpWN(MI6f@_*a(;ERS1nTz|vj6kT10sKIS3$_G*#u z1jlmC)X?M%AD883o@qo7V3X4vxF&!3*BIpZ5EVImFPciE-7D3h# z8n+HFF3(Ia>Yw7p9MCF%JX54ob?qt~ETRqq=T7THDcNso=}uvlN&Jbar`Z3I-ogYx zt&VT;u#XzqYQeRtPQ$wy>rE|F33Gu0Iu98-%v0c7swf?(VZCv1HLN%GDs8>$bn1E& zO~i$PC2YL`P^%+Au2*$jOV^uD!}VHkuD7D_0_)j&!#oAP>3TVTQFXlvOTjJH3uK}? zAfl7&y`fGO*Y793;P>??)`PDs{A4tVf$-$>ETu8&t-Gc3Xl}jDQ{bEK7ALA(Z=4wr)*ID@^;$Xr*PGlp z+fU*Y+!+A1y2_mz|7+=b(`mR~>#aZA8~4`r>O4h%Tq>-$5)0IUYPb^(ydH_x3q(+7 z!&)j0hfTA*w6kqjXs%Ex^alD#Z;}JOjYYyuX1zm@qXo0?^+Z)X0foAT?^W~QvV z1Ah8oen+(>je54-=QBT7H-Id$=V$6#1n0Z_Y!gmGw{K+rnWkpJ>+6|cXlj;Ozn1xD zZ_aO6H!U{rqF-$4DOi0q^G9lX3Z35cN1N42M2;V2{@-P_g9v9m>(3p`*TvO;*6F!V z`(w2u2oJsPm+D9=ocMxYz9aXwO9TF2%KYiZcA?CdGk>bFT_*lw=AUaKoy`7)%%7>n zS;%_CpRH{YPG0cO8zT#Kewq1)>`j_}mHCJ5P0;&w<{z;)LFTua@6?e&n!3JM*CN9| zC%s+m6({>0= zKj&8(o2C0_{YM*{WhI~StG6}GEhK#0f9$$^*M%r2+a2|f8SO z_4)4PH(lJ_ek}o$xflJbNtKZA9sgQVB{;n0Ur(xJ$xHs_J^9X}N|^3{W! zzYy1mHW>JeagD5{JM4{a4-;8Sn$+mwdu94aA@o&X7vfhXNyK#-I;}L%;u90Q* z{pEWbt!wnlxb7DJ{(%WrQ+ad7f8y5s#yTLG>X83nBU26CP5#4;0FKvChDOb`OI3gv_`LAwhoS{4Jzp)|6k=yIPH3_o3>2-U5{C744IdIN@uVED( z;lUOE-KJLI^Rxc@4XrYR`>6l+hHx0V>-`TJ#_0&FpZ7nUFi!Nh0o*13)24BRD_-_L zYibp)c-8;;q*=;bIQOpq%cgNeXx99XCyi77Azb$f|7RP5?7QpypKb_p=-&4~X~IpU z<`w@ZO|8PQulc`!m@rH9*GkOwFGQ!zr~SW~FkDH{fqT#Yq6sY#$T$4Y z8%}e=pP%x--q0jNciI1{X%gYpPx@arOd@Rlf&Xnoqj2Yk{`VWgVC1g#ziAjow&p4S z*Aou6kpkW2|7s(EL$}laqm2Oe-ERN82E0TpZukFmBcqIe+%^86G>jswb*KMl6Gn-& ze(wLKVH6RqC;dOpy7>eDfCH}^`JZkX4g*5z_U9j)aOeE5HrbzlUdLVVzushj4T0?Nzug@9`3Jq-ZT@$g z>(0MN?H=`if3>;p{FB7)M*sUi+I>BPV+cBrQ~v+{(XdWacM;f+`Tw)o?$EX``v0BX zlTS{En_WEO#{TcKZ9D$`|NFZ??B25Dy;}EN$5i7ae&1^ofK*G0!};o6b!LS?s%ykQ zd!-phHHn;k*6zq}YJd@K@BPco?H$%$KB=MzB9k209cHI2)2@e zzjJ?nYb`|Jfwte@2r^KR2mM`5^B7ql^{sVB{4hu#r_+Ot%{?4d?`drA;*5N6V{->V zmiroio7;%{-M=opz~~GSzri1h$8pmZK-Y884t z=G#rJJ%mI$d-H2A74eVN^B3Q}EZ*&x;zgxQ@Fu+ zEUJ)A$^8>ih3w(g{_&_n=Gp0=suemVzDzRh4@EtMc+Ni=RS5FC{L@i|V0x{ejVffp zyZv;wQI^+|tf0)UYEls`QIK$iKue|`c0!!*xL0APgW%me`0taJWc ztw|&RZv|dxZXY3lvC`Z=fUC9I+&)Hs@Z=-S?L&mAKHA*ghcEWA=5`^#Oa9}J=Dmro zTCJPfar^yOvd8lNCc1XSx&C^#sc8ptnEdJ{r|r6f{%f0?w(sut-`M1|J-5|=XOn%~ z?tcHx&7s_L*Zc2ouItcU<-fH_jQj2Z|Lx69J9gXr_cqsc%H83=zqzg>IVk^sU=ybu z^H_WCCjZ0i@qDnc?&dd`8`^c(`5$GsM0a;~PY>MOo&Lw!Fn?s?!0VUf4)~vB`|>@o ztKoV{y{Xsy<@o-HbszY564;)>p7-x1^>D0F|GIxasRs>E|Azm>jkzo8abQFB+y2uD zI6PF;U+^Eq;8RxprvEUmhQp74>Wlu9arMZm-}0Y|t3gpzzvDj>SBKSK@}G^X!$RNn zpW7Ezhjm`|pHGPxMt{}68^ee7zvsVze2bz!EcAW<#q6g1x`OEP@36MK;=h#5?cTHJ zZ~p$ev84met-XK#rM0@OpiaLwE9f?41?|kY&tF)3bM4|~x3nX_YI<;g=#bkXl_(rN zeb(K3b-s0auz32Eb0`f_x)y-5=i06Vz`2DE0H4~PUpXzEeV%Wd*1l6{UOs&aX@Wz5`M@APu?Ecah;o{qPxZ+cSsZj#z zr*r4${v6y|>XRe%dOpp&;=s6RG3_UD7xSPq^2lA+nD0@y371)YC*fbq@D{QDJYRdO ziM~Ndy^)=f<)#6rWo{PDX^hy?2l^vY0y8<=1=$FrKoQXB05_XC5Teg6YGnr2nnQ|0 zRKijYbk*C8u6{#ga4S0gjtT-BXjW*Mh!5nJF5H?2fmlI?|16+sRJp}ma>bW&nXbd- zYH8$V6v4)5$ShJ8Nut?m;Ej(Pf5cfO`J&Hs9yUO&=i%$eU}|K)y>~aZ^Ov@YPqmbn zyYmRsEDc@;CHFZw#pT-e(#%ZTHSW5Kk`&9)+VN%l(b4KA`6T#q%CO1(ORxP z=H~Q}%N}Jzah8H{KkI9o8C$1u<#P9SnjV+AA4d1@3fB3-`Dbq{+lQ0VK+n(qy)Po9 z*^847{lsw+&Tc|BR?{zPpJg-_t}o5&&QJG%vzyQTJ)gJZ>*UIX_2>O>*WGTkQPx8@ zRASMtyWp^*ui&zx$7HKPSQC0s557{Q5iu6>k(kh8-99{(eFI4gZNmjw>TL|n%>3ZU zwsMzHykEkpW51NSuXsx5ACQ7b_ZS7hm7Mz->>B0-L)xrv!hI3;99sj+{bSERD2e)M8AjoxGhu`#~1ac5dB z^t}1p^RC%X=+ru|Ijvl6YMYrpzO9nbV-VttcC{J_*0Rr$^S10tt(x-dSN|(D$>sh? zYSx&bc6PeK$HnRDOekKmo?9nuF~`3!mxJq0-zHkJ0^gzlywTAp=>yrt^MTKk-~D;= z`%Sho$BY-^dilY@OO|p_Y%TEv_{B7*@M{$RI)%?baRsrwR zW@y3GszeFFUCU1aI>X_*Tq;8oq^&0c7v!SheHw6#?n2=41ylKAlKzFUDW%~zSO<>6 zbXz0H4}S1G!Z19r3QsV|IYD5I(4*uUr4bSxvLpb#UQc~4DbYZR;2T5M9%Ktpar*E% zZalW9J)p0!n_A5x4h&HOM6QT_MrQ{}BiY`;bcJ2y=E_WpEFY=)LvWmIQN-D!`tMh< z9RVF%`Z4u?_wk&uUa27d{#2`&QH(qY<-8_r!&iiC@w;f^4GL?jVh!_VJmcB2>CW(7 z*6?Qb(aA4=y5m^+(MZ>@^OkYb{sn8$k}fRllr9D->^161y?fcHKkW2ujLLravD_uV zc1b3+>IB9qb8?tV;@~iLJO?K7!(aTEKnpB)7;uhF9jSr8%f94*Bx8ivP`T zo_dZvDlC7S zrRfxKd6)C_e!!t!+Gq;vTBPZv2NxMy{ z1ED*r82S)UlmUmp009Ak0ntIxS1IzRz1L#^0nz-Np+5JzIyf?V+JkB`l+0+_daAQ< zi3t;bHe(i3(|^{irtHjaK)1ZL_Nx#~A6Z6P%Vu{el{?HtqvVKb3DbU=#Sm8)bfT*| zXE93d)WqL{8kd$hpsfEGp3~Bq;pkRXM(!MMt51$@O;^WI&JvxDmwcGZ)|epJvOo%y zpZF)-=owa2y3vlZQn?weH*vY-?D2bYWvQ&_Ls;WAqwOFUq6)X^=bI}+TYRmmS}x-K zDYiN3JyJhyi_M^HL~u>qiyn!Th4hic4dovHADyR-1E%FuFbq7d;IF&C&eSn7gCQgQ z1$BWp9ekp;_k(?2d=Uy})EIVH2VcAMYF>oWX|{I)qtY3y>el6Zkr{JAd|8fQwcicy zBw<8uKSD`}Y83@R(UYL#LmAAe!cB>78Zw;BXPPh`LI|aaqDuXv5hGCQMhou^>H8Nw zQf(*m?LYM@^taefpIY?M_3DGiGwp>38}ZKAb$-tzO67PA;84*M(l&$ABAF@4BoE!_ z&GKjzCXuErhtpS?M0gwWTVRu?(kj@`Zrbd3k^9Jz|k-eA5SU z_(-Mh%g)tT;B{DuS2~U|;rV0(3ym>XGGNH30X6E7a9hwR1r@~<9L?RLcCajQe;AuL z712FP9(4>!8sp&PH%X~gzd$U*kjnzLqPer8=j$S#e|iRY{BAQVLXPZ%$GePly)7KZL^VjxW4;{O&WQ->eM3TT80+>S>Q5?RiGUht<+)8g0mg>$qQ-vKVdVj&~Psm^3kFe1> zvd6J9(&Jeq=}0viZrI@7%Phpa)?*4k;?^(>)$?;Q-&j~iX9ZbWV2k5)4L=(DqO6iL z;P67Ir{`_NL?493gMQf>;9DREWjw9~(L zgPZp4m-+!D~u{t$f$Vz#EPJZrDK3Z)lcK4hm8p$gK*UWNB{j?(ODUK4!Z7p*|5? zV6Py0*rv*weXq$9mgg-*6MofqH9LUA^rMrts%_H!CC8%+N}=})RqrVRSjvU7znp2; z$j8rWz2OXgD!Z5PxQCOMA8U`i3twwmd%11MecTN$0tG-j(9}mO=Us=k3+Mb<=~Sv5 zniyeKWQYyM$vRme24c{E?eLpc@dm>G2FMD0+7rRdDfgrU(#z3hEf`7H8Pz5ey)&`b z9P~Tw=b7xFrsc-QbI3g`m=zJVx5ln}K=$L#j5<;otnUKS=9cW?GoDDgx@7IUtmf49 zxg14c?`8E5sm;Or-R9rM$a`4}LkC7)+%%9icApta(BY7B;&KOZ?&lM^o`gk80A};t zs>R_JB$KZsEcELL4+B_qZ2>Z^efSB*1wqSmKTGJ-P`1a>nd>#nEVl8yZ0DG(rK17y zL+K!A{jRhMD@qB!7OdU*07`-BM>F2_Z7`}Aa=36izJ{zz*;$E_)rk!iXMR9PHHF5_ ztcf>^f{)-+c=$uR`LK2Y>lT*Ooh?$N4a zE1%(S8lNNW;kj{HM+o(!uRA6#dMbZ&9FBJGNol2mD@p1CkvrQH(pJ3up2o?b)%Gq5Avr zpdBg>hcO9)f?;qZj<;F#QE7?ATvx z_F~Q(bc^GCWOm)-NCr7Xk%kq#4*S>Ht#4}ZIJ2-dQIV6+vvg2P9k|uIeC8wUkH#Gr z=E4;G5uh`6i{cXB@I(Pg+>RQam4~KtJbFFo_?h_JZl(OO9Z;Sh=*go+#^g!w)i73; zlCEXB*44kIX+ME@un!DX(WtDH{wfazx)7SZq(AzZ@MXu5hDX)FT%=|^C*LO_&GkoA zu0kwW;l>PNG(qtrI?z9qlvoNjj}{id`Iit65%8S}yPy{+8jn3AIJ~g3Z+;(ac|&ff z20v^-Uj}{3R#>$(&WFtNot;v!)(TX|SaY|4R#?fT`3*X+R{smxcVYBI_MPYwo#Brb z%c$)ihxHO00gn^jHdqAQ<}#H-tf?I~YRxMrUkvu{j;G8YTfoqU3GA?+GgGlXxGpU% zR81wMQduiFgNy{+p#sgp4vx!*o@yud@|C;_6&&QTagbjPf+ETFUOy>-Q}f(*Av**P zOF`y0QJ?Fyq3@MAO?&U6QgV2RDxFQj-;DhVVFuK_*q~?v2`)wT+G}Qt=ND`55kv#wMkm$H{Ok$&n^4 zVIsKwZTVo5Kb%UuDYkRh+6n1lDneTLJkNG!PVG%{wbe;@TRwEYh)-)+M%gLfkGhwu z$kPWL5GT=-(Tn2ovWzJPQMtv$Ulp@xo(MC4!7B?Z1AM2hpqA6V zd&i##Z+SdEBYTq6y=iztM^m#8GTdFc)I$j(D$|h*fWpEvd6tg+Y$TRb7xlN05ryez zhaUVo$Z)aRfSth_s$QsRVjd6LU&CX2&w0&rg4{nis#`{T<06}m*^<6dJ2x3KXZuw| zP12=_6{vL}%KWX zp5?vO{2h+cLnmitEZhcbu~49cIRtyBs%D7F?Y%=ShKrW1jnq z3B%R+wd8%iG6|K6fv@pZ8U%u8iD}8Tg(_qd6Tsy8@%a&H=I4SRUOpDqO&$Vog0$L% zom*RzkeI2QIk{fU>vXO{r3+)zm(iiTyrSNXH$*NZrx9V*{e2}$I&6|W@V~`#W&~PZ zx*lhhc@*Erf;n$Ugj>Sb^xE3OS#fqr9Yg<6@+5uyT$!8Nv|u|t3f@;mdEwzA-2w$! zy8`U|^+9KCN93^xdlJbg!KZqwUpHU#ex|vNrKKz@4ib?Xp587aCv;D?V$xU+6D-v1`&_N=WHABD8&w!8CYve4aSQyB1LtLi61-qAm~BX; zFPi9+9JEe0L>X0#(ap})BW*~o<(7%ogfQ^^Bdodo;W@o2am4Afpc})%9ZZ7(NOOrM z_t8M8^iMmcMs%Y#ZEGcg{niRn1fl2(fLMQ)UC;uZoe!EpvBu)7cO+==3-Zt7TH>LLxTpyY z?>QhpBLWx4DHO!y_24-|W}or>UP<8GQ}Y}1BAQ^xA#>78IKGF;o+5znh-WBf?rma-uYFhahFNcHr;=M4Zz72vWPZ?b znVGs!KbI=v4eUh{Qq$%k3F81_vI<(7s#W#(){D-;RLJ3M+V?kc!L@J>uUdv*{-+*u zT%#2<>h9P>VIE=@s4H@ec~@Bsq)>^#20*7xA{Z+BxcpQ?f5d{iKhyOA=Jx5BXDwK z>gn*7GHAZu;5BJgcyP7HL9C8@mWr74A-G)&>z8(ylaoG30;w0QvyL!#(rnF1$!+0e zwJp1j>2HrP*}hzbj{WJSAl7Gn{dfKUwhf=mU>`z@aiYlD!21aVIIhU zA6HfRyy$9FLmBN*;if{DP*&_<`mU0j;?rG!$d~P7L!KuRd-)fC9;?2;B?~^0*Qf~I z&rl=Zmk9O#7&lUCnz`6MSOj{3vV|ZA?`!*P$Qb<~`z(`L%(E`s3?l%F~1Ac7;<+YoDva3Evf-wmNp7B<9L@ z%e+=WF#|}EnGTPwKffWDgCp2~5lOc(!|vU5oIu*lvK4N&o8z|DzV7j9I?I#R{8Ffg z(?-|VNSpgZS1)Fk)48n*h!RN*Je(gWv;}#VgD5FHSX0xpkP(g?sFTOe*wP0mvh8Op zdp@@xkdjM89r%cX61dgnEfR^W`17SFvF5`_-oqZYYsNzP}{)HOVL(#gQ;2Mt#5nPr{`|n)^0Tz3UECS$xDX>V0&85k*oq$lgeBf zWMWPlDJg-(zE1LXMU4d|5_q*ic+7SzhsL7|O~LX4hS3mccGp(5lFvtAqEynL)!Y|O zbb8#C;`0`dWTgT~F%H@+Dg3@%TN=7TDfC<-T{X;R7q`Lo`ujWX z{6Mw2BDqN8GbYS;$sVU(uW?;!=Ssat*$#)ljF*9D2p<(??+~KFx#T8_*OT_u<0nl6 zv+S(=moiKtOu5*9%F*>(hAODlk;J8E#Q18bka4ux5PheCd3*8Numd_D0)sus_VrEL z1d+)8_A-5Ved3pisiFaau-EEFgVK7=m|qu@9RhE4LIS+4<@!LBrL^GakIt}_%zi1Z z!FyL)on1EAD$nI6>1IJ_rW&PcORi3T|6makSdiACIWk$d?>nzbMNR zfn!m-5Sn(WT=9pcK*CdJvqGJB8jIZXPg4J|7?1-~fj4@4Tt_>7MY0(BVZH%p36nt? zu7}dCFqm{dGW?uABE-RO$DQgwv$ zAEB^eexielVv0i{OW4J*EBpwcH|bGBRP)njR_#z|!ITSi=O|q*I!zdRPB~6L-a8}l zAnr^>n{7|VJm9) z47FPaAlja5))79!mwC5}anh@OceP5ma31_}b{XcRB`UG+w;dUPCDoKm-U*Z+Mvdh$9_S^GZw6iEgy*bQ#e!$O_ewb%SejgY)SqwU8 z-bp<#J;tM$%i!XQdd@$$N0K^87Hv}dwGcCa4Uvgjw3vR0H7s{qSe9@a&d?D#caoq~ z@Z@U82g_9Anyt;F{DOh`-{UX2)=_+jJAp-q3G1N0vlY0bs{B`WRj`B!zFUhATs>e7K=P^5se9RlH zKp%F(ZVeGpV%=Y)SY!mV_60N}^D3QfMIesCF}kP0=A>-p^Qjh3S7wIvPkfZdC&Awq zNY=u0Q7|9fh{($)cEU0P-gUzK z^TLRCJCi&rn8CUHv@TDlGQJSzMZ4ZXeafnbr`G)rY5EFtg$GV&(>b@DE$Wwk3jS{M1|uPFa6L{D(}FxYWj5l0}mv)t z9)VPheQ^YAXY`SqXLT<;?d*)NItGEZw86iuYqovi=rF4irjJ+vPTc0M+(TPGr<^UHb99b2FtZ`kw78~R zlxn5TL|43Wg(w540)@*FhfwCnQ8fH4cDqrB(C@D`=aulEVzFo{(VJ z*1ndqkI}dP02=3>z=^4lSiH zYEJ!>_vo4rJE3eT5)S+27)axWi~+kycIeo_f^Qm3>oGW^%Y%#I^cZNy;UUrS-9%^kK6rkL0(zh$yDEa zDPw|f7;slVUSzUSxp{W+B2HKLg*qeknNSgLDZjy0f2nlI?jD6Tq!^D7;la+wH^vb$ity>6%8YxAh?QkG~U3QFCu8F9CpaxR0u%XT5mik*)p6$ZP zf!w?8ym+4gIp8!EeWpl& zt$+Pon{>ZtQ9|Y)#oC5!o7CNJIZi$c*Qd2#SCBbu_JprL)#~yPg^`U6lGm-UJW%F7 z_8D$VHUdhE@fBfOT`hwBpn`{7sc8YfuE7{-te&Vp8ijV$u|C4n?+!5T6UW>RhM&KK zoL>O&dp#`zj7&sy&$8;vhSn(tZht+1mBobfP659-E};R~%UBwjh@I!!q{Ho0F#oYi zBo0CjO;$^|HWcq~P;524SvvxCYWXC&O`R|pLw9lLb=oZ-T6RN+3K@vzk2hh7XM;ybsJnM>piVyByH#!tvs~N zp4h0ap_W#fAar5jJ7a4DitDwI9|9Oe#fbLXFU zj6PgXAB82E{ru+FxKg+|BY!N8DX|(8`?7ei2GjTlS`%@IDy7`+2^0rbOVp*N%&!ME z$6+?809u{_SrVuf0^^T5_P^ViwGznzKXW?Yvsuu)((aVqr(#sHni`&ATyC=hG~p#i z)KVDuJ()BtyBxfWh5+d;)ll$`>cJ&t zhRtlaQk+Y5(DX5S3cdzPP&0djPUD})cqnb53ni_73Z>BDWN5l`Nw>M4ZC_Scb2gkY zg(y-jz6$Mim1IM15}!1W{A8~s{;h+6W}|h)dBj{bEw}%xGtj_v-EoeL2LJnXGKuj8 zN###V*yk2J=a8v>pk7}D%Jo|_sx|!VPBZ>-`!Sv6v#H{H-WJ3yKGfHV5Pyl^)Wz!~ zkM$|$Vk#m^!(dEWR`;<^q>-U;@?MG+qlz;yi*mkfPs$1Ng)u|Q+pLwq>rZYUSvG}Mto>y~)j;e`}UK{2>mkwsNYMbsWv!fWi00}HO1t?}JF}5yJ4VxQF zRV`Vvdj0lD6A_n3jaKYzYu_O+k2=0Y>`_HT z6saaj!Vo~utPzRL%>*5Ore(;+H}4``HeR*rcy<)7?-aB>n{uvN;yjM=Y)!sB)3@^o zKI*6R^9M|S0$Q;Qtb|!~D9Mcpbut2-d^@)X@8TGVuj)oExs2O?u=)dJbn^HfKdYEd!N= zqfe72^eBPTyYj8Y!vayt;8(oqmojHs!Uv&m4LldIKz@1wHr}s0UvhgMKdQ2sBSXs` zRxd)P0O(syHT)rC2HO^q=QF0h6QV<-wDGVc{fayZ>jOpFf*v08DsQ?(n${mN^drAZ z!5GyucAhL+Aw_Avoz06HKC;5Ah>}lx2^prBV9N_a;(><@4yJ=H1`b~@Uswkk5iE7P zzVY9f0yrKk$?G>yr`2>ZZ+7;l(6{jW9eZKCluY;s?%OqeQ#O&}3=VLh6=hxQL6;b1 z@|WLy?%%%)^^%i3_rRe;!A(us<)lNMwm=c(W6LN#7=2jAvxoHA$$3J#=#yNYnxQDN z5;r2&_KbU1RD`aG+!iy2EAVuQ6OP1359odBuQpu161!HO$DRFlsvTD)S;Dqe9jmA4 z8JVUyt`vi#pqlOTaCSy{$UrA(&$%gjWYL^lQlCS|^4B=dv$UhC8HD2^K{}Qv!aeL- zL-M4$!^zdPis6CD?~A+ckJ)~(d$C?@zmF;=9ZTrEcvNmDUl=hI*IQb6_97QvxV9N^ z2b%Ymv?ZUPS69MqYj#;1y@SwAi~64~hJ)}GQKR6rs4bjE?-dq`8q$0*1g3uWSkASJ zeM?ZDFA1E6`rh4_aA(5cXg$I6EjDhzW}P=K>n-d_G74R~{naRG8I7;8H2+&xW9RKrFN)Ow!Ri?W5hXfWIn7Y*@(vg#vaU> zCJN)x#6#?<^hbAZmSot5&cr7F!Grq-M*I{>pM4u-snH)Xa{-tb_o0Ps7rP)xydzUc2S8$=2!B`ZHT!NX; z_sY@i=FjM8@U!~?BN*mSRTRknZTwmdVhCu5^NHGKJ963OphB3h(R8oNX#PmG2l~15 zlz#_!$rUXdNV~OYvl|R;7#uKeN&rX$J#?$h(8|)<5BB_t$oNsv;P5F7+x^%uT!1wV zz4ZOvv(DgKVxvTfhC9x%%WlKP)ViUX398#uV^z|X%~pdgBh1*Qm+!C7e68fMN=cJL zKI(L2-jzG{N4q`~fb{z-=Ai2b%kN$%O@eOGR^K>s8&i=ZM$l;ykF_hoO~6xqW9x72 zR1Bq)VpZ~$G@TSxbLYDDALODj!MWf8PNIY>6x_^1(#S{!(kg?65O0{u@kwhzMb_Yc zFHVVdaZcUxX0);9VsgUst2KkDsY*RAupnqe#%D&iz|EcrfnG*hW$;cUDyj6z;;!$P zqbGXYGnQi8{;n8BY-Wf;7r;Ko7&eRWR3cTl^XaSlD2aKW28~F=f(?PfU(sF^8ijFM z&BURwvuXFvgg)oLr9l?y#q15D#U2)B}J z;PG=`H?{&#ynz1v;kZ?>`B#TkZsl<0%t1qyo-@X;fZu z$FfNN%VLh^n)H@VK~h&tfQgISk+0zKXy0)y4POy)}tyCZtMepo`y;5tFuSHbh zAU-LXC!a+yTn6&a+G%-`i|4qX-P&bo3Gakp?zBFXIA?0~Br6{)U%MNRiXow+t5zn+ zdikNW6di*FL?D)AZe;`cTGBi`{22EL>p1hpP9cfh-vFz3=l2>lpc^%rt}5^-&vl+=fCHv7V~3#E`_%N@vi^`rk)| z)V@lEJ)ltX+UYX~J*)Vh>zc2Wz4qYr*W_@zhmM)_wFiV=vm;!zVik<-D-u3s?{Gda)7A>J@mLmQ~z~}Rc!=pTbuf2bG{-}!~RH|6$^oJi)-eZvV ztjf*XoT;Z!3&CO06csj^T!Z_s!z8u(+}-WfN??)$+$UV=Es%3FhU~z7TGLsXMQVPQ z)lz!?=@pMp$w^*~BX`S5CpD#_dxO=Eq}-N)cabkw=sUW8$-ud~njt)-!eqprC5uvH zSUkvhO0<)p_z}+u{-J1O^bAMm5LDcvE8rU_qO61SmDir2echl^P6u>Y7FBlM}U^i{!Aq$X|0Nb`qHyG3B-6{sc;_p5Pbv}xf9%--dSNb7e_BLw>s~z zbWAz20D%OvK2$=^p@PT>1VcBCX(P($6Jfe+6`@MaHHm9*hBwHZlqt4$2OK$Mzn6`; zTAC@Sd81FE$^aGWHyYy4-xCID=3MIDQks{^^mc5{i)Mx#F>lKK`P8F@Xr8Ky0Q73! zyL#tuy<}{T#d8#%@mwJE-w|?879;Nn&ma6|g@64S4pQApH`4aNQFdLRelgXiFWN<+ znpaA|EqITq6FcIHnsADM&G+(Nhg#0L4IbFP@eoh~2mf=VJ%LOtFq2m{OIUfLO|lb@0*rHXb(q!}|IM_%Rn}6D$S10!ZgEL5;F3bjtAF01 za;K^#-@?BEF(qvioQ$~OQYnJ*+zlJ`n|c)^5Wi&Xbvm)72uS^9ySK?vAY{?c=J^2#QWUd;w8vy;fiU9-k0%{ z^Knnk=U&(KcEWp{7oZ!43a}#3tmw{YnAOuFUz;>M2y1yHmJ3o;$Ygi$cA>qfMU^8W z!`}t>EVO zr)V3rD(M#40qqd*{jhH5J&=y$ewDD;B4H0qc|*+R>!I`G@^FE9A<)l9D7$1MH_ zw?W!)(%FhR(#I3bMb5KDyxY=8djNVjok9}5Ht}Q`6twF-y6n;K<%;U)sKhNsXiYID z)1J`gr&uUlKU9X*C>lO|3X*l$7|~yH&6Stdohrc3-7$8zv`#O|Ra~CdfS}B-BWaL?qe(xoQU3-$CC6a=SDZe8gHk^FkhEApFK+f@ZgFg9CM@g# z6xH*7=y0>7_$yAz-mNL9kVK#_U5J^MvL#EL6T^+e!je|Tl`vP27XeGDJA3J5(_tq4 znW)sJYSaV`^A42o5gdkjRdCPd&s)Ri!qQbcDp_1U8lcb_Vw1CL>1;+zpu%P2-IGFm zc2$wxEl`le#EhRIa)Jt}nu8Ih1He0_YLFf&OEmg0p;tw|C6HR*T&>lY2`536>Y|A4 zu#ZksrknGt9!G#4la-GUtDUgCzhT&NLA~CpigSQZLg|M{HW3LPz|lSr6hbg&Q{6rD zFdY7>0O-#tV0#FbC`72-@nT9MU5X3Lt|j@sY9r_5>NY*r4HhCM%Q|jnNPFa7rSV1& zHW-Kab0!Y?CP>SQ&?bZPS!OXu3Y@#KvaDhMi3X3du!C$mu{xB_Up~xZK+-I424k4I zvGT`8?~d{1J|W4G>c3XG(UOXjrPwI=@zIJr3@nuB6rZ5$02oqI`I76z^+pe1yrjQQ zCwA-ZvmXPHjG>O(2Jk#uGHw+kfN$?e(L7&Uo(CO8t1vq3FT3x z4CV7_X&L%m2JLaQR9o|G@ik-QO!~2Gnpkle{CMSRpPFGhZ?nRLvF#|WoB1E$tYKE zw~t;6jqva_*!a#Sor)!q+V3a#%N)Fm)!1RvI`~KjN&F0|)2_dD+_h=$>POn7>T1M| z1P%=SwTZsKQQl#*`{ACB><}sfRrTT>=G+=@cKoZZ2YWKLnKl8^mkBZEZjndF1@QKp zd7%YG3$GR%4P$_xkm$?a;?}aC}X_M`y(R z?HNI-E}TN)OedrBo%7Jz#j@ZF_jjXgeB~gBGPySkqO+qX{5M`RS4)yZ#hC!d$Ao=& zIpX1_(s}7**A~p9KY8{v(=vhdx(xGsUuQt-uyDSkVK`zzse7Qzc-|s|@+leK{=eex zM+yHd{gkZVV3x#Sq$X@}QF&nCSV`kwOX8a`6CO1sDV3KT7d2OT@+7=XYPEk1*wS#Z9zR9n#i0Ml$^UJin;;(n z0|fs{$*t^7oE2q2!7#x8m7M>B>N2aj$V31E0p|h%f&MS*pVddeZVFK)7$)#fG=qt{ zR{}H;ka<=R5ZM36sF3bo*DjVuj%NSU_dl?GGwSoC&)Bk3|J(Nw-+y7QM*kD^522Qe z`{YZ2$HnKc(ycV`S*Y86fe=s3!RTcJ?2`j{tL%|LW$AO-=r%?|%qY z%na`ne)^`9_`ihybv^6$U)28}q$Kg6>Cy1%|2mkMIAj z-T#NpKkXyn)d%dqO8)=N=RZT@(+1{~1p0rh|6P0p_>`rLR)LXx4uO=5DlmL7_}?iA z)nM2z|3uoH;%$8UG)(?PA^sQjZ!ZY`{3nuui>sS4qr0W4t)dL%KmQScAbn0BU?3o3 za4DuOtzMu_RP!YDGXLaW7n{=lDpzK9- zf)0rU7<{E}U^W>u@klh$-=F7KrPdpZTd&z?-S{%#anE$1sB|yEra}@HQ;SFCtPE}L zkC{q|jCWr(7D(v{(P%;vsiHMi0f-xL+8qni_1^oV+&sx#jl0+9oz8B{ffwa%D)u#Q zc5A^q_Ey>qH&yIC?L`g2J>{9cRoPGRr`o10{3!$*W0xp#Qld6<6iLVRbgy?mx)a^Q z#h63SY8+~%_PL`p1tYZ@dGRPgcf9vP1jyRKfIu*}A!~tQ1~9jE0=4WRnHc`q^UZo(dR?mSbX`_xURK=nsNU}OZO&s> z&(V|63%x$AYu?>`H7#yH0S`opX`JSvr-XB@##?t9k`eFBV>*a_cv2+eKG!pZ7G6Nm zH1jHB66rh}7Q;Rz3&b0;-O# z2Xp&8XS)Bu$aA6_m@MLpbk)2!=GzTK$ZE5LU!#k4B7txnn&LlXwsP_+YhB%M3kj<~ zq@ozx^dp*64sLtnaTD;n!CAph#3w^IVV?0?^r__AQ=H00TC_vcR}7LnE$td`;j~q+ zY%s?1a>S{&scEB%cxBB^X4_viD--nxBKL2@BZ1phcSfH z>-gzQO`h6%IcFD)T>u2=t)s+AB?dB!+l$#d*3=eyGlv_4XrPhwM7 za8@R9t{2@Y78z=Er)??#MioPgEPI1|cB#sjZ{$1WK;ed2AaJ1ttg~Ijm zIp>8`CoU@{7M3aTbc2uAtCZoJ@H3^}RO<)DW6B?`@2liS{$wK`57w(XrDaZExy(Q| z*V%nzv!eBbfbO7nCSrr3VuC4%jdyz>?GtOFkkI$+M4H;2p4uhtWD?d&k&j@%zlHTi z^q{uLzCU*Uaef;`^T3M~y8#9dW4#MrTYvj#WH_I+NdxY!NA*HZgd4d75r)|R)JvGia# zk=C;lXnx(`JutF5!e{+4g6R6xhR8K#BDQ;pEtJZ`1(GgEwkplNi-IpRr@C&~V)U*= zqgT*;&bnj#k#n>N`!MbC_0@8&-9#RcBgZY3l|tZA=AczS^N=0Re=T$evMEa-zEsc? zov}bm>)Fpk!=kO=p04QHR3XY!UbDvt)P4Qtvp??u=}6HduVw;j;l~=m&q4J|0>w7q>@YvU>S!GP zTJQ4E`IK0sKJ0+YqU(@Pl+i3RBO~K;f&oExa3)C0*jiECZ1FwaD^)<+gfAn0o?NA- z4?(Qkd5_(RcN=eFLfyt^M0AnBwzu>ztgtdo=(nsdaU*F3q{S-Z*|;`GDq3e|H1D|{ z6dTey&)`N{zNqp%zSNcJex5)ka`PUC*lB`?-JP1}xPN!sD z1yqv0*1ng{31&&f)P&@=R>f?UODk&T1QP|6l+~y?A9t{(qP$8XOId^5q3`@zc)(vR zMF!-Iq~mKd z*%2_3#NcBPHq15t<_h}hWZ36uEWa`R&^`%8A~>Y;mgHbt<~=#5*MFlhdB!Xf^}dS zi|H;l3xO&pOg7c;rpcYt_UT5z;9)j%>IGPA6|sLU(Pu@7CLeKfw7AG@G2s3_0ywHF z^L|+LsN+$Jn}g8UVy-ta5Wdv<=i2^33yCRs^TE7H+w`U|IJS~@HsQe&e|`kkK_ce4 zqKw@0H%i(U4^>yT2sL|~^vYg*xEBg=rYt{Sh0;iXp)6nYo&&Mhpob|r3Ijf0lsD>< zTv!=ghPjDIK57X3s|yzOlz8zrQlGPsU@fubM5Bt=?r%SS0xA@k!&u_66R~}`mn=4Y zah`Z-#NHr_n1I5NQcRBAX#t$Bk+MAN_@)E;d3?0wbPiICYE_!v>deBD3BJKQi}aB% zbbg~d^Ow5lw6=ANd?mY@7TkzgLz_ldJZRk=lTpVp@DSGXz0@5pGqL=q5LP!_;_yYk zfw|i1T0eVfe5bfgXjk%jygGqWUPsJvY*T4et-wD2iJq-zsCqgc2AEVooNl)h>;(*g zd`1isL)lLSR9{*%F-F4^tr>;M@yUCm>h<$yDnJ#>idn{O^n*PbVYmD5TMeHXF(?%I$CR(e?ZnS&`fhC^AKh2o`R<&nPw8e2_#ElWa7|t>y5(aRZV}PMDQ0ED+ zHtbNYnMPgPn}|MsAyp8Hml|?zK$Ocp!Yyvy9g1)=6%5GLSs~s8U3IGrw`T(b@WsTi zpz5${O>T9mapn^G_weyxz5~;;iFU4mMF)qNl48D&#NT%*=-lpTe@EY z$Syq+o7Zatn}lj*eLTbAx+pdH)qbLu3H($)7loRh`yxwr-21mv2mJ_xYsBf1Pj*Z9 zO%-b62r^IjvIdrT#Wk{l1YKdfR9%wA9#W~-0VkeS+N^(nn#mKXTm8+&XFm8W^#H{t9CHE#%RVZu8RFkBj^)4B>8d9Lh^5f#FeHJ*9pcizD5 zSs@RiRXW}C_0lGDJ<_vQc%47Iz1jO^^^sZ#(XP%`lmwY1zS4BPY3N33SwVUGeiQMg zDsWe&T8x4o5E8>gHhTrP%nk)9=CYfw{8XGFDP-P)1RZe+z93c$M2@?7JS?P`0#g=> zIV;G%%^-o*mj^*MT*0Xdb4!fyE4t88x(U?1H4;5pt%{|5b$3QtLu1gq1D zX{rmDyZuzJOcW8^^Xdsv3@!Dw$DKOaps|rFlly2vTBI-%4QT!M?ZACCzV%s(+j6|Vw{0~$ho4s#Q`D47LYaNONH=L`Rx-J#I z;&KPqla9bkyrpqkdIRDtRt%gG3pX&JS!Tl(dGURig3?c18E`{fVj851^oV3s#O8g~ z`*kJ^we8?N<{A31r;`VR(SO3^xn#D znIw-p`;XwJt8JY-*|m+V*j$KRoo-64N5&K^w~GsVo?4ZyGrOM3l&v+J9_ys-vS&8k zz8`kp=U+H|6#2sJ1wamY#ZH7Y@CeE%DYCr+yJ5-Et}wGbu&| znxgL4XFjN&`N-M5A*e{pw?od$!67ZC**Syq;r-)D%ezJ-g?&Tz7WK_M_cI@c74As* zAB?k0REDB8VfV9?LEY(4)hAIT)_rEc-JU9m&db>1N%~Fu!`nvCt~ElDs4QS!(HcJ} zvLVPJ|iQWP|a)ZN|(&4{cFKH4Z6mNP95~M>nIaAXShys17pVrs>EM{NBYrU z=p4U(`FHdTKgJ)uzh6SQTi!o0C2@wZ+iy+X4c!IZz1_6}C+N?UrH31< zM+eo9(!%xN$sAmbk;1DD@rTm=%^14 zzHa*185`^wyZqa3VX0mpZIEr3UNSg{#d}t3lCt=*(A$xvds(r35Yc?bTVUnHX8z56 z{-=GzTT!)-KlC`PBxM1oB5*e6O(Sr<=g%W>yXO-laQlRFDD$Dm5}5<0%ZB0DsjCY5 zm@bvXXwD5vpA>Gp(aRJQ@yiSrvU3|>IggN9yzkF%y~K{{05%YBX ztP;z{GMRUAF}Byc^uDwKdT`>hy7Tnz*>7F4o#*A8-!A?zOpSOS&^0}@0Alv?I;~kl zy}msFbnWg@h~D(y%#ll5i$3ndNdgtoHM+X0H|Ihan^`k1DygqOkvDcUWU z`L>wIJ@l`$V10T^o z{G!%+Z%4mh#dfyjsacJgn!8|x#Fi<>M0xk(ynWeyAX7HRBiryb8Z{>MmK<+xD?x>3 zgtinW|Ez%mhj?|gYGea`{CkE>vFY-7L$L6y44IqLu_vd(7}^egZM3Fz*V#c?HM3#$ z1zr-qL{pm{KGty(@jyv~_+yO_sW2GdS9#BiqDNUc^X|S`)8!ouN;LC+w^`%#or0@4 z6G0Z`j{7H_4)K($otx5;-%Qbu?{{rJp%NxaRV^g`86^eE$u6mX@b#ypV7i_hAL!S~ z@zzha^;dGi5)bn4(Yc3TSx}I23!oD^b#5h-ixW9!>!s`VcbkY?eEsEbt2y(?bzYYR zSBH*f7n$}l@fQ3?uPmOR;n9>6|; zlZM|VM7qelZePRMy{SU_2FfJ&A^FAJtTe#KB{EEn;Q>In1d@P~i zQ45l1N*tHou00LLzaz;E2#=cuLvqioOY*26jOIZui+SfuSP6%1O0oIWjqe`(lE1Ti z)s>CO(SBz^-ly0Hi2b*cQS6hAWN)*?rjDa~DVd0ndP){&19uQ^JTrOtc9llq+u0OD z+^%*GE|zv$*oJ(Ni>=I0ud+BgDcPu>7@zk^QheJfm;+}P0Ael;SP<#Ic129IVu>Yj=Oj-Ug-ApoI&Jf3Gz>j)V1Qoxc|7}SBkzNv&7g>it2f!vg6^f?%n zMo%i=7}W(}p&(f{+;1O=meWw69iCk7r;?O@7>%Ri z9bX1pq!nH^R<$s{0g{h?&@Ix2&G%+8bag7A`M`ZN?9IOgjrMQCnss&=9e$01ps&N& z+tBT63=ApNX;LIx%9C0ulzgzZiGT->73VuRQb#!`S!RTdOLM>1%Y21AX`k=ob0BWs zreCm_M)<-OXbcsRKDg>0`8oNnpyL&PSsdy+;NLT8LD9@o+)cv!1ePd7%qw3Fp?O6@ zisFbJK_D19f>4UN3=x>A1#@Jy_rwSOtiD$rzi^tov*yVAnL;OlEgRLtl|)=UGH$YA zsv(CihY=Xm5wNc$n-Fz|=1!wkl#;B#V>l2*F)Ed0Y(h)Iih5Y1mC{$m_7A}0GrGeH zh79@rX~ZLfWK@~+e7PdjU{MI3t~5h^mc#%%EwPbU;2Dj#R}KjtaypX7Lnv0y2{<=K z)5Sb5$D{Le(9VFqx1HlvEzJn)1LSmWJv*`~wbO!an&uzF=B=q5vBbe@V-dOMHmj?` zHd$+3fmXw5pdP{C)A9YA*`?U-h*o}q2;N{6|Ruvd)zYzvEmZ%f0J4&=o+vJe$dJ$lMiQJbO$f zL2zihk1(HQXoV;i`D>FpZ+FEP60zm^-9}Js9|yFz^|*K3@mw^Um)H1EGAX%k_0FbL zw7@PF&Dz8-+w;H+1eBIN_VlYp)-_DH$~}qThF5xl1SB zZ0=4c8%~U7L+n5zIC?qrHjk%JiL%{4Yg6V1<{c$a?7%wgnsqHG-^YOqz31^%=cl{o zq%M3Vz~SP_$WzG=;iiKNfx>XP%A^g_)bMVn=O(TrgFsItg@cX4J9XfVaw{YP;m&!3 zTwE*A$p)AkwM9qkS0SAS@%qoK$@E0+($dutT+Ea0f!A}bFH%TIPOQpL=^LjDkVlb^ zE6#!M>raVLyN^?>joydy(o}!emMD$nZ)hT+QHoN-cv2KDAo|DS#kie*ONt9e)W`AU z4yXN@8ER(w*~|-va&>k<)J4hGPNt*<>^F2Rd&lKHBuN#RRtu?VqCmNrn?|lPce> zxBl^;jagb%C1!Bfx6NzfE^l8hWlrwB*Zf)#;WM!272CFl%hv=Q%iz%C6(;e*v0flj ziiWcGv1CazF{eso1a!#5wv&d~1XNk?eb1uls$_Hcq|+Str!G-x3AbpfvbZ&I@>%v2 zmvp5XN6jS+39RH;2->{~YR5_1;rF5oGQke`MUUdz6m298zOkwmXoTeN9enQZq-=pQVQ#GeH;@0GE;qQXWpwJF)n z4NBj^@<4%RL-$ndI49|NXEvX&&@ZFRGpQV+_L)f%4upe1Pl!Aa9DTaQA6R|@9^AZaU`9FbVmvaE;wv|6d-~$`QOcs z{vpX2FH!nh9D&52MA&|i0;3E`3i%HM6nf?nf2UD`S{#`~*$FTN|9MCmQfta@b$2L+ zhujnRTOLon+v)3Un`mDFJnQUtU2S+hG>7=FW1c|6EPl4_E4-H*z3n*_+;=GGvXztO zdNwP@yzKhy=3i;^&^F_82}{2A4+7|K7}oYwJU`EduY%cox8m2e|)X?56G@J=(Bz;#Vs7w+Z)@D1ZW$8>*j*6#-||K zqK7yzg{>djxYA=VqSGJKD$*%<0~WzVzS=bGI98Fren0sCQ4+Ov}vdOo|k;w3E`) z6V;M56Vr1|jgmByv*R>UDhw=ib60M?w^>fJv+c5|q_BGNwmqx%UqwP> zl2TxuHD7<6)(M>&f4yUNL%XhS^NPG4GRj0@Z|c!pGKS+80xkA)Z#*U2p1EAodxzjF zt}kV7LXOU5cE_=$Ja$6mKMuxSohfN}pW3Bp4=$;9Hqp*)npd-|jH_BVZRiJZx`F4( zZnOcN`*tPveWBYuw)5cKT6oQ$*E)+gYFCig;{dw+yVQbq^mv1`v(Y_lvZ`C#xLRx% z&j2;YvDhL-kQJbT#HGk}h6{p}%divx2Ax7W>^C4A(qYT34`vLF|`{%j6! zRm{&3^Gft2eTDnaI7;q6TD;=5B|<}3q{(HuqBHZU(6mDrQ@3Y8d4;n0lfH|luXHei z&-`SlP#3ej3c@3);J!wlB=;Qh=#L*1!@fZx&>aqJx1Y5{mAjovQF@*E1>`+JZwo<# z0^7~N=4kUrFBnog%X$6^I&iMa_X5E-(h&?_Cv+Du{1!=w1uam-a?5d2F|n zJc)=pv#piYm3y0bML6rY6+Mgl>j zDw3nmjI*BS-h@e-y~HC@q2QlUbq-k@z893G&tJmewPct%kR<^lcmkV1wfjoQpmP|% z+cHp2em$t5m^2D~X8_tozO7AXF@|}iB5&Fw0x)<;(5LGP5(%%Ucwb)}DoDq14I9Q1 z3+&4!^dtHHgg#4LYyiR+;$RrS)1tugWQGA@E3w-Ha8$}5$zS@z9n5O$(hXNpqkNCtqwjBJ;>!$sJh732i6?v{_=4BZh=4zZ{H2=eo)0N*3Rgy6 z1EWxq?Ep{TsF$Wky8b?+0Hl5!^C;Hdo!9`$#^4%+Aty{G@RYHQ2}W-5*pNEHpyY2O`G-9Fik2Wj}hn^bnNvQmK;Lgxznhe@AZg4^*`gPwRv_Ld7QxuYHGe zMWg6+?S?D;b`VGq%H0TE+lu)E0gg8AuX$7~x%s^vzEJ)=u6rPCM=&~g6L+uw9{?yE z8Y+z`wU~JaO<$iwk+1cxzih!y*}3*)>-dB;2V6ueCW#fL{Ku+150oGBvHF#MAQy%A znLra>1%~$wG)v*k4J+nC(^opq;EpK(Ai{~iK>w4tyC`H0jt~I=q?iBzAph@=EKcSI z_9iQuR`yzBE~B83cl$sDXwZDAo`QB0sm4IX6qBvSK>*@|M37hnCKFIG^GG(7rp8){ zdJcySuG^h1dbW5ro24toIi(56@0i>*dX|?K%*bxmbH|JsvU)eeNz%-+o60IW;>LGvOugpj6 zGUn>Yxe?ZhTP=y(_^VD zQ6g7Bf=!yMZE%>91KBdlKR?W%{*Xmq8zQEe`Ih#J=E`2)kqDb~$zBUG2IFk1dlV`L?3nE#}F2j%@9)fdMlN1|o|H0A##BHR`t2%WG zF&s#)!n?4B;8Z%pr~Eq@A$w{+JpW{0z{SMs$pE&4gn^wQC??UL*TMt@7Y(Z+-!11YQQ==gMxur50-ZhxH_p$T!g#0SWE9m0eD#s zK20?#bX|`(1bYLv#KJ|IC`#7D!Os^J_sWwWT9PmyW|h-# z;^=lC&iYwI*@TqbHy&jWwH9WPX1O$dT4+26|FBXcBj&~DE zZ4wz>vFTpRrBa=4<~*RHXxYt`$}P`gk5oGv%QUkk6_XL%LzlQP&WAac$BH%EO`?4y z!bI7g>T2Dbia#SGjWTB0E=M`uaa)#A9$fG-rLHHPi>`!CC8IJX`CjNB7|jXCY66Z@ zQVwjY&JAO9lu8D7M^c)|aHs>(dt9^|LZDb^fp4ij?xG8V-k68xSnfc`%Rt7B-N2>Q z-yR)+)vRBs>}dN05;lGWX&>QIOfkO>nbBL@pFwjX3I6Ahi7 z!q{rLQXxqhm(-yl`q&{E0&nBZox6i?!T{~LP;AmS3%SWPhXBa(TgM=^xgEOsc#GvO^Z;9`kO(QDH zARmi((MJjP+zGWirq5#G?xHR@Pqd?mTcWK9OVOQF zD$FJNpFw)98*C2Ae_vzn!-LFg-Snv5F;OiQ3SXQ|mHTQmJRRAeM%CGSa_kNs< zi4r-bfV#kOnvLM+q|@H#EU*WOR;3!&Z?ICKp?9Kr;sbV?jl62S zED3ceV$G3}{dNcQ6W$f;aB=5`2eL4#c7Fr*T8+g#94l2b`=gc8A+_j~u<_9DC}~o5 z@2CG1Fs(!8wHA-3M7B&cY`W9B)hmxcxec0WH(%rf@kIsQORyF(8QyWHT4&|1^DFck z5S3RGIENlI(098tVg<{iq0MH$d&ob9%V{1~i|p++4VTe6^trDh=`{~q-WQU-M6|yp zljb%JuTUX`is5->fPn)75#r91~)TG>+^|w9?pM3zPpSX&&Vek-kK_ z(cU9VkqpbxHi&v}A@!L>&>pf%eMOMsH4d-Q-g_Gz(sJoB*q9gN|Oam7&DOnjSqs$fFVm7FlI_$I*ix)FH6}9VH?-KNola834Pgd!m$6ckYB|Q z{ddf1{MP@r5Vz0l7V`If4bmGP?rQeEZ9U|^|Mc$iBV*f=XaC|~JlUxms(Y^vwsuKG zNBZ7ZlJ=TL=-#`fmWMO2{?Zn9tEXk*9nrPznx(xC4dN@M`7I#@9rFLp`9_!e3LxEW z9xh6C-$bHUKZKPMt{B13zxfRt<&!&){-yuuUXp!}iq#9&-GrX$Nb{wQHMF>&hZ$;{ z?G+9gY;rod4-wNEyz4NlcVx@{tqbWZOd4-AI5>FSg*Ys%*;j=gYu?bTBv>YVOD~Dp zwtUtMs#yaQYj}g!v-Msa)H>63%YC|)>z$Pod?d;cV4C|4pGFhiBWy}pcr{lKpTS4M zGJWJWZe@Jk8wU~f`(qlsAcpVDOkvH|Cug1vX_tg)^oVUdnsLX=w1w#tG7XaTD_N>P zsAWvH@kN)hNGZ5&i(=A=vRvkzYDB4dS@ITHR<2iv{`^JF|0z|5LLXltb&r$Li6WQu zZ$JjSRPy$n*JmKvV4o^YH}cL;k|fn|2LgMfnEea)pEJJ{MVjHkqw$5}zwDhT@QD*8 z>Tl#&rBe5pf-aPJ#7b$0h)kr&zAs8FO4hwHLtN>1bOkxle8SswY`;nKwq#%F(j$N2 zE!5$&_Q;(X z*TGJiIumA`jBO1E_ZI7Cq->aVI{&>lY(hR+a~@nj+aYTfSwU~zZunzfdx{v%_EB2T zMqSqzv{TXu4N1B<`b1h4dZN z_Q=6$82~(xxz8kTO`d@vW*3l~sTl-^5_c+c5xswF`(*&8r1k&BpGoYuo@2Tt5&r{t zCUM_Q+Q)1YKD&UtG9e51K=Phx0+;I`bwn0U%OJRsxI4>5ZHGkLX6J032D#1!@jH;X zE6(coNjjR6j=v*uA5bECmEpU!b^K&PhL8(H=Y`C*f98}wVN$Dv4bJ9utSk25@U7ozq)^fXbA z79{W|T7*bU_bdVD=}{d0gApm*H#)iS*MkJ}n`bfB z7cWAbU(|AuKh?MdpV;RdUrKOkj(FsJOx*KhED`M4h(z?;NJ7gpnx$+a;9@GQVe?-W z*AI_k{%;eV3O^bNCH^>;$~-Z{l{w;-i!t%uf041zkK*(H+^oMbB(#6k7d!s} z>GF$Sto;M3$0z2!!j~FcpCb;r8WX4b97{BPc@*dQWK>-EX&@>4cV8>M(IBmUG?X^{ zaU^YdVw+oY#E3Uz;%=Xei6=j2w1Y+kH~3PK-$=WlXWs+{wI0R8yck@*aFA#*3A?Kp zJDhkl5NY<}bXL*E&7*&dU-bpd?;X@Zt)iD1jcCGn)e$s(aM0SYh!>_Xs)bAcs9|5@4i!%#{`#XT;(Z#aS$Zh3le&|MLhwDH;$?(7V${&+4+BB z-x3DK2nUK7kp!a~9`5x8#6LKyjaWoKMCSrPV!)vTpFThq=$i-cUaY>hXrl8B#}D0F zLiX|zUR|)=w1T||Cb^N%JW1VAIS4c6DNVwEv`?HjBQJ~oQGZ4stqtUkJQEMU+mpR( zD8LO0>=R=@ZGM0+*c;5kpE|rlpf1e)o7F#}@D2fhAc-H^@ix=*C^r}~8zuOMKwj=J zc><=Nj6OF@cAOIj zQ34?{!M1QKrbN!PlnjWSUdqE9&YYB69L_}*<(NWi`H>kAJ4sZwM9v+RuE+)08l=*} zB>qTbg0D4_nGiasl2~E3UMWm0iNKXZ9L3biWd#X$@;YRKlfo`p5EGas(Lx^*&lp&c zG%bU|;sF&YWP(UCHB4|894%Nm`qELfEu$R8k;|Ib_1+2=$b>lstC(RR0h)H^&Q4XZ zWP)ndo8~x+kCw<>w2ZWyE2hYVE;X$fVI-BCmN<(omRTA=J>?fn&YBjs$J(|;Br+?m zNAa#I+|5IWs@E)tio+LB$b^_Ro-)DCt&`~x5l$(rh>EwBbHd^eRldjtbC8y45%b`X ztolSx=R((Pa6VV^L8Ap-64wIcC`;(sor@gKQk7NBLuxghn;gzRl|7-OvwTPKK=NxC zSh#){nn&}Pm5vrVRIZ`Z7+fhTyYqh~Ik_qC^ zF1!2q@q4vn5iY(->K zhe3^InN%`ics+jYmcx-#F=J{;erC(NotA-Eqh3@Re076~I2g!64l^CjfL6h2uoi7T zG{{PBYz9(JN|te*hlp71>Lj=qUGD&%iEN*tupNFHyo-rAG-Dw-ewBgfuw8!&LZjSO zNhi=RNV>d?1X3L&51vKq2%buyPs+UvD}_*>m~%1z&(Aom z)1hW(DcC>cN;NEN%ppP2C*K1nZN7+aohO!By}&eUtYMORWoG86yG9L&ol$^BRQ z+MSkIwW{MZTVO_`$acfL&SG%j+H_IVb9HBg1(cR};|Ekdbm#N^`Gvde2h;4M?P)|JhNq}On3rL@%G zY8KbhX^DaDOtz)O0>kSOs-?vOL$5~HMWZR=C@MuEjXmnnDn(^0OXU9ULWw(5#9`+9 zBGObr`+;s{izbp9Pd>v`VLN}PvQ7hKim|+L$JNpIhT@m7VApxGpZ6jeyeyXJOVVXC z=oRye$|ViFEG_P?YGns6+cWE~=OUL*YuUjQ7IL?Y@1j7d3fVWNvn`=_tbeWYQ_&TN z|AD1hS9WJZyhN1TUEHS_ao1+QyR#3`HC0a@-zqp~1$_lLroQRT;Q9UrY+d6sT(cg_ z!R)%|0vT^x!&rjXoYwO$i?~2fyG)kGlY~R3S#KqCtO0y%S^ANqH~r|Mv~(C;AO6MFEtJ)cBq>Q`lrZ+y8dq*^9l1c2n?Ms`!7p8BI&w8! z#*b)cjXV(I(vRs6hw+xd5B8XY0qND>uluY=r^m;yXok?Yz46cc$EF=F?Q)cm5U`dQ zbCIB*54mRZBE8^+{2Ii9U*P}Q3EKaT>x>uvzgzfD&IUedTIMKbC}U>Q+OkdR z?2N{1+H6V9fha^yTRR&i^qCm2GD-49u*nAEjawLwhffGBT=tv%Y8R(>8u@e{-BpyH2L$?e^qt=k{M- zS6~%H6fW2@IxU;2j zWads`n9aPjVDERu{jxZr*F$=;nAVH_`4gQNSN#&XTLyQ{swLE!>Prvz;!R| zwX`|am-Wdpw`QQVGG)W@?ii@k_m`$Gs<%|tRe@gI)9rROO=17VTt9zCdsy17|4F^^ zJjz`Ff=wxBSHTbxL&}UNqf!j2CmRDhq;<6JyuO1vG{r2vNW81$2@HX3ooa|_*NRn2 z|7G>~QC{b+ZFYlc0~IY^7Um)=L0c?L-n7N;j%t<=49d+=DFIY``zvTVcc6KDf24~Va z%_Z&ZJ;G%UP}c;9UDY$9ifs*NbBBx_7W%HXnjkuMTO9;;2Y6{;zuC888PrnlqTbx%0Ji0W$ww>{wfx7YaDbIg z5^9OYF_Z->l|u*&b}D;dK=9T+1T3g*z=uw9)g~~^xSSrdv{b4c3=g?#b8VvoGk4x* z$NV0*&TMSg7Jjd*`#$14!_!^TSSTX?4XHYQokunO4#C-LZV-JpmrD9}494ND5R13L zknr}|Na$A%x>cs%WYJ2hQvvAF#D8=f9NNUc)fiu!7I>BFtrKRx{bSz~Gd~F|kYgLA z3f5`NKw)`k*`b~G!r+v{oA|=uki(n$qNIB-g6n#(x0mK3L**M>q0D3dl0VXuZQkmD z?wqxFDSCc~>n$VsZ>3@UQx*j##W$rSnWSGtV#p$~LZgBwl_X^w+7GHFgFI*VkH?6x ziL5-0ax7G2%%p=r!8kc6Y0RXBz(l^Is63AHEY!cp;2$Tx5w6t6@MxVWc5w9EkV5r~ zQ#U~V^PTxBOKi@6h*G7mI#5)4XuAcfCb zEz*3dbx;|1l+v&Z#m*6XZRfOY9dgTQ+cKyVyCv}!Lb)e7$O~q42@WGmdVmje21@#ZInN}99&tUg{tF{yz@*Clsk?TS(=~EG0By$_SW)3+8q?f zR8GPGT=GEA>Oj$rwhUrg2|*EFSiZu#-rGS2C25nt?>98}D_$tl=XgCAoU!YU zxXWv2&etz+^C5jJ_0OiRa{Yk@nHtS_Mh-BtPch>f?JNwNmEnw0onPOwB75cl9Y?u{ zIlJ>g0RydKCLl^gMu08HOCT`B;j|chN8rgCtsCO?sj3gp>7F`+Gu;*oQcaRrUBS88 zY2w&jLFPG6e&PAEpO%yOZR)o+^t8&OZ1eBPn+s0py*=kt3U3{=nEokOw4W_Ef%jLI z+z`1lLZ(mrN0FSp1s~VmxbG`({NIgtKCtFHA6)Zr{kDDG-ywLC)?E1I2 zJ2&pm<3@3%!dCb|91tjbw;ngXbGIF5v13h*e0}YFE-sx6iPH8sZr#8>**uxpvnB?v z7?DHv9N zOP$basn91=O^?hc8zWOvce&jaD$5nqv9>13(h8O38Q7s7)J`4Fq5NH^$KlFyW&i!DgubBE>f(_ zBB(AZ$~vpdnxeHB547a&S_{1o@LY6~qOl3lyRUKnZ65PvYPKcq%w70AIoxrT5!`*B z16~_|w&{5nNWmzrFOLYb6UsN1rP`}cGQhh{H>xWYi!{wfeQx-wEIK(@(}K^kD-1Oi z8zYO)D(XqLRkLxWRFq0n%Htm*#+ z0MY}LXUVRD5(vu!_Ytg46#ys29;R2HKqI&bkw`kuAb1Eefk+Y*?;02mf+;8-NI(pN zDJ%{yAPVUSNeCn`3h}@q$OXwH8y^J$2$DfC4jSx?Xq-p@ydOTFb5T$19Ow%rAOY@J z=!+!43wIpsgD21fcRc(J68M2L4#^XfPYib~>zoB-nd_L9Q|_^81GLO@#MUMMSiN;6Iy1Iy zio{y5b!CCPd(;Km8+RKg0GC38j*K+tKjDm3Yiq^YMMC(Y7N}KOo2dx88Fnf&6ujCB zWfrMss*i`?eZaBJK%zu=G*W&q8<3UDjKOoywV@_B5ocd&Rxlia4!a31qLop zQb}UB6{HuqNaktwM{t2?`Nj%UMP0$J3N>5}dlC$CmUyD+qO2gZQIz`wr$&Xva!9ft@G^regFTSb8>aM`l+e9yX%?EHJQ7+ zYf5Tq&^k^P#M4CH4-6;@GcjQr@?dJ3HT0L1-Ihw|qmO1AMt?N?${B3*kp%e4N&e%z zD_+|FqM~~8tK!-|ryrq^{+P0Gl8E<(0aZ~JF1TP9#*s#a{gjgX_$Ng!<-_D(REgw& zP;p_E-KrKH*^elt-%wqMg0ngPI;JuGV;PQ?0g zZt|lrb8p7KfVbW1InTtMTW!w>6glRN)L@z?O74Z3EKYQWTG6DCVQgNUqmDU*z%uzM zZP*N(=QtfWXE0sXVDi&M|w)vSN5)d(LrtFawx30$Z{Ed3Lb7e>%`22WrrG4)Om3mdmn2k4 zj75oJY5~V9)8P1aDrK8B3XX#u$8d7qLO8_xy1u7{6nR+V$S%`N%!b8`UUCZdjqp?Q zAXuIzdutY$HCc$XOW~5Qew3`*`3EHAQo)x{IWIE8S!TFtnYeZe_5nea8h#X|C?%wt zu67+!JhQW>hfo!%t4(6;^a|!NGp0@Ge9w+J2TrC~@e%9M&`Kp8c6P}*{;|@1Ho7?$ z-S;9G$4-$rfUG7?jG{HY(c7Z+%qYw1q@6^g+_$W#S*);()@qMId0MPgqb3vq@mtv- z(c)PH8qxZR&iwV;uKa49wc>Sy9%U8|p5}@l)zINP2(H>Zm1~}p<~-%Rs7P=}dJ{ZV ze9;oMnjQn zTSyL`gaT*z3h$ftmO0t1R1-bOD$id)JBE+ds!A$8Gi-v_kR0R$7g!E{q7?H$V!#f5 z{#@Gv=d9BX+DjM5^%-`;^jAf{xRrzHf%nzx!#EwQT#?CtxZ zps2J!5qi+i`Qx1|ci-0B3of#7*P~m_k1D-8IJq~nIyZ^6LiH@!R9_rDb0WN!zvR&s z7`Mk1YGN5}%Fi+0E8_W`F!zeUTnkiF98;&FfP!YZm=L#CvpC$v2us9*PQ5-oOX@85 z0T#Yy8AaxcyEU%ZXN0BHtRDPIV8##;y$s7;H!-kwuY{y9TQ%HvU(wtQn|K6WS9YhbaN!i| zaD;6hKr>o6#WEa07YxwMJK;rG8aTO?nO~(lMA!~RdJdPN<$eQS-EEM2n7Us@is42KqMdic zvr&9!1!60jZ|U~4>4e*}_n2rF4QJcYigO12S_Q0KFu^GsZ|4r_k4d_8u_@@YAW8et zZ}+7y4A7xOyA5<~9i4xSj;72NnO^5myo; zQ1SI5-T_y7vsQe3@o_i)h)+pnqq_y44a=4@7O4_<73kOmZ%j~Gk1pmLRSlOSvVP(6Ii zS2*4AKOS#?`*3CZ>(TL#$M9bdGEJ>x3Ey9cnprud?kDD6pF*dd8jI2e}y;4rOS|t&5|E zT)k06AK*}0+nsxTTcILHUjGX;o9&1msDxI# z@1DcK%X{T!67Psr+2AzA^a9jy@|_(&3qEk}`56R%KqT{;*psvnZy(jw#^&Mv|bOeHzMDDxcYp^W9*zBt!+|``gh5L|95@Wz9YTf z0#ZaZvi-N=zE5vdK^2S3tM#wfP}?8T(V4o}Jk<4(uvo&}F)4c^p`A+zCBcn3@hi|V zsQQE?GgdhjQpB|VsmCsm3B2zh<>%RB z2L-=&p3ayP#0qW>CU6~GCR%z-GDXA|@{X_MYpGLpN8DGDOLO3owXP#c$HC=VLpbpKiX%eEhl(rH;n*{}PPm|nr5 zPqwCRm10ZrXBs*Fma5BE8mMa1Xvy#w93JsjunjeG2F?}-dpy#=G)!H!wQ}9eH0-9PK_z@ZDAO$=C)yPyBDvn8Xx!qJ*MUGX%SM7_}DKkV=tHX4wzcg7rt$U`rj`iShx>9Bds?aZdb=CiN* z0(?JOrGL6d#dGB*S!6=coG7J)=kU3QEaWF)WkOUjs?lde_C7(>y7HzrB5EHK%ON89K9>`v(d7o4CPRLKrIHtN;2*wr<~Kj>mDWD`7mO zUJJGCigN!hZSry~{g@+k3-`pn5`X(jrR+{N&J)}pVz8u&K`QXn&)5Vb>}9l7 zv_v9i!6P6aMBt#!dsqWM9=G;ZFXkdA95RX~$}Q6+#DY0S1;5ib5B6)tPqHHyT^Z5xb(QVeoy*|{AA9SN?$Y`MPVw3Wxu z-lLFsNv$b|a+!d#uS5AE7?Ad1F zL5Hx09dtJl)ROD8U^aGvJzOfqpvRyJ=0#g9yocwkN8rct9>#82wbDzQm-;$)#8sPE z_me45#gFv(8@>qkpBSfjO6JHnR*u+haB`UpP1#{qCDOYmnPokm$rwI787xyw%`j@H z#)skWSK==D5lZ!l9$a&}M$48MYA*TY$_-bU6+UU&bcTAX=1hr;=lG159wMLP4LuQb?GYgPjTlSX#jQOqofO>y6OEmZ+w@K=W40R74hl=C0}xu2_SzDSIbi8 zXtnBl-MMfMi{5ry)t-n;*K3S%&4elsiDw%M5Ug%W-Rmfb?j=lb|MFoaZ@pwP7g+6e zlX^viC!E~% zvW@oVss1iB#2b3TfK3Z6IW9ZbQ}RW){2)m|r@qS>V?S?v)=uDNyO*6F?=96TG^_Xq zsA0biw+H1aG_Cli=FhRq3{AH&S^7R3Z?a#8#dn>PoHOqE4{eyLBV z`)0XJNL83?5H3mipNjYYW@;v+6ByTJBbikj0>M7r)O%}#e?-xlbd_%zY&0;DoxIe| zNL3lyq9Zpen&9rQ$w6u4G?RUQyoh2N|lol0LzqCJWnuHg`iIA8C^%m+q@t;Ul(%kR9sFYux-%L!DF))*t zh*=cZnNRCfh#}|=`#^9E{jsR&1Ba;93|K1|^cXZJSIj0H8jbn{xvY|fT1UcC-j4BA zm8(YhC6yQ5yXo-%`LQRueEE#=c`x95g`5c`_`Hev+=`Y9M$&7vp;=*nY_(c)x%8ycYAl9ca+^ZsyFG4mq*n%JRN1 z;Ct!2`Z_q58(wUEy|_7VVZC$xx{UF8wC(x2zF8geeJ>#R`dn>Xn_QndIw$vadb~mO z{W`xS_jO=BpH_L>#v0yEYcXv>j4@4o`e@j-YJmpNshVl#OI_mQTF?9l<$DXXmsz1y zJoy?~G-=3qT7NjT@^tZeyY}*Fg}b(U+|=($8{VY1L3U&(H{sTl%ZtC@JW$Kg=GMyI zwnr(}PYtzi;=*A?^8VZ$xnrAYd2&@X6sOPecEx>q+icX1+1=ecdB|X*-02vwVY_(D zGu~f~-kliPm&s){?bvi#IWK;o{Vg|mH#LMcR-gTJw#gj~VGH%Oa~qD0Cb<^LM4F>s zTe7_T1iY;})|R?XTtd*#m+|mz=c6?S1P{76}M2 z>$N}VAkJW=lOal+wcb# zUF%g(zkdx3th>9id}}JvVB2ra7HW7hRjtXvug=cFFTDV4UgKr9Nq6b^K67@q{3JBV zf?&Gt&S%BCpG`t)vL;MMVXR?W;ypV-miYAQ=HvO`;j+MeHImyL`!qH6G4wJuz=Ac5na+Yx;Z6V-8#au#2dsxP7 z-=}BODs(gZ)kMP17l%6f*?N|!%(h`Gs?M*)8rX=TV|M3*jDj<{_lE;?-im%lcJrI_ zEOyHEM{!UrRjNv?Z5Oto&(Y7%Fllq9%BO|aO-1r9_xC3dU*8wE>9)WlmkOG+RU5Kb zPP0QmHpHX25vkcx*8w}24~$Pj&@O~zad!AZJ;n-s1Qqnk=T|#t$ImfFvtm6Z!i84Y zE}W1T8-2qt9PEV-8WwjWVu^#hv$GDW&y4tB;O0$;#Tl!*Wws~VYC1z;BbAET#Q|p7 zw|<$yi!lV&GloVt0_w}x%d|=odVOXHo|;fJXL644Gf(W{JAT%7-Ks}J`eT;7gYoI3 z9lT+86lzxyUcX(<{y<)rfkt&(SHd&F+0u+-`u@P0wVFULt!ZJ9DSJ0-l{;}mKPG9E zltyUnhIKG8W*TrA6b#`43nuU)IYUfmCWcHO4491O3hh}pVGb7fLUWi;H#J)2E3J7?}7203i4&_3>}l3BB2-p<#9m)oP$Ob7z^p-nQ(37@7Co35^| zPIw+ipXXnrv(FDMU7JC164MutH+w88Y(G<~10;_ao)b1#y-zM#e(0f?1e&)XbTOgU zpIp+D!|kV68n4CoZKQna*b<7Y6#?UIOn9tSLfl?FN$s1Do*&V$WMRAMHhBvbH?0S! zktO25czQ^q*F$TlTZdd&^cXjIc)EK(aPua3uFlSGM)%#w93 zYMR(dJQZ!?pqtqG{MbF$+!Y1&`GXy!ru${c_dZnDGxYNcr{>e=ec!QWtL<}~>*{@< z@9n7P%^LxBX6y4Avgc_aCmRoNpCEYOBzV6;{F+`pl>7YjeO(~<=p*=~o^0>qoc4X+ zX97Sp-VjSuQNF;gfU)>RB)e%Q;eR^%a&~( zPx8B--qo!SBBX8ir&sD?Uvgwv54k^dJN>>$134qto|vB?A!T7#U9ZQ!(=)nW52uUE zzBlVVc8}8)s=Cs?ojIS+$X_>?fDfOq$HcdXH9Z(#&ys@O@v$|-S^&4uuZu595nrnE zYKxco+LdSum&9Ag8Xfz+UY|IE?cxPNzJdw9uAja>^gjr|2!+IGSg)VDDXsKAu&j3OlHtAZ*MJJ>SYwN% zS+8|0L3L}bce>|S%Vvf1{Hi+%(f#`AX$1N<1m?q&-s}64H3Zf@N=ENh|4GeyO$q=9 z`xo5A612x?y_bzI3I1FI=efp5d9Bwp4Yu2AwKpXXp8nMURDIkCbQ=(CiZ#yjQc1nP zH6Wme8Y!G-YKC1^YMf`7Mqp80tM5{2Ry(Qy1XaKolH`m*GnODeGnmXlbBwK@l>vRi z{M)As0HNF(bo*0@HMSWV1Lyh5M+ngCD)DQ&F{o}JYww>3c%vT)@LnZN{=QSq{#Ra< zIO6L;5%BL%zES`~cin4e03yP_h>J@QJ^(}AkN-g=@csE8LwJB8@V|x+fKfTGL->6B zT;*RQ)3Vwj_(*Q_zc8)!x7A?1mN5g3>|WtdW?b!62aGYq8q_pr9Achz*8ucup@-O7 z?+I|KQvflO{%dI4$a-zN#(ItOzm_B0=2m(SV>^}bQl`FVXE~OfZ+FhbJ~xXU+wP$m zai4|FUU7^xA>U;Da#q2_d2%y8ht-BVnvH7(V)1Ijn3Hd8mKpc>m?NKas=cW-J~k?P zlFs*SRUeV;Pc1)>D%e<-XVidtRije1Bv^AN&+Iz4~10 zsBp!$!mG3G`Z^3!_!HKPH_DS2B*jA0DzXFP?+CHKyJ)sfVO-W#h%1_i8~|!IOQaA; zIW7hK0C3ofJakhYyqx0q@XMcv!bMsPH z@H|%nnR8_LPBXQ?e{yr;_T=ULcK?x9-R%?~`9UJY2fe*zmM~lQ)%|OsJHsgZO=9VT zM6+9Emh9!=0wVwW#o<)wjX{7gWV_W|zB3(K|W$dBOA2?uORE>6+gl%jt^b_&MzgbvXG>CQbMU3ox0 z?`S{blC=r{?8U8HK3yl>TsTSWx-9;aQg>0j;{4Nzg~R1z!N&)5~py_S*|e{Xpe!sxR9_{mBOY2PzIEwL%thK$HdYsjx4n7fWBh#y{VjSI$p?^srwN^eE}f8W2f45a zs?Pw+HbeCbE0O07P2--U8sF8Hp?TGDZQw*SzElNwXqL81zUCM@SDU(aoVm3rM(5LL zmY5BX!+xA)%zaX$%WBlfyySGX%l&8{v8q#AJ86Z0-GM%TMXOK#O``C?kFfJ5EjGHU zqxLW}o@Kd4UQ#5rl8?+Kr>*vERVVFTGZ}!Ahji{JQMiO6a>?aGxMT&V>gqL2wyxy_ zbs%3^hi~o&c1e;BUtCY!02Pm^Wyq2{{)Mf^9QI6sY0l-Zqbm-DmGikz6@scW?zL^P zB9^R~r;wtq?6b$`jWc^+s#q0A-m5Et1Ch| zHl(UQfKjHknkg^KIfYN;CTRmy7ynUt15}cw{Fa?Q`9JT4&;E*6+UI2%t<%j+__K@j zcNo5G0)&x>n3#J$x$^=N>Yl!!A7%%7ibY3a1rg|D%i?WxSB3? zHT~VecDjiZj`JuA9=Q+KT4>KKi7o9F0uG;`JTNZ5#XmlPWk(F+e})e^Pe2Bj*Xlr# zNLr~dV1^>PSfKD=)MV2L^=ix$NPn75oh27C4Vk_i*SKNx%Me_ns`)$y2j0$xY0$oF z1CY%QzM0cWuO<|_@^{~@C#W3BuUacT`k^K(z1m8Xo$THqLR!EDq9){4D|)IIb>ZIy zMrIf4i1uv+qKOf%&p}R$4*8d^uVgb!wtWW^AQjYrbrgz~i{Qj*q3AjUS);P3;Cl3wASOJs-dvrVnUUHGGCnpI zy7C@Uf_~n@{NcWmp3ZoYbK|JO2~J-XGZs3JRvB)e;PDCwlNCiT#h|>OIL{6x3bjU> z-rP7?1SN`h2@}TYFvE z|Iz9z96}7Z4Ug59BG0g6v5uoxNyq;Jz?Mtb&Pf(n0oU076S11oKi%LQEvRtlNkCZD zVcCEKz80{UEVvd2Q#GZqDc%85N8uzj<-Z}=j8>rr@6b-y#%U;pMbQl8C#}T(9Yi3> zK)xh55F|Gn+|Wbx8Po`Ywr@ALZ!0&SRsD%RQV=oqZ)!-J-Upz-6K>y^c+$w97V%)=G)Dj_4zpF^F zY)G--C9d_R0g=6R!9`HkZBGC~B5wNo5$S(5rO0wf#E+hUQ5*E6?7B2!A4P%Q!{L+# z8}Ahfh79TTPQ(IyZv^YHZ-A`5vGK3eWdNs@VWrGi%?ZxM0t>y_@8i#}ER7J6BvE4f z8dJC886F1QoQf0^ClQp3f%6;&hTCZzqGvo%vTL20(YFG%$K68AMe!)sz@x z$C{z4d*i#>A)eq$-079CJvLzzvY0zCb*_!!-DZRqM|R$G8@rp-PLX5^@Yn(|^v&45 zB~74vnDsUMT(&Os!-I5d3+taa8Eb35r;8tDGa_!xZS2@p$A(6oM7fzEEfW!!DjNDc zIM~#D^lRVydu`*s>33aYKW}mahDA>n&KWUINnFp)9)uhkBEPruZJY#KFip5CV{D9g zVh<#Cw2uV-J6&qj@UCb^VDyhep=f&h?95RlvgwMM6A|p(aI#EV6lr4f#02%+ul_GUXI2+sC<+#CuRg@P3#X#DG&zbqucXIV3A(3OC!Ei00L z0_uSX$anPeH9-&x8ywoas;V_!5Hn59rb$q<^=6AW)x1S)#9V9ZMVP4@ z^F$`#EQ_qV>F4aa7$@l~km{_Mu9!uaBGa8S!R4}8pzk^EKAd$Q?yE4 z^2q-6%ckJuPxMQJmbHvxGke1$!J1 z_G%O1X!C%r3+?PVN90~mQ+lj4xdcs z$Z&A*$CpXmESRq4=SioSEeHeoN3@M)mj@A3UiMFea56dOGBKG}1adCqpCbrqB81g* z!C7;VjK|Gh(!;o=SPI{h{mJfe*E)9!vRZ7f8gMb|IZw)QzdgZ9){f$h<)~9?PQYrR zY$%X>156JdP6lSI(xv;3_ZhvE;7TXcnPyN&$&)jEvHu#o{V*Lm@);CS6&lsIXHx+k z)30kT-#w^p?F&29Rb*JT-qO|4nZduLLwI_qDhKDo7u@O?`F^~zqneUpI}@`UlT&FN zT~s*MD+Wq1iOovZz=M-4D>HeqJ|Y(hBY8c)^VXn0w*b=P)7};*Lajj`zA|&E`V99ff!V z_D@wllizz5^wjM1*2DhhOuU$_gx+n_%e`E1wk*=tG)Phj9#OmX)RsH-`4&6%pBC$Z z`jyTIPT!-Orh(B0{g>2%`6aQFR#;;Vpdi8tF)2dy3M6we1N;rt>~b-O{S7eLg8FE) zRms0WE{U_(iU#e)(7pi?|j?VoqMJJA)Q*=%0J!cq!0ZMfk zaNpIlZF1ypWcfU3pWURBFnIz-bqkv*iydp2YtYbjc~gl;>6p{N>>a+~6T z;S8^?4clD``%9-;-ok0JTpLDjF+A;ae}D0Yf;=)NM#1-jUHVX^CE2o73#fX|LA_P9 zrEOTG@wcI|B1+KWlP9R{h%$N?UM7|ek5ow1qg-+~bs$ws?wP~5k9!oQquTh2xFb7$ z>2a>#xKT>nhR1k7OEvYL{h)ys$6jc}nOL$Y>z_=(=4U;jLZWI@d`K!&(e!rY#~U3N;t?OD)Z}STW=NFd3!wR=<9=rLXj-Q0gRKmU zrDG@_Edhb}q_9aL?u_HY7xreRBh$4QMIOj{jFit^VljhW9+3gt5RrN zVL*SrP{K(EDG%sW)7)E4t z?)BXc{+)fG;ys>j%yG1aI1%yV`E+l;g5y|xik4#uL3KLKTsD-7Ix`y*b7_HTAmOL~ zNK&9x`p@A>%3PW3!^X7f{H{N{oRw$Q=6GhNjOiMv?Y&V}ewsR2iGiN!>--$}H8s4g zK6n1vh7t%D7f_45De}fFk;y_iuz-h7INz+1uec~aovyadm+O8MCp(WRra6P_^t*9f zyr_O1jaH(Fqi|)5=?XcSsJ`}qXmdn6g%2XW3LQDC6lMAn9M=Z1}kzXThjN7^gw~ zytJ3~Sxe^pL1~=#L6=?*bfXus3aACul2(>|Y}=4jz@d zz(h=3Ku-;lRa*sHw6@1O(i9xO>#D&iDQD;3kE*?brq?hqo3vTK-z>h6JS;qdD3)2! zs|?Ij2A$$TGqkYQZY}Al0bXBK$wsl^U8g&x0BXX{v5bA5FpfkETsLpv_!Q)!xnWA=uJY1V6AkgEo4c23~ke!u%XmE2T2 zP_nCz=L7a4muXNllCEH11$@Y6nAp`NO*ZfW2c3SDO!fCRHI#dzFeTbnvc(V~1akW5 z`4%Sm2po^pB571uovz*3>(eNw90vK$*skeKAeMBGJPH{cg`&oErBeu!%hDpX)^d$e zWN1+L&bYlP*;&SN`Bk#MAP`FmuM;r)j;IX72%H4>evuOytdNHzhyf3(Abla}uBrZO>`}LA zq)ftstvh|SD^vPP9a$P0i!PZy%_}9lE;bDNhkEnAV=rd=zKUHHU05(H91hreXN~}B zUZmKeDd2kTMOcTkxCU8E*$YNKxlRCYujxsoi4xN zV4Cry60%#5(o+QK6?~g@1`Dhv#&p8#%jNjnTEUA6Np&NsCl6r%!#^wl$%w!iYd`BB zDP-FinRQBxEPOi{p6^&!UZzl*uf%=d9Y(#2@IB8`mc(f)OevzL5y!^VpO+)f1~gAj z)`&w9QM?{96tL>HVV23XnR>hdLa>oUCxG3P+Wcp+#cDwERPNe6Q`ueb)P6{i`~7J? zA>GDNN><4HJFp&c?{A-gm7y|)Cb>GRd_q)>^F8tMoCzQjT+*sm9AU_MmNratXJ45FQ9zDlP1?p} zVL?j?lK+T8DwbEsyfbhFOTl)WCR`){EtYPtIBJ0J%Uto89W$}r10f63gI)6m6g5EswiGw5y(V>PtV*U+6HdM!A@<-X5C0ZqE!1A z9cV3}0ax@-=E+jK0>`@GKQE;H;~3QVhZ5y_tCWyz$otu?Dvm^zxI0I?f)t^(&rHq& zPJKDd(^0L!Xm-R(W_I3B9e{_0lTIX(g`!{&Rkqm0+gz(|GuaE=;iduj5amGho_y2> zE3#5xJbPn#B$aAF2b9E>=Rf+#vxzDr8T&213#$!LOsz>cKh0}M!CLsJ+p!SPv|_8l zcC$`Gq_d+?>R7DlKNO!f{i-0=KvJQMtW|UiQhpLvb2bS|5)oEgl?zJBqrT|7mb6iU zNW~w3Q9d035fz>lcoG@7H7viYmpQ3yV0spqCLhfe(cH`u%&`GYOp_AJ}+!Z~S zDP6T(y5A*Ibngk6Nm)F7;xlhw}!k)`1$FtP-C^+*H? zl7A;HL6`p_jCHTT3fvfQdfc2>4)i*o4zz}Q?_T+uW^9qYeqXM9Z&(EKZK{y2js@t0 z$O8WQDm@$(#%##ofo;Y*B#w7%5vX4LQ~d}cHsG!V28Vxqi~t+eZ?vCh2_zrxbt4r> z80M8d(=1b5AV&nnPh5ZxY#rzxiyOF+XKHhaTdLdoO?W#Mg`Wz{*P#kn31(I4lOhM; zvnutz+6NI!c^tFF;-}>P4yOq_DRX8#b0>EV!>>g27Q~mj40PV2!Cx3#9n)i`K0yiz zb5`Sy-X)r&Wd&p&lb|$B^1v~N@g0Ys)yM$U`uDO%KgmZtu0;a#js(K8#A}*F`ZI~A zUc8RMUXH7dis`+_EhqK#cDOf9&bIS&)eha|kS&z+?bEY&$-4{!k)4kQ$}4msSbd0f zjbGhQKc&2br!JU)Fgp8-A#&Rnu?Bs2^8uI5UE>s~t<(8I%?pW6tC$1jlu|Guy1o@D zdS|0d5AeyR>6fQbZKk9F>0~z7+o$oCHN!=^yQfp#jcWY%IZ>~-{~Q=GMfJ$q$72gB15oz*Ccjl|cv z)3wBoGvKOjy7GJX)t33kM93s?wP9^|(Ug>>Qm{YDwU=n$t3*iugtej_Z7#gX7Ph?4 zjb%|}RUas$D1#tb&z3Y>`tg})gBCRFQ8NseNBig6;sh8O;P$Y8s`gs4LO*B zN;#iWcUns1jpcSLR+2%jIGdKjl9a0$8HQ5$(Rs(!=5Q?M&)=eP#GI2NBzfyFDWy|| zExbL-lI&yC5e{pX9V}`zB3(~l(q@<47MU;1k#6$ip4!tPIcd7y($rj`Z$6kVj!THx zcA?R4RB&6*LUEMze6T~u8U}babS;D0fzKRd9m@hzxawROW>F&=!NTw9%!2=NM27oh z*>7Vc{?k+uR`+BeKub`bG{ho9>prF)gKSl-jz$R_!#=y&F zkr-Bli;u$!q;3Dh$xs+$fhx>KJd^C(`v1!#7rH zwiEhmoL1D$ih1|>1i9=NU~^dc@*$@`Sy(ix&wiV=RsSqF%+iTc6p!3N8bArrmooc+ zkuMO;#H5Vg!UsjAjMhQ^TdPn51&3GYy0Ot);nNKv!9A$=pxf{@5xg3yd>mBwyYv#2}C~g$hz5@LGp@fa4A6A{w*9mT90HhvwYgp_NF) zOsm0`b-yqN8Og6PqDan4IoaDr+i!;yYC^LxYu_XD&G4C_3h+?fiSZPj{Os-ul2LK^ z1BieE>BIA(*1p%yyZhUG6Fo#N#SBt_9O4uwRtdes5e87F#m{KSjc^Q5#E=(km_d0+ zm-f@>p+(K1oV-!VrX=1qY5s}R|KW`@}TCRj~{AX*QnEAxg7k@i}< zxYr1yJ5n(oApAR0V&mv%;@$-=1Xg;q$GmtkeVZzrFw1fcAx9 z8^}LDEtrG?u$wbGvd>Jde|gTC9TPPrb#Dj^^Vw@&>m*QF9=(OXtSDmqUskj=$+NdB z0l+L>W&s9be+Ad-$_OAq|EmHO^l!d!9B6K&1!R{H-~e3SOFq9Tn^&5*J$l&w-a>y+-HWEEy766Sy_(M zmoJH{@|O@D2;V^b%akHeF;c_y-KmF&P~8-=@0ip6rA()c0Tv}~+*=|^Zuoy0Qn?S} zXnnDBP$p_cLxR*@m0q}r>=9o2zqZGJ(Y*CSL{?W;jnxvPvb00s;hTjz>J9yxr}OF! z05$NsT{Nj`^BX6|)TH~GiAk%qNr=eMipXD2ZDBx2Dvr0#PG8GC4|1*Bdr4qE?;g)xXg z%hi5`R=08*bsjwMxF zk}L}O<0D-YS{H1Dt|4xc7i{G67(^KkRA@?k`CREU3L9I^zcpG7Xi#j^Ea9v!)@%XK z}5`FCO0$P5C6xpXN|Q0EpJ}6|4D^p|vVB z#y4&fc^6c1=O5&!ds^iKd9<>)*z93K+L-{y-$Va203y)l8Z~K;cbepMUpgNk=4G+j zO95|C@SwE+ugInbG*l73;$$mm{9vjC?fjZ2b|`@$|7_$cKf}*9vvyk5xJUr4Eva0@ z0W|^$Rzl#aUed-U<#pn}BrK~K&{}iV8bjHv#Q@k}rquw)(e|PA_5D!p&ihQdB1`3@`yKgo!AOlA zaZ#_a0@~P=Sk4E$rXhJEvo3{06+75JOBZJ&iy1+N9C98O6mcWmKjcQFKbkFWTg@o= zIN2Y|(@-7%UYVV2H<&GsSw}vfQ2L6b#orDBNMZdXk9^{cvn3>PfKO1l%Vh6Sd3@p( z)sPmNJLy=u)Mwa@V)%`TtkQ7sJwrZr2gUZ+PJvhIH-z$5q||I$eBuCG1%ZAGwy#lC zl1k!2t*3wzOT&Ly{6*Cg%?(LDtX9)0R0LW+c1f;xfx@+b(~GwBYPdChHrfuC)x9bt z%}V?_<4+-K8#{xtK75|%`#%dPg=a-+Vy+JR?PrH1vy%A}oqj7>69!b`)Xf2d|Bt9d zNV&iSMz3%?B~%ezSccHqu!_KVTG<=QYHqEfc!(%s2z^~$fU3yhiKxV7v^rpc; z9mz0Lnfgvuy@)HVjF3b=+HD8ngOd(TG+{y(-SkoejeZ$670g(O;8x&yH%COl173m1 zul|dTULLrQAN;(N9!{w@9|(;eL8+Hr9(bQ0JQ8QmZ>#vA_Zn*JFwRS>8_vB@mnqcT ze-$axxq?scn|`I{N1Q)YiZ^UPpxeerRf18XX;U*f%oeuPAYJ5rP)mQIMsPnsG-NLG`OZUmfym?C3Q@`0IoLE@!cj!u%bhYy za8|g#Z-6_q3qFJ@K!a0u`)%6yS%6Z=4}mKI3OLJvnUm!^M^hdwu`^dKV`P!d2Vuc) z<*I~HJFY|~I17?i_F}_ZJgG_I;oJQI!(U@bLceMqppaA$2>X*c$~fB|8gW_&?W=w% z`;q@r6p*6$O5=S88lncg46rE3n?{FQBO-;PaH#5b0(X8-MHNlR`X&kPIvVnGRHWz) zs9dzkdtTNI+?KpIpvJh!o)$UIEnaKrvfUCqkL+}#33GcaApT5|ss3~bT{X_{zqQC5 zBdG0iw!qvmTgww-jJ=JTk7J4cn)@-P4AGQB>w=Sf?C{WD)Tv^)tL=D**-a&jMyFjA z`nGDpP{*=anv_N3Bq@u=Q9SnM1fu+0@qhy?FjWnE0|ae#jekUe)y`ff4rU|ctG6GB z44b1`)Zf}Lsu_Y8$aNW8X4T!+zV1#DDG)BMQHXMpQZsnJaOa|xveiM)ZUcQoG4K|4 z!|^s_kkq@wT;8sz`8RD*voJ*y4XtzCw&D4J$2ED(ocy?95Nob9d@y5DoCEE8>pip1 zt;(Bngq}sTxjl=(5eLM+1<3TCUZ;~nL<+6NO?d>ELOTV@thY|>nP9s@o??))9-2N{ zhzMro9u!1{L;$=*Rv&3$IgWZ&MuT8}F*voa32ktcM-slXAsCZ-~sEWKTznW0=?9SWsX*0u}8gBlF`#Cao3szM_FEjD+#=} z40i}*$s0}X-Fth%npE=1m@$sR*Bf%1h*-EzqiAWuf}pAO!uOe2oSrqSI&b*opGe#* z-)^7`+w7Gn+uU_IiPYrHa6h)&=`|~ej=*&aSg?s$z3t_%Lz=waLyhGy|{t{yjf2B#?>8j(#o^xEzj!%LnZ2 zn}jqaycXQg2%UCO$00M8l7Z?W;C1zI7Zz0y5yv7n7S&3A#~}}9Ra!~2=*dO0h&)im z3(VSz1y72J^IyzRf~Bz0MErf@iI_uzrPi>bNnwoT&9ay@CGY|h$~RJze)7uP1--=* z1e~!Lz`Y=@ycf|HgN%XCVTNk6Zg?o5^Hb2m?4cH1r2Y)l33*Gm;O2P(&iuS??J z_3!~utCV!6VPuIU7$i+qXmW;jItu>rM?1o`c6LN+?CeO?xS3Gs2(njwI5AkF>HMY~+TH9lA5!|OqqX8AFM6lI5VbrZ2hyCcid{5d@ z)fBT2+FX|?8kMD@nmuXqTGd-hhCl9$u?IXd*vH|V`rXbbu;d6xR1YCDeQ5C>n%1%+ zYS$(Jzb_H=X2{BAlT`g4mht*sEMxSuM17)3lD$wBpsoU!`@5g|R4t{T_(zEyBX7$! zhutpOzp{Z)O!LXrxY%v5I=)3A#*dRhM93>sgJF*cRoLi?B81q-_)i)Ar@q8?7Ilb| zj|v4>HT3LTWLLNQPVz$+%MBHI9TCqkD3}T|@pYhgf>$Dn?y=Y#sz4mS;7!Svu4_#; z1-PgCZL?xRZ2uc56_j~^UM^dJG99fZE8B~eOJL53cQ$!SSDf-t7BR~xkr#33@E&4c z+exb-sH_GZ7$*2{(Om6-ieO(F`HgoE2lmBowJmH{6^{m5BQ+H;Ib>a~_2)B@P*L_&!b2KeqeVA-)2;DQcS$;`QRk%6*CT5X*aQNR_nk+pM$Nty2;(lH~UVnWzL&-wUtAUx*+`+br}$i9Zy**T%R4Y zHtS$dsuZivba3C@t3B;fUqiTMbcZkVMT4pf99ZfB3s$SydL{o!>7rhx(gOxAUb-n< z9yjXg!MPGq3u8}Induv(9XC;7!r#u37e@AfsCvif%9`g5G)^Y=#F*H&ZQHgcb|w?s zwl%SB`^5H%F|jlEyubg4d+%CZUDds7b@#^Uy-#)ZQ|QnG#Kbglm}v<+FA>{h4K%vN zwy?_ftt-~vv8gIPv8DBQgH^`BP>q2l#mzvqM)Sk`v^2{*I|*fAB&5cDgMWN@;cu> zp@mzgatrOf_`ILu{#E`;6M5G4m%wkBoEQ$2WcZ!dP!%)^U9QVqs7W7*vy6N8$CVXA zO8az>4Z)YU;pd>Dc?Yk0Br6Fje2ppK)Ql=2tu>MTw9Q9zz=Ge$%YQYGpT4uj2c_l% zv>%aeBadV&ts)1{FvD8WtL5AGZ!suULCr>`*ap$kwp#83%Z)!W^Na7^#$?&`wyjo6 z&>qb_Jap`(!~D-`D&Y=siTSFR$mhUfL0BI1PE;%S9zKUuvbIp%wg4iNmYB%;JG%yZ zC2azKD)d>H$dG&KABDuiapE_4QiaYKx6SFa?h}QtinsKA*fYfbAfwWp)ZE=Ypy}h~ zmR@LFaIw5S%tD=wBo7D+0!mOiw|e`l_fG#R;#b+_pGF*VqSOL1llpv(``mApoy;k6 zU7_J65I?0E73KlQ4y;}sUUULZ{Vhp#h0Rq=D{O&dbyjZ{gy0eMcXn%RzmU3Yv*r7D zGpm0m3OcQn>@m%^3Db>=6I0ktCK$!!lQU0_DmFTN*oi=(uFQpLq?1bIm(Wx=1y9A7 z$A93y;T7ys+D?}2YTBw+IBicc6)LHkkomKzsMBSM8s%feV)Y2`;@dZl-{dG2v=m`~ z73eX9v+I5Rw1J#IIm)81Qs&vQfcSzgEt7u>F;NRyLiq)w3D%gz3H~dkZDIgM6S&u~ z$RC}yaPqMMB?@D)q{@}tNT~z!%b}@7+2rWbK<^R$BI$UP*anLxrC^c-x*xI_aFgKq zK^=jJcGUA_Qe;7ci^0Fs2ZXYDx7gkHEP|L&13RQj_)!IACKUp*vsSA0kM1QWc`Qh4 ziB4TL-9gwIS70viA2W6y4ds(N zER+`->vSG@5*nVX-Kpj$rN57^7rTqI)z;PN%CSUS_8R`!Zy=w{^PI_NVb)Pfuza zl`K6k75Sdu4b1m&OO_*M$QJ7zR$_icudwOVvr-yc@nSICJOO&8$~KG^1OJgTy=&h! z##0Eb_>cDuo>8V>E8nH{Uc3$}89zEJGC!e@R~k~(zjfnO!3BzIPXUbZ#VftKkP^+~ zbi#t0qFi~x>Y|MQDGN+Z_`!ht>Opi3Hplx0P?_E6jP4}U3r})Sjck)|@=T_VQ?JrC zJ@^)@AJZe`YR@mAb}(nY@a;0&Pgv4Bh*KnJmZ3+CG{-bcbztWJtIRYzD^F-0rTYkr zpll%7zUx4$R)YfJk{^ER)Ted-x879v_uFCbE`D6*OA8jPQMsJ`QOb1jvj25#+T zEVcgD{TNqZ`+D=)lyVSz3BE=m8QF8H;4+nl#Q0` zO|p6k)xxAK03Ao@A#-JFSE<(9br|ztH4x~$*XI<4Lj0IqCwlQMBp}=BZYxD^8he8A zjvF~X*ftD0Z*uDmW)J;}=X{xtgHo zkr7(FDVGQPYPZ3v3>HdYIp~k5Gmch5Fr$Hvd3wvzOeMDF2sY>uJDS0=*M&;xI2QjZ zyhYM@CLP$%3Z-nui&I>W(ljK-1I?3)t&#+||3vC`M(a2-&MY)iHbP{{2!8Bk_BYKV z`v67a=i;@-63(w#0@=ACJiJ|1g#5Gxwp1H`hsk;I@^}09qRovZz04dwS6+R*&)wd> zUVpv34BVb`)J^B@^!D)TK7gHuzn3SyAAgx;6QL_q8CfTyG0Vk9HOPsnayl&iM{bLf z240J!(o7p=M2NSXT^BDeA3q6duaV87x3$-x>cNfal*e3LOa`tU!=nFi{Ym)xG80C` zW`Q`69yUjlLuQzFfoYP7Ik$k2?o2U7E2xlI4~*DYPGU?A9=4>Z*jb|~cv(XLv-5Qa z2%y%qL`WQh>E$T&(;A5?7JBE+F&g!G_jY$8?73cuntTFmLQP=e29`fL_!byBv1@56D&ON#6hTFbDLYP=rrN`1)zYTJ zYH^^9zXf_j&8GnLUD|oAFlNX_=(}CtleK)$hb`n=XVm?{PTr=4fQ?axwDw~&(&Hd8yd`-bVcd9F{nv8hjCQds*325p0?s#`) z`M(s_XLAh5Gx$4!mwtUVbG6#R_uK0*7Gu_=!Av-ZEAp zz84Tfn4Im~tmRc;5MA;t!g$4DUoc~l?!n*!v`dXo>u6qW6!!J`)?B_U+$HEGA?RfW zXf8c%pbOtlUaOtmQt2efYOq`cJIudel2|+8zSsWMmm2jjZ@*M_s3TVk96lAZP*t^uG^}9xT~l4 z+1I~>%aQTwadSLhtc7m(?KepuiL=dW*{MRfTo=RP^B2(-mf%8{QMw18!)E4nTP{wV zVZ{5o@AkpQQR`vw*<-vy_~lL@d@~5zjukUUSru&u+&K?@Zo|h5l$+*sDv0 z`BlUIVn@-7Z{ka2+s36m-jq|dyML5Y?wg|1jR+3&Q#I=>gng$mVeoIjx4UH|*(TnW ztHX&MH~x-z$<^`CiX@boj%Ge_?y3iC&xn{W)Z4bZ!z<$KvfM8hWx=*R;~^fvrFvN*s-u{X8X1-(0$8d~p;LMrbs z|L~|jkY{n1PZ`rp=laoU`bS8#fytUQgF#4jNiiRuCF8Em(ORVu5B7v-3cJUfU=|a&Mc!q#HTv;N7Hy$Ja!}d6Um>mr$SfZb3r8Y+D(rT=akyi|C~AtXi!nn6sQ$H|NKbfW~(QDUF)aq2~F zp7jf}dCLOR%}P++Hz$dhe`Nf#SJO@}J=NEP;ljtc(Ov2V7b=zKcZPr6ozy#s9<3dNU`Ly5reY<|m; z1Ru5vRKq~TCnW}Rrt2|LgZu|yFdBOxRosC&D6(bE2%U`DwiI|ox+NPwN#$1(&4@MY zFC-wOfBSuufPr_DN;kA2WjUoeDtl?dte01WO-!5;ZFxt z@*GDM>vP!EI^u?{vGTv-eYdp}l?fHfY6{7fWt9~&>D-ho?u(;q>@^zzl`HE%X&e6-c*i)G) zDNds-%af0(b62)bZ5&(i`1H(-TQzuHxti^$-_#hMtgjtS3&Y3m4-Xgh4xD%hoU&9J zGOw#trCCa{9JwjB{4~oyRcYBV zRH=WYw>VsHzC(UO31+#d1U3)uFGZQocmUTm)r@#uOL&L`1r6J2b_59-KQWhnbhMPI z;k4GwDn#U*pn$t2>+c!}8S3XRn9+9o`@RDnKS%C+{-S*=Bet)v)KQ*z*(Iv$^_+AB z$Vf_dQGXUGTg#?#=O%gk$`&O*^{teXXDP|JCGAng0_XRsFk8^|aDF77HJG@`wKq3g zOzRR_w4=8llYEdr+lTg-^E_i*tmUQHx~f(smqGeac#6{gF`K82_`68Xo|DmHjSDQY za3(f)niO5|nb zF8%~FMZ{#6p8zRT#^ifW??#~+cT~Vl4Xw1Aax$@@zl*o4yQ0ASB@Vp8F8(^CLO@Vd zTO$S&urtOE@y)1UY?;k}p6GD=7*47UCbOXBFJII$?S;=LQCLea3K(U+1e&+XRS>fhSLMZ{8nva5fSQcV1dglEuf z%n215knnSea{oc59k2(mX3nAd#)T*EYtm`b94C%M_8d)1=_d>gD2vfRwPo3tb`2>8 zC~2${{^^A^Dy)As_CI z{7j6<Iw$>Yz{F$#`GIPaa9C!;lAVgD8eg&fI?D4_3InS@5d!?$_77&PFrl{VLU>D&L(A z9=YGfQbsg*4`e=%L-TyJen9_s6oDQZFoD@(U37$+H1N-3LBy=LfQd3r)AdhLhH zaN=ghZ9$As>mLLz7>a%63@>Mc!_l8vw7ze%LeG`)A*`&+;a8#&+pf^9kIYX+HB;Vpp;oTABM?^~Ksq^*fZC z6={*`O8T{8lz&GapMK$xwOuFWi*#Xh`-F%6i+-?}FDahrY8N?LX#D`-*!4-5@JXEQ zygB2^S6W_EtjzRh)B4}+=IO5YJKf7GZ}!(^AJy{pwLwpSPx}Ez!iUyNu%Z4#(m28b zNo2P$V$!%)6-XdKN*Z@v_ygoHrCCsDWyDL%TI=)hdE-L8`F@ z$sy8}*(G(Hyc6?s3tU*ddH}hF8w(%r6}g2r86SpqxrJ56+@LcG+>mDwRwBytc{|kj zjshu)*eT{6cDy2h7^`XPu$svLZ)yK+*7p7O{DWkc-olZGVfjTUi*>^{Z)Ovv=uD+Y ztkhAEf#S6RLv1n|=ppO9xvof47qCGC1peYH?Pjts5BVc#=1qS?mtCrOXIhcknGlwl)IAbOqjdd>RvTlJ=wS;^g+CmT*3-=?)DoC{6=E5Mp_aE*Eb?S*#`T?x zYmRGR%j3a68^|)o-`qGC$%@xz4u!+z1cmc#1JxeRQKP)TA8|5i|MU=E5QpB7f*qBhs(**b^veR=of z!6KZJ%pX9}WK!My4Tlk4mFAQVxmV;PJ`F`h>;W(P4|*s4o*+8J5Q*bx=`zU!xRsk`w&y&2?35 zZIJye8Ua}GWzjGZ+NDsS-4 z$|~>h*5y*lK0d2Lr_wYMfTsX(c~_w=MurkJ?7VStz*C^8YhnH6|ovMw^sA*Vw*-mY7KFXZY0{@m*Y>rixte$wLy6wxj z>82(xF9>Q1MiG^3M#(%3(7Opn3uapQ0Lq)Fe_>OyfC{0Slz{T&(|eh5u5YiDq;uq( zbVr#X{K=OTHfExED&t~fky%!Sb@fov4STl~tYH>|?X1*jh4*sie)s6OTpWGkqZ$$p zJL%)?{2aH-a^@fCxS*=rR|-}`B8!rDkjmUIo5Wj&E8H6on#L~wUaZ7zhM0Ujx4=^< z071>Nb>tD z+YV|LjsT6D1oc_HRnFEshJ4DEkTHpZg_ul`v-(JY`{sFa(czm&WM{>q0X8N1A63Gt zzU(Bq(`hAoGZUIqM*A4{KiKS*PT7r)W;zmC1R<`6St+U*ja#}=o756E3O2x+d5Ndd zoN8}c6)YiOM^OHq{(ytygUSKtabx&NdD%2N!e2E#OFdaSD>b7?xtGey!eO?Lr6uJ9 z5+7PRuoXt=u*hx)JhZ&p?D8^v+t3}(s&@tS3 zmTt*r^w~Q#GTm=huaz`SAx$4k6-7{O59+4MK5y+dA@$7!#rJBFfY*lWsO&NYcjZ|n zg?}qG6~Qh6w)SOdU2(Y`y=6TuGh3WbZkuZx4rbwPv9V$0l6EZ|yPRnOn=wI~mLXf5 z#pQ8nPTqoL-jp1w4gd`;?v+F~-=^5%2&p-ys zu?KY5zTqwR$@GjaA6uaPxo>0#oymr&?v$N4Nc;o3cj+1L6vxNqvd>H`&vMhBT&%~( z6}Lkt(dDa7c}&;DVwVDSnk+B6^HV7KXHtfTE%#7F`9?5APUnGD=ysFu5b zTjHS7w3*tQtf;rp(_8mu=|g)v#tY4}P5Mv40BA*Q;;Uuk4>N+i26Ycn(eZ6p8V961 z+N^xn)Zp3)beoSjemwtY2TYHw}NNg>IchnEWQIH-FbR%H9 zI4?W>Z7_m(FCd@`$b3XUk{k&9NLT2HJv`I_4U(YEK)9y6R8oe+WqX(D>95zfneETS zUP!G+;~Ap`C4-^v3koN@($L+}Znpyk=fnG<$$}L|3ys!qsXDPFv$n%!)cwGkFnfC& zuGZ!fJULy}4vLvpGKT{Oo3_?wJ-v-wG6v@~M^8c<3@4ecrqb<(W;c2b6%*kGY2__u z@yi%7`*H>3kFJe`X@*CP3>Ty=&15zUB7w|Eb_*h3)^ez_X=l{z92z$F1PhD_(o9n& zfd(}QwM(q%ShhN!Wzg|iEtL~an@qQ6`RK+6jc=ECnonIxS#b6i8i>B33?#o6k=+&hxgQZadIoQ+{TDRb`FIDu%N-G z+OnkIJ~Wo`m>p+G`4EQ#hfIl}6vpf*@^HyGhTpjaFQ##_az@a_}`TPr@VC|-E-=dQZ-g)i_?{e#9h zn;^Sq^(9Qy{&Z}W0IuXp3@nJ%W)3^BKz@f~nS?s|$sQbT@`$)_1tfcnk#oDt4{&;X zMM@?&#peFX0UT{;u`sOmu2}PIt^mKxr`C_@+j8}g-Jq`U<$e}{Lkef8rt>8J1%t9k zY6n}ghxo02ykEOdmahu<|i-YKNG`f*~K6SY|f%(f%KhJ(icLZw~_p#U)e$ z-V9uW*MO-_(FEjkosLDvd<`CYcGs?iRhG(IuT|#<)|WxyigL6}Du2nz(-L5ia0O>8 zvklX#8G7c?@JWZ*jF9;+5N0wkYzb@%6ry>ra!y?=;!YS@xz%s8J5bor2OLURnX=~& z9CUhyD`2Q8k(2=N8AiygaF~E~E8FN}-$iTwU|WNZdhzi4bG!1KG`sRD5VeD7H0?eN zZ)Sw^47UI-8TuP<#xCV1&&E%M1c!Fo@fxXX{)NTqQyD&=EL?$^n7qt52W?tuC9ww+ z=Ikxn)loA81vVe3IO^)X-F=vX>jyONd^B$mJfI`!1|&Y?CO+@yC%_cseHMscrfP`h zW&%|*@=UeyKxe;(K`Wm=7NBg>$shL@t8D6!BY4ma7LghTfBwK!cq#!rEi%SQIJy9w zA^qk1EmlODF=SK)Y}^4m+@!b)5bRKid$BmfLl|%qcyI(RvGlPGzwR{d;Z02^|I9-$ zPJM~1vNj|nZInOGXBhgA2Y>V>dJhTDACuTn7f_e)Llc%!w2o%0=7urd1C?EiYE~Cv z&=x@*nqI^t*_Orve_nbz$>IUT>2i_ZRy?zHJ6&O!_d6-YF~e1)csHals~)B?J;y>j z*on&#qaUg?-%T$16J5BuM5=jEs-2%uq&4*vf|;v3>ZmtbJNTBnpmDb5Fo~0W5CK>| z#esH@K9vE03|z3AYglgQ$gQ=kZ*TfAlYWv)CU`!ATep-O_qT6xb3Bxe_ubH2VLZC6 zn>?O#zWoe5Hd9}(XEo+#v!D0KKjjqPc5tY zvf$2snTRQyUvNX&St>?BIuNQI*<56p8t9E-8f@|!3T^6^Xz!W~=|Z1*nQF#rynRWO zq*S7{v_5Dh>ONvszBm79;ZZMX1%(HM(M{ZFrBg+Tj#?tC`q2VOO^!2yRhcWM(shRv zstQ@7JShlYs_$5s@{2CTr7cwTt6AChG(|EvQ7zGDPrW4XJFO~8Rn(GM)sM##l|&!I zivQ3VRO8#CmK5m{W~cEkOW69t-*^|F*W~6INa6R%*W61l;Tz7dSf1$4(g8|nsrw~3 zoh~sA4T@2Pn>A+gDs@|85iMJ#f4I^wy3lqsaBmpHeuWorCkQ>(MtVw(^lh51N^PcN zpX^rM-L1O>ywQn!ymwzn>|j+Y`MyWDJy)?wPxM}9d6B7|WWYq!qVh8Kx&N3a6i?sf zMLUHlvWu9t)bkp{IO?ai--px72$+#5s1Jp--#0dr0bT(&Gz3rH<6c;S)_amHbcpdd zdCd0u+3buucv`GT;IS8ZE1@R7=Htnv3|8@~-mE1+%`k%G-m1&Nd=ME(^P`>xb*T^Qo*=0RFT!_q+-ZHnQ($l{F~8-_QD1ET||gWWOay0uOW z&%|)6yMC|aiaWCLKj7ZjX)n#gXqI;8FB%{x>)`@5J-4b>3u29DDT+%q`(!My0X$HA z;sRMYG&j4K(fai$KK@4ArNJJ{ATI*B8b`g3?&Tt&PsN1J!svxBwuQ`Q7Zc8nsi;Ys zT@^jyRQHUwS$p=n7`J`5+%dMi7X286P2PstlbnUMi2q_S9EG0NgF%R7D!-rdLg(5E7dUc% zuVmi-$*621EO{h)stySu;&Idub98>n3}weR1}wrRhofU^3-_x6!M znDgCnJw!avh*)0JJso75ld9{VCTo%%tbV82{eS4*90aMBW_NOzwut?A)mvyX&9!`? ziA_0!@%iD;erLB@T}iPW@K>(Zcy52eXQaaAEXZ8j^7j8WQQc zT#zI^w=t|*K=EwlYv-@8L@-;xD=P|=07G8F}qkif>eCl0;7u=cx zr;A2Z>QVJ5JHRr^for5J+jQH`UJGBWE{?@%NQcIw2g={!kz*&7O;*`_vyvp^`V`Giuw!!YeY;`!e__XvCR9=St)E5EDL3sK<`wd5^3_b8#&eGC?f!x1$Tqe(fQ2GP(mPX9CNMyPam9!*K}xKy-EGYj!! zZQR63a7;)|$71DH+ohPq%-o5JN*cCow;fJatt~dvf_zjWOQ7Gp;T|94Zh0~yL^+J9 zsW1g}q$Z4GDKQ!mZM`l(KIs2JjY~lo1TqKa&k9o8?@Op?WfwgvU%YIsBHI}-?q+yP zu{O$Fv@Vk|QLU_Ivj|+aj3x`p+N#r`$Q6&HX2X|eql zsdw4pgq`B$56@gJqvzYfo=i{J^(8{z_{XjV9R5i6V48F3;(IhP$cJ;+H9MR4R7{4R zvvJrIQh}cHx*8>YB$&2=P(jJ1Jcg{efA}$C!1-fM(v%`!42iSUbXk=2+1ETO3w%5~ z>H$xP52+b{?hlLH%6i$7hkW4@rDEaIic;ZHm`dT&tgelYmHFG3{X_2PDIXHq<#;nw z7Mh3o1}7u@1HweI0;pZ~fxs3#XPO_MK}6vunlA z&Wz8;T-LY}h5F&SC{2Xd>55Q4%Oz1kHe1qskVpIyjFY8gvW-HUrMwu_CPXIdaJ=ltYg6$fZu}IT>hH{Af7((r)Y2N|Wof9bmDJK=r{JspLuba(RzFJ1+EBa6 z+EW0WotQEnbU8ViVm9e;ZAdrl+FQ|CirJh_mD0sv#?dn#xD2OfE_p^9yW&QBmM}Xn_rkLnS21ntNWh{u(KktoA#v zQ|y#5?mf~7euY;J$Mb1>T~lIO!5H^sk64?+}AdDUx$4JTEwnCLbIv zDW9-9@C?9!wB=Gx7H${29z+w7fTxw^ayRWB8#8;DbwJ1q(II=Ch#&J`KtB~^K9Zlq zUf2h_o`|2-o}{mhV^BN5FbbEX*TGQ3YKuBA2rmNvoTe{?;-}c>frItH=JzYyU9)!o z$b6IHnpdg`UG9H@ItVBEd>BzMD%Qw?Tl06Ke{hi$&*Wy@R6|SwBT-J{6Gz zsq)bcMH)HcB#Iur8$yoV_f3XgFiW^@RXcU^pZ>hZS8PMacuW9G z1}=veYcY(zWlH!&4ADktBT#ZPBeCJWUDMu5t(cE(k__})&I<_5#Z~iuIDoZ-%Xy?( z+T&>3CheZK>h`Q9Rmmh0czZZnY*MQ8_W>>O=3h5=vGr;)D&!u`7uU4_R`EsziLUHo zMOosXS}X}NCY$RxvX1%j-v5esedVj4*Vl$~?YL8|JpL_F40jjkzOChJcrkb$Orj;k zwqs3=S-0vol4i z_rTIEj;CqJvoKNRq7S_$V;Y|3gJmanC}bJiIye5wz2`~5a=;{BaAOr7l;f>pSAxUT z4q|Dd#tK9cf+piq&B0JrDj|4@H;#@0MpcF|gi;SG_|lb$P}Pee(Moq0iXS=L$!dTu zQl1mQ3i-$kwnel{Grq8Mlf?QY?*^^lhW|E?oueCv)Es5`pw-jXaAFa-PX4QB=U7H8 zMy%CH&bq~|eU5gYA%O@v_S|UXNRzYae--@rRM=7p9TMC@)6GJPG0U=L&Re-Q1fEuS zW7{3X{u8m|Jd51dv+QvjY_Vov^6yFUy}Hy{57tH}#dX+bxM?;<45R4Gi*Z=bvz2)7 zf|2fWoKODf7cnS;YWwvi3gPY_;KXr%wZ~9y*J0w_-6clP>U%lW`91Y=Go4PthyC8V zNMMRRoLP$x=BF#Ri>50G%ce6NN@>=O)h~6kY*}ed$9Y>g#%@!$m3+not=L=2xd_?J zY;WrBV1frKz=97$EPzPu$%>S|XyW|OhQ#Rw5)r>7w|nC_bcXHGED0T$G*lxMcCuLX z8rvdrC7SJaqSkPr+-b?Jk4y#^uw(h;Gu)f=%F`w%e_&@~nQ#~&wsxX7RrkdB;{UtI zEWKTzXb9Lz)vBReoF!``s^s*uRdu*nI=W0umU`oLX(|f7{c8xpFB*@sIb`fgmTO?rmy%uPznszw7--95IrUcvDQ7GTX#;w1S!cG1tL7Go@hLf-*{(bmg##cM1t+`xL1{~tG|WHedG{& za`568N$bUmaailL4iJoXUA#IRxk&U7Ov5_f+>Fn2y57|{S_qqf^uIEX+|dmZstCpX zVke=E5yXQ0_Qr|C7~LcsMj;k@s}*`6h|_lU>U$UpriqS2UZ2`831Rnb8a;zCNh+rQ z_I&^R);^*pa(>w$`{XA>;9o7;5MA6)v!~2&Syl1xN9N1rn&_iOxG`m@BvBCsFt3nQ z%4Z+nuv0>BR3!!?+lBOhvCA3j)5qn{g>etf553Ow$IdJ+@z2aGc6#eBE0wHkI(<;& zVpll^QdC57xQxA0P^ihKUwiz7wt=S&)F&i=sYd%z zHAgbqm~4y5srfmi&5?7~(OwHplG_}(0Jh2~RT?Dy!fj4e7uWWHR!|VLId-zTT6dH0 zG|Tx1%W27~#Ac`8*Chh~CA$D7v(L~r!7%k!81mQyxy_?}eV;NMe?}7V%(XJ&Xku$} z{BVxaq34#0f{^t(AJ2TNnPa?t+b+R_3FU*vA(%kwTqe7@%jGJge`BW2x&1{K+xcNx zyL-!9v?To1y= z6QM(*OD}?uAo6k|OkwB93)kvrG7XY@nO3oYLIW2E&)Bz)>py0V9*SSXHDVi@6GjcK z<7=ssZ0m3_F~L?T!B(@uT&OR}1;}Tdi<-*KGDDK%s~c%!L&Hb*@B1=4N0-duL-5J> zN6o%Gj1CM*0uc(lhC#vba(|qUc&fJzK3cTHLH0e$#*3x14B!#&!x2*G#Nzdljpto6 zU&lr+e{>mEpT^l`rS((J$mMWk6IIvx$hZA*39~`xY0l>PuG=R zIg*~$WnIre^Ha0JE@N9qA{Un98Hr*^AD07WGhm+g+D~)-b>`}G=nX+_x& zVMW+EzTtPXSN6+f+wX%}X|f$nu>v&R+Lkms2n(BzmH$aA7BjlFI#V2W9hNn9|Hm0t zWxor7Nv}$eiIbPu5eUcXV$jt@rmhSZ6sD%xl>&8bIy9Y=>w=0t9q}*EpRNw6{LI@S ziaVravH5J!V7FUE?2MOu@LHCN9XBgDn9slPTl7H-q@udDGE*Ej9h3~KCBUo$%5#QY z18rtJ8ua3ZI*?JHgbC?a=!n)3Ln{nU5>s!TH46zb4|rsvUNR1nLFn&0>%X*!&FM?k z%*sUWS%bpf=8Eu;z$=&?fx3q9srxS9-kZ?Kb}}6NwLR;oN8v{S>vMZvqCxe)C5PNN;T09w#(*!Yc@#{7edCf4R5G7G3Tm+J|3Gir4z%hrpPPQO2~~lWlb&jUB?`BMhy*aZKIyq>nkqGK$qEJ(Az_19EYcV!h0e&arb$Zc@ZfQSVCw*SN*b-SmtEH{uoa%wnOmg0@$cTtgs_7@85nTv^;^hUtm9eLq^~rlQeP%!X1JANQI2B zMkcv4xk$trSq5Dcq8fK6|ARDamj7ds|I4;G~ zI2hkk}jyEV;-)+Q7P2#jp!iOG* zBsQ?@#^XWYn_APd27dBf94U-~fdOxURgYAcC$(nmdz zmt-qA8|JXQyoRCt1xy8&O3}G(@Q?i|W4%Q#x`jy-KMNK1$74>FXCac!vs#9aW72fL zi!=-n#wj~q)T{cL1Wj4qMum6mnUA^y#*OLNUy%Sdrsu`Lf1gO2>vs&f0GG$oJ<6Q) zvrNk!HIC~Xlb{ZZ7RN(qUm6%L6p z-n@tp9W^Y}-Z&Lw_e$(9q9j#%p)zk{#5|I?>)Lj~`1ko+Sq8j5f{?#plg5PLn5Do! z@$eSV;F#s1l);||@;6c{xHu9%#lLF-xSC^BAtW(NJODXMq>PoIQTn%;MTJCXHv$i99jA?{!8yo?ClPY-jV0KDUmgJXEB7&&$lh-bRdL{`bH z9pSqQn`K82M=*)RrSbd9uyr@InC`KN@~}jMx-jQc*_r`n))2I=^TZP{sN&y3cdu48 zT7tRoN%!3m#%n$V`te?@#NNkJ%=VOVo#O$_h**k(u(})$hT?z1I)8@(z#ZVkL|)6t zg9_14=ea{XXAEi&rwkb1$@6>-KY@&^QwFu^UHV&@hI~fXE)ok}J9jSW(K20nhSx3~ zisSA^gvl+gy<0%j1&6Yl0+42{ro+h$pA_<$S0t!}aAy7dzeX5??~flr706cEi~rXo zLkVzU3=-5#DqagEh{ftEy@9=ej$uQ34@{hZbVGjM^?y71Pq49ru`&VmPjd=n)cW15 z|7Lv#8lytb7O;wx@E+9tLhHLr`o$NJ@w=I@AS$4H3mAoCefxhMp+nxCk-)|EfExOi zwJ?zjMX{(s1KvECc`y41`{BO^-AW!@((Po*NPF^pJEk^vkx>nX7wP~bh@{ywl?D2n$yLA+nW>oH&d;WQ@a$mw2Q;}+UO>p zYWeiSED;yyIj6d~y8FMYOU7!7zt?FJQJ=vD^na-nDw_fBNShM=1$|_Q9WORUOqW}r zWhzaRvJ&&GlIH`O#?P-&e%x!z)DZXn>pppuKg%phDeG!1D|jRLUDe()krZ4BGt!eR zHV!k>rOLtkDR!$i`?60VV^?zW`rf? zPRLh~I~og$@>7q(mA$PU2=`lebID%qmAbWT92dR^aXjw>4Y}vVFg537onnhS{_o-E zXy@{fZvjOm>;{HKY71i)eGg zWpM{c@Kmm5Q~X~QyU{AFOI}ctSslTNmH{RunZY5bva;?D|nC#o$dY|7816DQty)*#+)z{b|=YId#?5cR!~08!;atMr;Zci!jA#7 zT<&F^cx2~9i?pe1Jmd@Q<^D|&dqmwObvz{LvYd6&~1zC<>bWL;Wq(5r5qK;c;huIUMBp_Wy zb}6T-6Pzw)){@{VTm%Fuiz2GjKoR!R4b00id!UE3++w0QO+tyFt$LEqY_@5Ivj>S} zQBydMh#I}DD^Sq8QTf(|l1iSFYd@pg`+RHdf$B00>M0FbQZf!<{^k1XmDC;Nl(VL` zu*L>#C?EMR*~>;ih5t+M@tkrrA8V250s#_7Bz(LyQ&=$Ox@<76cQoF8ng8>3h9n6d zHiIZM&~dk2<-Xl#k(W;r2_k`0CI6cyY~amPaUq6sg-%bm`dBem24&~81-=rmPq6X} z{O3HT*k{40NVs&|xw&^-^wT{#?BnvbNW95dU3tFU55S6O_WtML!;{Y})t3P>v5Qwe zo2@Q@*X|xz&w2Q4#}?oqNVtL>KD2T069;4aAMn)-@Y#+&%eHz)V4>aHI9bK9?4oTk zk`*LaO>^qZFl6G;zLNE`jZ@##Zpgi@Z1|=um2;YRQ0CeJhyXI3)Tdj;E`JFuC)2mZbD^5M;`b$~f{g3E-gl4?~`fPJAljE1J-0af`1X+*Jy>t5>ym6qNBH5WM@%4ybUjyej z2p8|LHca}r?_2{qyt%vTglxBiR|Q*DsK>vR|zO@Yz(# zm0|zSzceV9TM;PN*R{D{zYON)cKhV}423SspZ4y3dw-u>*Yf%HDmYlX-Oeuh`MXX0 zmmmFGSp47`e=aM3b^7aWzlvf+j8i3mvmk);CeX(@4=Gm5ixjy#XpO$BvQqMPNJs=A zjJ1wHrs0LK$q>%&-`ZbQEF(7p#Y7n!iZsgS&N|#5Rw_q~WzxdMV_N~_Ov@jcExQkW z2WDDX^V5cn&dDRj);-|k^*zt$0}Zv44q4{N8*tTt(C$??d zwv!#(&dvY(?ybIk`=zV8A4aXU=Ig4Od#^EnV~n$(GcP@@bo1u<>qK9N5hm{96M6aD zgQJ9l``C9MeiaX=pKaaQ)UGk&rWxm?7UN?7qu7>XleV`HFI78l{n#~EBambNz1Adjx z%Glc^!hV@&scptRBOBPh1Vj6QEVz+Om}xe@r72lN(`1sL7Rpn zX+@Wx9LDi+$T%NGP0xco1e%XnW^RyC!+oO71%=lVO;1m5wt36j=lxJ;yYrmFpu5w@ zB$2;swfhZFl2h zty)exO&~$=ak2uTc2s~l4UTbZRiv}(tOX;Qauzo{gMYIg;L+Ow1oab63oT4+@|=2 za?$=MzD;$G^+`RirP{o};MMl9g0Y}($-v)$)`*JpKUmy3R-hJ$`%jr#zj zkEZunUPKx0z92da@U^)a{5~b`XVw5>pf@5wUZinm8f&|wCvY0|a{92cF!4#3eq}S} z)JnGSl_I@Bmu_>mW%sAp#J${J%WV^mD@+ruP@y7#)uKt-dNY5xA+IUA-0T_Qh&pFZ zl?i0yQ1p?#$qkf)pcfY)?Ge+?E}vt}7TyPZ5{9MBEj)8GeJ?0Ya0MmtVchq3m#+e3eC^)D9*g)$+H+ z>t!2uVyv3;ys41HQch@s!tHZ0U)yi(D++WRdoh|6X!uA4(%)cNr~(De3`bd_z*!>K<#zUD8n#O*Z(2XgQ8IAO{*aO$s+H_e-NzmXv@~MfK)n}-bL;8IB(C2B z2dY)!{F1tNdSQOwDklZkIj7n(t~qc%y412hlU1VQBq03i&hP&Su=@y;t>EhSVSUCN z@J19Je^oKc+H41++H5$Yo9T(!%`JCuUjD95o!=?F%?HY7w2Vbi zUfC5rvw`u(~sMQaxVJ(-91F^hzkI&=z{puVdaZA(aftMjj zqTe6hT3*kM!-V!CHpU7CwH<#i-quOE4-cJaG}wp(R<(*GZiqQb&3>A@CC=2aF6GF6 zAHPHLF{)Uo9r}{r*`nO?}L|1LO1k;&;6K{P1Y+^vTvhOm3V6UDKnBN_EmPpVmTJ z&0Z2*#J0q43ds?#iZ4~L)VDyzEXnWK-Tj?=Dx2Eu2%X`((yUQ%`j*YHR|s58#~0d4g1 zj4R+UN9}_CAFzvO?9rNCrE>;t*18JwC=wk;ZjM)_svCtN#%<0#JfHDBFPu(%No)yIJ zDlIr0HS~zJdOG;}J?*@`KF;obpJ#V|I?AS$wv_UCs_fa17I!ZS=K)5SFTk|HNn#W3{f_?TGUFQCO@e~(m9 z)7{YmOmv5G(7K<#$OaxWp zr9x})(4%mZ*}#jBv?*#05^|rTwV#KC6zw#VH-JDskaSe+X!f*62=Y^Bq&BIxh{pp? zutP8SQpeUk-*_PP!?PzHAO-$n$f!rx!{*7NgcNOnpH#VRLv=Q_a2d_k<&m;Hr(h<68D&ZFtVh`y!*?dtgCuhkTG9!QsNpPvtr1 zP-{de&YFFY@%^&g@nafj#)t6GX4 z%(|DL7U8ww=pU?}KaH=P88s8f=b)N?dh%~xVIgOZJH{NuIY(~2*WA|pIX)g9er1dS zOO{|2n^2vS(fY|aeZ;&V80Lb%Zb<7%InMhQjS-oiGWE|8^pgpCS@Ha;nC+14L<*kM za*o#f`K5WMyj{VF1%R4ZiQ_>Il={!ipKjwc5)7GZGxT+2Wk55HfJm4Ej4cmGPh&#H z+qYu{%c43vv9L2X%}64SZW)%a@4L6GKksK2q7cka7<-Pxj~KU) z7E@?P%FJ29v6G;e-Vn&;1fQ}MIPD!&-3d|^6Cm$zCh>%79-I{1o3M$y8*cU6=B`#= zJ)t5eIf|_9OoOj%_}+;*X->f^Rig-JJn%b>Za8B}-V0xg4Lok4M7uWm8%M16R4GYgX>H8!!2#n|Kz9i=MRTQFG^A)VXr2=npP~DQMSDYKq-Pheel*IOf zmSpI4m%Q)wfvj)Cp1ncM^Ow3~{qpo8;d;y*(Yow8P)4Zc)K)3rDrQ-`r|BOVuN1k6 zYU8IF@LZ?Wa^5El%Q_xWn8}v$jvRCbye+<4k~hR~)FSo2!n@|t%5ST*_0~Lhr{Sis zptanu_pF_&ww4XL<7c3*2~d&kqWa;O3}n`G#jBkdZ@!S|?HV?26y>KgWYsQgey)QN zO-Th~l3L26opDaljeWQ&(E!>pI5YvPj}K=r&(F^8t`F{&hWDp;{m={QYuJWhJ7fV% zbxL~Ys6TiIibm0*^4bv>IZ()IXW*os^z`xIT*FJaaXrJ^pj=T{;M@u!wx&K7?_Xb* zx{p0NvB!E6Z%b4VuESR(ePz0V(Hauuitx&8$JDxhOLA^Wd!ut(*!0#c34?kiy(=Ok z#J=I+;41iu)UfC(8uEwtw$~<&CDJkZPbkLLe~w6S`hE_~78->?sGVe9Y++<+o9T0GjskZ3{dwq>MCJrh}vfV()A!MNi@z4P3(@bft zfkquv5GeQARmGTA<4FE-Bfp)k#E10fd%lx8CcvcM(`&7VvUTU>_}R|KLoj7!HW%2N zFAW~i*$0Qg4y@h%%1WU&LAu1KNU!shtQFGkX5KCulZ?P#Pv(r&B4y313N8*4=AA+{ z&9Kp?ftzM&2X|cFpu;>xr3X_GPJ{tYktI`KY=H=;F$N)tcmt9pL0B1V9#i3_^`4kY zwwXQGK&<$|Mxj}rBH2^9LGdk|P%^VYQy(NMA>>v^JJRP`J|E-Q*-GOy1ha zPf6_4l|7=+#65$5S#zN!jVDP}b{A3X5Q)wa+2_Oh%zH{LHRlL&B=k{K7{gJphSd3G z(4qpr$n^U|b{-0`*<25AWoHX34ty6e8rqXao87l8Fi+G~MGuu51sivj8*AnBwstDZ zl3k@*myKtX6xzy)w>y<8b5d;y{;k}%JkR`lYv2xrb=x_MA4()z;Sz9*m_C(3P+!|~8s(NzaKs}V7pi?>~B?iF;Z|Iqk|7pgxd zcL3{%gPbv1=PZ`yXG)|1qSXyeKK%7O0sh2s9r(xJ>ZG6AopAgvkLgV6(WppML|YGc zro0Ydn0wb&93ZbH{&E(I@~6vwulN;pRp*}=-TF`*Ctt&?4xGjmajrL9_{g?*&G(>$ z>Gd|A?Wwl+t|yvlcvSaXcJ{tPw@>5EEwd4s|Ia zlEumX3_E(d@-#4S4|kWB2Z{GVieS>!&VFn+}u&UZJMDG?#?S>>@QRqmQkpk5c&h16`MOkYA z#!WP|w)U1bfXLl~*30=8FjJ`PksadwV_< zhvPlw+nGp@sG-8Hynsv(n=Q{}>9OseBY7>)TG4>1yc9)CY%^50oK(4mmq%$(Mk~vA zHF73wJ2gIJtqiNZB=cRFDhb(bZE{jMdXxm|)YD@6g0+2Sji1sV4!gvH-aZ_5JTFsI zMJfc>1cB<*nff-Zi+=SN7F0uSbT0o3AMVO@sZs|+W4fXB?IC#dU5dV; zU{#=b`M!vnejPLm7l2YbT$N{YqZcndzf!M35@kAd4BEf6T{oHBC$FabCA`QcRoQ7g zwB5o7`oHg5d$VZEOYi0@9v0|v_e|_6Fj)=fNKRZ%=It*lAkHux^!foQV0ZJ1cI!px zzD7)8Dk9(_OS8AY9~p!5SEr3ZzqSyhb#M!qsu*#V`UlfA5%7~qa5rKLZVL?4MK9sG zR)u=|5dI=iYj0Aczl`%zc3NSB-_R~rp#`=#{grG{rJSt_DsE3^_8M+)>aWyFyi{{d zg1{!63D+lM9_Y9)2Ji>ry0sSsEZ<*ZsHp!y$3vyL!E;+v}PL>--Wdi_dFqNqX_4WLu>K$w#wfZ!ALY7C= zNVtbCc6W{kMmkd(RLodrHiOw9rra4NZmO-k`u|((N{;~QWZ#r?%p9_k2IM30H?F-$ zols8b#r&-a<@KYXP}597z$rpOz)NC4z)kW5{h2(FW0L!VK6j2?xQCyhU2ax?zpSV^ zw0?~5XFH86j_%k)`m>)9&XVAPX2@l>ZrIRG0=i)L{O6$%oRrzod-q>C=yvUW z3?K%|z9b|?vp6ErVWf0nQdPAZhef!5y1FMN%t7q-!Nxe$DQJEXj&ObvV(7WTs(jqg z8sL;(ZyE}&c`}Ek7eM9yv@mWSM`r?4XQj|FmAePDE(>^+9X#a^Xg}F1p&}Avvk6-! zXzC+6!M)4ikO+5Jc_v$67(j7e*=C%0n5h#?#J@LQsFh-HRL!M8;R+ANWaTmyU>J## zJUb_Bi%Ym74o8TAK65i&_UuIw?y}G%6~pmzCgY{FdJECYgd-x5jk-u?1~8~VW>s34 zamm_yeRxMPA*^8kXw9vZEX+pLVvs8D@?O8_%NrPfYw!pmCI-H+%4`T?%M$<5^;2oV zWm$>%rUeC~OD9wf9z6I$>orTEgIVjL6&7%l;*F>pQ{kS>Ht7>rmQ?6Znn z-%D^|k6*3veoEhuGfN(CwlH8(*s1r2%D!qsRS{?=;O<-Y%*$BJyAY-{h<)cYh=2%H z{NaG)W76hLrZCkUi2URde=~qNp<)@lXvV3L97>7uKlx(Ge?|(svQMNKY=br*25jH^ zxkR-@86>ZOC?hh5bn(XXygDj@ne7LnMu;|Pj%ZfRKyC8>+?v6krT4R)ph8xaGsc*< z_}M_uO9QshSQ~I);9o*Q)FdZt98w2_nTR-(f$4c<2v zT|G#pzUQd^z@sIo>LcbWga1K7EpjxDfzz_p%qR%?UB~a>Pp}QlMP>TkW2`Q!ac7># zBKy-ez{p7t|3s*9Oj0+liKke~sYt;H>2QbhCh)=wyx~AfPNehJ*nh_GWF~!k%=1eC zB;yVv#7(j&YDT|yTx!Lhz6&VTgTpvpm)nH~zED_~YGNvI}pfF+V z)xyN~w%H{$(m9Tdt7rG{YpA(T1speC#1&8-dU3v;pxr?1y4ZXx%Pr-FA>lY*M`0`E z614W&rV+4u8uwnExe`mbLE_!-0&;lAzv5rxG@^CQkN0ZeI%y(tQygoS_tAq43_%N6 z#2ncI9)uS%u#~TaLH*2gJLOIv*yP2Dd?pYgl-S;=Pe4+IMXvVJs}55RGH@EY5P9D$NiaKMf+7<>s40wiSR)~mhmaeJhxv~6M&@5MJm-L|3(OHqyH8%P zHDd-`?QXycYqzannmke)GL-}!&EcOJ#f$lY@fmq9K8rZ_K240qL~Ej-@u2|@m;T;} z1Do+E3KQT+D&|HcqbF$R7%oKI288g3Y2KDWU0MU6c}U!p55zF^!Ner_`YL2OB@>}$ z)!oRgb90+updCHPDvG8oAS#kJ?#4omq$3H)WAi5WRbmD;ep|50ch^ClM#n)pM?v{7 zjV`P!hv8YXKlz5EI$w#@sik3h(klO3ASZ71MT*>G3jKl48REQ*wouet(KO z?w4k=m;t%IHoFQ)LYTuTrgq1@$crcUv7hwzg`*{cOyl@uD6AE)0vO|kTOxjDJQ1O0 zJ^MiVE)96-KI$}Hsi@rt{aBkeCO|LGe}3wlYqOPWh#e&v!UHp-auE9Z0}OpnEXqMd^o>C z3`p`zZI!?Rv-`GYshY{;AFs!VcBR1Hg;gz@rc~3P%-J7Dn8qzgeNn7CLNm8bVG*P4 zOLg6>Jowv-dFe!&JMpDy)I2>W=dS$QTj7Y4QfrZx`+77pxsFDS&pe8o-eTx(PQeDY z%!DfRJ0};|ue4a&lCPLrM@YGfMw4H3Q;bNRoGCw&O;YW1hU8n?*B$S;XXepLWNaBH ztlr!GNLx`}v)Y45u)-?R; zIy>UW59ZVqXD7Gh^fzLeI7O`+f~_enAK`z7szh;VQ5=HB9rUsS zR(%hVZnuxcJaY@Z7#u79}y$ISbo+_Tenm(4Q@tH#!F{a=aB`n}S1ql{pu zoNTI6@Hsz^Rru1-t^c}o{Oxs_LiO(eRNDZX?x!B7!y5V;0os%Tx`sRd40l|F6y%$i zM)+zqRu?ju11&nx=Gus(D?MUFY|H0EKApf9se;3xtSpX?D`0q)UAtEU6maQ~cXm=E zGZxj~sD!FVoLJ(-n{{3%nfbO8%C;D%n43DvHHx3^h$j}e?Tpgb@iu9d?r-9Z+w=1G z(AlR_w%~u(Hb@uTl!`O?SG=T1(`~Sl%DCi0(!0?(mdXQ% zn`Ee!hA0lTM5QsbV2$!~4Q>Vpqo#DZA-1KFRba6&96NzlchcMHO@`qf>5o(PdlYd8-s;CbSj?B z{)quPMVD4%4_q6pWG(KN9%JRFmZmxk>Ghq(7O$mG`{`m!XR5@;ly2eJ zpK^W9gr1C8$H?}8yBp62(JF1Ty66q}|FDE9EtfRIt{8hZ2~TRYqt%)tGn>GETvsZN zm`?@9!S@Y*C_RTi&k2?ZF!y=Oim0mAy>vjvt5TFS7M)1=X+l4I;!2- zIHReX7p=TT4VBWO+|=q*4ebn>_pGkrzjK_lRPPHj@{YJR<4l*{{@rix#8GTDr|`a# zXEbciI<8079APaBIIGVj&{L58f$c;!ZS!Yofm(sz>=61g18vTrP&w{A+aw%n6w;b< z9B`{4*snd0dE|Ai==vGl6)Q4I@^%(#6Bn-K<`^gshxqV*-0{_B^Y-`#JW4{2nAU0N zAb@hD<+nSuD}6rwuh-!6RAtLMcftc8C97dB^^5<@yP)K10@&WOJ<9GYx+R;Z05`H7 zgU~%p+eW?7bP31>@6ePyrkJ5jzU5_d2l@4wR|{JQ`=})5(<=uy^PEX^KhvR^w)Gbl zZ<;3%>k9f;*{lyIaXU>p%D1k5IW23VJt8wPw#SI-i~cL1!}UFpO?mnLpRXLt;9JfX z(gc}-oD+umpX7|;R606%I7j!fVu6IN3=Yu;ReuIPj|@XBy~+nx3YixE9{T4-9ez0x zYZLS*g0DYpFQp#X$b-C|Sj6KkAqOVKWX`LU+O8`Ml zrAn|s1DfX}yeW7xk2?8kDX~kJg8r4KgQLIbi?y0x6EPvmG>)pZ?{DXT%aM<Wl94D4_V}Zrxjn&dUK+8`D)cZPvhF2 zoBovf99X7LbL?%=KvB1NcwP6vY`3761(&#T2Aop#`=V5{R#gVaLkyw2j6_)Iid40` zNQSd#;7}7}NyIp0Ml5$91_ACH3eEN;ahq|Z`icoP)I<7;cl_^1 z<0`RTZ7vG??O)U@^cONsE|FsAtpMG^scj=I(-v1kqV?)r!A70*Kr_AcRDOHMS-d3l zLr0-M->B;~HM`TP9R5 zXi)Vd z1_g=1u8(UX^@XX&=yUbb*Vy&V^Qh5tO%lXdv2J|Qv!eDl1zbcPos6g6{ICayzX?<4 z9fAw1|FWFwy=V`}d&%A9ykjpOB~eLL(cg(#pvfmcE|#Jc#>8<8mT%9fTHM6ZTwJHk z6gE-VgeP~tHPUA)TFSj8;3t;^?KvHq-r4VsNK^cfAIwRdPKQl&YRLbylqW zC5iGer07$_**hn8Y_KzhWrOVs(UV?w*RX0nG+FE^3UjOsr|_7YdXxB?emEKNv`v!Q;~F^Uruhzj?`P*6OJFE{yCb5DW;V{?6pNn-Mnm+XP1DevL} z*$BkpJxIkt2mb1nbxN>;v)F;8R&{C6te1fI zrTsKRE1Zj0XJ9X%FkiI+0@e0zEhz@-6SJ(PQ}WlA)u;lM#;sZtc0DP326O`l_1Vo> z<4bS#eGjYjA?wYHBEN&JVK4HU?Vsc~Y&=V?=lPrnL=c&h>@8CDX%@_^=E7)o@fm_v z`TG!v#4)1PMDyvE*azN6PA{8=sB6tmWjo4)_gv;+2l7-IZW{9)ij%AHmdR1K-X>Wp zr`A5tD3*^de5|LhR#-O-WE$|6S#dtIQcTs2AF(MAEwc(|4a`FZ{_B>v(*Dk-3f|Q+ zt5fUXa0_*;(cR6&fIXidod?J_@P5*nm*T#>69kr8efV#5;zGviPfJn0D(J~Za)$-% zijt0f%&6b5>cmRXN+}% zM1h5sq3b@#*?)b?w*5GAYj;2V)6SJ+ga7Jc6Om}0u%9=lcdPE>o23>}T^o}UimGRT zM<-9!-OsH_%#yXd`tz)V7;ky|j68xcaq$j0#$EmLd2r{!n;jbuQ!&#P@aup>UA-;^ zJ=CMcg)(#mH>OG=4KwbL_r+1Gdfuije~R)@!S5fQ4w}f#bC;QU&%-jv zL1PCkVocwlZiA3z@#fM8G$Giv>fyZX5{&hA+G|HY?k4g5L|A*|YF(y?DqbOM&!E>4 zFn}`jOh_E5#mz4#XDd}2`AyBXk6aW%=d4igXrf-lBAX_Z{=?C%jXweJ2+$cnN-aQQ zx!~jPZyy2j#|+680Y%pHx%I7#${wQwQ?q3~-Cg0NYtY}|zh^5wYn;|uFkT#9??S49 zzeX59QdQO(Uq3QfUwt%Im5CatoYZv+CYZ0}XJaUq5N5Rc!EL=W2m7zr3ojs6iY**h zVT;o@tG2|a4`aM*T`ebbX@uJ%BkK)cFtfBFS(ZlSNMyczpNeaWN=r(l{aZY{DES9J z51{^biK2n?8K4tSmXS{iZ|=GJLjR-kP=~7KwO01fHy75_Y3Tcv7AEzUYXP8A{eRH3 zwEsIzleczkMf>^{?`w8QKvLu-e>bz{ee8|TCXtqZG)l~H!$sls0{t(HJi-#te!E^V zE^1KSeI)I)e?~Ju$e8z>L6w0?PIE1~QtfP7rP*P%-JCaL`>%5%^ZA~1GIeFfYQCgp zQH|k&g4^F6*WZd`Sbu(-)dJO)<8STf#hy^g0_K0yG&Zpxng%kV0j3x>4kq2*hHOuW z#ln3j)w{fIA%d1!Er6=hj7RYH(VEb$DczFq%t|jU;BH3fLo(}vgHfRAI>8`=TnZ6b zW671JDC3qRFa;~D5-{AVY^U7l{R@LmPX(S%Tgy##P)evUCf?TVvmpX7&f;i%Q*hJC z3=an6&{s1i8%jjp;Kv}Wi`O7F#$BFKpz5?Lb|CUf^AE%c5 z8-!KSG_h;QW4t?fd3Y0dJ=sK$gPH#RH%;(CQ}htK=D*XP~clVO`fsUz-APBj5vEP)G~Tg=4cdGv%!^Yn|@4a^PK zA`;rbhZ%`!n*fLFo(~Hu;d-Uu>dKLWs_)aw)8}L2bl5*xU%oEZ?`DnSBWKQu#jF*R z^o*6gpRX+LEaUbv*Qs;6lroJRi%oQV4iSWWRdDmga>8g$IwhPHACBK4%k1U(PL)c^ z;T3M;T0>A)i6~1Oi~I1KR7g zPQ`Y#4#2>(uDYztkVXv|o`xrkCV$^~2|55|@@Yl;<8tEh^syp)gl;7D^`3%d2F481 zluyocI`z=}N->dls>v*&geyYkZuL};T!AA22s2X+4=h9jvPxDt*IFKL>j|Yf`>v#$ zAJmw5)@2?_bMVMsfrLjE5?k*3Ca zPVe;10ugvio11+C(?~7+GhQ3k|I!G`-&Hr{=xUl~_x2QUDOC^Fj91bwtvjQ4gKjWw z$L~#M;!h>Ed#?Q%oE1lAb_0m${(fB;@0rk_;jn<1$Jk4%egQmUqITzecRy%VeV~22 ze5KSC*=8mfYVXSFQ9OV=PxrK(M^@&a4=^ z)?3s$c>jMjYqZM$FU*>wBXX=mXJ=In>d%>p;v(;jo4IT_0Iw<7zzN|bYM$|GGy<(Wj*-#b|+iq|&jW>{Yn8E4SCK6q6mV2ff^CjbxG z>Yu(+KvC8h%vGmyR(mv1-d|1i-z1bd=OEyYI=TAQu&9&H{vfXyrAyY>O&$sbboo+Z zzvXZ*6ZWRs3N)<~u~PucmlqEbU;78MkJ7J1UQc@sehI6CA` zR;SEsY>KhR2#Bg&%H)>6a$qprm@pM^DuaP4=EwuG|2l>kM+ixFe=5so&JcxI4;2dv zR|YpKb+Yy&F3NEj7$P;M_Kx~w*A4nyRz+N>ZP{4;Z(0rLeF*^kR51bi)1M))Gf&W2 zea&gPV(dVo>SwZ2CMwi&J_3lr2_aGlM8FSK``_f+9s%*Z%#FDM<7j^cA$(p-26d~t zo4(P*9%34Lc)FT`(UA&NhC5oI34R?h(>+XQe;+XAUSifxSm-U42;}VtW%zE=Ug30@ zplyQ^L_mR3NQ77k97RS8Yw;eH$OJG?kt`Of#M$2k^4K$b@Qwgkd72a0_EIyjW;Qa2 zcs{%O421t^wR#tAa-+*u>64^ZHcY%@DeGT>CzWa^)i~Hcy`D2BVQ^<-FRFbkKhLN^ zUQ5k%mo>Mr#Zn4>n!-G5lUrV&UN`!+x5G4T_QkG>p{N#I2$wDd8JxNRx6>F&wcX$I zV@;gpEdIJ8#T~i8uI5VdcljyDX_UbkCa)5hC!fSK=RsGj?(XiBIs*yS+){0YIyB)a z#y{5p$Bs!5o)DWNR7Fwd=f|tYI`jz4!28Bk>=VOHCY=5Mg{|E?`0)}*_xeLTWB}oM zN%sRm0 z#Y0Ti*8Qz3oJ-tv3pDF9b8}livzq-E@ou!sI}|@l!MD=e7&sl`Gq5)gwm5<>eZc3s zJm;n5GopdA534Tnr2i>|khKc$Ulh*+E_eUxM_T^}OwQ5xwJ3KAvT>+Ncl<>se@a4@ z#EV~#U>4*fVE$NyRr%jLeaEj`))7#j74!SshlK@D>EK_Lx+LlV@m)fT|8O<*y| zpb>r)@nmfph{g1tVRbuTO0GZ^A3(*YKvv1=M?HV8nfRufg1yt+GTyJCM16oP%teX8 zhf9Kd7cLHn8c0Ws^x68_voavq$AHBDf=w(-`snk*Cp&i$_$y+$yR)#ew#`ZMzWGE+ zg2V}fOmMveZh!JbPd~HBu5d_l;U%Ggf?4xzfqweaXfOtJN1HwQH~9i}L;Oi5*)%k z@boXxi}o`+l_C>@nvHH~{!xJ-jF*jAb)p_o5N%(Uf1L5<i?K7>T^*Emt(YOSr?LtYDxjIDqM(vPQ`HJyi+9rqIl7C=lA}7<6p_nXCU4|0ybCKaq&(i z)Brp=5L7?Yn-;GLNL2pvH0&RSpAw;}7Q>o^c-%Suu$k)Gvf=81Jkh~_zoAcNb6HHv z)kt;tcDXobvU>2TnoG~ES4*uuOQy`m-DeSE@wtgl|26cXg%!Ho&tgg}lwuUJ&X&Wh z;#>Qv{C!+=uyKC;cv(dIJ)#2@Z;T{lPgO5OEU9ga5ywh47+g&_(wZUCnT6ocyZkSe zfQ#77)aUvK@mcD6{7;W|N&&I=4D(*~C>A0W&CM38cRN(!BUu0^fzZ^v`zApGB76C3 zzh?H42tcHOphJ)Y(gKecsZD^6+Ia3J(m^S=UTrvE9Uyi|O?e00>dLaIL@uH59$CLk zcApr%&zy9(@Et(7>*p35<{DPoEV>yEI?hhC9~L$~trsR^fL^5>?Tc*WkiDQxEV>t^ zhJ#efrX2Igox5E7o~w`kFL#+zzFzr;7-17Yh46#XER8z)elXgnD+sxXOn4=F0<>EOG%Nz;jh;DkVZdb-oy=z z-PBS{pA0BzI*qGxx(ML}j&TpsI~y{l-;_11ICGTz!Wd41H5?9RLYrFz%O?myW;7VE zeUppM;JI_7Fq>bx6*%)>drkc7swjh_y1TlZboQsw?swi+d}aH#8?6@pVPKrHJ?iD|egPN$8gh z*;ewrl#g1|+ih)mfCzwaw4hzbjQO$78G@!X29dceT6VRdTC*q6uaEFiWfoY&`=9j1 zDu~(M#jmCChw=B0so+BJfamFmnQWTTaEUs~79+a_~(1a4FHZOO2y(+y&IqK9B2NM^g-b;Z+Vi+)$@ zd9&pScuv|L=x3T&N*djSeG?V3=ZF3%(3Em@z;%n=WZ|Uv*CsX^Q?zV8~7<~Ht zMbLR(lwp`4`jE>P2mT_4*_#x^i(Zxn41uRZ0_)_9zr&+Jn|&>C|uAQ&1K5lLCD zq@7KY0FXggYNsBjc=!$fR0?Rprb4!k*tsLPw^U6nh*y?wHD2Up0z+NxEr9-1a+aWZ z^#rs`wV^`_FabwQ#>K?UUMMy<;HPVDzRAj=CwSU=;Wc1_O~fqq2)Gd2E0I4uZ5WSt zK{)@`oeA15=#XxcE6jj?#j#6|I|fND%SOqNZsBYR)xTH54Q$(7OBRp*Tz6`i#;`Q# zI+!?mH$)KdyWFiC2EE4FvgKo03EtAcs)^|buQJX&4d>%?|a0Uid-fs?_s^`6eHJKj0iyMtcgJ)T;2C4dKNflFpf&n|M@>{v!P1Ady|k-tB+U7Wo3u~I=#^Q>Xv^#gc%lBzT+gtH~fE#O6#+{LxHU9L-$?e zOTNQPsAmg{`uere&3+U5Qh=9`P9gY_I0#EnK@f zPt6rJ5tP_cb9;{!J24r!w^8kU62ri`hg2zCG1>-L4dO?zPv%A z9&6D65kvwlXyqO|<%+_|)Zp+b<(Q1|yY)a|gcSxH0 z-o+jj!cAegL!6pZi8*Sl6vpT7^Y;-)($;*4@)gnVcG)~qYCV$6wLP5?26CP-gNagQ z@u&p3Nov8-AsUvRBlSuR94H*tF*U7`LzSm3l1NaK2?*;X9N@A()LE6+9J3uuWw~5_ z#Q_Juw&{~y)&*TldDB4X5&vhzKBkx4(Q*&V1}5I^n7>P%>$1YXWwZt+w7aD?=%Fqk zW8#B=qjwATT?yvun-a}xYXH5==SUC?CrYm9MUHCRc@x~?}u`Qh7$hn$^MHY~H)1_@wuDAVcyq5Z)S z9GVB0uX6%c#&ri$hANYwASwh3wjHHj_j!z+h`qruVm4b;h_BJdFJEBBEe(ie`GC*0 zVlGoG&9~xBjzO)X?1>>SO=|!Oc7?!(5i7|nI~ir0E1;rG(L^kc5I#{tgz-KT%9G=x zd?M7&0S`d=Vmfxz|Hunsa??ED{SzPG<}SlJ|p^H;EbIVWaBlup6myl(f)w5 z`X6v6`5$nGjs+@&u9A9TqUKM)iD`Zr`inK-zFWM|+3R17a z9buImL#$O)FsgcLMrb{;{1{>wlQ}IsTjNHL^2Rr7EZjreE`1Uz{Ugpu&zJ!#=oaMX zyMIhIrx9llQ6Ztm2-jqunI%^{+QcEfPz3Rc0azF2+Eaux`SDOqhMwx`SsNtXm90q( zWf{PAIb3I&V(%qlT%0M{hk4Qg#33%M`laK3m>zFE7-*Pm^rk0eUk`zr!H8{OEw}k9 zLak;hw3>}jx_XV2YK>-0=(L&?b!@Rhjb>~}beiy@QddSZBtu)XDZeeVCG$S?pw3&vf0AM{W^_Ma;hH~Ls-{X) zn3eiOZnzn@&KU!HN07d~sbCO9F1V>X2$96@JUgCQ`PO7J%FPhgBnCK%7)*_9BSu%Q zkW0M5>xccsuY!d%Y*JB6$@GC7)v|fEr!mc##uzfN->V=wb@tDV7YljB_4+tm|RTw{vv>1oVp>hQ9@P` z8#?I%9tn;VlRlkvWA5JI+Yw`cpyS$OWCz}u>`Y4rWl>L{{C;{W-YIuMh+n)?@|Yws zu^;eS%g(6<$?6G)cu^|*ZU}#qlhRn&GtbS^1 z*X>MCX&s<(!v6xNs3ip~oJm&C^NDe#{*AbE8AZJWi}P?4ynyI~SdEP-c_k8@Qy$fe zDe^DF5LLgm23A4@FBG2BfIw<6Bc!m&IeHhrTA+>2zVj@8i#n`YUhp!M--N@B7~((@ zBO^qtZ}#`p|A!{g-OMWgc)_r-zzgdSJs{f&Z!K5-Ds4#F9bn1+OXu5%rW6foq5-lW z2vq0REdxSxCl+?D1u4t~iF_jb4PQE(Fe?Rz2NNAG6!HEMm&`(a5 zIsAmw5s?%HLJKqjIxxM?$YN+BXJ}DjtCME_7tzH%MCzWAK#=sLgl%@iqt$QMoWm3> z%;AA5UPjU|E%(*-X3`aU%pfqP)g}-KE_?U`vY~`d!CZ3)w>CSh!`m zoOzUK`bcRr-f19k!ugg{Ij-9<6f0(1i2fB|9Tv=DlP!rg~ zHcoKhgNVW~PJ=uUr!8Rao0k7>Yb7isPAdFy@IW3Ock)2KkfCURyTi?IE;k?I`%k^q zQ;dThDuBWj+VtUd#OJvd*8)ZVL%iM}W1vCRwgr?htJNO&s|A9~oCBM1-G>P1L}wa7 z^V`sgZuIvsg3A&f`*|G4`SagsqbcHZ9+3?`EI$)IVv4>oPNdE1MnTTg8{cI*ht(py zXU-#4ez;2sCO`h-&O(t`X}2$}Px1-Cm3n6}b!13a4Ngw0gy`tuuU}1%3gMR{%ETGJCIe$XWk>Uy{LI5u^Y6E; z$djpXmp?b^j`I;0Y7$>VSzLDL z@|j2WZ8^}D`LWzi+;2wT-cfH16U^~ZlK$XhMLzw6Ghihz#4Efa9SpH4)rjB*8s|aO zqL{S(|3TYZheZ{}>!KhbT>=71hjcg6B`qnTNFynNwA9coHAr{o&>=B^Al)#4go<=2 zU2;BfpS|~e?z#8e^T&N2R?Pb1m+!3iw^(b93d`M2=>k<=425n z->`e{b5+s1`w1*`&LIURK{qVzu&3nQi&Ho7bV-wB7hDCSsSH#XDy&co?`4i`xHXdn z?4Ae)D9}!H%G0Dx#I>_Q-|M^=7*N%JJ3gj4?%Hv~^XU`f=JSHzQdH2OngM!u<=@$h z6OA#81CQkWbruHJKs(z1>r%#IE%z-Lxm9^IS^LH+r(^}Kc)j0gbs@sJl0Y{dz4ol} z%c1fbN)}deYizJmsw^(u(#Zi{Nb}&E>=k@80kqd!gIRW-NQ&D-r>DPInd+x2Y2NcV zIi4dP2Q#Ely)Az8hI64tb(c~RTC(VKdq-N8L805Jz~IzgyH(2k;w-y%>t(8?7kIp% z<1uv_^(Tf&j@`oh>DwuVzeYHRjw(E2jH}l7paHF|1@i8V7?X<}W}Z#Ki}zh+Z22;l zs9c&}Vf8tQ;>Nnt$G^yN%b;>}&*Uk`3w>Jd2Bp$_WQMnTC$w!BB8_6{6^~Cq0U7#TOy9X(NUmr^p^^ zM}txP#yXpp;K)lO3s=9$^1Zj@vDa$devvVA%U!>(IUX-pRe0F(zSquj9$Z(%{ta2# z^0KnrZ2lax#oV<(HC31-pQ6c#$(i$Q*7tCH~syJ^yK! zxFMKK#Zo^TMx~=gKH!bi?sH_rpP@i}QI0 zwl53r-pU2~pM5##SIOkmnS*O36%=-M4RP1to51zd6=}_bso_TSr)9aVDSzo#GW42} z40VuFooPBQ$zGxUq3*8JT*9CYmXgFj`7Zj$(lRZa+Jj=C@;YSNa1q4>rT7bf1dV$f zh4__B^7UY?prXsqASyJ~4Nnws@0Kxr)7$J1>X0~{!!TsMIwVs!q|AN%J2OxTR|q) zkwCqkxTZ6|z_y-Ri*ABr5{uZDUUZ9Yp5t_}k$|mnbn1(LyIXq0Zc3Cqdn=TSPjeH` z0#YkE{-~hm`d$A0bN=q!&@2L8{$UPt?7Zed_F(~w;`$}=$C&LLp$DI0w$}&QK03;k zMPnaYkNx4^ct<|}iL?&aOewWgb&ZKieqx?G$-+)osezWWp9zW4Ca6K6hQYP0Wd$51 z8C^lfMzwK~TlcR27*hb@3Y8JHlg_2is+`U0E5f%``U}x@{Y0?PFz9$u837 z_c!;Gx()-Vl;}h|nuyXJTwy(OmIsf2xKn?uw3{xOd8iIg-K3++zh4XW;Wiar-6wlP z=;ixh?6*70Na8HNKUEY~N+U9*U-G`NjObs-YEqa6s~rAJi*p*mM9#p^sSwi4|=cz8wP8xbI=GP-eLh4vQ?RFr00xWT7w|Hd95> z+x;g}z=VFsAO$9TOS1jH9>x8qaH;zVOKC?I6AiXTuO}ZLHfBmlj?T`mPo5usxO!F#e-^MO)==qfi2@!-3G$Uw6xAd zwO-rRklPLRPDRnJzP+Dd!$bDL33Mfbe~&!~PULn{8w))!!90boq&gZYw;Em>yy$62 zbQ?}JF%m^UCn{e)uvf9M%foCasB0S!+Ki=3c4=`pj|))b3&Up`UjJ5bbZU@YhOs4T z*g*=}Jzuf-9DOL`gll(gh*7bQo%7p@7SUj|HvTSxN#Ah-mVLV(&t^irEBYy|R{c|5 zF(}of&!?rzT=3LlPjB-6k7dO;^Cy3)dv5a1{NnCi_;LM}47=IyxjD^9`K*$-Ot4Ia z=0m(p^`%kq_pas#@4Ma0tMcVcF~o(>#tl}%zOpccAWMeeo*sq_Dz-F+4J`%_C+eIj ztq)E_$`_kRBlP8zy608tq+7|8GU6_V#rqRYONg2nOlV^82q9`Lmh?d}!GCSw4S8ko z0#gT3_8>t@C>@kWv6jGI<<}chh(pQaVVP19U=d6FN_REO`IkZ96+L{@~5k-u7^LDe|p5WlPksc}B2UjjeKf#u(et zjTYB6*CG3E_D%B1_|4$^<+xP48_t2Zij!^Et;_+hUf_!H)_Xb7^_dwGHs36imuJ-0 zxXlk3LS-6imOStbCpMGUk8Jm=<1W4`B&Ehv2`{%j7;=oOEd?fj(Ch1AaE6)~&|*MIApbTp zlPgIy`n@)AUUh8fyLpPRBeW#xgQ!V}bvo%&HV$4JHXr9xEeySj)x83O@}vGm6(c(9mYEsc_LPb^z3@b_r_K%N%xqr@BYi5) zt%x}C6GCSBYJKzipj>CgmY7}}!^~o7DVzrJ(s?I&dEhdD_?&X0peGbd`a?0nx6mLA zVi`$svEv6dd1b{56@7=Asv~?F8n%XU224K2ujNio|K1EPqh3#Ge2-b5unX|r-rZW5 zN+3oa|Kq#oPBhhCBz`QuYs2Hb?swUK!?3CZ{t9rpg zex(hpVzsU$7K)Zcx}c{X>A+F+r6GB8Ys^Z$45g&Gtegw^*elIhjd5a*Xd>Y%qxZtX!*)vmlWUmT*uqxS6!)(>mW?GUdI{@|1jU zjF?N(ajH%|=JpJ3{6tOHUDUHG0bkVS6Q|Hbx?rI2C+Rwwg(~~Rx{8sgoTsfn>f(5O zHu~{R-p}*L&OAwK;I&#q;!tOGm{(LuP(I}t)+`rOggq3C5TDoFS&T8$a3}U|%R``0 zeOWqSK8aFc@ErM@ssHewtzW#Dk<~qq)?(`L2IEs3{&_ns$R{06*A!efpbxj46Q!iT&|( zRXFUoU8KPE@)1F!)(k^CyI{W}%u^yl?O4;kA$Is4z+3Vcg==QP14WjUAH+YNl__ZY zU;A57O``3uVO%c{#^Fxh?CGv$Mg$XhqEG$9E@&C24X=ekUGq6Xs5KT%l!onO1kVzR25sskb$p#79&*`KpA8vNP zBP@5pA9JF9a=kU%Bdd$Au6*8GB zML1A0ekqK#eD&m#@ZM(d-wuaYl6JXqn>Q+6l5QLHsyCS~O49IMcNAPMmPf-UzBdTtSjP7r7L^d~}(Da?RDmx`%$mhkWrmOy-qivcckx z*TBrpZfSi*J_<*D3k7YbS5l>oW@B*BfwLh7SCKJZqyOHN{$N-d@T+c{!#3YcDip?6A_%MtM=_zG3t|=k3RJKg;P{ z-9OvW_*W5G)J$Rgl1$8WddBCCre$*r)l=^uHKaOr8&0-7l{6)=@pa2~(tRCXRy=Xx zeU5`E{S+SI<^3_mDy5YC$I`cognAMgQCQru?tm-}=9&gr>M~+8Lf8~0skompzw1GNywLT%6Mg?Hk0EB%feoc+T9gIzbSe$^^bu% z!Wg1xqkOeX6$a;|nO|z&ry-JzGcCY`D5oUmAFLJId|pj*crR>TWu>Gu4%I1dpO9Nt z3k~Gz#&W(w(mBsEs88q%5EAKSKDTYEJ!L@d$$wjL?OEOTVW4D!k| zX3%FRgiMnPUur|~GpN+OxNTl_@MIwxQ`A9p&wJX3E#}#k0{`ccq4*w&q4&VI(EJ|@ zss*k-pYsR5Pgfg^&mD%SZ;z5=2Z{S`jBZ{;p>^TCdT(g^VDPEAU-cv6jG21!%fQ8| zuXQjmq0N>wlV?><E(o(HRcCfQ^T58c|Z5j?FQGFU0f3T-+#*lM+$!KImYk`kT=jc zAvKE(@S~6%uzXAz)?}iK9Rxod5%SI@(IDKmZ-TYk5+;<1&#QmCQa9KvXsdw2fHPNOiTT33~vWmaBYtA;R(<Ha z9cLy+`fg^R=mMg#b4v139ZRzd7qGM^9fC_X8@i_68=2b9`&LzOt6-~nk@GkwdhQ}> zQf+<{va_Kk%T|{Ypblb5|J&r)94Hg8|Ex11FG3p>cEFXpfDF)hfRc(&9Xm6LG^H-7 zeOR-QHcY;m;HAndyoCwJX)d6x;dFV&V8#;x`6VT_MRzSDVnM|ekT9?p^N%gvAZKHD z;bEEBl2UF@ue6zzFvQcDuY2o~$P%BYt}fa0bq+XTjXB}w;qKyNOFbFg zH@vqbRj~e5Jm9RWAdvc!M;*6h6DTFhA?T7$nkKFuIZRVDZ5sE|#m>-PP^1DcZ#C6u z!UwR%Nr@an(6Q^$qgw(&d;xO1DEPp6e&z|_Y-xEzzm`EJ1)Sp9e|8{-+2|`gE11T2 zaSj60VATapy_aMMCYR=KPz$L&iQb)x4Fq#}t#Ysn0!}mhNcHfI2A2qeJvW~Jio_Qg z#0$*$r;6a91#7$R<5){XV=gIC*Psnxh7BB~T`FKFk+@4m2`-p(2_{M;{ZDEDqVRMN z*jrln?Sb=rT}9O=0f%{}Xh(1scZlKLd~I-i=kt;Tt2Y8{K#rHakFU5Jh)D+3(Yk}V zgW-#}8Pf(I0JhsZLOTpWS@$@)7TFS`59W{`qX`u-GU5>E9M? zf)jgU8tt&5Ge?9(i7a>ogf-YnJu!yS0VenM>E5v!YZG=AT@dod z9=FpCwj7?vl4rPQO=(8HLJLWI$j;D{@#!7y!ylaT5N`Pa=ZF2rU+0PFa>$6QpvXi{ zX~TqJQSA3ISlV3(wXTfOpN&D{l0PAZuRZ4C#YoZh>};gn(fD0RPNV6E$g}cCy~i6Q zY7zF!U;G{VvzJT+LdF7woh?KtaN7~DhbRGGI_D`-0(6P0Xm`2@)ocu_1L3Mro|=vbvW{Bw%QpAXUZ<9KYG}H$NE#cuq z;g6U4yxk<>8vEQbecXgZ{jZz4{;<3W>fyj(5{)Il=RqGH;Bgfg+p{~bSo4_AidyZE z_f2ul7}BDmRzqxl)C)4y9#N(%3^pPi2En2Gj%0EkW~k4`kgOQdA#tm}4J9VrX^M(Q ziVq=GvcKk4>q6=CQtb_Iuas z1EXXgCRWjx-@6OHj{kUy`gK9ueJ)RW5Xh#~8q_#lwti2qcZZnw^2ahL2%wSZL7tTX zALC@o-bj_-qX>JVf99IA!%v{@Q{sS2RGKBt-i1yWnIRptY4Y*;D;(PUAwD*m)3v6!HR<`!n5rNfn8k z-&fazee09!r}*6O&_vHRPnGy~2A{e}{yl0rncOlQ9jRI`+CE&6tgI!yQrb^o{~Mt* z);Id%Pjj%LcF6kmSpci|si-s4Uy)eE?CgZp^7*Nx0SDT!-_1!PJ7d;OlY~zu_F7u% z!>hl_b_h;*XD)&}d+!lC>AZ%OjZLJbJ3MT$w!mER$MtAz^5A7-V~YISx}!N`MgQ>@hY z(%CT{`6A-Qsu-M?KaKs`Bs26PW6DGdryqOsGk9&Ct+lxvrWYG0h`1)X48+n8_AMmG z9xmr_Oq;&x7CJIq@|jqJ1t*gsRM7GS6iqAwv=4?msCM#uA2625u*;91D=XYUWK zUFS|2(Ne!*xj5)U9fcuPQinBpjUTL!*4NMfz(Y_{7#b`YyX{Z3 z(D4UD0t+$q)BCYQGAg;=_I3O^hS)H!gc7%DjEmivQuVyhvOBzpIFrA@^!4=q{#B;) zKC(%^3R-lQ&F@7liOQA0o6oCkV{!LJ#!*Q9jPd;2maAVX_# z#9;NsWekeW2OFZZt*k?kuQdGd4K{eOg*`FyIR23(mem6GzEmu*$ogLhM{SlL+TOu^TG49|~ zx0Jv$kB2oxs#l|QZ}?QYv{o3(>F}%D8{f1xPqK78f`c1_LE~9-K(Qt8s2#N z-ZWkLSyw9x+~$@Eo2@faYxgSB$w`s$!RfWRV~94=^_eyyGuCn@XO$Z9)#HxOe?2`5 z`!TkjW_7gPgd4to{Ok2i04t7Pf}LMuObQBQFJJx;dm|=q7aD)2#-hK`+?a(I2Dt{BO$L*fIGjg%P=J^&o zC!ZK0|J4)I{sktrGZ`l*Wk{q-==UEJ-{DnJt}l)~+df=-^?H|1%71(R{Jp1x6pAcr z6d_VjJJKbF@Y(Oo08a(FKls^>w#$*6H4t66Xefldg7lB`Ei#L@l$t4;NjK zuJ&r2CbAEp5M%^zTj*5CF?Et`;6LJI!I+XG$IJeVg*5dF*&lNC(svM6HjDFe)NH{j zsj2gn1uKRH6{{jXM5Zi1$7I6y@6XHodNQuLD7xB&+2D2dI%@L%r6m}`j#+Vk9+5$| zG)AbrJiWdBd_^aa?NE^eZ3D8&_4HAhkbXl?&!u6Wff}KmzRx6leHpAQWNXhcE*f_N zJHIAYjQkQPA+QT+o|+uQCOP8!{uBJ9PFdCO+N+DN)_HCQ`jEG|mny;toR8V!$r`meqxh%&z~t-U_(i8gl05GT~fg`Lod6g5W*Y`J~F+ISQlN9PsnVv;Oc|zx4L>o8J$% zddS5j{cbM)UjITdt`W)L)ERPL**rQ@zckTm!nY%*x`vgFaPRxfIQ!=rC7?XMNquaR zdoWs;^v<_yR5b(M5&B2yr@Xl5d0LKT{I8*AMYaLn)I$aZ2hNTy+BswH`ORQ5(rgHP z&IpG2Ck&Q+_&Q*MSh$WDTDCBiR(C}9^Kj@a|0hT1vtCK#%)gKq?OVrMEs<*rdQZM3 zjpUC%4wk)C8@BHpOg?=ppXpyW@~P(6mC*WQuGe(CufEg8SMOwb&Pm!CJlUKwVa3e$ zd-@c=b=_5Dxxx}@A@|~NAShyBSvj;Gr;Iw3sq#vmjtfsMcl8A(dU6hu?Zca+&dxuR zvP;?5h9TXCA!3q?XQhp2zx%Kb?8Q4KVT}1GDnXk)!PZ9{(6R}mY<*&h@)app<_~|I zU%jJ1M^Ne)nBd#TcPftFXtaNqdQpuMH%;z8wvAJkg>>=R`fNKq1TnDo1dSw{?|vuo zQl0dLkJSCXWYzl}&l2%NIVk8%qWtSeLIoh&C-?lplKqnpy_3Zox%1cn+VW;1xaC0u zlyHg}{dpc4lH$!VeJeruBXkWNGGyylBy);tC>`QcbUgRer`hghV(Kzjz{zS%a16L* zjLN9}zE_5zQ1Dtu_#Zrac0!IM#^n25_U*=Vp}~dLY=ZU|I!va`Mxf8edLvb*ShU)^`iw_y^c1%9A0XOg7YDm`tJ}e~@rc{52nIx%-@xfRp&~ z0Fm7fS%Uzx`ri9N;GU(Dvc{PBgz3}dWJ;lBJZj|G!-u_6Ikc?&a>12#X;QPN1B1lu z%xEax#z@>dXo&OCy1cBglJOsuzwT4ttS&$TsRLsNU-+(kO^2aK7jLFqEUZib+ks#7_BZ? zvGK=2GL_%dX^+3S;q+&1_R2|E68j+*D@uBu1J^(9u%HnbzU*(voTs%?Y>tr3e8i}p z;23MFfJstNOEzb!DQFo*CVb9Cn`l9LB42?Svi_hsy_ULWh|XHCA@OZyir%V^+F``C z-JI8+1Bwr6S;5x_83kub=FIZ6TN-o5acPp1_q9WORLk&Tk`5dKR`w#HtUpt`MoI&_@$ikxCJ}ip`d=o2Zc8{nJ($S=3 zOI5XWuKloYb&$E9n}c9#DS1JcOBP`nnwH7P8Igks-?zeSHseKIxZg;y*Z(k~KwrtE zNT-2L1E%ICS{M}1OFT%`NV>ad*ye6`yi_sG$PUdxREc5dqxMZat)qT&stGk?v(h*U zF`MhA+9b&u{8*~`w6HK3`XlXYAv8zd(P`tJEKixxyY2=Uv$gJsrm{Z0EUa`k{727E zcF%p(H$P1Etdw{<-?J<~Ho`Zus3ABPsx^qha_6&jeiR*!D<{iS8*V0djz0e@N-W3Q z5=v%$;uUg1no!HH0z>(k-yBprmBninPW_N;Fd^YrKO1ucny!2&WR;96#EkqaQ+^J@ z7uNrV85%k=pXPC6Md5&&Y@YuHqRkdk@RU*j9#yp<+3bMm5e&{bTU+4ts{NW`!AOvn ziL1c(oLxYSeMC76!9076(12CEWo`(tx8AZnX%YDnyE&$wZ~S*{NBZR0hNTePtTwKt zdWo>kFCg1Azv+RR3F|*~+fsELsn&R*!ZVrP_SJA;iMzWx<5j(FL~Wz82f6$^`k|#O zjxRNRfl|MX|h3SgP#{ZPqh&^|BdOxw6co5$@rJCMK1(se(n2i-2 zGrp62rI%Lw1~Mn!ltK3>6FZ=THyh<^aIy>-9uagFZn1QDKRCak+C9$k zKF?@FIo%;g`-*`Xo}or-E?P}nh}k>!&Wfz>Pc`wv6XW}?0&W*2weIcbruR*qetbnv zSEUsTe-)VA^uC?|HlRxv)hp8^G}*4NBO04Ac{S-jVzyAl-X8x2Z-MAUo&Ux58~3C2 z7+vP#a1!)-@aVGHeO%3QnyrGUGT6k5j$gQ8<;8}cu2m8f**evT^To0A-#^W0=4H>OayZD+ny&JY-<>O>5Z?TJw*-MXz!9uOxTmC1(RSDa z_HYE2yIF7W%dl2rOc^q4dc3ZBH1h=rENPyuJ(wq;!Nk7$c})};vtkYQ2{62bgLtaC z9C}Ej9I$&ymHf!0Y_WSA(9FBPl16dJLD0d340K0r(x~RRbaLY~v{`Ekf%kiixEnag zjHDY)?1}z2Wp(xoC<*2?k(Z@G+{gPHNtW{HN6z~|RN*+7peYedU&_Wg)Q;r{M@Zy! zKMPQ2!Fs%y78hXm4akS&;5MR}Cv=)i`C)9F8h97ssCr}V6iw{Oj>WY4Q~g@Lf5eg< zi|m83Aq5v|{eUXYgt$K59JKUfHzb-&XUoeN&f<9;{i*(<4LIZ3+9&lPPemcwgXKs}Xb}#y3CNHQT zCP|}k{eSpnU)MeV zg1tI4^V+Wxa-I08x>7$HkohXXugoTiAZ-8vsHk>JKvhyyTQd-deFV^+2x5f*f|_wa zlm&2#>9;mWqlO{>SR~DsGV*wPqs*OZkDR*~rN^eWL9^N2fdydN0Z5geM2__ikU2&X zz`yKGXy%bl*`i=((5ddwGa3W0}_Q!gBS8Anvb0Tu#?G>Mvct?%PAKYYY0%% zLB=7QVE5|5L6psH>pT?C;eC=IU4UR^aC$|;JIavNS}*g%?qxbe_+G3K0=FmhqZR@; zau__WN{{q;h-U{9v2M6=CZc)L0yIl|PW=EDxDa1@3LOI*Qq5X_-AlO5&oB3@f#f;% zUL+tE6JlBX78cnALo??i9+b7-1-Y(VRB-}&utda3oM_mygam#uFB(~0!?}E4Ps}$w z7g=7g19TIQ{q=dd*F_3b1$N~G#S}AZARgQVd9g?urS`lWqgB8OyVuIA2F?6|Ncm&n zPcQJja637v*qvG2Et{_&Mnz+)eSws3M2X4?@PI`cMj4i;KO(Ip{9@6?~>`HF#=6{G@BaU*n?i+cb*!#na4<@aY>b- zFb;}GS3_X`o-}?a_l9m$G$I$~Fpi+x#HW7-wqOX^TrMp5*_b~EMVeetyHJ=(xpWXS z1;gs(w>Jk6kI3Ezd2_Z6(rC4NzfrL}I1@9_yGpp~E2L$P`NHH8G-fcqFm6g5qEhUm zp&GgzLzz)_HljaB;p{WJI4fC&B;d2{UKc%bj`m*BYh$|&TBu8ApR_GE@tw3IT!Xj zh+tqO#k-e;qZeHOz zp9@3gUZN&_kQnzz!jvP9JHs1CZw?QBIg%^APEFq0HhzQlG;{svNDEl272A%BZf7%2wdab z3z-SnNLS+=bGXq80au5Zd2W=qffU=Un>Geb@;noLf5SPxI8}S>Or?BFH_fVqniYz8 z$JHlZlSWsp!_rR|Jn)!JS)kM-yrzmnP&1J>dGl7_Ow0%p(?)aOc05Hl@(;pAI`yrJROtpG6&~wWMism)SZm;2IVKHsh=l7I5*%4a!by>k zW6v>&)NTL$X9326ehc5gfAF2Ug|At)1J5mde=2}25KJ~_R(%Iw^#4129X;+e0q_m{ z-@~`*4!*H$ckrzQ@TJ;m10wiazL?y?7v1Q%^A5h~0KO0I;OqAfzGl^T@Fn{{g72mE zf5JCB430jf!=-GNoPA#s1(<|GN{*z)Sk#D)O_+( zCp!t1dMc94o<_RI#BL)D-q5#2&4YL^E#dv@_3Yb^5oGq*Y3=?HhDSUI3JL@?mFkk8 z8&{w$FBcWO>IZlo-Kd|>tQuaG!P;N3Mr&4$9AAY$d0$urvoUsA6#f{r_m~9sEH$2j zHcVtWC`R={5=OP!r?7#vJjPB_Q@YOQ?qOv1&=eLFJpNk9K}~?oOREF7Qe~tS!oUhy z2oIN8^{+<(DWK1XFQhyOTP*c%I`l^uT24JCMj|FL`MHFF!|_smSj~A1m<{pI>h^|p zdcdnI?Kid;f>Gtg<#7ZD<#8Iq;9zV}ESbH)?9i_(K6>;8XS*JgT8kbN^QYXDgn=Ap z)vjzBE~u?L;YeVSTCi63e{~{oN zz={I<_By@c3`SrciSu%)d5?)pn9t{JFW@P8`}&tp?VS&(J7b9$og3@(`)M-m!nsERnbTzCLVo?SjN0atO?q z4+HNt@xWy^C1k@F;v2{3G3rqkHLG3(Mqt5McX~U4hPFgJh*Dxbe0q=sy>1<0aA-Wj z3g|}8-LR<<35Uigym%n?q5UbPL{{wb2w@ZlU%3onRE6_joA812BF0Zwo??pJ4H&&p z@|kahQED#qr*gL+nK*j7i^f+h!69-cJF57Q`HvXA3K(?ei;+PYhUoSapE69@AuxEK z9Jk=Jj}&$d2P^A z%@9r!vezIlABvaDJ_iI=4+iJSDxsPWX8q{;F=&BmF4m2&ptmz+2@l;<8|>uagur+x zM!wUL8L54Ha22!C*!D+Vyv9hI+fVt|QiAh0g7ktcObNmd3};eKCM&a^=NPt`5-6#* zBT5WoN$G7o;U@N(pHaZPM&4AcW6BV&ZFxYsX#jnFk7~YPejICJ-$IksR#~+z1hTCm zSS?FNIzHOO9v9bMMJ?8c;!{ZKlBOmk#xLg99TltOw{?m}(yHDe0UUwUKO4HKlAa#4 z(Dyc@7!O1S0+Tk|U$_KqK}d8esEA!r(0IHDz~NCX0ac?-_$T|UN6PH#H@%W_-F?e|k|T@$QsAVK6{clKgh@UfSqX-M@GQue*s{0ezx-F}$R5!E zHJIqh7FcxzJ!+3uzOUa&<#o31L6XScmw2|-K1~frZ1Fc_N_fbjn)8LLnnYmqHZZ)a zan3@xf3Kz^ThJ1GZCJK85)Yz+)%L5Fy!P0NmE8h-3c5p$6$32 z*u>h2HIS8xGmR(K?#Bwuu0Yg=}GS^D@>&&U_H3?75tI*=Ik)+&_P9Fq!<8MhK%h za$%Ms0`79=li$9I-+ffV{(WY{?`0rot{u{!+Lxar$N)GOu(vb35{^B)VLT5noIG*GEAB?umqow*uIKvIkL{R>lF zh%nzXTEA7Lqey$Qj|AqO$qdD+i(i@a0@gBwy|Kro97mk!U(-{haelR~+TOmv)gp{` zFr93C{?US-!blcnL2J@H3%xL>&|N1n`)3w7CTGs(slYFIwy4e6JpELTUr_4LvFQcz zlPBL#ej7b0mz6UI+I~b_o=AW;TK}&^$ZoAf$PJheG(BDFP=(o5QOUOO2%~{3EIR|N z^azXvxuXYhmeh4Ik)GzlT%U2T$@4dSpyz%-7#&@O0RC5l+vv-g%P!-@ys>AyKNP3K z59l+|hCZ!(B7^A*qVSovln6kWqj7ygTR&zO7lrj&HQ&VqSbabkU9pzhobG2OXKv&e z{l*>|1zdT*kRB8*{0)x3Y+_83#R+ehHG^AsnfvqgeR4WuzcN15`i{ z3+sjUhxImKCE+fyi+h}j+)0i4M$Y5Uwb9BI-x7PQ$lo9uT~QQEMF==6-GdamTo{K^ zdigh@lUGm6!O+CvjyK>;8%h6iZWMbDco-z)^30%S8X!+~zr`Y5fElxOi}2c2CU z&@72x?~gBe6*42c*{| zq6Of34wPQOYa4)q>Qn@eS+!+|E;BfxOGt8Po?q3WCP=c~(09MCc$*AN&Wn$!SUkV{sKw@zOhvaYs2R_~dr~TBDoiXph^Em= z0jm&rr*R+#Sw0yMJO1jZ2ESrS^41u5C+h_DWjd2Ph+9E{2L!xc|3zQyd2wM~D)J;w z>V@-3Z+-+E9Y?cScdD{`&j%l{NM$BUB>AM+HPZgpr?V5;_o0R-UmY8YjVf1hX=eW+ zIS>#kfMha&WS%=DqyIM~2LdENyhSql{{qRkY!@IINARrvACdv>yG1h6e<1mj>>W4# zhh&`pXCw#yFOdv5>MfFS{vkQ=7Rl&$NbWI_eT@Zz@ej#n)&CR8aJ_#>z6}$ukIz3O z!vT_S*)SbCKyu)JAQ_Np$7n#qqsZ=%d>i`zUyzLcpGbxSB*Ouc;s1r?JE?a_-d}6o z|Jb5&q=@qm$+u|X4#@$6!1#YiHmklvGN^9;-;iup{l7*s4nQ*eKadPGR0Hw2MKVZ&TO{-RLvr9h zB=aC9#y4!u`bLwBy4MeXFNi?EX;qgQ0@EDT zN;lK@c~kreP+=<^KyC^1gI!-hzjdK?CvrhxrVwV!JNm@Wb&?3WfNs45ByhwS2RQFn zCsEXue{QjzB`Lz_MQ`r!s4V9CtiUZ;0C=$14bFqu%1-V2MbL} z5Rhj6Y7PPM6B`o>TQ5aW{K;@8x6~%nxinhrY_`q=S<{C-Qk)m zdRI}Phqt}m2W=1Tg|QQwG_nzzq;V4_2`Q@hcX!G8=j;K(%+9Bc{`id*+ILHo$)=%| zU7aMPPT<&3RC8lc9thpzBZMQ)A^^jlCQc8z<-GkXfH69EK30;aCre`th#_%SR3dU^ zjC-?~R&p2qG3zF5%m^<)OrgM2z?LaD42Ye+ag4d*qjgOCw zLfHFjqZ9g0sWtj%rbKd9NZcJU{{E5;0u!%nw@gHd2P*gjYMK&dVqf-rZe@5PFf{9~ zfExUoL5OnZ-+oC`a;fJBSnVXj3tWFDj2dR(4PXIGIKHQUz{hMkxEolaGWWrzB2`S~ zmhY~%>-J&vt`S^%%pL>%BN4XEoeUsP6+R%90m~9TVd{g~kl3ETHhcJv9s(op85kQr z0X<9W>Wx7^>8O*<({K(mhEMH?IVNWxg^o>I!pY%dwi?nDpqY|6<9_mQisxN)dQ^^9 zxzuJ2VM(B9Y6V1?#7q|v9RM_(0-2=CQceZD86Umt3w+E78wR@Zn%GZfJ&aNdnC>Yw zu`epxm%Jsww{>fD1z^PC1DF3%xAHdt9&%f^M&H$~{0)8T>~;TGYxkC{`4_;`c+CMB zjH2NvU#M9nWo0O-^MIhH%Rm*)v90$sYmqE{K#8{!!L#y+@WD%+Ave71s39rScg z@O!Pf>;dSNG9U~`_b8Z3Q&d!RCJ&+6kn(b66`edOl>4Aat*K-1!8sVW1jkW|K_R7_gi3zB|XI>N@YMh{*pcmIC? zdEzWQ1k2$Th$H~`l8*q~*Wy-422BnGo{2T{NM-z9CtKk0-1Tz=+8AgpEVtz)U>XJT zD|M1qYgLj~R(%p`oA7v~K>uJQ!NrdN-I{>WzK#x}whuf5e@kkchfqKA1{xLvrMnT> zlQzgE03{w{10-HV^%Wi1=vGP_Kg34Tw-r*dz%!WmnaRju9wXbhpG3!x~GbpKvkP!k`dur{!y!?VxU_ zH-I_sEG)-=0t6@s(36T3)VP7xB*&k>16C?wkn$&ww$6Zg0sZ_~<4*6tEmz zJOdfzbLN=+icu6(p-(8V7>d;{wB(eUmw3p^1g8=xe`12 zvC`UFc6#!9g3IHMX)-lNER%-a%}}9B?+F;ZKy5y)f7$#TKz#BT4DalIQTw0k+UXXc z(I=90Zbqs<<)B)9_=e-H29_E0$i(DKM4U&5})1!zt0b;+=^M=~<)`)g2{ z&w+n|^h3qvz6UT(04a+6@tza;W6L)b*(aN3D7I>eFFsEY0?cM?((nCbsQ5#pr5;`a zZev;@7Si`lz1>K?L0}hNi|A1RMbxeM(=0x)@}RbW=C?pcP{Bwfg_r?Olx(y@;NX+E zPYD@Ti5t_uJ&8a#edL#y1thZFUmpuZo>c(thgj^{9|i%!T)_J>LyP-m<~^pA=--aA z*bgIU1FX{@^E7&qg!L!qwtA@4ggbJWbLxZQ`27_g1E#qAJcGD02aVzVg1r2*Jx5~` z6X7SOnAbSN-rDDb-qU`Jf`1(`@J>#(0Lt4l2@SR51B!tD3Md8;gRHQr@f+1$kB;pB zZWXtV$pC42$a(prW5yPsu^sU=Wr>*p98MAfuEPV+d-PZJI)>ebPinxTR4EK4%J!yx zK=<@tfbP8iGDBcMd|0mobgb8!bnha_0L>f}p$RmAB^J{opyjajp6*EQJ+=Zmg0C8G z{VXu2WL)hOjX^m}&=}7hPla$OGVC4ayin=L7MnJmL4BR4@DvG9G8$0v`W>Ur6A87> z{*EE3Gr>WeifAB+umQnBpcu8`%V(g3$v}9d_Mhwk-Vl`)i-6D1BdeVS%JwYO3IPND z%Nd*Ol*wR_4IF{5LG1x%0wfgh{hta*C_okelTd#c=#PX#{wJaS{0m5^BM|dzPi zD)5hl0!|kIB-AB|eqh3XB$VDC2^IQRLWQ~fkx+k@1SHfW!2SWgu|o>bxPXKLHupbC zsHeaG!Wb4lKwke>2?dZaAff(Xv9UKGiwC`z7Tf@Eq5~ENBotsr^Z!byHb6r8{*zEy z|0I;&UkUZ%|3yNL{Ergq{a*>y_FpB`fGbd2G62`m0T1{`LcRYVqH8D+UGx7$*Z2R6 z==!HQfav;}^=kHCbo~rO*E|sf)_{V%!#w)a00O8!3*>aWcA2>2Ua0XD4ryH5aLd<1adKM4gWa%(_* z#{+!&M?(Dt`~M#j>ivI>u0VzgdI3l%fa3p~g!;SHztI(Qs{u2*-sT+8*ncDxCJ})D zKy*zc0nW$xBcUiSw7(Vui~hIh8u~A~>is9W0>>5r3H4G6=rrb?&&vUH{u^BZnfy1p zzGed||1Y}w{F6{^|L5rH^S_Z$pKDWqUiVKz0VR|M_Q#*-3Uq=$(Y5VgbPfF*U0vE{ z-l|DkqINsEBJS4+0LbW|2B?SnFeAPIP{lrd8PI>AmZU!fxKCy_JK4Y7HZH*Bi5I2= znA}+X%FQpJ0(5|K{XQ^G4T;UH8n;q}&Ej^G;NiOiCfFAlvdZVHGiEIGcS)%m5HjR1 zO@9V(;FBQgD*#2om6rh!WQ#-zY;?g52P$UZ;&a)5jAN$=b8YepVJJ@%qZ1u}gQt}h zy5P`=9C~{IPW|i2(raIAQ?`x}~G1 zZI%L7#dK?6)Fq>hFOao5D*xcsKglwh?J=X4<#g7T<-t6P#1J+vu&w5-!c2%t-WcUOH z*s>tNK-?-1LEYe&C$qbVdQV>^z^Bff(Y+;`1z2&kwRYlcCjSShV4Dz|eCrDSw>c!z z0oe7tR1&vl!33T~x;jDhl$PwGxe1si{o+HVkoRJ#khfL4kkS$X z-6M>bZyp8I11`C0Pzu*JGYQ)-0bOd1(HE+y{1_?1%#si*)CB&{0Lv z77y5aqintaw)a1>C&T_@dyzR*dI`zJXX1pi9c{osP5y}FReG{dJ5&H>11$yY69C2C z0d%V~p@(MxL>jLNz#KV{I@W${{{{9EO_yZS8Dm-GKf@f4;$I~GHBvZLAtP!C>{4GSStdP)P3;_ z5igG+1{C2DK=a0o*%c)M#=dNlm_(j{=LYIV>MVk`@)B|IGxxyo4u~T%hP&H2qCg0X z=@kM41(U?0q`3_9c!x;?q!Q!Pyc+WZTHq*0QRIyZAy5Osy*2G=AREvb6m5{i0G$T! z_;oP=($WSLdcfKq7xQ@pYxjl)b(_4R5oDVO=7fw-xdP4c?8{vKGG%R0lpeysG^O_( z_YPbnEX6im)~jIzl#Dzqu2Hw!f)C}Vn#-@GV9%gg5|#1SVfz#Sg$F6?vpoYksUpym zRPxGQ0b?w|T6+VmG`5o!X!-%~3TZD5=30JCC{Ne&(f;{B^>o!X<+m@0D=DhLk%U9L zf(we}cIJiB&3F|MPsEU6`CWRs65iHh#)msIc`gh>%(=X8TQ54&NhKw^%du|LTfgB= zKmI}`G0Jf$KlGYA@<6R6J1NK*C-69n!U@jm-!NsCh7UIDq#iVp#2S!qw(|U~p3#Jey&Dj3! z^R^W_s;61dNEo|A!%)Sxd|Rw{?Cp0YWNQ=rhG(T`$?yuJ1Ny$X?TDy@DImXJ{!<6T zc@KPQR!$}QTr%m6Lgr#hI9a5fjJm%BF_)G{H0tsG?nXQu8exAv>^JmftUC3aK4>EV zqla1VU;(yvL0GC2r-{Mpc~c?nCb?g){muipCSmjuS=#^|17zr~{NsTG#N}7=gf5Ar z(VhMi(F3>j1=1cOb+;DwO3w^*N&P?}dg5;c)_b3h$QX##_h`hfD69-BI$#VrlAPXB z&ttcd7zypbnNR3-qROqCbnFgu)z34vBhL2aqMD!5jHl#%D(I^bbB%xVoVAyVzh%GY+r9JNG{?(Nk%ff zTQlfee`;fjd4$VY+vd-OkLn4QZ z^aSP)|5HJ|)!SEUUwM&ntew`ruSRaiedAIeIeVOte`-h4bTXb3$b8Q7>+% zqKbjZ4~)OQ_1DNyxOa{Xi_J?gimF$YTSQ+W6aYny3Tz)oa2q<%_nZj$ zN*l-$rlmx=J?gDP&1JJkGa%l-yIZ)9bC%9XrTKDn=DZ<(NQ>LKx#z!Tv`UyLl2CPE zJ=aj2^VlxF63gDy`N(~QD1#FeDub7E$|aG1{j7qW6VzMLAZR%}Ttksgw7%t1e&s!iuDSsinGc=k-ytFXQU@>!$Rp^t{!*rK&b>4K9xaZ!_~&u07s ztLeJeHmVtu)1*^4>&%bpsM1}*m8Z|(v5cJgg|ja5xe0PAnrWDACC55zO4o5)68{+> zs4blbCljs-4BZmf2F0=G%8b%*_gp-i0A#5p`I@>~_sO$9sy5~({J9Bt6?HS8&AF3( z(h|vZ*K6imo)N-#$Bq9vMMW3fpiUs{8B`Ga0Q>@ZSLcFWb4x)dA`A&13EcdN4jisP z=K%lcSO?0&$NYgOMTE!A{9SBX*hIdYn-{Mv5@JMd5B75JH#+=6ylPmOEYAkFbrmpW zMl^9;QnD$>0Kfjx=H~=4!(DIrLs#$dxQQ`UEV)1HZss1`zIrn$(U~DUXVt>R(*3>0 zSplA_+$mHu1jCx_mXo~l-#3TSoA67SMO?g`4_N9=#R*}icV-t0?9gc$?{FtqF^t%q z8EprQRpTgJ`fBIbEJ;e zl>asO6xT!)!%K14R$xKbO=hP~E1rlgQ$;P}M}z6e3eS@3Pz5s`da=jm3cuziLWexH z$ah!m;K7@LZ;i~r`IqtZxmEjTac;fu^I@ISS1o%?Pj*X6g>KWW$(@ENHx74SKPg-a z;3`XTr}&j|O6gAaOZO$2yXcXzy44YabP=VtK43&Q3BD4l@19M4JlUiibDs~tb$TnN zU4@6M*-lKAD=#IRa6~tmT>=~fX(l%j89hOq9!v2Fs&nCfdL9rNzT63h3Qvm~u1|DA zX2Zm$9{k2;-MR{moLu=i$l7v4-7z1iBA&i5%(*wcriqM>IV%^yTN`Fw9N#Z@8E5hc zGGsc-;O!ceK^_(`%m{30()Ish6bBcZu6oRJ(ZodVWjKvmyyz($iNcFx)Q*24rS!5_ zinb7w`|Mu!E9FeHtyt6-aRdU^3w-+G)fpE$7V0-9D4K|9JVZ@-<(?CRfU92$hT*-rwuz?Y;MI^L5`+SvmgK5^< zpPf;i+DyFnjUO3heEDcAwBpUDbl~Q__-N2w28pA3#?TtR8I`IN4}K?f_d=tUAisX( z;qf%F=3B4$!{Md}4q3dFyES*x#)n5o!Qa@Q*kDbgEs4UNUB|zIv^K=onsQPx`w!1@ zzj-pXPWO1FPh6WkDtoK?^Pzw;42H8JzDD+tah8j_I9r9r7ICG`v5a{+lQ3n^qBT^xnfR{tuH|S$%?4ffq!N!?{`)pMQN?#~$JO zUfysj%Ynt(3ANPWLVXUxu7Atz$*K)aGv78zG&fdY>a*y1_mS#z9o2%0Zs&j61IQ-;XfZPwy zwN`P(b{++qhE0reF#Q;1`L%n*Ph4xx$O4h;_(WX(f!#DA#z*MJzxMyG{;hjNDptEy zzJX4=RnMEHa?@-LJ`)4^yFaTS%xg`A%EjQd;PjE_uS556%(kKo zBPP~}M0T#9krtIBTVZVvNB_=d(xkFxRSZp`svY=ZllRMPOC&_{a7IF&5P#*Zp<|G+ z0$K_c>&6$W0f$qn?7M;S*ZIGeC2XN_D^4_RLn@CQdAG?Ep;%IyDBUH<9V$LUti-I2 zHcNY=rzR;QDNRO8d*r81;%qhcOA}2liUu$f*%Vf{-D)b>H$@^CSSo*?i`AIJs^4KQ zcKh#(;5D#Rk5!WO&bD!5QoQ(Ev2R~_cyB4_s*+3^Nrr5NlNX)vDsEFi2c=^6ZojZ`wmn`$5UVo<ZvE+?2|dqi(5lu7Az?t&AP+UgY)9x zeX_}-3R>}+wxMXPtZhc1W-~1l4aKnHWM6aWZChbhMh#Cg{I7+`ovZnCI=b9!aS;=W^8a*pbXBvQmUj z%V?`hYlEcz{taq_{-?j8*G2A<&@!Hur8Cg^%-UJA?DFMySoWd|qKKfwR3l>idT}SZ z4aA)fKNH#HJRdRNvcWuA`ow4I@jAeS-1K++17iLLUC4%;x?%4XVdrUErX8)XzTB$D zOal+PpEk@;7nyOz@w((D5Z!YcZfrfiamac#kA83ByKHl$NnQPs7k9Ryv9RCSP3-i# zxQ7|$I^NCrJw7aeMgd(lZ%#W#q87hY%+Xo7aK1=Ed*@gBmR`z?>LR)^dmP9828d)M)%bmmy1u%e>AziuK z>9+BTjHwNnhf*i$rB0L-h3_<3!|&0xkh^&c8MjjQzF=5g7_;i0wyr$~CFzz*vV{!% z_j(vv-NCw*WaBh}nXV(+H-B?&M_RiubL}zzGLD0~?3cRsw+@qErmz=RlSzlSQP~GZ zo02wB*=1FGuM2bfXx80_@~oO(?-I_Sib9I}TmPBP_0 zBX0azExLcNoGk9xK)m$TqV%`!kK(m7WI4Z8qWghE3G;bzAHUJ`)vgAhJUqsTkymvm?47S)SyJV7$aCU~^#jfl$1}vP2c|sCPq|plM9tleS>sXB=64 zYaUilHL>ZaSg^PUmYbiK&bnww`R&BiwP+F|9h^6fQBTE691x7-sruj;Qlptqf zR->Gi`04ocXAnD>aD$h`Mu{0KC(CmCN{+JFK_$LLBqJ_xX)A$MAE+2T%AH!+28~gAgA<=SeikE67V|<} zk--I&Eb$YRT*y|=e6A6cS4SdFjvt>JjV`GGB9c_7VU<+aM*H`Cn?X_mmn>e017?!k zKQqd#`r=pRYg%zDQM2TJqA_MwGczkwP_hN{8S0nmwdd0I{)xNCp zOPTpVH`Djf|u*xDj`UF3}>34 z;#gT$yhAlpnMXA?scfQXMyBghf?XLD1 zuTEn3oH2>RAfG`D4&0)l&ae(F__lxSW_%1~!@3j{T6A_)_^$cP%$jpjnZbQqeia*H zT_yp1d=?hzc))zeX%wVY^Xdmv1;*e?vsU_fluNlil}A;qKRPMLkFwLoyTiz zT8q%LekF%U#9VBqhZwW0tOtHZesYc^mvlxxD7BD(*zL*9-RNGY%}Yv?ka5V+vgL*H zo5|ihcJL9o$7~024}8rqB(Ad;A{x$ZcV(CGtH%^FZ+C2W&xnSnZ(0|BX!g_Us<8mi zPcjcrP50G#d2NvI;YZi!@*Y{US%r@<76lywwvfkOXFm~|)MMMaIX#tcF)v)T`3liR zX0*M8M!T zd7`|Vna^UMk`&HV4D1BH#k(+IG&PUmA7`N9@13z=2%Qj!l zkw^t*Vdv`V@f`AWsl=pqc>TD*Sxy4|K|&j>|4xM-m**Ams|cZ^q?H?y;z@f=7gc1M z?Z4_>@(7EQWDSqVy?~>}!1;uI|1md@_$jW@33P~P&aI%6RW}Q3-rZl~ZIHtFBR$gA zvo~;Q{d2<&bl}c>G1%%-Nr|I3B5md(Dh=yk6&if-m=)+Qf;LoiF!ra7M@*p?%r zZplnCyFwZKT~(CZR;ef+oyD$j@+DozHOUh?KO5sD7s16h*@S$4qw4(|Jzt(8krE_b zf0`bM!9m`sf3;6=R20s~7(jYv;9c15B2xvjsFRRN!N&Tz{@JMRSq7o^Xj*H?vfI|M zVC%*GBN&F}<}pJm2`2r#zb|a%AXVG*feqg4Svr>i*WvZO7-3@JETmXt5@HOxHrTH(O~lK8sFH1Z(r&c~`$d|-`0m@2Xszr=QZ^s1GQPS5 zT%otk#=b+Jv2z(gKF+r^h+KS)9Mkl+^3;co@jk625})l|K#UukZmpP&hB$?68pP4G zdtSx>uQ08X`7OcG=>pyx+k;5T(OdzCY^mTSv}CUJa7M3#x5-facddN5)Fu=159_$h zDKq!jOvbF5P~XXJG`tWR*^;q!_(sHFrkl&}BnA=v2=N$m0jXUy#L;p3#Zi&PY=bUE z+;VtN$92y(To?(7;OSt*)y3JqbX7n3t>?We2mWZ$rdAf2t80y1Z8Y8w_0k`+-c z3Q$Ne49BCZ3dU>FrF<+s+i#iuW5DhU%FY)rjHx`@G;?XSDGwTE*MdQ0~37}7OxHSybuonb{dW%Zui^q$=c>MzvMwvwjI3o7#yFv~9Cnw;VSJ-YGw^L>jN_^HJM|1vp zjH*oIz&w$8)jEEajvQ?H@qO{G|78c{Q<%>*cNDQY4C)ha%=^NloGCQYaj!D4R+rB*n{Q<2~7^VRVr*Lch_m>pN@ zj{dH+>*_or*DQcD{PswDvu!E{`q*sP)C+5Um_VkJB3+si=0jrXml@d~ICZ z$6%Pp%NFvO&kcH8j3KPLhryLcwVF4WFlny26Uw46q((aV-$%V_q-~*b_1r&+Jny+4 zxSINJ1I&>>7GTJi~QYbI6 z7xcx>BQ-J|#PT9{Sd9DLD`@5}L4RiPK(dB6Tal$3qyLPmx^+CW;d9L&cz>kVo)5j3c#e?LTn_!g1M6ou%w$lqa$p1}6+G!qLVR}g z-C5YzQ6A$o_nmkN0lpt|+gm>gvQ&fRJxN%E3jLHYAe)B@?78=Z%JnMfQT-olnsv}m zQ?=dejoH{3C?~r(t&TR4Tim(ta^}$PH|Dojg)dwJ)t3j&pi$`HhFqAoGs+%^Kf5mXUP^t*??x1qf|Lx(`$s=y2L>r#5qnrY^%JQ zmVdIs(T|jhtw!mLG)*e*y{m)NI!QRa28u=g`Z~5z@u#iJG~RDoZir3t;N#Q@)g}3W zuaL6F7_@IHy5r3!VJJVRU6Yn{cJkk|o&GYLRtee9-{SFL=qkV7?$f_HjhoMCUAPb3 z1TMb^A9|a@h%IQTX!g@O!3G{Cl=9n?rfpHhXTAiPO%vrp6J@gm8K&}Bk2Wl~7OPyR zz*>xG+G+_$CPv5`gXgF9pjg85aQQm-Az0>SNB%+WhGt&Vy!Y3AxSV`*XJvRM*yqjr zAjy5oVXnG`N2!ULN$32jiS|i({HbW~7-@XJ(zG0$yQLZn`hnr|M9m$a<;}Unj>-|A zphr~Fhc;+h^|6Bj9DAe2&fF?^wH;29sAqs+fg?}?3e$@Wh-j%Am(5(rF35T$93f6dOC_-c5cYw!;w)F^dcVOny@^h z8MHEd*A!Lc!`b09Pp#@TVnpNQ_UEzs?_;sM*9h`c(lU_VJzm`zXsW1}Yr}@=y4KOW zC2Y-FLh*1(nlE%8YAcuCDH5I;4%GLB1l`ABF7RT=Lk;YJ*}~vG z@*xgU3b31(%Vm5EK@+AHjOv#Y;)=~j&(pfxEo&Gk)6EDh>p5%(>ne<$Nm3(@ccr^| z!VSB1&Jz}*JW*0Su~mdlD>iSx#cI8U=_Xg*ORx`=#p>SBY%~JX^R(tnV^89AlLs_> z&LmF<92-Vjcy!4#R7*^+^F}mx(>*~vWdkv=GN0`j-l@5g>pb1$3^0vlz4P_?@va8t zUEFvH`z}LM$F0PM=HVz-?n%jsa`EkWzDKbvOm}-pA>slTCaghB88;QURMK*8eV6A9 z%&xhip&`2448C!cPVmH*I`~@Z8tW-uerZafK_uIP7%Y-g3eNH0-GHbqeh0BF2?@GjE>BH z9#4VR!Mvo0oJ*;`apTR#@$u<&KJ579^k(OpOPsX=IzzQ7 zgkGwMOP5c;*Hw2WU&fm&?eW!-q6bG;#VdJ{bC#EHCm0Y9lnu1b-BRaC>{rAKd*#7P z6M5KX{ql&YOj*LkfAHu!XF$v(Vc_TF9g!h!W0?l%yu4spLf??4#z86`Wp>pHa1WVp z6^}+ye&W5tSh2D=iISys0vK0bl*6KBXGpC$&bSb$XDyeLh^;<^z!-yqmm(>h%C6=1m!@aXVcmUX zU*f%*O#({FeI!-trs96YEqepSHC!PGM77-b)<7qOg#omgr*^7P>;i$)R>|H~sC?%K z=e5HS#pH01%T)C`R|z(ea4|#WNbG%4qOMU7!(CbJ7oiW=@QjL)sFRG72lj{Qu#$JP z7?Kah2MiDI>d+;-0`q>?Z;ld6<^|*>cPJd|zwRo3VXD^2Qj7;GzQz&;Is9fCnDVpaS7FX6aQ0>p(V)>P}?+H6s(W`IqG9W(P~~hJ@Wd zt%who+Gz`im{Jf_j<`=-?)(hxEHrL(W_69?!9tC59}u!acGr}%o-tK4cTic(K2?eo zUdI-`45m10xHPVRUS@(9yZq#d3zMk+tgspsqY(Wmi(-$la7Ag^AkPm2@)Fyu z^fkf#d93nv6VfSm5IhxnWtRRtnGXXSP0Z@bXv+IL8aMim+{qS`KX+VNr{_)!EtI2n z5K~&qNfwGcv%F@d($_|q*>%Gdtk9WC`|@g2BBl6lYMS4ULz*fV#*4Zt$F}*cdcqM( zrh_~JV@7w%=_1p28wv3?(yA9|dpthz=1ptM%h;c2jw^Zfrq?0XyNu4-!3m4Lm6f6m z&w-jOiGJF1?P+Psk=xhkEcM3eIY8}wfA3`{o7sXQcldsR&i?6ZLj)CitY=@A1;R0- zFz3>kTgyk zc^CdpNxx$({e%O~&aOYtvbusZ+Zou`<{65tT9%+mqK!gk3cx%o(zUGojY3S-NAL}Hk%1v%PF`+N3zF6S#@knq*}e-BR;PI|8y8Oryt*+a4nJU*+g`@`2`)= zqyQrtME+2VRoZXv_C%MLx0FMTHqBhTO&(35VF~)usUZySG8k;iWZ_U4yg^W>%Td;w zZ7g2Dj^l=gn<%pAGEk6YcR)KP;(JSP7i>CXxwFOix+w-e(6zcf4^15>@x5|j=!NA6 z*wcC!Q#tLNm$)?Vz|mQR(al0QBA!KZ#VZ8g?kw+wQM63rOMC9EBa6}?6pij&+~aKF zIMfgfo=H^ln7E%&&4Y>W(<~p~p03@VTF~og?~w&4wL|j?s0^xa5k1FOp0O*AlKih4 zV&US50BvKKf9GZ&f!{q0FK`pnT?)zbbD9%f5gv6sW>`T_W z7=(-KLeg%VR?)7ABlq>=)?|Tebh#;Ca1HK4JDT1b3~E~M>}n<{&W>ueYPZCTXW*vm z*ea`S4g=tJ7L@F~GA+-p7pxHMJHd8{)MM>#4aui+X-=Qa={|Hzf}Bo*-R?ki-a^Nc zplXtakyB_{0tYt_7rseBVjkXk&`O2EqKW&r84W29S*|+`f1T&NZOKnG4E#Jq_d}oa zOVn@^O`s+Tfc(*(*%eO|gfEa3s2$^Z@POVOmpcWRx;?+U7SlV*;}Bh1Gk~S56@CWRF@Y> zc--QwTtDGhjGpvZo3NGdqDhCK0`li)W^jb z?(1jf@M5D%x-nXsbVeiAP75W_zfncmS!JmlX!lyWy*t^3U9VklFY<6<7YvE^8-DzD zQ9EkBtf{`u6s<$+^J)y9b+cV*@_Mm^Uf6MWz~Fa6D9aOHkQ;qWwD99V_%cpOs!EMJ z!s*BKwtw4Xbxl&Wu{NA$Q)E%M`1l9cr#1SF(6Z~;y*y&>ruyc(6{{c43VNir3@O^t zVPm5m=A$ZU+6|o{#ob=~La-Qm+90Pu9M_mp*G&*Yz{$6m0wnB^k;{J;b`2KVEw2C{ z2Nm_Sp-YNu3oD6-Y2NM{plE#G=EJUc%0$&H>0k_c4?-)|@wEtRo3yY!$J1(xw>I0Y zS#inJ!XDa4bUN4}lPzoMHMdNPpUIMspO3}+%3^1p#_nRSW90yj_l!cH7!T9Y9ul(O z0oQ*R|6G#jAQZn>iTzztC9{t9nG`+E6L7-rXoCqPC7OzVUaTnHXtM6Cgmt43OfQ>{ zQkxI{0x2omg)rWKUu5QX98K4lf5=@AOGe8W3B1g|Tr*~$s*d0~YoK4-y;l;Ms^_hF zqToMEzd1)Y!kD+X!w*t@#-r$t+f1vp`9wW1eX=&$yevMey_#a*H({(CH_zE5);i!a zM5#3W4Q(pMzEzaN{;c;A*k3hDTDx-wL(+~5 zs0_Kx``A480x zN)b{n_0yGFOQ|evz6pZ1zuYyS1naedKymfz&=S=aMhbkp)w!HYh(sYGM)qSR9*O`my$8qjOA$bELD)XG75zyXsZ^Zj%Z-ml9` zn?-wTQ`OZ6t?3pTAJ!4NXs(o{!DuBTeZ^#7zv?op$k#UdHir(o){s6+Tekjt4|rr> zI{8dhAmWw8aO=sFgvNf1_Glp|*2+cg@z|$yYWeNwv}#u-=k^U%wS3ksPK!w0SHsrq zTepN(_mlH@PE47?EgDb7Z9!!<)fNzmtgC~vSq(OI$b+EUPwS^S+-qTBZt}=64fFi9 zH_4pU`MVb$dAe11JS<<(YxX|TQrE7CmP2P*v#p(KfT4KKH z_(9>yD(VskU*L zbI1VFKWxdK=$NSOI-l19Je;|Fi3v!khAGmi?jfd18TMT#N_F>Lr%NN)aEw7rkb2hL zo9aM?QkWBlrn(CH!IafLN0qiOUT_K{aHBba8^Y3yxdXk~y=pCx=NIy{`>))dN!F$f z62+xc8)x+<#SG6|CVp63Y1m<6=w$^Y*o?ZJmYv$y$hPw|O=g!r!(^#a`Shr#N$c3@k<;(^lauq4<+dj{E}QoW+FDjQKU*O=2hkR5joamm20~Nc zShzdC2D;j&akD2VVyez*udEO4$gv>z3Qy^0;zK~?_1%qbsz;ZI$`dP$wNDB*vT8OX zu8s`!;Iy>I2fJpqT6hP}9NiZL_R^F1GIU0e?8R^OX7iPyyB$l*pr8%+7(or zmkR+k#;e^4TfvJjEK2ul(VYv>Z&XoZ4-85t8-Z|(V=l0b;cMTjn)Sh zooE&7)o8CeAvwf6txKn9n28^q5~{_R&v!~SD~_;ig8#A|4yJHhHSyIjG*U!kPsdn_ zV#c8F<5)RVA|%l+I$+xG$X1?+y%`yy6IUj$+h-l^xcSM8Agz=un>lWf2)~%Au-^!ldv-gSM=JAmkD{NCj z4WzZcTGDjObDWiMMPsvq5PDQI&1oDJLOZ(X=E)MdqDL(~z)7;l76VWsXrfV3g+^Cz z;mTmbzNf{$^rfaP?5@AJwMjUka^QoorvBoXRy~80Gc8Y%h}G?CfTGiGb(7+^$P#PK zGsf5218}Uk*HE89x%xSp(-ptwOW9|xl!Uivn5jca^+;Ab*-*1A(FpeVh{KnpCX5qn3%j${wdmnCmn5ofKN%@@Jp z@EvoKdo10lMz0U}I`!aEhU5DdYD=6NQlpBrlLx4Wx_takUg}Q)+yPF~QxT4*YW%@uua(sWsgSdb=X9D+(X4 z};2fK)2>Ktlm; z7IuThGB$Gy8lo(V$t9)crH-~$^xvgRr=Hslh{Dpv?pSzIltJ?on?hT>yWy!^v^468 zyAPocri$scR-uLzCrBjID^Jeht+-YFUl!Xe>)v{M0OyEef52 z%@Tbwrz(P*>sVZx`&*pI5amwRa4%FhXI^}sK!g`k!x^@69n<#)yppV^`F|1OoSVlL zYH2&a{dKyseD!J6Bt-UZ1-Lb^%iFE=Gq0@)%#4yYoZI#Qzu2T8B$*1abg!1<2 ztj68y7gqzQ3qnoiKAJ7!z8dcTUNyM*A~#ipr22X(!b-2A)a%xdVFTfHB{t&A2cKbI zPToG`hHJ*xtNX8iHe3rD*z+2PwLJXjXl^tZjyTQeJ^rm|p&p#&44z(dg$H;osb`9^ zjvTG@Wv~-UnHsDp9bRUv&fc0j=iEd}u`@Gi227-RVh%studt_k__2FTR<+m8OroTV zyBLzij6}AwXVi_ck4ffaSG6uXXC|-#cd7Jgf;g|M@|!$S_yT=Ymud23oM_9~7aD0m zcNW_3({j3A76-as_u&-4FXh@4r1aYoqT~LqYzmdUHzjypiz(wVX`~VUxQz36)nZI) z$K7!buU%)tW#fEpZI8jj4^kfc-de{UblKB>suiDKRjl7LN=iAOzD>b_yJLJ2HQjsB zlgeG(UyGDh)%tbvw1$n_x=lKQ#<0^d-}Pm+gQVNa8n5YzO~xVn(|hRf!Pe`k;k;VI zEd#!tFAg!o*BCAS$xf^|ZeCpWXtD2YEgD}+%hc4RlH|z=ZyUM4Z7X5|e z)MPGMF;^vWe%N>HC`2g!5W2ssYTMytZBH&b&)O?4)5^AQKc|`uxVLLpi_5fPuy;Cp zmvJ|1&vdgH?@`ZvQ^e6h%)MAtYCatMA?>!N=i{Vuiql%`NIKSin0n)I+wNR`!Iu2d7ukWqm>&ABXlt8VF_K9OBj%nZmQ}kuX-^tQ!H7^&>rq z&Oa!^DpkcHOhC!+ApBkJY!|-ivTCMIPf+4};n_Vzl7M1&Ng^X64=S|!O~BCCtk~d@ z?{p(mbm+p)fb*+o46glEV_2T$-A^ggjp@C{uXRp;ip|w{pHtWxPOjuoozJ+o@L-Sb9-l+%YkEu``e9G zc*_#Qu2JZ2Gk&XcrSkeWbWOPa;tT(n>nm4u0~uxIzuq_jH#J%@1p7JU$zzME;!W0U zh}xIv&`q9OmY&Cy?AExq;^5?fTz+6;@m<+D|J=R@z1+42yQTL{)72pX;%t)t!q{5?#rZ7#!oe1o#ogWA-Q6KT zfZ*~h;7$nnmYn}N=X>9(d+S!sbpPg&+0mYUW~XN`ot$2!e?(X0 zS0Ow$+;(mgja=qBg@LJYN|yC&PghNFiD?FNiRlJgAH(}u$9?iU1DdFj38a;&5%%y} z5|Ovm1!wel`uwtBy4NT=0L}jj`F!={zwo^XsYzi6OB$*DLN^RIJWc&eD`s(Tch=$MPo!t zKc-!|bQd_;wxTL}5KZIVd42I`YP55@^1s}KJdCxLKAq`UK*Jvas0BU%VC{)JLXQ#V zU<=5^p~DK+6rH^4Tn#FOw(&1)k$6-U5D7jH1r=QQ;VJQlFzgfldAjm_^Hlwer&h5j z;)kNEEi_2eAN5!|@^zbE4;HoRs1lyO@T2Sf_sN=^5I4!E#c7z_b)20AJ#SC@8!OPh zl4g`oR8kcb0&AIfI=!OY{pfVL2SeO^a|>!CFII}84F(EyrEgc)N1SBfIhGP+89Nm#@asUnhL!TmE=V$eV#e!xdtstasawTtzM z{BE06W+U`7Ieze1I~SG`G7&qMIhJ)%VkR!|iCJiP)=#E!Oe6k5wvEN{(MarNI$^Q87>`&JvgN>IEj^+qx3Ta5p2i=ddqmqBd*@;CA&U*zyxj0 zn63BK*)(<2_^sV*e$^<^##d88-IiOVEgM!nGaB2jL)stjo{5<;oSiHHPlEpHOSPy5 zSY~{2f2N{p#U(k%1P_9I1l`)hHTa(F_$yXlTf${q0;~B*+d{euC$+%g{FKwWsjKA7 zDPoEF6{XM;Ln_kN**NQ1edUPm*R=h#C83pKyaR_`g zZt`BM<&SxH0h{?B=hbZ977c6PAgJiP2Wk}h_*F6p+To=5)&m-S zW_c2}<@ckrD;!*UDcjJ(I|!38`X^4A%oF|jsE|26VXuvhlB>>8CXTkBmnA0AX*L>+ zYc-<$_1MbOzglb+)bKQLHYOx-mON|uSq=o*Ct9NNT@(;mmluhR6~s(!R-DJ5)%aiP z2-l8~^tdQKMf6=M&@8%^d7WOmwpuws#;8uYsuAr*fd2E5z#K%xAV>l!akK$*B5Rpm z1*C21AJNm$>*k7ge;zO=)y%c;CWH_!$VbE3cxiZB(GY%%HuD%r6ddmn=Dq0L5fxye z->*hGP80LS4v?(cS{du9~X5nU) z63d3lck}9OXRueM!$|?|WbkDjoY;rZ@D*U8q|~JQ=91JL*d;LV6)d6L_qI=sKkBsY zX_F!%@IFA!;S{V68bFVK3i~dyxI~YEy@r2J%=tx0098e{s&hUWCCb&kw}XyQ9%i`W zbVG3mnCgvR1wbF!wX*p$<4w-Faz3xPSs1s1Rs&O+cNQ@eOt8y#qcb(VpJp-rVr66^ z?mVl7cD1t>p^+dXvbiGH_Ob_>dS4#S`GeOJg=sk)L)V4@ju4W{To>r0jg|OP3DLzxCP77gm zraXJcSK_)^-p+hrbQ;4d${-a*rrei*GUt0C8EM_$GnGBscd14mBf35izDOU9W_!L% zLybkcW_`SOF%9l<>XnLo`rf`Q+v8(e)29dX+t`u!j~26sNULZb%Bkid%jr7DDApAU zi?1M&DfE~CDn_C9H;(Ua%#ZE~d^g!@-`Hb0oF|sirm5Vp9XFll$zxOkeWWq?eH5Gf z_X#zhe>gP;h+-b$-}SEOTk5CIqmzJkk>8g_j9K*1Efw5CQ&hwse#EAnv03I>LWdAa zocipblE(M-c2YKMic_3HH-O8!zM~P?6>ncGyWj34jqEtDEpG7?VkYx(?`Oy_uX3-s zqMtcjC_ITRL(G|iM(4(C+7(sgJl2Un=0c>C7SU1!+O|w=#F2^eFe)(@>@VkWlUZgf ze0A+cojT9rE}dh4Zawp^i1|@4O&CvC)I!d9tdMMIC{m!aD$AImn4etM%}(+0Ed`E2 zh`Ujf2{e`|x_tlc9D6$6Ateh-y@qAh=)Imouv=84${%(KTCJl0RZ!^bnqI}@+mnm@ z=cvu|rB(_5VHT4Y{x1=XN>O`@qvhdhY^96Ouxi<;-yo}UA)_~=a zC_9TBp$-J;cM+Z&I~Tm0-Gjonn@5fO(aaF0lH>A8=`@X{lZd8vG|p1#-tGT?Bn<2P76C>%hXa$l02j=KshO+#ip`d=7fhXLOr0}FhO>`#=;hs|whyy2KLX94uubhpF`X6kfSfFts6F(`FMCOcoFq$RK z!%DSw@D|%%r1hUG^9vzGx>2M$r08>~`C%zA5>4XN1r(w{24I9mxU#rh5b1neN*@J& z!y7Xkyethpb#Yx@(kVK`e{g?*Y6TfJXo28XR{y+mgbT&e!1O>JcT)eZGF+q>4Lwq^ zMXiEF5q>kHC9W6`De8gOa&Xl4xXM|=A!`!Zaxs^)YK)pVR#3ly7|kXdDC)qn0A+EE zAkqqAbQP#-Ru!m&Fj{IUbrGHdxN%qUc{;c`$b&FS>XijZBW4p{v6niBu-sL-a&kBA`LJK`H)H0{eO1JH7r(@AL4N z6E@`ilM|FZH0Th%g8>1TelUS6P5s*()y&yO#s`{kbgimdSjqk4)##db#dT2Xe=oEr z@Amg@AiG-{kRp6PL;=`4!wlpGVu)f_q4XOBqX6iFn6&^PG@D@*tPDpNq^d=d)bG@$ zZ~(!z<)EPgR~ezIrIFNMQJ`LmU8TmR2Lzl&Fl&7b+F|YQAVpZlFs!SA2`tW=8YBuz zmZ*$KmY}Jkmo+q1iO>cuyOuOeR)(tt#fdEoLJ{SV8x$JW;y6{PkL<19>sS5TAy@!B zSi`z_6hLbybJ13E|9K6%oqMrECtdJ{9?j;3?2g+-mD@?@+0qSz%s8$uF`Yn;TRpodM?Kb z^mJrUpAI>KCX8X7D9pd>gybKjqgI6?YY3{7NJGUT2(A-5g#UDGnkrgZ*9d=x7*(y0 zMLM9$c+U_P>OYkM$`C!kmg9Es@&9Lakbw2}Y+O5wd_iVKc?h}r~|i**EsD9w9mz~`f1 zWXiHc=QTnVr%BGP1*poC9ovXhK`+BJ(X+h) zJAvJ?gFv`Ef!&3}FC_Pc9bVNF3@GJp3|1S3%9^WhId%eFy)uDluUa??{Ei@B&j-g=3Bf#!Jh*z6jrvH$MyxRzh8x zxw6dv7qo2C!d4i83sz0L^ zefjku7*)~`t*uK@G{|3$B`lL0Gvu$3Fr*F+&Id`FcY*O2qvIe4k!lK8%2)Y04Z=}N zftW`wRVpO9YV0*1dQFC^7O|`$3+>Pg`NsFragnrmR$UY}Q;)T@Zi7#|+H@9dhUdCX z0V-{Y^t^r4n=&mC{(Rx8ZLZ1vy!q(MzL zhBP$6#YLc`3?^Begwv?Rq=5!^8kHI<2G)G#7GBmh@zpV(bR;0wdjgBU`GePwq z2C9Z8wfAcHP4Zq1XN$CI6f%jxaiITxI&z0?Ju#>b>Q+(4?`>#Rf@~zQCD2LmP$hv9 z$J1ZS{#Et`FrX%Q9FgE+Tg~>*2sDv+r*aV1t{hV#lOYA7po*oWs-QHI3(F`=-$4h; zj?^TL1Zv3S@k zZk{gcP9{6A#<#RTkoKmNTL07@Bkv_aGF7cx+a9hl8WR#ls!7s`%Np@s)G|S&;J|;Q zzcQto6)5Fv3)m{bWB#Z6o;;4n`_}&LzDZ;e#7k$3S=1ms=702H$b$1_8qzsXcc!$+ zE^ps%ggGetOT#k$${|t=P@(@t6_hSa#kUfEgN_kpamwPsL8P?g?=2sspV@#C6c|K` zTcmLj9$^6zHvf`CQsmtQC{U^~f5lG{8C;UkYVUh{*ZeDX=s;ozHai*ST~Cq)sdS^Y z8^k0P3h#1f4&opTBuuqHikT@%L>|5hb+RYct9KqGXOpjT4#!Z6bPkNMaVI(*-5 z2C0ouQ&MxNrR4i>*3k~iXs_BsozyX&HpO@<;CwFfH@hJnR4#s>{PuWTaq$rp6eP%r zJ;N2+yR`pCs1(}znPSi!=_DcT`pUsK&MEOO(6j&bX>aH5?mCvB4?6Kr(ybI|o)cKW z{UdvDzrHM_r(v4_+#cu=*ed{T4yg#UxGI7PvO;@EVtsmgxOscMyL$0xvh9||-{UN; zw^Qq1)g<`%#VWF6X30LoWLb9YvCk7E9$NKgAp$`i_(VN>CY4t6E7P7z-r@A}8=2Fk^Uhag3i=7}ZBtSAzdH&!FQrxwlZoqWDzB037atykak;Ql;#{o>{G);9U; z0rDHk6GPu6xvaCV)%-8K(4PdXd?rq#$8mim$;3G$vAIN-BP#(Z*J znmshf*VpH%dna75lsbkZrSKm7*%hkMGfK68o}PPjz(w3j_k`r^*7ZyGMy_pi9`2qd zPKb|tM3^YJU_TQo{>UXCrxmX5AYZJQ*zxf3{A0-er{xq4t6A;P!Aq!XD~*34$hl$p zVi>#?v#qiBH*GsYm=WF}y5wF?irhfV`N5+8^m(yf89G>6=w?f*`}sjJDo>_*L3TUg zj4(QE=kpXTlDzh<+aO-mtB77ax z7E)MH=f?$k1bV6`K#R~IJl;0?g!(UZ2e#000t7m6#-S+!I6CtILr4s;&0=z*=d%gQ z)^w;=XQ0BJk62I9oNZ|eXeq{MV)&A|UW?Js01TjX6O}H*5EZRPLA45wQ>F_F(jlR? z3eL;J2nf)GffFUsa{V}4(K(J%(sY_58!KFS(W<^>Kc;KdXRFAiz5{QJ@fOnLdaBw| zjb^pMn-5|-`!_dtPA@C9w3YK8pt58OH4Q8m;pn4v{F!Iw)iJbio53RyD}~jc%Gs@; zFgdC#!mZc~aHGp4*(TAJEW|l*RiY);3xOc0M5G)IP%i{qvJkc<=RFirFSJ6d@bgZ( z0SNs5#~p^}_y+EK{&nqAH=AUh7b?*YWs=_NWUGjeAfAT0WD#q{UWhvd`hkkMsDHs| zGxb88B@4o3jKSMmkGF@XyB8NiArnvKQUL*cBr2T1n~Z$F#}Azls|>w%A6lSS-;Eu~ zdI5gt%i4c#&x8nb|2X>S9X*i-edXA&)6kUG6l177G8_6hpA&OAgZwS~hl{D%PGV@K ztFHeKCHte+kydkvi5o@DL?IzF1SM=jmd(ZbUWpN=tCi4!=Vy=sgj5G4VGi4R*%~Qt zsW&#eY)PI9>rhkzjaiaBOQA{m1o$L%x{>4t_YQ-? z#$8x-EZ^b7tQK)Ep@KL9JPUA}6UU*%IjpFX->)jtVxcURWveq1SE%rn>k6wEx~aDN znF>+)Cl42od8{W(<>`t4Ja#gXN+E;)&d8wH5P9oEGHsemzQYCi0}Zqj-@ncra;HNg zk6hdZ&?xgA=?WJKpJzFP#e+9HPeX~rEl%@bq5SIxDEeto^v)_G#+%ba+upM&b9lcI zI3Z<%BLL(}2;r?q-u=!!vwr zX5xEC**I(k*SFJABpfza&Nwz)08A9uRJXBCKW3%M2sj-Lb(9pee_bf+L@1LyPjCm5 zi>5t`g0ttK_Aisv3`B3rmSf&%hiv>`jPB!%p{a-G>%;Gpd!KaeKR!Ye>3vBH`d0(j zsq7+Ozj*(4YnRc44&8fx@(?8KiPA=K?Z}Pm*}Qk)|IICkA5(hNdPy{Ld&b+Ubhr2G z_T*}Vjz$gVMki}2A0Mpg>CSXqvYD~57Q3rGi>SqeN66-SgUJ}UAMvdV|1(jK-~^3n zC_%^1u(jAy@s)(ywXddL<7n|ZfLMDfZIl|)w3`5qVox7$f!yyoUu6>}DsIkQe7%1A z;>7D9j+TG_%HNgqLm&J1ijde;M9@i*#gy?)LcPq>jROuk5fVsHj{M!Yi9q4% z?P}w8W58dClxjP66xOY+Bl3b{$@b;5qQ~pX^J}lR?b<@-NoV?0^d}+XaVncs7gLP4 zr`v?X``2q1>FOVsQcAlSiu3zsa96J>lWi_MBEWd~5 z{+V}%wp=#)E{pk@NM8+S!L&MzVs2pOHdAhOnOf+=Wf2axp~g}^Vx)Fo|Jl>yMcS;4 z!CLWp?;}lk%5K8!c#%uU-r0`F)6@BVJpV~UmzSsa7aw2mS1E>O-e8AIqf!SMP0KJu z+bWIZGT$iljNE(AA~=cPL2G$;gjxd z0Co(@DI_?npA1@hP5d3V-gMzK-RxK!tP{I>{ibj13LUQ3$@y=oF1UehcRD%1LR zlCR6$C2Q?hZKw{wa$(0*UtoNO1$*S90M32K! zY3km_%=?;pw+3jmXwViRH8M?Xx3sy^=Qy~zBcT&MCnwjc*SRCH&aC^{E+dzq@lqsNL7vEIA{1X)#(+K}SRrO>6n0>mF*o~fTfb(gskdQv1 zs-CJZ#n8&a!p<+2R71um(_jZLZ#0fdOuVVA;xb5?N@zUjER;hnaz9kYwd5A+^4YA4 zQG}w2bd7*Ea$dn4LZ(((WAuZur@h!c<1cPW)ydelft$6q4a7!<3?@~ZmAK8UtZz3S zzOM(@2Rq$eR?Z?!V!zKqCzZbIFDy$IV`I3#op*b1E~f8DA)pYD@QS#ESa=4GVr?N} zvaaF`BC@b<{-xW0DJGuD3XQd=+_>|>IqghxYXd)*OWT$g?TVA@Bk2b zIL!xNkPid-1WkF)Yy!>b7rMWme-8EG=E?_$Nq><4fS2<1j_Dfw?cw#o`pdwtUvjPp zwr6(qvBIV}t)iy&t)kIJpUwJ-sPvXbb@pMLsLv+<)OOpSBpgUi<2i-YQu5eLi>Wox zciumUrO-8ghi5unnqsZ2jL=wnydfmW5llJM5sSo?VKdgg*?7bH?sb_R+kLex_XnKm zmC2>cRq}&0aSse}W|5irvxVc#`70?Z3?w)hF?jfCUK`$dUYq%OUV8}YZ#ULRjpUIg z?0qpHYnzL+rDvG(CY5`f9R&j_Fv4xI;^NIo>bjd+$j~m7z&H#T>4w9ioik9NCrN)= zJwTmVQb$X`7nIF4Gm}X+l%G;CR<(M{y1Q$p*X(83He_SWgA!xgVr74nmelzcN z?Y6aO16L?`D9^Y4dwGO1;Y3JzpElwRc?U5f&5ZgQhDvpPJ@G+&hX~2;fB}IFg=r&% zQr2$3*ZrII{2_%;=`YP~mkZP;TW8(zbF_p7=Da)xrqF}XlK}pFN;JokQ)V#pj0?)| zHJ6!o6Wk}4$F4#X6}hJA!`f&mi#g*6XM!ObL<6jP3={}@W}|&STaDmo!HnO%D#Kq+ z2cM)r=pU)5d`iPBI3(y~*Y8p_7(m6z-?9^rxWcKs=Aat`*M5FDi-QAE5=e<@)0%*k zsa3Zn%j;m&=SFuj8xFNmUF0l`X~UH>jip_uN0I%h!Jw1Jg>XE;R%7mEIpEwbPow7j zq2|97qZVwTEV91owxmEKCRbdwr_C6{KGR~ezT_Vtfth){C~oU{L}^8r&=mx=5kY=w z+}F}ROM(kQiEQzX0)_)@oy&h}&PR*~oNLbWP6dDxc)IwGch}!3>PCPuozVAlBBXPq zN8#LWL$qq#@t=C@lfh$nfewp#WvpZ}N&gOONDjeWAlS6B)@y-*k~y~>stCs<&yLiH z-kh1H73jytFoSMn&0&l*mF^+)SB9 zqkI{z&_Q>XCs4O6TaF7O;Fg}UBbA?RiWGgL-Z;x3eqh3qr7elD!beX{1fs#+Yi;67 z(%X^3S|@k#5GiGQAfxwml0*B=`?gA%Wcdt9GtY59msn)^sIe>d(C}hxb&?Dyb=E(QW5k4JX_pFn8(HX_W$Q;K(S*5%+;t`TnL=GFKEeVSI$XNW(elI5GX{)uKu4V&tiS$*!#_o>=9 zW+0Nfaik}rx^bi>%KzT(6SO>!Pn}cWJd4-VhUs#QsFNIK4x;EF8V$E!m>dTBVGBL7 zoIV{3ZIT=jL8ruBoPD{NSH5RuLwITjFet{nUMO~tdOx@jryfgxIDcG!AUHQ30u_ur zFpb2Ifk_g5Wp3UlsUZ*IuKEQB8gB=lX z<3nZz`Z-g7MFO7|>E)qzK!VEx*%XWdo-v7kkY-3%`b~I!jggZo1-go01_Q`Z&Zi;f zSOrjQS5|IF!2e+T6Mz#DJB0Q!fPYFNLz17Vmoy0OZIJT{-H0@UOQ$1e{0)&%gvncW zD!Rzf#CKb6+VS(iPR_HK(ZzRt;bT)LMK1pxG{7XaIi1T>WaY^ zN{B&-J=?FiSV+}VoNXZC;jIq#(jQDC*w`6JvL$R<4AMeWB2HRBBa-Fv@|-Wg?=?K= zyM7u(>kQ~T&(~*C(kmFxFFk0t{6V&Rup>^@PmQ<#xE^z`vuM|MGF8-d=md+ZRaVw1 zW{pOWe!MDfJd*uTimZQCKkv~|tPwS1{>8y&J(?G(f8TjcJX8Z*zvWkpynF1YQV3`- zWSf3Vpu$f)2zH(`yIkjvugi9Oor;K4?Y8buzs$X31cC}Ps!eCht-ofOe)(?ahM3#Q zH{5uh5L!qrN=7#yCT=l<48z-qasDeG-(`qy{TD>Q!brr!AHO^ZeHDZcC@#Hp5fC2= zOf~JxY3z$jx{@<)G_A%z{T~ARvE-sEP%QSduFyosP|ifaF@*-#_8gf?GX@zw!71tW66X{X0tbuAp@u&yn1( z>#XSAO%^4VYlJIg1695Oqh6x57VQTMq9y3pjRp&*$x?m>8g*7j7$1?V;;q)5Woequ zNPiv!ULsYnvp12a>liFrftS=rpa6EWrwxDkY!W2MTimr%goe*+Dajr%*+y@x;tG$W zO{sSCp9rE^7C-KOB*@^TZ2`?I4SFpsYBA2_HEMm2>{ic)3Nek-9gK zUc(*%&9jm3<>~0y-8~9CPYVa9iCbG1uLL_`GIhygoi>Z#L@bn5$*24VcZN0iwcrTMNeQI^cbDRtd*`Q zM@<850d@d@0iq}@2vB`d@Du`~vZR@?7R_PHmETWX0yDGy&5FAdz%BKvguBFM{52D= z^aY`HFn095A~j_MJwo%&o|1PZ&VrJ2l_LhN!ytR1=%VlQV$=R3{8wzshO^Vhfvue# zLM#I|%t7#a7eFCCY#Aefoe4F{qap6(YT#lX?yGy;BbVi~6!U;WC(d+$qu^a#+wzIa z5B@CVk&O+qFWEF^JC<3&rgA?&EzMkOx>gtQ(2}VIsof5WJ1XqV#)t3r4CHfz{?A$I zk7Ar`Nq-2WGXXdma+jyvQ^PhSYkZhV0!V%Kg6=~82%%S3lXPTl^XZ9V>pv@Apwh1{<#|&N^ zp(C)@Xed6;Yz|>MPIbm4o{-CwT(8_cc2bfru)C|vflESB8f+ofFTCySyXm=XjX(VS z`hyy1-)J2j^dJs1q$n>N1EZaC;F!EkrD zfqj(SuQ)rt@3v07C-iDg=ct_gsXa8nUFpG%givwy_MBj6H+C1`a7o{tMu`nvfFyIw zusCk~<5o0uLP@N`1U5%;wm!7q57V)Jg_dRXR`=C{ZMUt9oS*L-vYt}VWlE;5eh;3S z!c#!sl$>BqKXn!@g+YLD6rra^Zq_XOIgUknt~^$ji_5AKJFJf0oAAoA6vEfQe~r)ACorPuG$VGc7TMY6yED@6lQlL{?vph-QtyL5Hliaqe3C>I ztbX=8l-T8Gbo7GgVl(tEx|on{qfqwBOdQ2S9<+M-oZJvmKM#JcI~#0%SLR+P+pGL; zpF_8r`2cwA_rZZTE2<=6*VbKW_5-XelGruesBh;}gi_9UEnB2h0+=?P5*eZNt8S6( zRN8gtmKFd+4F&fHIk8RkAJ=UDF$*qka6{sHhHvxhpr(ppBJepWl@O*^wWJy_566+PKF zH;E+Q>G%r%^65lL&-u37rfie3lZY@4fF|DRXm1rSWm`N6GR1=yeobV0tzznGHbBl6 zL$EW2wdrmlCN$E z(eyzEu@l}lMh0pSnK&T*Aw9o;aB_dc&`^>u!9JVRtci^0D-KH`fl0|+6n@CU^y(C| z3~Th0CR4`wL)`0Y^PuzVF0?nQZdzlaaT!TH?KJ~4E(X;q5}vh1L4F(Y1+kcjc5jF4B@ua#wk^4tZ(4QA#k97OdWz@G?nTXlN#(=NNRCMfe$KC~K0nmpj2iC0 z{+*_H)73tBP3>&be_v!vwCCbSB}B<0tAw~oICEzEvcWhO-!I%FL@`fg@G}Y${W0IY zxg(N)XlXlKyx*0g%|Q>AFtH(jcfZs;rqvOi>GK2)`7NDs=VWbEJQ?a~PTF@rl{)~! z^g^ZnhG^#RaJ!_#Tr0d0GTe++Mh>_^$8c^MU@66Jl{h^|v0n3j_?ULBI9qYYx`cwi z*8F5!QM#iH+g^fM!sQtL^$vdGR(P&*N}rfeNt%GQ-El0N*pK9Bu^;)|tvoI!1>Ac) z=-sQU4@Q$wlGDVBo(Q{1BYzoTZeKmrZq&z5ux3oYB^tZxAnV6)%Y9dS|?rCR!UghWMOD$r>Cxn6mZ1H5)uyJ!{w*`Se^IYEutYh=|wQ+m3 zRKSNLP-iQobLbHbn(85=meWRYG*TTT87Q(SEt;is%8>JxNIeS#{Xl9@xEJ!c^Dtpm z6)Rn({5pc=?7XV2W%z>_Zc`IEvP>vuI)=7RfkHf>8guOS0IZ2N!Mq3}Of2Mgy~d$S z%J&$>Keee;u7JkW;tO+}`^G#a_ePitdHjf+02Miru~0}@st!wlf}&&7smqLYJxXW` zEDIcBklPu}SMNN&&pcgWGrC>JH=m+E=nyapvBTz>_TPvle$d%d^0hz}o(MV!r6er! z{N#T#kUc8~N^K}5NJ%4qe{hL3VgpXCLR)zuY1fi#CgW4rV){@d-9`-%aVx*g!;zX% zA3tKj$CgPy4F`(}VRtuJ1Rn!ox6ATBJ5E^;{eQ)%pe=KGr9RB#6-YxCIj8v41FG%=slgck};@PaP zKRvTo?$1q{v;8}C*cww4M=L5&oF0Hdh8Y+UUddcn&V!-VJa}a>NdF@d;SI9M2U(*~ zWa3d-i?p-2fFgYkfd30gLTE?%b+T#P$4rOf2d)J)*3|dFZ0LG}J1)Xu4UYpMRn(23 zjwS`-f@TF6?iv%1u5`N^*#Xv1&l^`7?(=!Ywv5mj+7xvk{3t144RF|TWDJsqtz-gR z`KphC`*GJT*=Ohj6ot{gIReqkUNv7AK0ZWBTAG2}F!=3dHiX9O4vqc1BBSC{C#QqWlVSWsQnP+9hp_Gy408 zjDE;^lMIdWkF*@Lk+yXA;(A_{D&~0dorNgjGXXRsN$Q>FcaS((sV{pP~!W=&(^mh6{|j(@%ylGZ_3U@3T29{7Tz6 zsUeI`+=}KVk6(_J#gap;5X0BDKoYHuJJX~kR8i0-INKe^)P+7l7B=TBF$;J&j^ybpnnoM$b4G5YvndLI2H;hokd;=>8c&=}Bxrcc0|QH5nD)LdYZfHt}yeaqB^bL)W*$)#KUq;NARf_v~N49=mw2nY0aC2^`5F%~FDs8wb z8JAf0f0g_8!&l$fuJR4JdNsC6ND30 z)hH<_Qa(g6Usv^eSJX)>N6$>cN=EHg^>R{{fSC@1k1qYKtLTsC z7~D~@KQB`{^_CS1l{Qipx&-~&L03_VrwqfbYIbCT&T$GP)1QmCoxQoeXUCd2iB=&* zX;jzKJnWiNVm*)QxOCAHIM63kg#a;`e-zQ zIo-SdRECNTV=8%=ennx}CxKuCsd`911FD}IQs)}x7aF0L$wTL3yLsNm`;CcfezWwC z9TWfCtsanfsdL}M;esKtisrH5B-olsx1^J-nT42S{?C9Yn-o`nN*Ri!Nsu%dnK&*E z$^0lxyrg6pJF93Dzv>=6$y41J*)NPaEptFYJ@p5Y3@JEa7`Jv*Ka!tLriTbPMnDs#^8O!iLc}!aTJ)_@?aqi(Xaz4nE?t02pPR;R^X2WqO#me@Q@-* zD3C)+*&zvbxru}nXwh16{=^_xhZP_U2oR2joNH6XE{kVTCNpNKL}6Ldm|m|tp9KUY z(O`4d%KHE6SE>u473mbeq!TaEF3o`A zYWD^Fp`o&^jV_|b)b}C_Df(e5T_cN~pnwn|Ah!=ET67~6+|C{5tHzpS|?V?Jj%rxBqfC=?c$EUYro zh;vTO(D;p(JmWy2tg#CW1@RE16>-#u&1YaIEDy#tQHB&jF_Tw^lUOPs$XFY}1b) zyXFuvq;6%Yt1m7oYdK%F(-0#ju8-muG5tio%Nlgog+|y<2vHNFW4)-)8xTfe)#)Zw zPtCqJI$Eb>6a^ z3g)miZm={w86?yQ*q|Zhow61V8x3SPw&vmEqhDU2s5Pbd10%`t;B1DrXp`Ae@}#LS zH$pN8Tae<gKuoYTayirWe<^@7gRmhMc7zBpFAuJgN23th>mM+>~i@d_7 zEm2=AMT)XMSbOIYLYza1vU^QQACJ#ngSa!83^|nKc56t`Pd9iD9bZhzmUm-3@4oVGt63 zp&%r(Fv}13GUGrDm>}@u7_WlWz@-V#(dsfqS3kHHU! zE4SMT!%XH9Gk*=}eTD6>F+iBiWnun0-1~|HachpisT);Z+;fH!{7zAAGV*!Sm|(%F z#8J3O0(xPACg`P%&8@e&%{+h!kv%k>?c{rZ#8<`05N@|b5mupuqkUa5s) z*e^!FM90tRqVdG~vNV#0VO8xuTV_cRl+Y0i&7vpXJnL*lX`ccL%@LwK zs(wQnILA3dMFE+z^l4(R5(h8k*K*G@=EVz{h3PVLx-18OPSQmfdiLJRdK@0TZO8FZ zilGTXV6=>p?6NVb%1B29NJ@KABk+btMrNb0Luwu*#%WQtA)S(s4RL;W*{NAXN#hi8 zv_m$Mp=8u^1$AS7PCX5$N24qaQsUsUy{IDNI;2bXX0liSUAd1# ziPIpDB}@m#AAa{)1qt)LSlo{?wby&hLW(e-qd+q96+xf_07Gegl+}L4L)szF^axe7 zG|A+cfN^*#Z}K06k7LO~Vukw65t-p6r&K<|d1U?h z#KCF16zmjFW;L-B$(gh`M3~1y$lLo39Cz9L zVfuokD8UETN^u;6J`_Pi#BLSE65tI>5TxE|_xu23p$($+N>tj|+e;gT>IrKzNCIL_ zo(w|iuN~Vz^v%Tt-sP!#&4C0I40s^o0!KsN6>{RYIPfFFk>HLI4=|Dra?4;liVrUZ zv$T^Ck_A!vL4*Z6U>R5NEG+fqg12<^K?sa%gtTygjQXqs1+4WvH6l?9@)|l6(Lm?l za8{g2!Di0OX3m<ZLi(KDI z(aUvhM|Z@un?)UV)h1{zqk&3C977h$u}V|FX%lQh~L zYsmeP%;@!RTKU-T?7e&RYFcA|VG{jVyv6g2b??02yUuUtzc>*sBJDoSN1nJ_4j8}l zMEFzd$8$NBCRw%l+f=x8|Q-nt6|Lm8|WIiBhIFZSpxuV+*XFnv^pW z`$JQs_&|2E_4p-L1#g~RiD#ae<);_8^hYe#pA1FcI1=?^9GOPSQVTB*jzNb*eIzOL z&0bP6(7tPk$|06}CCQ?f>q=#vK>Z3sHNkpeo_^OT|4aL2s^k4*-`ah%cFXab+sN;A zg2(?6sI0lLS6TW|Q1MMd<7;Ha8>Yr@hKd&{<0X40*Kgg6zaLcZty?cv?6zvRIzD&0 zR_f*UvT1W}{Jw!g{QtQ61|U&l=Fhcl+qP}nHs0FaeQVpcZQHi_*0y>3egCVgyPHZ^ zcRI<;1eM85rhmPzN7j(;TXIe*Gf2*$X!pbvkM%{`;88M+>>eV&SDw?S%cYwtMYEc;s$)iz^4C|{~=J4z{ z3lZ`(&zFpR!Wku2DQ0u-8Ks&4vo}3*HOhN>j!{pOB4^PZ-$AY zVGDX>+CRUA3uLqoo|t)uy{&dmOw%oZ^@$$X803#>EpoP$*>4mYO(I}X#!xaS;?YH! zK*gj?cG6GA@35pJb?7pUphz9t|3q-0RK%dWX)U=6c8Nx}8vF`@CBK5*ui#YrEBI!NBCy)5ar*uFayAhmDVz9Z%WrTfAbiqOT}N&{sGyoNYw6f3ijMg`hO?~K?@)FB1yjj3}i^D|D|2;&c~RJESmE&gRSMyAS%lYE5ut zTdqfGERQKplXamPwyrQf!^b+(X=YCH{XMDo=mNd;8;`%SM*z*&25oMfJPrx1NJ+UphkE^-P7e9V zt`1XJm*FI0q|3c6ov@5=qB63jVLbUruH(YcA-V`G(T$eVP{#+Oge*<66m=X=y$b2o zc7rM%RFj848}(cX=thwMHo8S2P<7Elf{}$}3Mm=?yg7n&N!F)Gt{}~dW`o*B!eMx^ z>w+tVw2ZIE7D0*_gw5goP(p|WayoemiwO1_&+o@3MM>eNrx~P+U-f40uUaLQMa2nC zYF~0KeV_qjI(nWYRl0vwnTy=%-b4=cX#8k!vGP9NXCg1@45xx}j&n&l&k2f7{zO70 zXUoYbw}H&`y(*NCe$lHZEYDgpeWx%-s05@r1xpA1cjEOs=1eGn;`{aPt(}s>N|OlN z96m#l=tbk!oKY@6TW0*u7_HoHGc;exl zPtojrLlKvJMF**b(&BsIk%!w`oGi&MvNe$hQ>H}D6j=g!?8C1GWJ0Gcku;V|J4eOM z?+#~6=+U2EUgzEqyxWh15>fGRtqh;;uB>Z%_|(PcI?deHOx?zBgU)Y-N5e^%^R$`G z*!zZ|8^W{h>9?kJS!>%rb|s3}RJk}#ba9AAt`o-nHdwZTBS(u{p@S7hG&pi}3C2*rB1?1ri z1En9NoUKN@J`wvzdj2z$-9WsyS;+k@O*wnORlXe5S~zErmL8U04$@Lj?b|Hyr7Lr- z12fvrK0I63*LX9NW^PH1!qV2O9+Q*B;*S{cx6XQC+4yqPX69{~uY?}pn9L$w3VDG= zKFxEEjhv%J$|yCgSx=TXhtf)6m|&JsJ!@%~6J4z5#&LSf24NmWFo8$dAcIq`4=iWV zLl6=lhjZD1(ZzT%S4M}g)?U7Zw0}d8zeKoepHR8j=Rvu^#l~YL{Wtztp44yST%x;i$V&}!5{@`XOMz$G)zJuE6IIbq`OgU(#?+l;eWx24_U&A9wfd0HeMjaqJW&GtCxRQE#ViwH{wr zHKlNv5tqs?R?(D_16mOdNnk#a{7%jS;B=c-zgXk#C+Q^rY*y7w*hc=Iseqw0N06C_ zh-Zc%GaVlL6S(5ZX)gapk{IVU|A1o{PpBX^LUw4z?3m0(XwG|hVI8n_Ob*gMEf3M2 zq6{`oHiXEOcGOGTD{H`%6ZlKR}P(Z>qu`{6m4;> z?DEEp(9P)NXA~b_HGawMtm6^?Eq1~0X6g}RB)xZCH>+q38K!g^;_bkRcz<)4w8uoq z`Ri#l_mGi-k2xuS4e533_ZFr1n3>2(OM!F!87SV08$Rmecqo}Ez8qP^CsvZ1L%bpS ze`bOuCqfa@k`M<&5tuw1TrOH+F{N4*iz6mt;XlNFAxs;=PPk_qKWk*4qK zH@cmKN^I3s*cEh5j?qvQ6(lmz6zRuGB0m9fbA3AfJJJv+iNSU`GRgnjDH58Djy+Wj z@{7`#lv~kfzq1S!k!e!D+tsn8CS${dJ^xe+>2JmiF+PSVIAY=uXqKY+)MIZ8HUwFt zDz?{i_hH*1lcn+Bm&7}&ov?9=gu#8%A;lhd7yBU-lyO6*jYEb)T;ktQiL2%z#!Y~A z{2NcARM5EGgWJ5JTyHH}oX128Hg+oV_IGJw7L8abmzYmOp#EW}}RhJzXt8^&Q;&s^M=5K;NMzSfr z`lgDVXstd~RE5FWI$IQl@%HI$>kXjx)^!9;r15wlV#~ zL{!+8Vtm(cFNBobWVO}p#qDMKSRzt!4~G)OPd4B&W^9hGH#^hX+wh=ChWU;va23-# zsed$Wmt(t@{$%m)?HOl=H}gi8`IRQnd_&fDXka{)#EE%)`MY};mk&k>wQL?Tf^FNb z_54veFUToJR99l~9=uw7+^E7QI7kB@e)_F#*LQ19uzUJw*f5$uc>tO^sLy`;uNs0q z=M6AIcN%(BjN1o)Wu`+y0$@w%ahnz%+pJsWF)){aYa8bHUkcH!w%cY(X~LB&5){D z++2Zb8?>W+Sl%Y#YB)p(uCudkZ2}S9Qe+s-`p*gxfdV=TV%C)0K z*w}`ytsK!BUFw4{i1di-8~$Lh+NtY!!gd9!hsiiyDHUSU`q3Z6c&1JqhTp5DYKwu0 zBcHGT?2r)b4K<%{Ye6Dv#^o}D1Vp=CaEsKLSa)Tb_s!b2%yykru9o^VcJBT{ZkkW} ze5s7Ms|T8G*~HM&PeYNWJUkP>hd??r40v#RSng(>!IoRIhI=w6#O>efhR5wIEw;Sm zQXc9O3PngKAAN4DRrayFxAW%4igWGzaNyZ)Mz8dIJ#_Kn&Q4$3gHt(uh=>#co{Bd9 z99an14;5Ipe=!kFG!=Ya7*n&4_v~Ef*s!w`uQ$dswk$Xe2#xGVy^k?Lf4wCHe`mjh zsshq#Y=F+4|8wl^Y8q~M;g53ywimZG|}bGl&&1{am@DARBfYK{~*dI^3rR z(3x?_sn>9IkdCd`kar~X{~q&oAMU>Hy;}=s`%Ph9y7FUZP|R-U$sNY$xUSeMJIyzn zFMoY!KdmX&+-nQH{vo5z+6pT{X|IlfO~&8i%yO+R>8MI{m8pZf;`ADGDR3EEKy>W3 z!aZ~b;WT{P^5jzciVXuM8g1m3`5TsHyY&xHH9brC%McKB8Ear+Q77Dd0COW3P$7;g z+f*DP;-%Ls0s$qLv-dlzM_byLM8!1%W>Q4wS^;@+Vvor>#n}^Vc094s&p1u4nyIWl zB`WCTm72H3-3G!ZCM6si!;a_g(4HxITdc<-=OvCL=sdAx@D4Gh?`x^V9WbM)Qu>O7 zQh1sKRTFiHBdTQZ8#fXz_DtMql}k+0Dp>Z}O#J-@fp{A6QM2F-gZPA%G12DJo$Zgk zg*r=UVP_0S{c^}XVd`<02O|>xa>#;CP7&4I{YLh&X(?g~@sZa;h7$UlZw89Q8(r36 zC&QbXc>KMtg!!~nQ5P23NP>}>A=UJj5f$#X5tYp^b^j9Vj*&4iHl{{(efzvQGjJx> zRoed>^0WaEGO-(IqCC;Xx}ghqf)#HD%G?Z3?F=8^j3|1_^_4gFx_pm&2l!9Xk3)z~ z!~&21zmTi}+==b=qP&7TZ9_N?O8$KKA+8w92fhSn1!vVxN-uBxbS50 zn1cnw65k^4I)^fNVs;alia!|Mf~R~ap(8CRfaag2b}}95mZ5q5&O^R-kO60TV4p85 zWSGumTQdofBkm=frgnj`Pg}@KH#|g2&a=;|9~cVumY^anu8Lt>5$EsP{wSlSHBZ!F zip1-^(WTM1rAI%9OjGJRTQQ};F%GwQLMa3TQp&_mFH404B7^NJ{{(yOr39)DsQWtq z#U(g{F-z>cubRs($ycoOE=b09DD>PDhjGP<+$oymx2k93P z%6$Fn&IPo5Ush376Y3t(A?SDgrh@-h971IIxGxT|gY%&*5b0|^8Rfo5S4fKMW*t$< zl=AFx=sGr=ngVSWO(e&=pgqssEe4!`w40CMAN)171Zr*3>Iwb8v@^ypGF_Z+^mS8* z?hRaLNe>D7v80kHiK71u*W1S~3dsG3ckvQ+b|bP5Ut+9mK!bM=n?tK@%QCwdj-_T^ zUA+G?nAw^?Vu8^ST~QEUZwx}ypx?ShEc&Tt?wh!TYC)1|8<*doE$gr-K#{GmX2zUG zwm4ODjDK7sa)is%kH=lyHK$Bpl+`n*|L(rmG7j!O-IXM`J>cvhI2HuEsCZc4%a<*N zVN|FuYcAG6Z%TD!Kk<{-zn>?wv`;!*bG0E~*yAWOi3J?o7B ztJg)|dZ4Bx(935EP;p+gdVi@~#{ZFxIOJbTXv>FproKFQ-s=z&inl6k=L&No5`;mU zQnv6h{ui5iYj!rHY)KS4)cRIJPWXwfSly~B&Q z`T;MwJf4Wpb$yl-c4jPkA?F!2YOI}VQz#6qYof3#;17l!m#3)C+azV97}?4;uUJK+ zr!qZU0MZPL1uHQjBN*spG_GF{gwt`byOGyfg+H5mT#`MHVe@r<;K3Vo0+nOsetgtY zHECU5-aE16GTf!nH$~HTIS`R-num6?c8HtV)$)g&>}KgOyQPa6kLz&iQ@_*jMP0NK z`o-KwoVYBTrX43#Qr|zI2EA%(Btzxosyy*xc+%#3ly!nHh_PWBtV<4jV+sArOFB zN@j|}(1dY3vh?(ON?2r&2S=x$a%xi_#KYl&%X_5J|;wuX$58Ex3_{Y86d*v!y5-#E-!u$*DWP)ko0YbjmPK_RmsO)#~j7e=mWQA42 z3Jc8=r!bf22O7;Bgqe{NqYQa!0M&69ITN(KG|g}|YxnMsh(DFd+?t!5XwsIgYB|WMptpRR#g;Ybh>@PDC&59Id#q8x~Kw3`HuW{r|YaxOD0dk zSxM~taA-g~KwlgGU;&ARL8BjHmE%(S(RE93=Mm`M<%S8N(u!?25WQm)!EmLFD4zO} zdlxl1n*4!b){rxX?vRH0>8;JvLw{TQ2#P3`REm1V&R~2aaG4X%)G1DU+^!84>{<=rOMd2ZXkHl^- zZK947ijNX-mp3BYab2w?WM!vUFeQ`jNw_#ASl42ev;0_W2E}XLNyvWnGCLZQEg$#_ zi#wd1>TmF?oZ;FXEZ(7*uQ>CJLsItJhn4$2RLY#UIxAs~gu@S&oJ{UV$-ytwQm6S;kYDwj@#mE%J>b_N?lgGG`%2P%kXpbw46PNFWyYc2nAc7+g zHEu^_+XX8p{{e;H(U6&T0J_te4-ebwtl#bj)jTJ{6e*v>h}k{z-MG%5+Z;A{M=PQ8b*CR*_ZMpnGB;#_S^HnWtg9!0}G~8I!h#F}wc`}yZo`MT^AEnU_$?q2Aj#h2#_)V}SH~`^k zIOHojN6P;=0pV#n6u4d~%a#DQNEVg%jToceqIE}eHg}7Kr6)XSW#JABTiL%hYJEA* zOyLW2%nl|RC8%gzW(O02ALwly=Ld6z?evk&x=jZ^Ha&t@ok}f_51L#lhY!l?0ClaX z&`&PVy=9plH!icpN9u(3#aCgyBheGCcA}e6Iv{~Bta&hW#N_s#G!jK^PTVYCGKF673MDmripoSwk)g3c=6y*< zKVw}!G0}8*Dz8Fj5z5iA<4CrL= z)H>xFms(KKjhgjfn;x%Yb}^(4`WG7a$2AOi&(^3QWAX(G8_bb6|AU5cLFxfMH|0S3N`51}eC5|kg0{oa7#&j4$$RK|#4uqeH-e#*bo*5Ou{)BY=3V}Kf5VgMX}8L5nbp)0VUTjuGXGnEduie zLKKeqZD^@p(AUI5jq5iL3^jgWK2%M`VMR)|r*x1pKvyp`` zR)wG~YHW#)0+~)Ruy+1Mzf?yJgrHcMgb@oh-G-t`HUU&}7E^t-^~r4#AbnXMGCVFy ztr%p7L^h^75O$a$l_fAry>u%T9~@023}lKwx`i*_WO_Ns7yVbBJ%Z`y>GOa)HeL#FY0SI2fwXGN~iv!L(JzF zx)7vXT@LcrMj0-htIb0`6=8f9Sgn#M%CYIsQ*FxJQcRqdJD(!(*xY9pTy@yb_vr%$*%dn+TL)lT; zVC0H2)pbejIaC6-&n0m=m+g(V5nW3)Q72;hxQHqlj4|r11hgl!udn*(JyTfmkN*Y| zS-JzsdzEy0aT#fap&ZU~35|))_3ZVpE%fVHbmY~CM#&C^DO=eXV*eX{D=U(4@BBU+ zs#GX&=O83NV4lFq6$RN>yY6Ek;MyoAR#T=f3X=K6ExeWE3Nyt+I5KW3Z!ZxyeNt4x zjr9~#kQv>os4<`JLtlpk8yI2^vAGBT^Hk6O@mPiVuW_c~oGx<`)|JD-Nob9SP?(&P z3f3jFt!QcwG|@gd0>D0Qn9KA0vmgmUTPR;x)9N1rY)-taag2Wn-2AI@+fIIo1{sAJ zE>HENpc1);c`3s0kF%CLFiYhMhd}^JJf&vn3J_Ki&#!=%pup2*`7wMYchqiqGc}y# zh%rI#bEx7H=s?M;WZ-K;na%+4v63NUXn2V*?sPR)R$dgQ_lWfCfhb6+YSuU-%KZ}C zXl`@zc#3GTU7qK7=SI#ILA{$075;Kvapcm#{ufy6gBwbBFBm@mU{mll%ovE^XjMC0=CR(y8M}9 z6>O)+@zuRBdY z@cq72yI;#19-$R$0=TG%O8$MoT(fvyE8>n^C)-GhT)mT1X6Oy^9PO{=Gl2BXh{(Ug zKPN=KROXsKpMQb$x=Q8nuU`4FtJIr`=FB#ef7)jov_wN5%0e*GLhSKx$qmhUSS99R zsbvL?9s)=cHP+gM^9#t{V8HiO@QSV2`608N#)L-(Xfgj;P}D0~%p!5-7&n($1BsOs z-3(bzY)fw82FT)f9=n{#Esm-%j^EMxN?FB|oBit}KNf$7i}UpdF0iz*&`7I3ui zGb3Ba*39538_8ckq%0l>wsi-QPl_-n_?WRU5<4$-GGmTca}*XkHTS|<&#sek2At*3 ze8?5TTW7atSmXlCuorHb#s!w!4sj1s&Y`k|nrg7{uva}kKU|l29KK&7$0E*g9tk3n zPG6cdbs3bVLZ5IYmq;|1vEA2HkO|peS7bBw3yj%3QPlZ16G129Mm%a7lh0N=JF_hB z%LnTnC>Nkvnt4x;|C&vQQepift1-(NeAI)%IxQxU*h=)}`6Lk6&Cn5eM z5Pg}@^IU}*{dE}0lP?dh9K^dk>0X)uLV&3RC=M_ffQ0O^YY_){>GBCnud}D^>o>RLPs~nDzr} z(TG0~1)pU7yVIcOn$cb`=so?OVtp@_<(f0 zOpR6GI5#uxsd!^<59c*dv)f4dP}CJM?`X?IVp&9Cof@%_Yb`q@I`{M#Hd$m^30dv4ovUXzy{+>DohA{=C*W> z5|+dslOGdq0*~ZQ4h_B;WUt8zyi=5Zc~$N^6x`d7QiJclW*Im?VvzLko)d@r+rut& z;u1+T#Y#C@ovBp@GjV z%pf-U`U`!OT~753J`?)aUE5dM+}U*F=EJ@3h29z-TP(hHYUWkD4_?prvEO&@&(rMg zm$>@!Xs++`8T`+q*_XWU3pl;sgV|T8-=jJI`{Rr6ZKCVda!pT4u8-?rEPv12{3L$w z=X`G0=eM2D%W|#Hl~wQB_s7PC-FNNJD&BE%}%VH44=a*I~YIO>)JsrtS`}R{HQ+ur<4CGo}ck8IZ!Rn_wkusE^z2DCNagV-N3ZDkaauEq9<_@<9IE zF3x8r3S70H-L0K62g|qT?M+W=U;fn%{P!0%CEmt&b-y2iyT##e|J)@{ci!~==zq_) z9`9&c>wS-x_I@nfV^&`@c#qI0%({P^M_-xK|Lh&XZ-(gTcO(10oc?Rr*6Dq^s(g{q zW3jPZt?hAmdRlaJ`ii#G({0~1H;S?A@py_}9Q5`3+W1hvX&B_?+sv80 zGP`}huwjfjtMD0RzkED{udUVV^fYz6RfzW5-9P$Pr0w4w=+_lry_`2S`Zkk2-tUR2)45;slNah`hL6CitF=u>V6StY z88<~l-e276tK}n<%^qJZ;EP%JPwX0FZUSSgzTfAabAqw>G_#rio+@`c-`Sjz(Z6js zNAoK&+@)D>pY+?_bIt|yFR4`sU5Qg4^t59nTJ(G8BfPtOAGRx7+l-icqppN5}SYrgRnq=7+D0000W0NjxElubOhccLi(0K$F= z>{sh-XHVyD3m8V>wyI(6uExkADn#&m7%~|#{)aPhursKAE$^1TvIgpyCz;fkT^>F5 zk)VgVL?ZreWrWwAJ!5Iq?niYbXF_ww)a1E?zR_XX6RZ_U@TTO>t@+s$XRxege}=_2 z8+jECeX`6iT=jXW^ycq4302Ms@CPvqxxj;z7u7nxK>V0_Vc=@aSt}IRJRJylGjun) zpO|pX>fy0aaxy*thduRLQmwp{I4$v9#z}m-mEp%s6g`Vj9$jMTOfjiO&0wE%z^1+= z_riD%iC3A?ZR+l{sQw&g4tVM;J+;@0#&JC=kZmWFBh3d{FuSp*F&nR-TzIGhb@;|; z+gI*;ABT8Ea^k28l6m-YLBkLp6A2+7XXFAd#w1P_#1;*-fs!77%RH>O8};5gV(2%d z2{suq41u(fRm;!wQ~zkbibGV8AN^TvQb(mCHBHNPN0WZrxSzuWNTFK}4p+CJw1-a# z&tI*AHE$SO6#SNPO!Ucysr#X~;XV=O!%EuB;kZqtU=T+B_n@UjM$Rozq*5#J!`Vth zyil3zfPRUVtWN}vIkyW|LyJ*5F(K%k2)Gw+b=hpiR5wr7fohZya&Q9iS=ObO;h9=?ab6o4chTCP zfyyTbMkxc4o2{FFg$~N4GHR0Z`36+jm0HfUOX9s)_enkUH6%6_1=T;y6@-)r$8`8R z>@NG$p02?HCmZ#_ex0kfmJEcqJ9GBk?U0KQvJi2RdTG>Cb*6FyD?zp|tdSs`KDoVV zX~Igl0U=Z6Ypjr}(MifnbWh#F^fgTe+Q%dd`>t^KP~+hyOqfn3&yHszY$uq@uE?pY z_x?jcYfh;%7+LZbM2T%C0sXSIC7mG&$yAh=B*&euaU>*D0Q8+-=<9@TDSr1IG zaT2MoUq#flM|ryvn^js*Klx|;U@s#4B3ihWsgBEQc4Zgf6otuiOh^xcTojCVV6<4E z$Dtf8NN-k_`Uo2W47$~x1>!FRFcuN}?ae;+}dhSF^&2^mVG*=A3KV3l&jdUlkqm%g9G-L4PqW8yKE4O27rH z;A1|Vv=!BF7A+z00lcYjYu`54-7&iTQvytgu~#hmWC+A*c8}mMXY)#S7p#kcOw5O( z7z)kX7>}D(PNnyH$R)TK1xB_h2)VxyK%Lg=rl6sG)pl@%5-&|sv@IsY{YO=wf2-MIc%B)cWyAe;bXoCQyj-PW} zcXfGDYx!Mi7=$6Y>+e?eotqW_0e?_c0kLSfwPo*y8us_RHmhr0Sng0xFQTxs#1Xmg z8}Yehx=BE4$)w9?j$tI0^0J(}Z`((-JOlJ7XxkgJUuGZVZLS@cUJ<1i=Eio-1GqpgJ#O`Z&NV)y$~6?%Z^n%-ago+1*;tWp zSyH2~2qqt-G(P{Pq}3I`lIxsxiUb#&ydSY)a?3;o+1j?2OJi6ANE5*K_%vjv#vRiheLH9`lNaQ3w2cD2~>NMu6mlo1cMD~?fhXG;sUHedTE zyl38h$6WP@Uh9ItV*Is5Fcmsa7{vwKMsg6TMtD`ACg6tgY^!KO(Ir|hE=n(O*ozp_ z?m8(DcL8-wy1;xb^}0T&ilshe6|&mY7#< zP3IhTn@Ak`0Vr;!-hl@_5W<-IA9GG6$B%`oo&3y2^;WT)SI&5T(ZekSUcVLiUlN6W zmoDzmR_M;VX7%fth(+(VDLzYzMKf)^5gC_be85E7RLfe7Kh;q$pc^ zk8$6}u(vl8*^fm1X?EU5HL(2tf-+g8-xg8R$H>z%F@!j{TfnK%{GDKL8xR@2dDZEI z7BK*Nb2H9#oz4a_>zD_|$&j{ENA@~zn#+I7OgJy{6P`>U#>!vjuAc>nYPzxJh+$yk z-PjrSk32U2W?h|bZRiPdxHZ;Zd9kYlt>!brtV-s9av#FAn-EV5-saP=uRSw6J1VJ@0NMfVQKrH}$1%+c^Hd55MU= zd`uOTNlg!Br~FN!^nww;gFInlsxCm=jqc>~Jh^l3g1{+v5`E!qw~@Xp0|+Dbf!#Dh zrD{t8SaDkYb?TW*LriF>AogaG1 z$nDcwp>Kxy=XF96>B?r2j8E32NlzKzu;4m)>mEbbePD|OI@9Wn>?#?k#e|p(!C97X zZnH$U-TRlGM-=E+-pC4>p$n~ETUCqxsl1WIxKtTJ0x4AHPbSHTk$^*Ku;4_lPF@1R zlmG{1A{4Ilmv+ZsJZJ5|4?j4~o0MZA3pb@&C9!;l*s7JfpcS&1(GwaWAcx_r^&z_! z67!_Or5P84lK@94QuGV^KQ;pbC>tNCZ(U3TH_yL!u?0c4{hyOR9K-~ zvR$d}u*MM_N}Z-j(R0)WEBtL{>ra=8fJkiqZ!bI2DF8Kp@uOGfIgD4og>`tU`oh`uLnvP-P|JH{aK&?NaAQIcjmS*_7+q922Fmw%lyLJjKZy$Y^v8 zoEmf-1?HZ_?}l3BcgmE)Abv>*$VVR4XF~@gefk@T4+gGJG{C@oRap~3TH`yNVAGNf zY`HIz9D}3`yr`|4|M?ggJ;x`zqE8Qv=USsHFH@6x*>j&0%dmh0h(Nse`ms&Us=_7z zb#b3XQ_(J!NFU_gW7D5V5PqOMFQ@k2mpDen$#R1JvOQ zh(jiwCp*8h<(QyG@n}y)2InbPnXV1AJE!HcHdken61Jwl2k6bHv4g3IP-WRQEcx*M zpJcKB*F{dj4B~psq}FQtsL#>0nl<&Ij-HPKwpC>Jrqv(oO(%J9!Y^eAtcO{RHlt25 z+q#K>9&&ewRg}|oGbMwo?RPM?H}*x=&;m&|@Od(G2o)^lpk>ual5_EWLDn+5CsKwj zlhL0}QMOZ60N!3Kq5#l4uf%WFoDaJAH(Hv-=@}GiQCwElZ9PVzTB|e{7(z#UJ@=dJ z*J`S=AkE|5=P5AqOoFb?oR}L2X78A81r7}ow)F`%NY=;YL9aC~XRG5| z%gf-=@{jEaHVqMG(w+caWg;9KQS`r6lKKv-Mboq5z|74Nf zSMD9Z7jHsPQO-VhI|Cx!2=y45a+kp**8Vvsx@95Fxd5=9K6%huRtxor)^Zo%iaZEX zI3w`gop*#eBX}VA1Gz=*PgnLQ8l@A!^}cHroI$~gIYdOJvTVJXu~miLn6nCD{x+ zTZkz%_d(IEl0(i~Wz=l0&@I*M_#a@P-ukw1yWO7)mF>eu!!7c;Yx8d<3$P=H<(%87 zuO5@0TOR7Z!{l>_U`pK7(SnZ(d>wvW`~F%?C&v`i4u6kBy5sz*^0ca9fqU_tz}t$h zRE~~;%~sD3BTb)d2?ZkeL}Sko!54ggG|+T1mv z0K+=H^MaoOidS#AzWmr77Rj-Uw1Ezq;up(LE{45=eCqAn2U}VIgbx^VsefW-8sYX! zIvltu$OhoLy`S{4&SFck&eELSd-Pf4Lv2JGvTX@1WxUE{lDZ=syDl@yqfsu@L2O5e z1`DHC2QiVbO}nv>=DA=f?7VO~ZiF{}?wvPWUWYfv9N%A1xD%x(PL6jV6Q5mqZ8jwA zh~-aNrO_Xz*ZJF2DZpgmG!;Q2go63CaGEj=&L?@XK*QjssBJKfXQu8}RwB91#c`ND z_mA$*OTy4n4g<%k=*vpiWtd-ky6p!6%;!8!1?TyKd=cslCDCKPHQMJtlo}mA^QuE5 zjbW))ABKTc=Qxu2oX9kE@?cTKcnym~&NWYd#Dc_&K1!NXJbYGCG>2j(qziwkUhqG4 zIrH8+s)zkm97OuySh-nK$N11UQdllNY~6n*MXs2*XrpHd%AyAYMQdqTh;I#csyNO) zyuAtUB>>{#RtjSZr+r&8#yLt3QL27Om^ProLDre>Yho%N?x_08iE`y&XC69}mk-2P zyQDq4C6Mbkljg61PGH`jipt(w{&r z)bg5Iy~zVTj+8~=*86HxQuYZ2f>X(5 z=DsFd4i!89Zrz3-F%N8*bSWPI`ehYdrj9rZR=mjSPO60F2IHwS4V;pprQsqu?B~ocpu{cRv&5M;v+%MZ4^ee)crKRLvZZ0Q zMW*dtuSbFU92xe4Z}zk{k~x@U?(j^pn;Gxlf3fesO|~RVu0G2vyZu<{F4*!9(JeOd zR#A9_QsBola^&%wY~8UQHtCno2wr8ND)<+-Z=oraw;$_orDu=9i@F%nuABN=%Rh~MLEWl&t=e*-rns)@YhjQ@kM*9iu0UmSI z`Fn%FMcxw>$;31Y4HP#x>v&_YFHlsi5Cn}CSq_0gGZ155=`~Yc8qjf#hZ66}gnyTU zynN}`pzx{o$B&>5ko8{f#e_ID(Z5d)FKGZp@WBKxcu<5}Bu(?H9*M#^%#lKWb6F|K z;SM0J6$q*S!ga!G2bs8l!dw(-f5joH!_k2l!KG0bR)^Ftt6zQu9i_*^dJ7w^4WLIp z(h7~xS`IJV?G2dFd%cb9vv5VrnabsnigG`OU76?F2UP5U?F}&yGKF4 z4GD#j=Zrv<)__5BgVd?cF114T=~L}wVUAicQa?0uG3uw5&PkNa+1eJp=yt8$s4b7% zc2i8?Lfpf9jPGVJUD70m1>nzx&>STb(D5=M&xRBVovrT&ii~EP=Ec6h=9YDu+g+^oHWGaUD97nnRhX@ zX!U?$+h`tLH!Fpa31ecayk5Ow1b?QS>eCnG^*HY7Zt>{n68DFj^Pnxs+9FM?yGY77 z@+K;N+wQI^5QbzfztuL+;>8^BEt0v%3X60AiZ*$rNp-Bqb_J!`cyPlYkk9EOhjCp4 z8IH}p*rsE9hT)UH9pXm&0nO4Va|cj;dfH^PnyW4t0Y-7dMfUE8JhQPL_AH@`dGw51FxyA0}n^9hD zyTPa?E2e98xAbS2#9b+&1VNC9>C|Bg`eH6YPsc6gX!q&~(fOGIRSOhEw)V}oCHDKh zX)+V|6MfEgr?`OUA{LmBCZlHb0DOBsFD&$%13KUIm+B#^(51z2bw9!>)i>~6^@C2(*6O+&kD_uO=PA0*&1US zis-c${G%tgSpE!C+I~#~lt})>=#d!fISB&BSQl%3m5Lr~ruR+9q$JiC35-frmz^Us zDevmNrBD;&(m-r_-OB0#ki>^Qti=$$gLHyctICsaF=-|Av65BMQm>&K<5)gMPRa?8 zv~M%sfMg?mQ$IuxK~v&*k^;zNjNO@r_plv}-`;0R+*etfG9$cz=3$s2p(LzMX!Fs){S2tA9Miq_Dn}V#NTCLqm*a1fuXLXwA*tJ7750?rgFXVkP zF!_Gj%jUBc4?1!Qjy_vkMng@+?&o4HAQ#5v+S1Ka!=_eej8{JI2M}zH91;Tr%PSs4 zF5}yaAl4HAGkfy_LJ=l`bdnfBNT7|zX=KC78|}9Lnp@qG!nQ2~N0mX#^T>= zArx3aj2`JctX0=5Eg1<7D?}j+y61W4B8&*Y%9=Gfrj!DoR>M1%*ER=cRGNW-!l`cE z^U7XvIVd-t6JX2j1kQ;={-SoW zQ*}_-K3@~YT{+;fk>M#|Zbs05;k6wrjI*r944(R}5gJ{NCI=Z7=GFTtIi@3$rIm#O2Sc8rBeQVp-V60 zU*%h47U|a7S++LW*{;J7b%-VUV$RJB;XoA_(q3Kaj;+x9EmX3A*jh4B4%;jU?k0X)u8*I% zISYjpP5h!2h#vl(?cSyMK?6(+_7tndI@iA>DX6Y{*P}=>wccA+be`XkBvH_9%=sM6 z$+_L}bkog$t20q)M&+QFt6tlh+2J5*hJNq!jYBseFl+$TBbu_aBrx}MW2>mU6KmMb80b;Wi7Jp9tj)!mL(1aB5z zeDh{#SzEAnI#v^q@GYTTCLzlE*ZOv`OT{pXzfZV>-EpLq*cHre@{+80^BGR0!>7c>c`Ymf>-ydpIryC#i4)xP!c7QSj?# zU7>)=e7GPGdH`RX5W}%6n=D1XW z`c^=iFo0y-hdKeQ7@%mCgVUOfLJA5TAHvNutIefv9YMVDHRWDg9MUN!ZF8oW+?S#* zS8Beai{+XQaER9C3dOM=7pMJb@zDW6@MuPuU##ul`(KT*-X=I57mJpDTP626HO1h;aq?hhaX;46F zmk`ONQ&^CY6p)gXE-48C0dXZnkZ=9_e*gD-dG9%Q&dfRYH_x4C&Yd|k=ec*EbHfcb z60S?G*$TXivUUHOw?IniYm{CYpI~FY)}i#o*C~T28uhriCKdRGf5k+?;9femsf<91 zVCaa#DEz_<#AVq2xSQ9Qg={sfCr2L0&R{2Ngv3^@kz7f58ZIV9kkpYQAEI_m7G1j< zR)Xr1zgh!z>Fx*Gn}ysvN;gQk+77mO*pVTO!2s(QHv9-pdzZvEq4YpA%map^c5~Xu z1hAu)t<;)F0Jny{9X-@_I(4R`qwK0pbYBvBTwqu)2F)KUV~V`3I=I&hK--?2-;)^wybmJC~Z@!uLfob0097 z>jmV7HthH-BVaxVzXH;&C?{)rCRowgRl}pYL-E#JJ^y&PR7nOP{jGRzt?%B4kIb|` z_&P;vZ5`5n%-~K(ERTFi>lBF*i=&;N9X+gZu^mune?47yf=Gg?tu+RRfVinw!Y=|7 zV6==?>8R;BD|O1#W6p=f*Y1*fy=pszbg6J3kE|L2JsvP{BrF_SUUETX)HjC$6vkm_ z2bF2rk?nrgBR$FSF_n`%^5!_`UpzwUqq21ZVixIVW^$hJH4oM6ZNeeA#NTnN>dtoXj9kI8#J-WStIj~6Qb zReAKnYXRrMa#knIl^DCWSU;aqTi%d`?$dZM$wR7k%~<&@s1b&L>*J8~>V?mUShh6T z?NyFrV>6I8fV39m^KmnfHFg$1G@{dl>Hf^XpvsD!U0i;MpV5R+*emg}a>Hs9$)a%X z+95-yhQUbWkndC-#xRSVUrBcF3Q8-Gu2y6xMOw@o_q)k>SQEb;j+_`0bF;4$xH-Cm zW!$sO;K$_FCi}>OfQmNqy;!~s*Kib~p4r&dfDB!|(& zNV}qo)b6N94BH{Ih{y_E>qjbwT1DnMB>j`HD5jGqh1$sQ?rS3XIB4xH-F9B;kz3u$ z%$9&@FCaL?X2V7b_$F=w{?5P%wkj@}9IG!0=&)pC-I`N^)ymZ7aY*=B2&uY?&^H_k z?o^jWgfBYPFCF-pBMTK|do({Useh14S5BG2C^z=*wN}+e880dog@lrBfUEH&MK;)I zqDbm;F@n=>SeCI;uA7W_W+f8XE>v< zP@!gi#8l4SqXA)+?eKk^@mUCun`b9#R&lDEFT>-&XC{I8Me~!Q&|_NoEX3i6xxp?~ z=k19m{5qA0J;;{*LqV7F8YKG3hC>9Of0+lY2Rx>x8ZwY7m2R2v1)WIAU07FlN`!LJcwQ}*`F}I7uqlZf zMYv4RVG)ET;IeN9Ej0`h7i!SO4-FfVz&_0-lJZ0*Y*i+g1>JK=O{!$mMUi+d%J#j$wwJt}KV2si#w}fgg4UK55 zTPj!2^|K>86>MLTzW7pC4CPrAL3gcIdHRYACJw+sWl1k}^GY;9ZnF%)^_)Sel+tPQ zjET*gfgnvcK_wU)R?r&->&MlqWmpE?X=)VeZu^SA z-bz$0H!>a(eItu|(;{OrEzO4d5TE;VWtwofzZ^-^#ptcJJ7)gqCyYc_5li1o z(XLbt;u`O!k4Nob%}myDI8ZXJ;s0?dNFft}6-DT~D4O~1mEZK%`ZbqUR7_O63(soKqst%ws6ovS)yxYDyXd<% zwgpd{rT;ADBw#WLN=mb3E&o~bG+u6Dg8))uoH^M;a^_aqjWAp#rU%z;Tauq#5P7YK zNm)x)V1zDvzVO)9CEdrp-A=E(V=<%1#G#jx(Js$?JjFOh0y8ivsw)-b(eM;KMla@i zrwyF2;(poS{NP&2!2fGs!zi8>TW(Cg6~mK4)B~TQQx~>-#902&4Q;;5`l`F(bSK7L}&R0f?s4 zz8s~;3=Fr+Cc91Jqir?qw>Y%NeXp^&+i!!A`#H2^W($R!4A;FRYidSPZt~AC-AA{Q zkFS_}`w3sUu;LDhR~ad>IdE+wMXt!>hZVy!!gZHqq#IHX-za{C%8RXsMH{w-16)v5 z?gd|t@hG=;m7>$P&zQ{~J{fr#1IJn=iopCtF~l7O^0U;`7=DsRCdtxVY_T?Nnzkjyh-P1rE6tJnpw7skLDO&h9>V8(x!Fm6a|amsR|V4 z1G8)3U`W8~Jf#2n1>!Uz*rBS7$B58<9!`-q&ZCKJBfu_)kJJOP<|^$3qD^AgL_4Y9 zEL6Hx=y}d&gAU`?RvP-0KMIq~-5)$5W@9GP=n}Tyj1Jt(K>sX?VEp#fnTF9XZsoo& zxZnRRuzHk!l+^3|E+L>Wzt?p+|7uuJM+47P%TNe=?jq^p9jP7v8SB&IL)~XXO^4w4 z3cYA%*}L}97L0V`AC!kk+JPdN;7^*eR(@CuCOLrHONYaaI6#6m7=z|jE@K`+=KLTO z_+x_GWE-xwgYS=84x1lQ1r5#3mo`S_zEANWszy(Yeca@WqOOaY@q1BT&T~Cw9<7*x z>qR3jE+>S^J)9L}EhBS!FV9oC7`J$!`fkPvFMfM^Fv@r>L$b+Rprz`SD;fFI@zI@= zK?fLdTWTH&uiR?!*xQSZ4mwC%rC^)HFF(b`wpIdIm&yS-mG!#>&+ww$SGbd}VFevs z*X7Cqdm@~T56d?-i!u|;Nug(scnn#D0tFRupSZeAH5b{7(;phuAHTm-QR3-0$Bz30 zBalT60A_WeHO~^b6rBhM))jd3R?`e6SBF^yx%q!Ac-;>9(g^?#C^{Wi~!RDu(ZO0?=Eg&M*uscO>kuDg}Y`SJ};(iM%V2FxSG>*#N=ttkthrJy`Ps+3L_D5q+hYg6h546WIcAID( zji@m5h)v*{zf>ZA^vhGl@y+qUi78j32R463)bsj#l08{qmF}TW`m-#P6{lTue-ovOp2L$K5lIq zSX`wdpePw5y{>{T!K zfcTp$4kIau^fK>H+hmd*GcjQ!ys3@8V3#T;8}+P7(FMbbSG`N01wT{U_NN|f-jdN$ zQqaOw??+GJ^L)GZe&{o&o>E@a^E4pGNT*f{zfNTTn6vlWByDOjs}4u!fqwW{ba?}a z;K#lBm`LY#J+Hj8K`GV;nXRyb8VI?4&3&J8?nkNzwcCbf7JPK>&t-&Ewh|`a!aK}3 z)8fT$z2DN#xnuB+#UPCDF#l&QW;GZmcu247pN_0fNH$-goyb5tLi7(u9H1_)Npj9n zvf${WpWNH?vJ^zF4kzGhu$&4K@x7^~Raia4%sAim^2{uz^wy?GdzR=bHiqDmww5oR z{N?5ATeBY|ddeo>=Nvvne{UK(>w8H(#X1{agx{T+0kd$Tj;1`V1lv!gk1yB zVOKZO=h=~Hmzc2u06er)zYF>ha2@e`4{C#szPh^nFZ4Sh`;+zMwHF-#u#T?(g?=}` zjwnO3zw@%TP`jIu$pL1R9=cHyy5j$CjV^zbr0M@aj&3$yHz``KzS%S!002&LQ>ytd z$_r|B6Kdnovid{{0H_NB0Jr`q{onRF!h_|Hl(&PGhwV*l?J8b60__8YJcMq>4M5X>f0oG8PoBh)r z2$NPq`|YZJQ;I|7FY4b=F;#*SMI8pf&qL+}q~% zL;?wAAVY~{B(Wrt%2+a)$W&%Bms|>2$Wm6amW^zsluCB8mxCPTBxk8VT;7i#(-0_k diff --git a/examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/post_place.dcp b/out/basys3/led_counter/Output/place/post_place.dcp similarity index 59% rename from examples/out/led_counter/xc7a35tcpg236-1/led_counter/place/post_place.dcp rename to out/basys3/led_counter/Output/place/post_place.dcp index 76052cb55d89f57124a55c01f0f3072f2f9f2de7..99523dd1f64d5862cb1aca37568f34b106c076a8 100644 GIT binary patch delta 65881 zcmZ5{V~{2=v-Q}vv19M-*tTtZ$M!s9+qP}nwr$&FQMSBb9S{ zA{S;N7X~0N1qy}+1Ox;HG=Q$FbOdsHp8*U6^cM^W2=X6lY-C68W^EOfsArq;8*L~j zMb6-EktY#EVpNr^41^pO1$Z!r+&di43da(RDc zIGi`_to_k$X{GJ}&nJzOWfQod7#kuBz83Ei3WDC!_Z^&4k5J{x{txKJZ;M zx8JM<(Rb3gf!OBN?UjC9-VT7mPe(HmvKue!KSBpoa^Y&$l{*z|Jcc3^j;foYv8t!3 zaR8O6v@2}q^lqM(2d6LHsjfw14vH&Vw-T$|9OCTyd+y8{3sHV2s_F|(zbm&L1OqhO zdC!-;{U-&RZG>?<;TjipHnE zg4cu^Mq;%xLyu_9Yd!@0mFjl4@gI*2%K&x__foTKwk zpbM7twW0?dnk^x|h^7)0X|a0+jKaWiUG3~L2?@PM-UdGOj5%<=!2bIP&kz^O-Eo0{ z#{aed9HEn~9leRMsdb8pJf3z!kl*e#k~Rdcpl@FQ>Lw&S0A-U-+zuXxbTl`hr?o>X z7LHy3A_GX^-=IOlOU3&7`j(d5($&I=^4135M^-`%K~8KY+(3?z(e%K>i)k%#?VSei zIOA7sp7>_Rv(!gcs{M~|1motKVmEV|O1S|Wi2{71FwKcTbP}#?MEoH8FFg-{mFINw z-9nKgIJ^`955_h3vrf@yU3X4luXB@X%cDzB#O~Lf&Gyk=GO|*hXP263<kQPp7<|xJ)44}M#hG`2eroc~WL-cEAIo)a_Lt)fNY~t> zMYOSb6a|1^$M#^aThLZ8MI<-StupvYVmxxk6B=|*U&;M_dSL-Qa4kk_5Vr%P9HZX+ zo#qjo=L3}?dnv%E^|WA3!v8JmXly29XRMF;b!L?%AZSbN#@)wKSf}P<*}Y~TPUnQ% zzGDcGj&mI8T*LRC$$a~!u;dEq-BTIWiQq`W9UPKm0jq$c7wkXdVF~RPWnzcfK-KZ# zl;c?n%GYzv0#D7!2K{{Y9L!gf;eq%(q`n1IH}iMk-ASB=aB{0Cs(s{KoD?ol)ggeY zTKkhtZ3m@$(!!;*tVd)46NX8wtDdu;@4FX(uPTOV=dYHV{aES&1x9vM)3i@-~*e73p(%(lmU_B1- z_?QJYB@Pho|BD}Mmme4kOZ;KsLg&L9=zC{n0T@%Mu(hw#|a3w^1XL|a8u=$>pWJS#r+PHs0__zZl)}I)RlYUG|HWIyk5E8)4~SlTKo9lf*}{vK>WlsOx6KXEW)N7eUs{owZ6+d&ijQn!M;l zTm%C8sl1bg+7e6i!`JFE2mIyDm0G^&cy_Ca*{a_<{_ z5!X1Q@VlMxY_d3bQTcUIJ8zO>AXhL5WH-MRH9pt zSzG-V13};ed#D0A(ts21t-O0dfSmhA5!EBdBmmOC!@#Zyay40}Hjf!_xmGClxMgYc zr-Nz&r(*KlYmR9S!{5yVRCT!_tcVnEg&@^`2#Aq&=Yuv>(LrWJck&^od}EBk>htDX zq5ywgb^lNMRZ*-EY{4WIy~c3Ut%MNQ8sRDF`L$24xCD602i$}EJq~58ZRs#**$MuA z!c`W|?+D9tvW8G@d9@$_q0{xt*~2SY8v_QlUHYD33Rn!+LU)FiivB`GEMC(4sFTf8 z8;65{*?Z>(_ux@9bI#}%L)SDCebAe|eASr`ayZxYGd{=j-67b9@`S(2dG>UY*VbqC z!gfcc%fMo7Z7l=F#r>D6manlyq^QJS4w`fB%9{#jrINpqK9UE3ztZq}>-U;dH2VlH zE=}$YkYY>HZPsaHV&-RuquIVTwMl!&jtSl1M3#5P?yrAIkDcV3V*Gs9q-pHAzeSnX(_!3IHzbyCFC-#$p$+FNE}6zhv@eFvG;d18-?8p_S^MihQn=Tg-% ziw^)(ts1U)t3}TSOtDgNg6d$Lv3Hc#M5Yx}a;winify_ilIU0gqURs(Wi?0;uh+rJt!Z0;-)_0YdgPr ze>KIC$mDtx6129m`$2^WZ!Z>Z#Uc~3*jSyid3Qkc1=AbJUKYq`xSid~(%j6Bk2*=j zqn|!PxBAWjt|bs^X|51mCm@VhH``7rDo#smN3NJ$pd}Dd4osRJkUz@Th}9e9vsaN( zQBX{oB6yb6>gJZ_)YY+hZNbajXW9yYq?ukka_T8cvoQ1)z-gF~iLJ zg8&~liI2f_X$^UG7e=iMldX=fgK!*;52~$lUlpzcSm5+x>njDrSXu;b7#Q_{5_uaA zB1^lcFx+f$2V%m{BgTkYME+_Ubb6={V^5<6(aL=OLa@Vn50;Mp6rtp6w`-&n@#(8A zQr@>4)iUJ6ld(e%HCW{gM2DfL!)i{J#7hb1SHM_V*aTsuTz|x92Z?Lan>jybw9jNj z0x@O=L@;6PHFY{T?d2J!PCI0^Z%t=jfDf>yhoQn<#-0DEAzn6Kz-RpUT8%_=2+qrs zDQ#~U{8MApotG~{qvKvHOW#sw85fIDcU^zs9EckeO&OMsHUc?AdyY-oVP1GdlFUv~ zVPmc(Tp6Xho|4eg(pmlN-oz_*Wm`EBj$Q`~@Y84Dmcuj|IwY=xudz{De6)!q$fK>h zOG>nMv7e)1uPSwx{1CD~IL|2=7Z;H|!vaPP4%ZjqPrZ}^I!q#P2cs5`V}YBs>5(v1 zIlSh(JfGP;&sinF0_ZJ}Q$~a6K;iO*y1a?n(80#b?FD^~?&1tJhAZLYnKIl$On^QB zg6$sAmktJ_;%@h%tYPkc7(8US-bK^ZV2x2Y0 zNrpc+Ql2(fq}I0@sRFLaoWgGq(?WPICy}=OTS@u3UH}9Ni|6wr5EfhEM`2@j+Hd7Q z+-%z%GtFV8lewsVlI?;e(^>bLn87~)<25>9i0I6M@C-2efWb3r=bdJ;g>|3MGAy$U zKbD{9>AfH-W)W$H12>LA!^Snu~M(x<9mCXVGgrHsJ zf*!gd&40TRQ~HxetbR7YS~P*xjWLf$^`rGW9?KSp|Pkil8_OOWUB z?vC+NbUwYgLW;05%s~70SIeu2pZuV~RTvZWBQdX+b=Yq=tryWB^~0sGX(iI3bv}&u z=^H-s<)Ex@)7L=84g^tv#42`ZQye>AoWy7G`bxpwo&>?uL={bPD$>?~m6pCSJ#_MKutewA4wIvA*M3`onSN#MVY;kuOimUk zx3@rL#xXxSAGAL3z4L^okh~$^);BN9edF+V=B=}M6G4{AcKwyILfVc7dr4&Xeazl754S7oA)X%f;^zBSmzm< z?7Pw=1a5-TUia>R=aGgFxgfLmjs@`0XSS2nKSK!{-dex9^VI_rBu4zO_aLWZ_}WI= zY))rk8*J2DOWq;vF&#F~QMzQ8j9G&xZMVDCT)iof;8us+ev+EwYbavJ>%xB4j%iuF zQrf+$mXvKG8vwcc`LfnVx%j-FRj#M_2J}A9cpVc;?x@wVg11gxcf|?5=+9vSDs}*;jZ9tr})SFE^y{ zznSlL1xR6!2a1!L>h{M8HFEncm>E6p`2`Rw7r;(luh3Q{);7H7gG!yRo084S!?`$; zFg#FL%gKmmrkXZvsGisyQ1qOC_?dn%YmsFDk@>^vIcVV5mo~I0xS5O;wJ8D3!LZTV2q7-C?y%-hyPrIO&v1#0 zO;($d1z{?O`rn%z4aL7V8Q2=TDbSG^j(M5+ zsO7K1iw^=9GDioBHiuJ_*K0uKRx*)P1YpHRiWfG=L>*xjlvtdg&SiRxaFPE6UBnMS zdev^q-g;R3L(jQUZsa()#6D3~vBsHqzIybgP|+tDmcsa$eytSky=*A{_%`wVY>DOz z@h*R1b$0bEB2s*f)6TZ3R5&l9LCALw%K5?+RXF%6dkGD`F&Gyz%p-6zsHT|eVb*jQ zGNME{03Dep3dyIZ#O)ll#O&ej@iYfm`|7x-UutwR-D*@#UeuVC!tpz14VIcog6A^W zE4lD1C`Q1<|2EN#c$i4Lnve!=XZgCQd5@a!bn^8Ren&|#%U(%s2=IpM?1wWqFyKqU z4s?xTDXLvMT6tM1d9D{z@g1u?-L&Khr&QNTvF|4M=^Ym@mIMXRMo8ZDWk>@qBn$P$ zlF4sq;HHfg^i-e?oXORk1-UVCqK{67lz6moqw`d<#09O)N%9S@8u3_#xY(n?58-b$rLm7QD7(2OKRh@SE-xd-+`p|&Oj)~CLiQbPX zT+gux$7!x))Wts0olsf>C5E_V!d&VMXSKu6{^>vZoi8!J+m%s<#zwe~zGBxm)6lG4 ztxdrjF+qxgy|7c>^T+c?Bl-75k_}@Sh-D=NBYG#dl%NW=!yohG^P3w{u<@J!Qm?3E zz#-$|6~ECqJ*4BrB~t;?MwpK@VAb;DbHyjUOeYC$Qs0=<-eQle&kwC953OxXS?K91 z)jdP(PlAQWQW_J}D8Ho2ur6hDEOktb@W>Od>8sA})aKT%`?06(|Tomcq@x@e5CXjM2Hh=>gmX*a6^~SEoxmITj|L zX7sXGMY5q-Ut)F|<(IxhzamZF>Gt!tj{@*PEI96VlAm@g;9@gJk`$1p&lX0GXXI@~?4=>;v{pMu!foha7-sl9O;oU9feP1DkW4;LWpbCtHy#MnMi`=gd8hg(b+G zB%x}s1>fa$yw`qh1?CU)_46sK$u)=SZ~d+iHG-pcjC2adMjA~@PRn-XnM#3|`zYArf9e~T}{{eA~m-}L~x%tYTN2JiC-8gcLrY)Pq&hdN+hN=rn-)H!GeLg zOhYR>y+vEu!8Tu9?8ed`V@#-v7wc^?m&z+Yz)#mi?K zb!$rh;{t$TCO=kp(1M!c((4OTo=V=4Om3lxrz@!IpcC)2S5dM0$f40Wu3SH!obvoj(L~bN zYfwX@Vlxf!F4^bU`Xfo;a&8g@G`MP73N?Uotct0a*l?1bzH&7cENxh{2INCNkd$K5 zh}K-hqEq9kqI03TzDnk+t%3ip2V-tF+N5E2F zcVZZMAC#~=)znacX6(F;=dD)2meyYw&8GIXE|V5ZD-e`~mLb+5XmQulDw`it#3CG9 z3bkKt1<-l3RLj~?y>aV8QHfAMuxtP=W#VCP)B&v6%8n|OLYL^QVNkV~zfm}id&iMw zE9vxHV;ZActbmF12V}WIm(K^X#W;noz74RaA z6b)pUb_=B{6njHo+X`*?2@)ZZnodV>HIp==yvN~%8bv;eFmTrnVh#^@+~bRnC5%Lt zQ-#-^Xecaq0tra#A89{*mp!SLPsI%fT%!~1ao9DPFFDjO^b{l#x*$Wdb>V2%iW0oV97N7|42R>|~iv;xw%*S5^38je)VFJmcbp z#7h)pNf{+)i$t#?wYRjg&p)gZq>Y5F4ELoBm3yOhE%xfG|I_B3@xLVy2{jSYSPdb zm8V%eq>I?lYb&-8z4@tD233Ju_pFE>2V8q0R`*=vB#IRPNuzCBtkS5$W;U=D3pnZTNqUBy8%7RQCAT)SX zZ2bc0Pg$!uk`oqufvX{SIfAo{wmQ*rwDl{wKFAz$IYiE;5JLcu9gBJv8gE*qs3isI zRHf93x79)T9L?(_GAcFX6IH5`Y+dNwIn662#IupYal!@@D5&EaZder)jPk{*(W>uF zK~f$#lc_!#V=Vx4f>PW@0`-!nxVf;Bhdl*lZ`WshCwrWexrK~G-+R1gs*F6pN=A+G z*G$hj746pm1@ohb^za!QdRe#I^K1)aPS|Yuj-f4FeEj_B=&=3j+&L1A%HdC?QT=Qj zZz-&`qfkUI5k-Bqk6#0^oUEh~CGJajCN?8SvT1upnhpTI+;D7zl_k^qm!VQeNoVZV z;Ei6dCihGzMqOQKz_$81G40c^I|n4wsQ<{<=LnOC6*(oYD@t-EO0rvUCM6v>p_>$P z^0~a!Q%drAOEMkQM6$hZK_6W8?p;v@Tz3{+cOP7LNn}z5oMz0On{EcNM-0JTmQ`Gq z)i(Y?4jv%?K7829*X_?J8{SRWV8N(bej!_=SfaE|qeQ<&I3^`wWQBIvq zvi%PC5!*JSku#Zl6h#w0&HO7SF}R-aW_{nfE_j$7SQsB4Sls0|%3?Pz3$I|8P$gJc z_>Nk{-0@*y=4eX&P`aG5Y1iAkw-42q*zT2@x*gy!4) zT3Da1J+q_*$>jG_K*x`Jiypb3$5BIcOaCfWR#~@b#jMrNK>G%rgy5AA?DR7k_6WRKW=!sqoB zJrW>Ovzwef4eK%UjX^baPjDP=ISDO%<24YXQ3u2@@h1UvQacF$d#mr48o^%BKtO@i z|4U#x7#l2UYT0g#qU&;unKCsyrm<%Pj+tr@P30pt3ri#)g1_ggtLh6UqwDN%*?{_! zkIXZpjU7dLS=5^QkzDJw17psW)#0c<8)y!ok_eUA8>`BmQ{IQDnl6irjkWnpN;KJiBR61LfEXxOW^Z7H9MYS&$( zPbR&r0pbd{I0@L&jv+EL6WMkvs19D~}98S`(cA%1h{`e~$MH>g;M)+dKiUDx4=S8$%9TQYhMG3%Ea zXh3|zgJlj?rP-G>!On3lR4{s;NbDB)_~Dwf$GVMIsn=Gry6QtIL zXT=+i>~{%dAPKblsfoYcfii9Kg&|U=M~|_rrCTn7CK$V12qyk5_KH&j~>s%_Bz_`doa zpI5YuIU>I;_-#=k@c^)f7Oz*d(Q>F0XU=)k(ph)%H5ihIhBi;AC-HrVWf4CRq>~2) z8mXBg{bT8{NtgP#h0+II8X)X4lClUK&YfN1hex9+$ zopb8|R7&Z(a3;)-aE>d*k}6N&2M9?tJ7-g#B3v|Ikg&=1p?F`FB1=truG^F;-O8SB z^NxTbyq85@V$Da0m6AG-I%e*ki5CsNmwvr##m}I6Xuw;<(?~Sl)-4s`JB@Z{Pxe45 z;I@R82+hm%uU!DsksP#>?EtHb4xwr@x;D>vwH{ze-Y18DMJg&C0o}KUe+6b;lQSr% z^k&gDDJoufqtg16ckKcC;#5?!WT))hmHHdIJ$VLmSG6P>8$w4E)uk-&jOnp1S<+02 z>eFqqS&+7vYrR*tEeylOI`?stXVd8!pnddFVNOhxD-@D%4QV4Ak!a0XEZ07`7aWC3 z)#+Qk(nofMa_0m|$IQjcNL&1jRrfoycssYk&eW{639jTtf2s7`p=Sa3mcTg_<_C)> z1Mqgl7Cqs7jGS<-VA!RQGPJ^_^4WBW&8xN~#AIu%+IH5okhWQ0yx(C?kcg}RSPr$_ zuP94WMp|rN!3Aq#-bY`jek9BC5yA>NJJeiG`R=q(%*kA$exaeqvZ}ZF8 zuMb9VR3^*3lHXp-4;iG!MPPongIg6M2&hX5bnAandMuRESlKlVQ5Tu``{@N87K7s+?) zuhTh!ZmziuvMb%&s9^X&!f%gKN6EB~!#q(&sNcc6WlAT|nm6-MlU~E5YgB;v> zZdGUJ3649BY62zvr1|j-#^4qw#};-dx20T%2oixcwDFH5$|WCqfZD1`v#Aw)k_mD6 zyUK-dkuvA)WX2A)(6Z@$*GAL@Lr{(9yVSHOiE-VCNY?PjIT&hQ9-9MkA5vlS@g{kggCTE1E&5CP!L8FojSgQV$OSr zq`Vy>v7ZC&q^F{{dR=YsvI6-yrU~h>`Q{!*9K~9|Oo7tg`FmQf0~LCx_bo1V#)1MR z9-a@)g#slU2LJ^pN9tr@AZ=B3Q?nHoI6XCSB$1%_oz34O#QC>ZOdBR&dLAWVsi{mF ztY+zz9&)0 z>q_cZn+nLqI%Peip`6frB)~1^sRBAJrx~MF@6@Z)z|7nL0Lb2WpP)44_V678L$A1x zh(FxSj+vQK+LaiWTl9tOd6;+eWrMU9<1epZj;vktK%#}Ao&Sm5Ewo3i!S66{Qiofb z;g~xv>t?*B@Z{kUipC(EFjHqN+{6p>=i952)96%2 zeMFClA49OR2#7z0??_uo^PK6$M?oRy7GX|SN`MJ`!F4EYPbNtnm0Il`o~yq% z+e;%&byM%K84LwFW6hHWQTNQ!^XnDNJ* z(k<2{N=V7Bz)I)cC>P>c(ZnSvsVum%T#CU31*JhPJg3`Ut~Op~m1cA1e4jIS-nKli zN7`P#vOC#|f5ow7t72aBIK9rsX*ni5v>cv8p4g<$B%MUh=&)#uuxI9kR z14bN!zCZP=-CpgYw~X2f9!8F1wz&cN8|%&Dr1jW8F_~M@J@+#ItDz;_n00#7lqMLxe28 z`q>E3ENa7uy|g$7@0Z8ZZPG_7eXAE{PEBAR)^aFu^`dyzKOSdrz!fU<>yx9sRIO+( zkE;jjEZ~;Pkk;6q*y<7XTwtQ4l>@xqy=ByESZb`c$O zs&FmxwPDScfL8koG+wS!!j<1E0`8gg0X&sfpO}mgcJW}nuk0or^Q}~3N!R> zS8VWp?01*M?&Q_&tTfz%%Zx!ciD=1zVFJZ;6W=DnEJyQUE#-LlV;4s_X0~UzUNr#|CUPTZ1LsE1xP)%ooo z#Kr24jcCXT+VO?jC&YW61NF;@`O>=zwQVqFSNM5W__;~e7(KEgTeWg5`FCD?fys@V zb6=su`NuixlEmLNaFwIT

a`6fV6JG)I{v(_fLsKCRT<3REFY6Gv)5t(`2fqd_ zku7@LeGds|!dI4%NTkg`qtYciMst)IFp?YBX6@p<(yW;P?@@&N0MoEFls%S;|7su^PR-N! z6kFPc>lsZNa_Uk;Oi5?>Qy^SE=)SPzE8}Z+ZkdbTA965o^yo9KRwPI>teQPY=(0>< z;cYgroO>BL#HJ^euhU|ilm`scc+#k+nzcVfXdbWmV9xk9EPM1(2e*g&ESBjzdQAC1 z_H7d)dj{*D!AHHKI5ELbx!2Yhem~$9apIE41RoujzW^ef z^f_>sG4tKUzD?gd>0focU;39a((!(#ZrY~XlChK`mu~+-+l7vk4zMI(3{u! zlGTz6Xq#h$szKC|zrJEc>mg2`+YC}y(Aff$i*N3M?_3c&adn+$QXi-`&qlkugcZ{v zQo8f~ZlEavc7S=!UHn+a1&TBQb}M+yKQQO+K?bOR(o&_lfVFBZ6CW^-h6eljFqn1! zk70|sQTdHr_~1TIJ!-sn%eFjKg8bAsW+|@1{H+C*Vk~ner+*G~I-3Q$jQ~eZvn{ku z4X*7nanYA%S7-+sQj)&pV8KMJu?oQ-aA*YJ=`*VAwT@SQpiilC20_*!)IR)h&r(vu z6tj@uC`_N#-YYG`V7Lt1}6woQ3t#Xmym*{e1=t_jQqSnqiyGg zwLJNJGMG~??w9h=@`PASJ)artIC)i~b^iAGcmQmdBJlpSc?EWA48GUj8AAaRfnk%5 zAHbq9-fvG@SIye$k-+TNW^I}15BeveE&e8s#mpFo4ShO^bh*%`0mz53;QgYw*_MaHk+-qCYm&!45&FT8g=A}rg{w(+Y4ll zjB#XmT<8-8isF604ifrDC1x(Jo5vJwThaq-F!dtwSD`J!eV1Ld3xGv7Ir?X{u$AcD zb&KnvuWNj^pH@nU1F9|mrfjCVNzdQTt7zwziXFbmjH?s<_zM8Zfw;QXk}Z?tV9b$B=|ZF z5?)p@#D@M6Y0mS?0(TLD+OnSP=?mS-Ch`HQ2wTHg>C*jwi;vt>IMU+m0Vm#R4~{uD zhINtNC*SGy3f&&oh9}NYD;q`fd$X=@{vVYA{x!y{T9AuzyHe3PLO?M zo88-ImJ6mF3j`naGOn#+4hO4YgO%yt=pO{?xQ`JyWDUC|QYuF+Slo!w|3=jbGt9e9 z6Nn?_Em`PND?$I0Lgx_ht9)lod;53Y9ktR?Bu68A`(`Uj;{O*#821ek{gSYdA{=Gm z$ghEpu|gnWkDgT*s=6RiU{5t!hh_5?rIs~h{-4p_=|LQ5NjkJBIjY`=!Eh>oV&?H> zMiM2b$a^OQSmN{412!nQ>SFn}a|tZMIZ;p=y&kO^Bxt2pfsSCt5Db2We5vsqnJ;Mawohp=NQjid^tDfyRVUm);ZJn0WL6YXtd!XaGPT(R%?yd1^@BO8p|V zb!+>Il@IJlO!J)vVlj>QEtS1`dUen*mFJn&_CQHAKew?1))unMUxAZj z07Qp|FObIt2>v{5$s>b6R$JE@MvLX;RH^*(8xhS!VZb)&cWz3pB9-8-1rOkbt>KzM zjsL?^Sei*`$TLC{^z{(0kb`Fin9Ln{n-1UehS?5|whjRL#}o@WL`-_Rcf5(eEjy}b zR9~4o77iN~%9idrt(>hPfH04KRiIZd_%EA3vfrp|fRVuH) zP%&IV3pa&2sR-B|h)L^>K5*{WD){at?drfbC@L9mvVs45LjmAO;hw~{Yhq#nA2)OB ztI7mZ>AfL^&-jIWw(+HMEqaQ8vCokHJ7#sq;m8Hxq#eZwI7UV#T0@6Z$beb!ohtos zpPvL%z_ZroY6H9LAk|V|T%VY>|EDlCN55|;R>B>A_toj?L1S%;vdkz!8~}M&sk4}E%d^uz z`!`Xk{gi?WbR7p1Rh3aJPoHgtydxOl@c}kfvw;FTvQ47c3~HX>Z2d#@+fV*?`JgYs zk%(!7+NLC0@Dh>x=6f>M;AXLYFlXWjMI6P$2j~g%W|$bI81(T?P)e{8QlPl#e9=(( zt07QhJr0lA_OilMRH`qt9=FUP_mz*giP!%iRKQ;r{IeguTM3EbEqoU@JNPbcRThA! zP=7Koo8ZMg8L!_gwrHbNx|A8j^JDs{hV93~+P2C0cQ*DMwY(s`{d#6TuwmoPA+TwS zjzd7R3uGZFZKZ4x>R|!YojP(ReSBwNP>$~i0G7R)$CS36D2v1YB)}GQdbAxc2kw^) z%n16N`dk7_W@U-rbx@_;o0K!3iRM20xMB!cPY^?yuQtnn+yk1ZP}lug*AJ_^ODB z0iO@cPN<&Nd$aA4B1Mwwg0lV^&d9x@H*mTKP@6z+`|APQ4r3#dhqL+K7qv^hgFcT& z`M%W^;vlSbH*k|j@pXH4V|J@_vv$rm@s2gqe9Qmx#uCuFx#4LhOn*EN#lBhpGSLmiFbeU;v?2sz(= zCgU90qW%#B%CrAIiG8Ewz#9K(gD5rZ0UNT?&B>(#rJ=jp@(%3WY!yC?1(kME_T@Z; z&nY}h5Z;Leb*Cu#d>EfimB&+kF~r4)ppc_S=PmyJ)j%$GRL5A!nQHri3h9tdK7j~ZP6fg$3cB2)xBuFbR>lq&ixkgx%63*;&~;|$EUt# zMl~`@tbMlA;e{`~`(AL?BlgVwG>Hb8G9K&DSTb`aS6x5(V#+umAkLUvC5{*^?q2*& z0_5MMN{HObbdP13x&G^FJ?OX#!B|x81Mn0fRbMz&zd-onA7NN!^EzZMfA(cDku(Ud7MTEQbZIBs z%{E+89i^%0h#{Q_sxR~)&ye7#`BW9{tMJa_FTr#wF^^t)PMhGhZw?EtOMrrZJRc?+ zp80?Uyx+It;kz`Dmz14&`8Ut8Od}@zkWhepU3nw{JUmJY<|8UgX6F#!6O^k}Y&K(h zAWu^r6Dfbh4yMRsC7SIU;zVvNEChI1DN|fO0tOggFa|MJ?QzEDmFCcmxpDLuRzy9_Ax5dS0Mx$eyJ+ z#zt;F@wlG5wwez4nXrpGU<2r>`!Jpo7s}}|%b&cFNgTTxKN36<#%qL7XTSm2<4?IT zDu9~oHWX9`s<2JQ1q0Gd>8{%YqEc7U#Y_hg^FgS}oVY{zAWR_mX3BECcg#NjG0_+p zZt^!iHNLK9hsK&I!Zi;hARo-k;PmoozC)5EyJ?iu@Hf{*=_vnb?j7hhPv@e;;5Ufv z43Os_fgR5>;H`4HI(!qTclR_5L3&=>_C7v^hE-L6bBiDS3xRiP&Z9hOBTEKxAo>PX z^^zg>w}H$10k55gw&h8}k)eylU`yq*r}<-a{1?-!k(aC1q~By>SMjvl7)ydRbhmCy zzvF3pn-VjGYGnjHZK}Ywx?M$IS(hX7TYF3T{v*3zB#rk;sN({4@-20;6CGM>1)){b zD!Q*T9R{54t-fO0CY+}-g7QWKUksn{_rk}*w=*-E*gvqT!HDRb(W36iQ5wdN(+PzP8F9<9B3zlsCqI{Cc#97238OIX3(7DsXOPz4$RC4H3IqrSeI5pdeT=&CP+#?y8x&doFjx+ejBOJFp;Hca>M{pE}!G#A09IbT5yr1kT^wsR#wa z-tQa(yYSxUr-Nay2HOe-Q-NDGf3v-iE=#KBhe*G2J~octO+Du@u1WOu-WC}oRO`!8 z{vqnkoDbV=bVn-FhiH`xvBp75Pm|vF(3| zugS6R*uz!!J*gP$dRY6Rw;OJoEFZQX5JZCg|4UhXW7PAG@|x%wVs#RG-C37*>uf*a z+Z$76W4o=$QorgX$GWp2?bf+|zPC4)%qwI`C}`n00=I;LtJhvR?XbA^YAQd&K@dVUO$E&i}I* zcS`;xnYhaWo_8CCkAMc5O&NBWIbI=l3f{6@qeyWG9e;8G-XA}NiKwJPPd|01;0DH2 zLfKdD&+E%!O;3iyDZbf@@h=28)!pkt?T70Ki>r{W7BG!8guULJuJUvxminnvL=EUj z6=H7JvVEUKhIuu2a`d>EF>}iH7VE7k)!a!0B5?M-)4X zl%HeQw#)F=Nsx&6Tg(@vd>ZSv-Clhs!F7UfF`Yo`2TZ-KkF@|T$myyB&`_)t4*6=A8Nm2n{{`$_;oG`+)b=E6)lnv__%}ggfuCX5l zKIt9#av4L(gnKS~x(0D&rXa5#x#Cfd0KLKl%=Hv)`v{UL z@cV$wDJ|Q|6%5LzSs{9@q?XPeMG1Vcqtiwr{|X)d3Y)!jb59k;qm7@~KygM1>6l5t zh?8e8zY`HN>TA1KDaB*qke;=!O8vRkN1Wa<2|lNYZ1zp);Tlcn$m}379CikhO?u?JMgi^-oMs z61b&nW`;<2)=PJm``7_fsCp`Nc)-k+%XFdu5+t7 zi8T*)+9`1&U9zD|3fP)!hJ(G}pJ8YCXP7{i@7Lvyn&tNFLzl@m3`U_xt#ES(OPw)A zF^k=RisWE%Uh)qoaRDDC_`KfrTTQ3n@&JkrcePX0n0r?MTf-fXG9x2YoDMS0#>5Em zvw^~>bD!uMEgi}LlTA|b2D}a73ya^Jz>jJe%TWBK zK7vnsYZ!M^0_wLWE21aHUvz>uR`dYOSpk;QTN%m`Wx=dy-ECuzk-_kMKB$*&*l zNW(j5=zCCvwV6_f=1#ElzX|ALQC%ZcV9mp)LH@)fZncB5E$nqL=0`+|r>LUZMOMO@ z;|=3xc|LhP3Kt5oNqb4;%u~!F+C@4(C7R{ux`jr&mFg|yG_+k2G_**Gboo2Jh<`^& z?oH}&etp>&C}-w!llpnj?k;PaEFr$qvXDs2OVCeGA0I^y@8k3HA{2?u>Jh(hK#NHU!4S?yzy*V%Wc4GyUvBVaJ|qS1Y0Ru{`+YM)^94gAwfj>v zuB%4rfV_8amB!@S>O|{%%K=|g*GQ%O!7CNSRO-abnc1iISd(7WG*I;!}Bjqaq(Bi&+$p z;E$^^C(0+UPvlRe;|`R_qK0w9bRx1@&kA4sK$*zZM8G#uZ~es7p6iF99nweRD!HnV z#Xaq9{C)Cp`_r!^_4+iDCprpC4h|@QPl?w&7M2oP1}`Ea;9f)Z4x=rrd2Mvb>9+&F z8y{&C$@3+Qu%qN!`OeL#5+!OnD|15}&QMqFm)IKokVPC&JMm6(xPLC*uyFk#%_!Vg z>7QsNNI}@7ZgcEs@LQp<1>&5=oOe0g6I%9VK@r>2$3TuldU4m8stwOMzb6z?qcfeH z5J%~s34Sd5aF-cfz~d$uQdt6IK1AN!_T;^*`pJ5IA94PWeA{N!jm=V00{0myimFSu zU+s}XA2DRAi8;?Hg(u)k&}-f&}N1PE_XM6)yZ-O+byIwVLf_nRLs zJbPGY%?6QzAy77*?B`VA0rvt)YyVP-APMN{i<*8@ajJ(RhNpPzx&MTZ|*3UI}H0+2P2%*RI`~hC6eLOCB6b7 z)}=*+s^QZ`-j74AOC$bJ&&No$Me|5_ll}TBk`*NcZQ@zAwTMsAN=uPARIORr0wMC) zVOVdy-B+kOwT%`%Q7V&WF3BY9w(|UItB(#4kdXGeMODK~o60c(xA28q@zQ7smMQOwpEC+)S}j)?R<$0Z zD?r`30B2>bz7N6r?3(+bb6V-B($8+x(@~_K*&l&UJqEoto)M~tSHuuI_C(d2buVyk z9R7*!Ku9|Tcz7yiClzvm&IHM7>viLUoNYsP|jfND@yuhRtuqLy4i+V1xSiKVuB zPY1}IA|rT?dm!N*^gmz23d9wTYiXnMqPz>PD{OkAdLr(Q_$X}e5*Z60LD!vk2xEo7Uf*KZ zsav^4f6%t|e4)JtB@BC=B)WXe{6YfFU0kK#dMd`qcP-!Ow?@dB$_b%)JF zmykIHKNn$RJQ*cz4-YLX9d{2cQSwNT!4&Ws4F3r?`mCmPE^ADF=`E1`gLGr7W2m6- z&di(;czF57{c^ax+B4walK5hZqH}CE3g-EXc>jM;rWH|Hr9ktF;lC`~72qrk=Fc!D9bu2zA?$%fZruD8T?&o< zpORA^IVu~jd}|WbOQ$b}n7e0`3@1)`R|%?U@AT~n|4)%Wb<+upA?u-Ck%R>7M!G+} zOiur%C~x?#ZY-!foVh}n0+(rB!@+Z?&x1+YgxZkX=-UX}>K(f#Um||TcrPm$=OA}1 zMO782+)tyQ;ZrGd%rICHZfx~- z@rP{QZueabbzG%|9rIzXIFh%n2>XG(uLB?__uFfCLkMSS$eVnay^iGG$0ft*Q+@6< zCYtoj@51HNg^FFR+>ic5ekB3Q%#7HOzN=DS?&CSRQW=y|!CF5+c{xjgsLQ`!IM+wG#a zLxby{>ki|_uts-!ew0wYsGc)?eoo0{Cv~&!@#*RZFDG$feoAsuEX?QO$jF_EwSHB} zD${3u`l5OR^tLzVteGWSe~%myQpxbl0OnP^m%Yz^LN>j3+V+HX(~*lI@7&2thCfbu zR|sNi|68Qh4Dv`BCiygl@UEzrgV%}D+3GF~sDK|eB72x9IsC{;?J%DY$v$-{@y!6k zZVv+=t|`Rojay-t3-$g}?xA^>>zP|W(?3APTJO&{u5P_|V?@+4| zB1as+2PPh&VCc#I$T$yr!2NM7I&^md7#Hm-UUA=@-Zke8jX6z{?P8r;SsS!1Tm(7< z)_p=u_@~&!)Oh;e?XZja-95mxZqX+w0`Ax9!I3GumYACX&q8W!e7?47)GD56?Dy#7Sl_y@+QJ_8Q2Qx-TSBoLfdM4A|X> zXMmOM3kkxYC!z0W98R;Yo8d^vDRxf19cI)CRZWj|7O2!9hB^HF#_wdt0y#g_RW``l z^%j@UbI4FY8viz|Q7Ha(!kYt(84~z50bXm6}UC6 zII7KG6>&#%1y-fMepoKm{3lDOohw*&plQckw9&8JRhJ%NFND9~!BlssX}3@~TL(N(Pf~ie zJ#Y@5{e-hczV%zB;vHH)r@r-HBpYqIXAcN`-CXS$#yvAiQiMa0>e&5*qbTU_bA{8 zm-=O@6)Y_C;dE&Q?!Qb|2~D90xPBN}X}w!WNvlQv_8{;3Yd2Fgo$FzjW;!3i`1ed$ z59ej}$}(|o9w8rU%E~Qb&KJhS zHBi)O90_p7;{7AR`Rn|SaNL@0$S0%(Czd{4>evZZl z6W*$wNQj{s{g!P*$43$x_7lQ4^km{TR!hI}VB%g;erf5+#M!Lzc`jXH@JQ3t1E@0F z0q`nwwE(>J#uebL8y?_Ibq&KyM`bne=1ZxL@I(sXDT!b24uKN5==g6J8TYvKD<86t z&NgU3o<~Ou-A6?uckyflSqyo-OMThoD2EurW7f9d{+N)S*xa8;ZaOUJAHm>>Q!AUN zhmC{sU(%oXgIs~hy(3tikA(pUGHH*WiODY$2PFLDhSGXlUCW=b0kv+4iKeYdVSI#E z&&?^0OgH%8*VhQuI1IF^U>x&~k1TPBdX%VD;yC6(UujN9KNyyOub~onCwVrIc8+{Y zf7djt^jKTyJ{&S@1Or@)1Fa(Hah=8xmox2Bp=Bz6!jWdR5~)1! zr2wVWJlT!gdI`9oy$LD-=SlE$y_dJm2N1)PLfXk^^2L#I=?Hz-u9|IwP?wqxfYJ*! zpPD_<>=D>RJ&Npqb@eFMVl-UO7AjX5%!!;>1vzzPBDLf1vkWCIAuNz0B3S45JG3p- zRxM;zkvRL5E6xEc5zsBzO(X0j&KzVUzTGGwpl?J4?xHlX5=(q{28qjThAwXIlwWFz zWCa8m2l^QX`WXfK83g_`4qPk~i`kD&A-cU>8X@k9cgYMaGz^5wousThKSZCyf#sKoHDW=wqH}e0Jc4?ImsUZLr)ia842g_ zAWC;}VjZHJBNdo8+y{~m&;G8C`yl(4JiFe%6oKA#^aOes8#!NX;d>ezopKHH_?K_U zq+Xb-Oi1$)+#ADPMlZ{K>tLfLS+?cq^fczlD!|@^+0Og{4NZcC;)g z)We>&#?~Wi2@SorD%zz_$*hEPvY%ihCQG}H)6<|J$v$1kBkk@|A55Y?=*EiWZgcO= zD(r6awvM1iu=e36LBF8kS(|doVxmwVX@1)Q6N8j{x;F~7dy-j{UZJTtY-lX zo^rQ_Nqb)+<@v$Z@9M>Ne)z#)_t2Atk@?oKFSr3|?0!q4$@QL(Q_xj<(ZvEd2?L*Iy!*YusR@RPJ*4rSk|ti`cKkyk-ABLUE%94>Z+|~T=eNEKR_8@daxlRQxHM;gZi);d z!Vd5Dn@wn{5%*1;Ohuk4mxQ%_zTn`mB!5aj-LcwfaOXqxO&4?Lqx9_~%gyl4$Ai7t zj0m83ngk>c8rfXbcQP@io8bqKfM|R_j)~-g<&UX#Qd{JMH5Qs=be3)}lAdwoOcca? zAQs48e*)Y=fdRM}2MxG*lOMRaH|~dpge@2BOFkp9K z^ml-OFQ&%Zxt z;+-kHUveKR*I;Ea7cDBla!+w&(D5a>sI{O1+|BsB2q@0joDCf5+FHC3?4RBdihW$ zK>D`6bY9r4tjY42ceN;2tQ*nmI+x>?G|ZrCFBVfV8;$P=rJVb$u2-gTC6I-+eCblu z`}rm3MU^T0mhOf@Wr|CQb6G>rEYg+N&r$Mb!g)m>fh2Z{2k67bTP4(xjIAj7F2)+o>9Fj^u}|)S zhX*W$x{EF5T}z7ECDYJq@2^=l8@V>I3dW><{0#2ZA?jI*v&9G82N&1GMPXV6zw5cC+j#EG6=ZY96 zE*UCE$zKxmGho+Pp`jn9woCZ_s2I&)Wci{#Syh!cDmgG6qQ9?+whwihMn&023~_%_ zr8O;>RHeoHWCs70kuA+hBNKh)FV=!rgC`n89mUL-I{U#29aWQ~6)ZfjSQIfZ2Gk`x zs=kkNgqDL$s!iGR*a}|povRbDTb!!L;F)$A^~Xz;%qj|~hvWM)u1Ma=8-ouQ&S6~l zflb>>y&JIDUD(wwtbP|ZvkU7vhxy;a+*a(Hj(L~rm9AJP{0H1txc-fjE$p>|TUtD0 zj33lo9?tI%@9HVQ-v|R@Ljs{=?ze0E=N&Vzt@t+`i!OO)9+6y3e(U=7@C7w4JGubtea!&2QI4Uy@8N7@r7GD_!k%@q zrfhYt;MbQ^V=RR+mhwD%^tDyey;RZRW(7&C>(RhxC!F__{@il&5Gv4KM!`h81CKYr z^`|TQ*TLie-04{y5z-KA_9XV8F-_q8Pd ze+7(^3aZ3?$_k7&Pv0}A8!F*6+SQn7 zHqQ0x-pa^CzBf-<=26nQMB;DGY0ORKS>#&`i|?ny|vS-h2@2He!hs~=e(*ny!`AwC*-?Zg*jebNW(FwxA6f;_9?{Df1?v}sA?c$$Ak@qa;^5DzCjuE% zpua2eX2OyjoHRXNpWXp~>glt#&L~plEBM*O0^Qt*xZEyLRw#6qn?xg6Nb^sU}z z(7Wx{c+}w3I~g_<%OjFGO3;|`=Erw3f$`8FVgc%V1a23pCn!Mg0uKv*1qlGyqyTWj zK@AShqz7377ON@Vf^)04ntu6R<3r<1>NK}LC{o}vo82LG7&@k6>CYVDN>%1#A1Rsq z|BJD=0E??<8iw2AuvlB%3Y1ccE$&dDI0cG3i@Up*F7Acm?(Xg^6e;emrMQ*i{+;dp zywCUAcm4l$WhXOpvf1opl1wJaiRwyS|3p!owE$HPU$fu5g6Rqa_Zrm)BUwffJFO-mW^dmx)pL+Z@%uc5V8GS&F zW%Q0IaAH?~`SV+(iPrt8lNSmXiYp2>N(I&mDZ45b#a6)2xi$yw{c0_nV4_DMSLNx) z48G#M$5Aqs^T+MT;+scD36=ZDrr45)$E6=CkG@C0iXS^twI=I31if!gwzu`Rq8=Yk zyzj5hz3d8o?oQUNn{E~hG9KTa3pd@IDA_orbat$?9|PAjK982Jm@iMzLHsWF4R43J zud4^0sfIn{0q@@U+KZ=QH;?Ifuwe1eZnGC}y!`DSb1lKR%}Ixj9nQ&uzCY&XG9RXQ zCnw^AMXrKoNqYaH@eMvg0+Oo+kWYlXapt8`q$rL=eWcs&%l~$2s5Ee0MYnl3_szjaTMMt8-5VsIEL2{GF7y{N!{Hum z?XIzY@BUF!IV2t}bcXIVXFisY%V|guT+%?rvdOfj&pTxwtTzdHZQJMPo%6CzN;?b6Gf8){G)cdaE zur%s%v}4lctnYC#^5N<+_}e{Ez{2k~}*M@n88vl_m>VobGig z)DwjmHN;+K<;%;c;szA#PR6KwsQO#8=(6x?XGusAl(J19)`6a#6>;zh)tPJ;%pBZJ zC8uR*)|@I?y7*WO3)*&gX)ZkfF?wcx-6H9oFMql^{oT|nwAJ+H%=!AvT~X|f!2MwI zscgm;$xL{GZ!xan4Les$Z^-WC9X&2tU|9Q|;o!V7zun#S{&vRZ?db8UkoUu%^$sDy z$Ng>JhpNpXnD_m~ec!4Vh3_cfHaMP*>Ug;NeY<^i`gr1;x|k_;#XICSPD_!elhD!$rXGer5IE_bhzV@*+I!kVtq#j>wvr z5qO{d&CRJ$#*Nn5S=i>w>g%x0B>>#d6ZF+EmyfnL3f%sD_!Ty)m*IUPs#D5uUp269 z5I507B`)Avb*)A09#Y<|TXtNrsQojFwYpL(YO~94uB>ljbhh=zb;dUkad0zA{V(sfk{+W2 zm1Z-m(4kY*aM~RDb=ddhfSKE)o*BGS5A3Y`sZ-SE9TpOryfgKyG%1Skp!?2xeC3j&*Q+W$VW9is1j{Ps^gCz4= z`xYnTdwG=o0pdawe?N~o!a|e;zh*7a6vFg-fmF;XsIJnB^dM9xbDr^1S#R>6fMo=S z-syE?EqPor74hWpNAWiCn)7Erf+i` z7S7JRvPRvAracz9P8;@C3HDc0mD(M)u6gS-UA`;-;dnlhr_)mi4cXgwqy2EpSAk{H zRq>U%qJgxyzf>mZ(KS~}_ZocOnB3;29-e|Wd}q-0`py1$3*L%#Y>V~1O}F;;?tKL$ zL$Fn@Y(cXmzC^^c<~e8m*$08x9bXM!-*zka_}5H>2^3y;wDSeCJk;`Z36c!hXH&|c z>}}iohZ&#!bHKs4+#Y2rS)8`<>NYB)y&}!Xw)b>c3T7D2?uzaA4Qk)*Gku=GR11qz2rrG5kU?rM%ur zrAiCy!&rBcBokSG%_0G zHM6gBK$Vf_+FD9Mu`luKLqdPZ7QM}W-~P>sx={JNlv4`v=(dc99eDybeNUMBO{TLr z!U$cTs)^`f9dE(Z;S@%k`>d4linC&pYnt+w4()%C^eH2Bv3se1a#ic?+yU)f&jx0O zPIB&w^jeMOS>D0PMMHEi22xqG{)zPU8a=QVGxkUi&-aTY9>q$XZkRnzlsk5Mlw-nk4nGt3>#S>& zTPNv1o@z+M^v}>bu_Gr=xPv2}GNhqQ5Oz*nU%!r`f>X?+SRbuvs$IGg?6>Dy< z!yMvQz04bKL7tSBf!?TT`V>~(-9MM5KKp2lB`jrX6r%^kJ#A?iifM}oj=-_w|?GY38RnKNh$~D2@96Je-fN#)k-;i z?&@2)cShxfYIYiWvzjSPzdgH68PUDBeQ-MLPU~6@l?51xJZ|!}OZN}m)#`2nO z4`%R6Rlty4`TH|kuH7~V`c=#DIbeE%>O4`S`=0!jE6$Jmz8YhPWyP&Xf|P&&&+o}u z$Y0QjP_d%P{C)F;baTS7cudy}BZ)R9579b=)}v_eG$ypuX@)oFow6VmV#`l1yBTg8 zm@9Wy+ub;I-j14(_Z25K}GJZ+s^g;Wek?u=ZcCOvPT#arlg z)*oWHiQYaV5nd#qcD_5klAqtXb=@NFX-gILy^Wk$61jD<&JwWJG0P}toLViz*2g%UtP8ll#eD%{wtiU5A}w&QhI{OX zD-GuI;wX46gFa0b85~Dv%`l$$Y)J#3-1@=w`yr`Y#H#Uk&}>0rQnPW%SEoK(F15_- z2DQipr<{%4BGBNUPjPz?s6tUbymVcJI;MQywQr{=CMiGW(qPhI7~lO#oyHW?{>V@_ zyOtNH0=)L%=HjAnJBp$`VYE$ix;>>qjGYtj70Mn*fFFK>R4pzeQ>-LZm<#NYcohOO>=(6AHKknEXmRQMh76Nl2Sw`e46*WH)z#X z`P)6b+*&$xoXgUkNa5TIFRJ^JbTor240rtLCF~H`PfiE+S zJp5%3$cfc^9p-sVN#z;S#>J;8Yq}_!&%=p2Mx`j;BD4G4?DJU7`KcT^3u8DV?xVn7 zt}5qw;s4H*KC@yb)uGb)D(h*)Xy~9YgV@@Z<3xU#a2bI08tv*&=!)O#r+T{Me9?8^ z-mKBqX-**CPR{COpeHyx)2!d zl@Hw^QLaAm-}A(h9*C9^PdNKpa@!+CXJf*jZH2{9C1-m^}bONvBdz~$Yv^@5B5?glT189Pe>v?mb2X^tW8pK0_%BN1+R%$Nmc3lBSNu2e z?P+1C{;rtn6ZK(+^5i5(hVbS5%G6|Khd;0Mr++FT4|RIjfGl!z)9IV4*;0 z`xahl&dzMSdwcBT<8ym`Jvkcnc)7kmJF2&$Yj`>zYg=Ei`mJE~jPm|&E8)@S@%P0{ zKHpQJwujsE$!!W>FJF0CV3Wv&)?x*xw<|ekGqYZ+>xQlG9k6P0+Hg$adwX}@&0Gbw z-upoDljUlNLR?ugu_!Q02C?WRoovCwLLP-$vc}8xm@;;=$JFPq&ZtOtk!te;}^?l*!5#4>2z?_RI;xe?Y0Kh-t%Voe3y&0{K%&A<6Ee;2$9tbrePZe zi_(@Ub+zpZo;5=QaWeo#NC!E6%B%dfXdA%|<9ixr*a${uEoY1h+7FMU9?@Q5q z;xBp~N*nj#Bsb=5y7KcLpk3E~_fSM^x8OniF|ox1!@A)%Vvgp@-i-5&Bt*AdENz^+KmAlY+2KzQd@ZA;vHU2o@Txt>NQ0uGCd8 zY06=OV_h8V>@u>Ip=Ye<{S2?NhMqld4=P=k_rDcm-QL ztvDL(@Zf*k+uT(IGeV;9~nWcADz<(B2aLN5ph20Uw zJP{yx2kZpAJ}szNZAZR6A8a^2IosG#*cf;?fONC)HV8fT)j#|=(+PeMGKhGB_9D5br&o1diynQ`O z@bAtH<=j?ww;#Xz#@}*WUEE$WJDl&&wt856U({-w_uM-VTdEX~Zd|Xt&G%(; z4IR_wR}X|#3wVPElxrGjDbz~|Q9={f7O5!+gIDhybT(p6Brm@8oehq*fWa3Au-TKG>%~%B%%Kv|ubS>Qz^YH&c3|G0rAp;= zlK26~Zbf$+^>?F~O3?681W&rUKk!u>?Y=m_wr~519F+-*v;D+d4Ab#K^qc&%e<6WV zzv}`yOv5D1i>ZKf_5gA2u-lDR2i-2tVOS_@rgh2C6|Jt1w_%9-JJj_UQt2sp-8r{ z&w`s9bdnc!aJ?bAWIEALS*tpdpR%%uNErA>#@lLykLkw@`Ta*H3Ig`DiwuOTULAf1 z!hxk<9aV}leYMnojS>>)={6+sx)uAkT%-?8)=QK=5Y*7Vqr7bli!za)vbp?__N}b? zmCRK+m{cwxrc_-=EKA*6(U`HN2| zY0kbD!>%M;8)018nCl5vVR)p zqkIz6iYaSaN~kjVy)gNW_%u69aej#Ro$)lg{mGonk79EJX5+I_GyQz1#vc>jUgxeqR!-r`Q>#zR+#?&oeAd`9ML^Q*z6WA$d+}Jv&IGh($t`f4&E>k|cHbF$9{ihE(;Zq)r zhSu87+#I^kUZu3ZV)<-&_jxNH9(}5Eshwg~msAfrEkFPkq?`iWf_#wy7?!xgzIL8L&*-TVUeI$-=mg*kNWdF_TkJ*a22{fm5 zBrH$5Sw9cJGQp1rER(%%0!*O6eVP3Ivz?4>4KeQSE;lA4L;25XthA4hVv?t}hY2S! z|IcgI-l;GRWvRw+7EGq~D&coe=`0ImMvuOAe6DR$lJ3lw7Lm@Z@k3^|)VMGR9f>0g zJPfoB#Ot1u(%x;*Z_cN`=Nwu!!Quc{V7Z1^nUCWu#URrRw%kS4bZa8B+joa2g;2x_CN5B-i#w9`_jrSfZ||wI&Z7Lo1fN^Xw@ivr#8J&2Pw5T5`Q-$Se!lZEA4Zej)=g{tPPu{4Sm~1- zy5S>wns^WUtr40UyNNHVPKzhihY8H^b{S%Ew3eoQ0lvbOjo z@KG*`WPiL6l@H09{$43;pw7T@kV3c;O&CKPnEu?8E-WENFayYB#01Es8i5wj++78@ z=B|1DH0C~1M$e|z3EYrNSZ|yJNGGC5`a0Yu-4e<)u`*;9s?5UaSI7R@ss-WOE}4d( zN|eIQ-&$};qAguTWUB_KhZTd0p!juifyy7kG-(Yf^gmfbJo2NI@(Wd}qWl18e;&WN zcNsop+i2xxzSb%4W7K5aW3|@VdLxAZY>jbT?*xe<d!o2dMzNSu#M4}=Rv zhxJVOP$qiNsVmJ$$Z?Q2_2pCdU$ph?vXjw(sQE*J+&weHc-{)uyg^>SI-l6_4kme3 zu5Kx;7Dn@u1F(i8#K4E&08sW4Ry#?P_=bZXG4Ut6BpQ37s+lUP6S+$@O=7wgT0X7T zm)#%fgi?K!Y#c|*eFp!APABVf-h=p}&dr2%<2$>6A0#`21eoXr90Drj1cT4~bb^I; zfBHu-#kC?QNb5pnXexx$0#yoY?$F_6vb^%uYpbdbq?u4z#j^g_)BhzB+bR84^*wMz znz@=Y7wJ#|6Mf<7Coda?SXQQ3j;mZD%k}`gYDl$6{LZU|5YB87quUM5>isF*lBWJ7 zdvk-a$}8RO7(#Z25LgJcHaA0v@ITbQBVFl_AYxz=)yG%m)EX<@$5-?}q5&LDZVGdS zz_Bma{XdLQ%VYz`2L2W8a4{|0CeAqQ`gGq}CmeANm6YhZJNG>7nd%JPw&dSpdiu^f zb?B@6qsbbN`Md!;rZ%1xZ+TGjA=OM5`DJkdv2(SbdtHN+fiH)33?Zi!DCKqF$LHN| zLU5tam7sV)@T7D8@zV)`NLD`7a+yDO&b?o1m$J}q){+NPDj3x$pAv0el?{`JZqXv2 zvPVu-&O`VGH>Q*%nl^}IGTI=YGOmf7p68G!>YMg;Zj4%nvcvCK>64ma&4hB+-#;A? zn6Y?&{~SSJ9ufLIp@JOWWznq|XssSv57+-!OMrP4HVj9jm*MCy%)t*oCzgWk>>H73 zYCfXMJA{3v)wvPR+mQf<*m*>zXK!*5iOGp`5jmf;Sg3@e64VxxbVQFB?mlDz`(P-HjZb9|_I~Rmc9IAp0({mg8%RWGCm7pAM6L?i`M#ei_;y?KSJU8 ztFcr;aF0L($e%Vg^he&X9O~{l)9asK zg2_L)W|NF-d`$fNk*mc`nj!fv6-hjjT&!4{pFsM5*Hoij*gx+5KKS6g$#H$-fp*Q%I5Mez9 z=m;C@DRy8MO7~b!U>3L8(c$aa4*0z&x_@$~o*H1$=6<$%#n=DT0jbGvNtpZ-(8 z7B6`3SX11PVBbfe_=+-|DPRapiD0YmJk=4(?30+@xa(&2*iUFYzvE(VoWwXfQ#`Ik z3?#{!j2PeT-ElH>YM$O#_R&~$Q}BMt8p71Yueh4k}RE;`#7j=x0Gxw zP1_&sal6*7=$t#KwJl{O@YUJ{`MXLs3IoUBy8<(-rdviam$Lonad0{D9F#b$iVHug zG!<2IMIfb!hcSXU8`_jp&~eLNnw59@qI;EgK0{hqK2hU)uyFOI;hfixCF7i*e$%pG zq?U4E5vyZeUGmYT?nn8*n9z@$b4LFF7cR5QpTRq~&%E_Ak1@`?KY8mZuM$jOik<&A zRDA5_YBQq@qcpRj)^BA3`6f!$uBbS&dx;O?ibJy%g^EjquVV1&e**=Kb(GHjmj3N3 z#n`C0LhYTm%)cKnS|6Vs%f}A=>TI;1$`T8{`|}CqE#;l@*Rw+EES!&w$63=F#u8Xn zp_-g5l;h5s@kz2f(r|x*wKzNFq_Vvq!u7x>rDU2z_x04{0F7yknqmSI73QI0UG8m9 zILon}AU<7J{k6OP*Ixl*F$DNh|00ZEO36JJ%vK#*691}sB1gYsE@mPpk@g97Txc*U zlAkGF~&y1VUa+wH{uduOV_ zd*yO50cQMj>e~zIn2YLci|Vn<>Q;;DF^lSri|Q+j>WE9~@0QdRmegIAEIxA1cp)!X z_$%R$yBYUrt68Y4Y&~!W2E^$M9qU-gB6kZgadgpdcr!a6JY};MKK~=YrSzhmnq!?$ z5r|H;EGp7`YA2&yZpP(=7Ir20?#&7)4$pG_Va{A|?OEoubG|dpao{X}W5vK@%f8Ti zW@va*#d0qhq?Wrim*`_9E5w;kK{6Q?vD?>sM$K11RZqU#r#czcGbppg3RxieV$KZ8 zZv!V@bU}#qrQbL}7PO$tm~7VK*jRy_+Y@+%M_)1YA&nADC4R!pO_S_`~ z^#?4U&^eFquh~Sz^}du3%jSRy2?7tgI&}#jFi=9evU2S@=}6q8+BDHoe%3|r4ZKyPed4&{fK2To%kt2CkPs(;dzi#P zH+=ukcBfM+T7Huhc!}CmAaS6Xjr-uGRlyZsTy0B6P?u}6alwg8vReW5fm1@7T;1fk z3-68`*UIhzU(5HAPnO$-b!-<0;R1Yyqa$-QY!{?f_plj?{{hxDqx#*!4&>pfDAe1q ze)p;V5T6hqC${@T)i7kpP6^lKs)NuQ!XwX$WekvYQ%e2PN3ElSDU_UB7|Yt4q`e(K z&t758Qnfa4ps3ayrtA-SX90GNS_aFmGO2*UagQR5I)pb&48%Hwn9SjU1mfKoIqL!A zzq`y5H@UX*GJ4zRysn8|ld7YK^+vjJ)n#L*!w8I{BdP z@Dl;KZ#W5$FqM7V9Fp(tG|?4mE5)VQ>+y;AIpMEHLNe{}awhSD5pr`*@Xmm;E41l? zh)3O23I&PHp|U-;?b%R2tXa{erz06XZxS1z-1s2chk`ha@FQlj0N&&B5C_|>JJ%{5&VRT-1dtt0G^nJ!WyC5`&5_* zyu^h8fm|&z`1vK(S27z7zX1I)LBa!B|0uhHDVD-JmcsRx!yh+)R}lRz75Cx?`!D zc*~|6HZqUH5DHLH9h?2wCchxQgD1YmCbsh5XZmwQhehPFy4_M%xSFUcKvlyHD5J1&UI^<1UC?JPlauncN z9@lq%Ngc*RKOCHf-wgifeV7#1#NtJLEZ!W3)*5wuJ^VQq_m8p8iI$`w(=1VQ66S!pV)A%BStcjsf&Ki0=tcf$Kc%An;mbPqK@-OL9r$J-?< zARBx!F(coSL7SUAw@1wrdbZtgqaI0RZE+Mbn~0N!P>M;xX4yS7v|z_x!)Y~KiiyW& zDcU)-puoa5yTv&q3wkqL@GM?eu>J@;n|inz-4q^Vd~awy%mFyXZ|1$(>q zJlxO8K=l%sKZ->w|8yxlmtB6F*!&6Xoa2i8241F^lHirMM#1EPN<7>%_nlTIFpN5DH`YF@Yrr7jx>Hytn)H`?y~)aLdZljKg3Z08`0OS zS;hb5waoM#g89%H|Av3zt_TtCZN69?S``B2#WKJ@LhF{U+mLFeeZOP_WzH9?BDwnHc ztjA^Ucx%!@&PZbt!ymm>RvYT|_6#MakEov>%L*P*{j6&Q(UG+puZvPJHou{P1fySo z!B=8(+nsAsJUoa%>n?B*M>qNP_MHTOPz8h66iY2ni4%m^#)_fA);T~Jcd5Eu(I2}LxP=<+o4t=NIBJ~9AM-@13jy#j5M1f527U+cz|NFFz~ zaDV297?M}AV9&|gvc)byj(8K9XlG?eiMDG$zC##iDJMsz{XnfOpeh$E-i(=a7Fekh z)u@y+@r96v(`Ay|N(>KA7LQo=Ru*jCFBf~rejs;xJkqiT?wy&j?T!OCxRTxgC-7vW9Ln$j)5{`&b%kpX3+R%OZUzSs2+tDg zP{45;{mYbC={z-QAEvX1~0If(En>m7L7@IW!9A7^J0K+rrmLvN!HV1`Mo>#yo{=#cn z9en&3ukNhNF~1bGo-{1PL(Ck@CBFzW2NAVkvANg}dzN5qgo0hBXj+1x0=fsbj^`O45W1*UOf}UTNje0>hn4@Ujy;Xrd|kV|}vJAB>7yB16uP zz{nRtRMLkQDSm0XEslW}u}GJ@e+DQb9-Ig$5zksQES%KtH;}>EFU8sNm*&Ux&gar! zF94$ArMdE3XC#@g$lu+fKclMl$2|k9QBGYRV zL7*^n6RE7kydX%W=rTnPYBNa%^zHQ><)vjpv#Cj&zD@E|D68Wli11rd3w~$j&{D$_ zWaF(7q{;-r2p(hSvK$XbK7_>cf(wH@pMeaRKY1R^kdq3@x(j%)Eg0aFeIc6XzDQK`1>dD>@S>VH zb=sS7Z45zWrmn-kP%WM7v)_P|eF-rH!Y|0hJ+YbQ6UiEzKB;{b7i~CfAjD?ujU9F{ z0{7d-Q$vLxv?IT5!EiPMy1Oxg{t$qKt~;Z31MK&adahjql%%ltIh`tk?7LAewHs+u z$$KX$@+J6XN8Rn{dof&&xWWoV0LP!{ifhk&v2IV0SLJk2st3R4<9P*xmDEz z)a=ER(>Vi{C`LeADp3+mU&7VIB}wcC5}ZIo~OO*yT2 z89^_?%(T-pu1aM{A-Ip@l6X%S3z-k_~7I8O74`Yl!zF>{?S$tgv4@k2TqJ`;VWN8-DXf7}~e% z3tKR715_w;ZLBXjA^wO&2*H_8(z{EtA~b35?xqLeSRdnEv&K;<(3T-MZC(@=Mhr;o^MdoCdI)B}=PneU_7F2&`fBUpM7SskVdQ_MO%zXu5W9ad|Am$x zvD#!&={OD9E{2WhWbvt?x|HJ_Xj9HLiBviUK(^6^*;Vf85^t2iW=o|wh)}#CbYZYM z>|ZF%Mimw4ycO`!kYx_ou@b~xnIev~iIKkXbatp-Iy#z?~6D+pmAofFznS{7?@utC?S| zPr0{-jhWOWa3z|vIon?R0W@CW(uCO-=pX+@axXhJf<4{gO+Y)btrZ5=wqrgXX=~-X z{ByK4*y6~1tb6&VybK(c23vBDo?>u8hUS`7Ida`PL16|a88%?`p@T_GlV6!VLxRgd zi8RA+om`J5F*9hs^fb!5ZVN7_{bejS{Uyxal|n9dkpZaysVhZBP&@6SQeS*tC+DGm z0?l*OeS$2rJ@f<=zjd9gNhQuk`GODPl0Z( zCl(maG{VsUlx7sjkA+$l2uweiekSYK@@?Dy6ZGAw2*XYr)BaO1dlzoJWev#nTOsP0uq`GV=x6?A;7I}EC%?DV6cG$QH;QgByTg=m|zVy zBjG{=k$|oEsL7}`cxV2g2`+^6;q*m72z&pKYYO@oOYkA75bS9Km#}i8Km*X3h6^q^ zlCV)wq3UyHAv(?qtJiG4CG_Xe(t--l8HB5bj}LLeb0HqKDuQP^J`}(3FLJpl?}7|w zwxmcx{@$Mws%7D7Qv~o_w&NGlv@CLpD!WvJ!i{mWnzN!YjZk>rm%rkQ=Icj5bXm(5 zSLJvK!(xD#NQvSebn+U_umNPLstJoIu2xi+g(@px9KKyKC4!Sby=TCPS#HPg2%Cb@ zw#r4LMxABBXII)k5COFAFFLH;t3R2F1srpPp{B~*0_785)BXg^oWakw$%O#vQCH4` z2>w33WrqLf&L$+_MqO$9#Mj`&dZzypEUv+)bCBXqco4@j;{mi=6U*e+=Xj_&g%Ed? z!2df$ zJ@D@|SNYS)hx+}#0&N(|LpHiT=Z@7k;>hii-i4SS552*=ENzO+*dA>VsC2AY{uV%n%ra zv4#?EC(Zl}{GLYgyjw2>!e}G8)I@2&{g>hTmtaXor%!~ZMyk=_CM<>2W1s+ify+9? zBEXb3uGmUmPEbtS(